diff --git a/ip/altera_epcq_controller_mod/HAL/src/altera_epcq_controller_mod.c b/ip/altera_epcq_controller_mod/HAL/src/altera_epcq_controller_mod.c index 35c7c10..3c096d1 100644 --- a/ip/altera_epcq_controller_mod/HAL/src/altera_epcq_controller_mod.c +++ b/ip/altera_epcq_controller_mod/HAL/src/altera_epcq_controller_mod.c @@ -736,7 +736,7 @@ ALT_INLINE alt_32 static alt_epcq_validate_read_write_arguments /* make sure start and end address is less then the end address of the flash */ if( start_address >= epcq_flash_info->data_end || - end_address >= epcq_flash_info->data_end || + end_address > epcq_flash_info->data_end || offset < 0 || length < 0 ) diff --git a/ip/i2c_opencores/HAL/inc/i2c_opencores.h b/ip/i2c_opencores/HAL/inc/i2c_opencores.h index d6817f1..500e231 100644 --- a/ip/i2c_opencores/HAL/inc/i2c_opencores.h +++ b/ip/i2c_opencores/HAL/inc/i2c_opencores.h @@ -17,7 +17,7 @@ int I2C_start(alt_u32 base, alt_u32 add, alt_u32 read); alt_u32 I2C_read(alt_u32 base,alt_u32 last); alt_u32 I2C_write(alt_u32 base,alt_u8 data, alt_u32 last); void SPI_read(alt_u32 base, alt_u8 *rdata, int len); -void SPI_write(alt_u32 base, alt_u8 *wdata, int len); +void SPI_write(alt_u32 base, const alt_u8 *wdata, int len); #define I2C_OK (0) #define I2C_ACK (0) #define I2C_NOACK (1) diff --git a/ip/i2c_opencores/HAL/src/i2c_opencores.c b/ip/i2c_opencores/HAL/src/i2c_opencores.c index 49b2a9e..96a39d5 100644 --- a/ip/i2c_opencores/HAL/src/i2c_opencores.c +++ b/ip/i2c_opencores/HAL/src/i2c_opencores.c @@ -197,7 +197,7 @@ void SPI_read(alt_u32 base, alt_u8 *rdata, int len) } } -void SPI_write(alt_u32 base, alt_u8 *wdata, int len) +void SPI_write(alt_u32 base, const alt_u8 *wdata, int len) { int i; diff --git a/software/sys_controller/Makefile b/software/sys_controller/Makefile index f8ceeec..19acab7 100644 --- a/software/sys_controller/Makefile +++ b/software/sys_controller/Makefile @@ -196,7 +196,7 @@ APP_CFLAGS_UNDEFINED_SYMBOLS := APP_CFLAGS_OPTIMIZATION := -Os APP_CFLAGS_DEBUG_LEVEL := APP_CFLAGS_WARNINGS := -Wall -Wno-unused-but-set-variable -Wno-unused-variable -Wno-unused-function -Wno-packed-bitfield-compat -APP_CFLAGS_USER_FLAGS := -fdata-sections -ffunction-sections -fshort-enums -fgnu89-inline +APP_CFLAGS_USER_FLAGS := -fdata-sections -ffunction-sections -fshort-enums -fgnu89-inline -flto APP_ASFLAGS_USER := APP_LDFLAGS_USER := -Wl,--gc-sections diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 8e49ddb..804a0bf 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -44,10 +44,10 @@ :04002B008786870637 :04002C000000A11718 :04002D00F5010113C5 -:04002E000000971720 -:04002F00EB47071381 +:04002E000000871730 +:04002F005D4707130F :04003000000097979E -:04003100504787931A +:04003100C287879368 :0400320000F7576319 :04003300000720237F :04003400DDE30711F0 @@ -56,48 +56,48 @@ :04003700C4064501B5 :04003800200520057A :04003900A60367E5CE -:04003A0067E5F6C7B9 -:04003B00F707A5839B +:04003A0067E5C74768 +:04003B00C787A5834B :04003C0040A267E592 -:04003D00F747A503D9 -:04003E00206F0131FD -:04003F00A3A10E90DB +:04003D00C7C7A50389 +:04003E00306F0131ED +:04003F00AB3558D0B5 :0400400067E580826E :04004100000207377B -:04004200F6E7AE230C +:04004200C8E7A22346 :0400430007B7656135 :040044006765019C4F :04004500CC078793CA -:0400460035C50513A4 -:04004700F6F72C2379 -:040048006765AE49F1 -:04004900F78727030B +:04004600B1450513A8 +:04004700C8F72023B3 +:040048006765A659E9 +:04004900C8072703BA :04004A00A78367E53C -:04004B00557DF7C721 +:04004B00557DC847D0 :04004C004721CB116C :04004D006741C3D86C :04004E00C798177DBB :04004F004711C7D8B6 :040050004501C3D8CB :0400510067E580825D -:04005200F787A78302 +:04005200C807A783B1 :04005300CF91557D77 -:04005400A70367E5B2 -:040055002823F7C79E -:040056004B1C000738 -:0400570007C24B4849 -:0400580083C1054219 +:04005400A78367E532 +:04005500A823C847CD +:040056004B880007CC +:0400570005424BDC37 +:0400580007C2814119 :0400590045138D5D61 :04005A008082FFF5AC :04005B00A50367E5AD -:04005C008082F78720 +:04005C008082C807CF :04005D002703C93973 :04005E0011510C052B :04005F0000859793EE :04006000C026C222D2 :04006100E793C40657 -:0400620084AE003731 -:04006300C75C842AC8 +:04006200842A0037B5 +:04006300C75C84AE44 :040064000C0427035E :040065008B85431C28 :04006600431CE795BB @@ -111,9070 +111,8502 @@ :04006E0040A2FD208F :04006F004482441271 :040070008082013158 -:0400710021414505DF +:040071002995450583 :040072005529B7E174 -:0400730057A9808287 -:04007400C991C9194C -:040075005918CA0943 -:04007600C21857ED68 -:040077000513C7099D -:04007800C1880345F3 -:04007900853E4781F8 -:04007A0057A9808280 -:04007B00C263C13962 -:04007C00270304054D -:04007D00FE630C45CD -:04007E00268302E5EE -:04007F0087130D05D1 -:040080008F6DFFF68B -:04008100D5B3E71DEF -:0400820007B702D5E5 -:04008300879301005E -:0400840005A2F007DA -:0400850027838DFD43 -:04008600E5930C05ED -:04008700C7CC0025BD -:040088000C052683BA -:040089004A984781C9 -:04008A00C7018B051A -:04008B00CA9C47853F -:04008C00853E57ED69 -:04008D00C163808249 -:04008E00717912056D -:04008F00D606D4229B -:04009000832AD226C7 -:040091005529843237 -:0400920002064863B7 -:0400930002030663FB -:040094002783C68573 -:0400950071630C4344 -:04009600FF6302F60C -:04009700278300F5C6 -:040098008E0D0D03B9 -:0400990040C78633A3 -:04009A0000E66863B1 -:04009B0000074663B1 -:04009C008DFD17FDC2 -:04009D00CDF14281DE -:04009E00542250B2E6 -:04009F0061455492D1 -:0400A00057FD808206 -:0400A1007513D03EC5 -:0400A200CD09003450 -:0400A3008F89479169 -:0400A400F36384BAC4 -:0400A50084BE00E72E -:0400A60077938C09B7 -:0400A700CB810034D5 -:0400A800BFD955293E -:0400A9004491478DAA -:0400AA0000E7E36325 -:0400AB00101C84BAE7 -:0400AC00005685B3C2 -:0400AD00953E8626D0 -:0400AE00CC3ACE1A60 -:0400AF00C836CA166F -:0400B0005AF050EFC3 -:0400B10007B3101071 -:0400B200C03E0096B6 -:0400B3008793678543 -:0400B400C23E8027A1 -:0400B500000227B767 -:0400B6001107879314 -:0400B70046C2C43E3B -:0400B80042D267A128 -:0400B90043724762E5 -:0400BA00020787931F -:0400BB008537C63E81 -:0400BC00478200086F -:0400BD0002F61463D0 -:0400BE000B83278306 -:0400BF0092A65602AD -:0400C000C39097A2B0 -:0400C1000C03278382 -:0400C20086138F050D -:0400C3004B9C01074A -:0400C400CF9D8B89B8 -:0400C500C21C478989 -:0400C600BFB9556DFC -:0400C7000006478365 -:0400C80043A2459278 -:0400C90085B30605F0 -:0400CA00F5B302B7D1 -:0400CB0043B20075C7 -:0400CC00027787B37D -:0400CD004405039350 -:0400CE000077F7B30D -:0400CF008DDD63C19F -:0400D00010138393F3 -:0400D100027585B37C -:0400D2000FA381C136 -:0400D300B755FEB669 -:0400D400FB05041113 -:0400D500B70D45011D -:0400D60080825529A6 -:0400D700FDC1011353 -:0400D800CE22D0065E -:0400D900C232CC263D -:0400DA00842AC15D56 -:0400DB00C24D552994 -:0400DC000B84270367 -:0400DD0087AE83B6B1 -:0400DE008633972EA0 -:0400DF00268300E68E -:0400E00077630BC473 -:0400E100756308D764 -:0400E200270308D612 -:0400E300C0020D0446 -:0400E40002E5D4B3AA -:0400E5000CC427031D -:0400E60000E4E463EB -:0400E700A88D45019A -:0400E800FE038EE3A2 -:0400E9000D0422835D -:0400EA0002B3470115 -:0400EB00F2B34050DC -:0400EC00846300F237 -:0400ED0087330057FE -:0400EE00859640575C -:0400EF00CA3A852262 -:0400F000C63EC81E22 -:0400F1003515C416E7 -:0400F2002303E521DE -:0400F30047520D045F -:0400F40042A243C21F -:0400F50040E30333AE -:0400F600F36347B2B7 -:0400F700831E006301 -:0400F80046924602E4 -:0400F9008596871A47 -:0400FA00852296B213 -:0400FB00C81E863E57 -:0400FC00C43EC61A1E -:0400FD00ED0935894B -:0400FE0047824332C0 -:0400FF00048543C26F -:04010000C03E979ACC -:0401010083B347A2DB -:04010200979A406325 -:040103005529B76162 -:04010400447250826F -:04010500011344E2BC -:0401060080820241B0 -:04010700C94157A9EA -:040108002783C6592A -:0401090027030B8538 -:04010A0095BE0BC5CE -:04010B0000B6833384 -:04010C00FE6357A98E -:04010D007C6306E524 -:04010E00873206E34B -:04010F0086361151CE -:04011000C222853A48 -:040111008436C40666 -:0401120050EFC026C4 -:04011300872A4250A5 -:0401140025B76605A0 -:04011500652100025E -:040116000613468105 -:040117008593802626 -:0401180005131105B5 -:0401190082B70205A2 -:04011A00C9630008AD -:04011B0040A2008678 -:04011C0047814412C1 -:04011D00853E448255 -:04011E0080820131A9 -:04011F0000D70333CF -:040120000003438312 -:04012100440284937D -:0401220087B3068514 -:0401230083B302C3DD -:040124008FED02A3B6 -:040125000093F3B39D -:040126000077E7B3C4 -:04012700839363C19A -:0401280087B3101376 -:0401290083C1027715 -:04012A0000F30023BB -:04012B00853EBF7DD1 -:04012C00CD4D8082B3 -:04012D000C05270393 -:04012E00CB5557B5A1 -:04012F000C85278391 -:040130004718EBA9D8 -:04013100771346B149 -:0401320007930FF729 -:04013300E963FEB7C7 -:0401340066DD02F68C -:040135008693078A1C -:0401360097B6CA8628 -:040137008782439CDC -:040138002000079309 -:040139000D05268307 -:04013A000C45260347 -:04013B0002F685B390 -:04013C0000B6166390 -:04013D000CC5258345 -:04013E0004F58663DB -:04013F00020528236A -:04014000A0B557B55A -:0401410040000793E0 -:040142006785BFF11D -:040143008007879317 -:040144004358BFD18C -:04014500771347D90C -:040146000F630FF73D -:0401470047E100F795 -:0401480000F70F634A -:0401490057B546D18F -:04014A0004D7136360 -:04014B000200079314 -:04014C000793BF5501 -:04014D00B77D040076 -:04014E00080007930B -:04014F000793B765F6 -:04015000B74D100097 -:04015100C40611517E -:040152000CE52C2369 -:04015300470565E512 -:04015400D918DD5C7D -:0401550002052A2352 -:04015600C134DD10C3 -:04015700E98585931E -:0401580040A222019E -:04015900853E478117 -:04015A00808201316D -:04015B00853E57A9DD -:04015C0047958082C1 -:04015D0002F606336D -:04015E000005242351 -:04015F00C91C4785EB -:0401600002C5D5B34C -:04016100F79315FDFE -:0401620081A10FF573 -:04016300F593C11C33 -:04016400C14C0FF586 -:0401650008000793F4 -:040166008082C51CB2 -:040167008A0505867A -:04016800C54C95B23B -:0401690009000793EF -:04016A000105071371 -:04016B00431CC91C4C -:04016C00FFF58B8987 -:04016D00811D49089F -:04016E0080828905FD -:04016F00010507136C -:04017000068007936B -:040171000793E19976 -:04017200C91C0200A2 -:040173008B89431C15 -:040174004548FFF506 -:04017500C54C808273 -:040176000105071365 -:0401770005000793E5 -:0401780047C1E21188 -:04017900431CC91C3E -:04017A00FFF58B8979 -:04017B00811D490891 -:04017C0080828905EF -:04017D00069347811D -:04017E00C36302C095 -:04017F00808200C7B3 -:04018000010503135F -:040181002703C91473 -:040182008B090003E2 -:04018300C599FF6DAE -:0401840000C523038C -:0401850000F58733C7 -:0401860000670023EB -:04018700BFF1078538 -:0401880046F1478174 -:0401890000C7C36385 -:04018A0087338082B5 -:04018B00470300F531 -:04018C000313000752 -:04018D00C55801054B -:04018E002703C91466 -:04018F008B090003D5 -:040190000785FF6D73 -:0401910047EDB7C5BA -:0401920002F505333A -:040193005533479DFC -:04019400E46302F529 -:04019500450100A779 -:040196000001808262 -:04019700BFD5078544 -:04019800451CC11928 -:0401990067E5EF9196 -:04019A00EA07A78346 -:04019B001151C395A6 -:04019C009782C4067C -:04019D0047D940A25C -:04019E005529C11C02 -:04019F008082013128 -:0401A000C14C419C71 -:0401A100419CC11CA0 -:0401A200C188C3C885 -:0401A3008082450110 -:0401A4000513656575 -:0401A50047D95C05D5 -:0401A6005529C11CFA -:0401A700433D8082D2 -:0401A8007363872ACC -:0401A900779302C383 -:0401AA00EFBD00F7AE -:0401AB007693E5ADB5 -:0401AC008A3DFF0683 -:0401AD00C30C96BA2F -:0401AE00C70CC34C6B -:0401AF000741C74CF1 -:0401B000FED76BE328 -:0401B1008082E21155 -:0401B20040C306B38D -:0401B3000297068A1F -:0401B400969600001B -:0401B50000A68067B9 -:0401B60000B7072364 -:0401B70000B706A3E4 -:0401B80000B7062363 -:0401B90000B705A3E3 -:0401BA0000B7052362 -:0401BB0000B704A3E2 -:0401BC0000B7042361 -:0401BD0000B703A3E1 -:0401BE0000B7032360 -:0401BF0000B702A3E0 -:0401C00000B702235F -:0401C10000B701A3DF -:0401C20000B701235E -:0401C30000B700A3DE -:0401C40000B700235D -:0401C500F5938082AC -:0401C60096930FF508 -:0401C7008DD500854D -:0401C8000105969304 -:0401C900B7618DD5B8 -:0401CA0000279693E1 -:0401CB000000029797 -:0401CC0082869696FB -:0401CD00FA8680E747 -:0401CE0017C180963F -:0401CF00963E8F1DAC -:0401D000F8C374E319 -:0401D1006733B7A534 -:0401D20053FD00B524 -:0401D300E7798B0D30 -:0401D4007F7F87B7EB -:0401D500F7F787931E -:0401D60041944110FF -:0401D70000F672B309 -:0401D80000F6633397 -:0401D900E2B392BE3D -:0401DA0097630062C5 -:0401DB0018630C7227 -:0401DC00415006D6B2 -:0401DD0072B341D4E4 -:0401DE00633300F691 -:0401DF0092BE00F6D6 -:0401E0000062E2B324 -:0401E1000A729863A3 -:0401E20004D61B63C1 -:0401E30045944510EA -:0401E40000F672B3FC -:0401E50000F663338A -:0401E600E2B392BE30 -:0401E70091630062BE -:0401E8001E630A7216 -:0401E900455002D6A5 -:0401EA0072B345D4D3 -:0401EB00633300F684 -:0401EC0092BE00F6C9 -:0401ED000062E2B317 -:0401EE0008729A6396 -:0401EF0002D61163C0 -:0401F00049944910D5 -:0401F10000F672B3EF -:0401F20000F663337D -:0401F300E2B392BE23 -:0401F40093630062AF -:0401F5000551087236 -:0401F6000FE305D13D -:0401F7001713F6D60E -:0401F80097930106D2 -:0401F9001C6301067C -:0401FA00571300F7A0 -:0401FB00D79301068F -:0401FC0005330106C0 -:0401FD00759340F7BF -:0401FE00E9910FF57F -:0401FF008341808236 -:04020000053383C17E -:04020100759340F7BA -:04020200E1910FF582 -:04020300771380826B -:04020400F7930FF766 -:0402050005330FF7B7 -:04020600808240F7BB -:0402070000054603A5 -:040208000005C683A4 -:04020900058505055D -:04020A0000D61363A4 -:04020B000533FA6558 -:04020C00808240D6D6 -:04020D000591051141 -:04020E00FED612E323 -:04020F0080824501A3 -:0402100005A105211E -:04021100FCD61CE318 -:0402120080824501A0 -:0402130005B10531FB -:04021400FCD616E31B -:04021500808245019D -:0402160005C10541D8 -:04021700FCD610E31E -:04021800808245019A -:0402190006131111A6 -:04021A001023282065 -:04021B00463500C1A3 -:04021C0000C10123F9 -:04021D004605CC06C0 -:04021E0004C58A6326 -:04021F009A6346098F -:04022000061304C5F8 -:0402210001A3050030 -:04022200460300C1CE -:04022300661300312D -:0402240001A300260C -:04022500061300C1FB -:04022600C299040075 -:04022700F8000613C2 -:040228000323071E87 -:04022900661300A1B7 -:04022A0067130086D0 -:04022B008B8D008730 -:04022C004505858A75 -:04022D0000C10223E7 -:04022E0000E102A346 -:04022F0000F103A334 -:04023000C602C4023C -:0402310040E22E4534 -:040232008082017154 -:0402330003000613AB -:040234004641BF5D23 -:040235001111BF4D97 -:0402360010400793DA -:0402370000F110239F -:04023800012347A9AE -:04023900878A00F1BF -:04023A000713CC06D4 -:04023B0085BE00A1DB -:04023C00000781A393 -:04023D009DE30785B1 -:04023E004783FEE70D -:04023F004505003140 -:04024000E7939BE1C4 -:0402410001A30017FE -:04024200218900F11D -:04024300017140E223 -:040244001151808252 -:040245001437C22286 -:04024600C0260002CC -:0402470084AA46013E -:0402480004C0059356 -:040249000404051391 -:04024A00398DC40620 -:04024B000FF4F59324 -:04024C00051346014F -:04024D00314504042F -:04024E0004C0059350 -:04024F00040405138B -:0402500039A946057D -:040251000404051389 -:0402520040A2441270 -:040253004585448217 -:04025400B1AD013116 -:04025500C42211416D -:040256000002143757 -:04025700C02AC226D1 -:04025800460184AE29 -:0402590004C0059345 -:04025A000404051380 -:04025B00313DC60665 -:04025C00460147828E -:04025D00040405137D -:04025E000FF7F5930E -:04025F00051339A9A1 -:04026000442204042C -:0402610085A640B27C -:040262004605449277 -:04026300B1A1014103 -:04026400C40611516A -:0402650040A23FBDB7 -:040266000FF5751308 -:04026700808201315F -:04026800C406115166 -:0402690040A23F452B -:04026A000131450118 -:04026B00113180824B -:04026C00C426C622BC -:04026D0084AAC80691 -:04026E00440187AE12 -:04026F0000C448631C -:04027000443240C212 -:04027100450144A25D -:040272008082015134 -:040273000087873346 -:0402740000074583B7 -:040275000084853349 -:040276000FF57513F8 -:04027700C03EC23291 -:0402780004053F95A5 -:040279004782461260 -:04027A000793BFD156 -:04027B0005333E8089 -:04027C00F06F02F528 -:04027D001151F0CF5C -:04027E00453D458134 -:04027F00374DC4062D -:04028000458140A2D2 -:04028100061005134B -:04028200BF5901312E -:04028300C222115131 -:040284000413646596 -:040285004583F904B0 -:0402860045150024F6 -:040287003749C40629 -:040288000024478384 -:0402890003D0059306 -:04028A00839D4511FA -:04028B00002797139E -:04028C0000C44783E0 -:04028D008FD99BED7D -:04028E0000F406234F -:04028F004505379555 -:0402900045F5376D8C -:040291003FA945112B -:0402920003000593CD -:040293000610051339 -:0402940005933F810E -:0402950045250B20D0 -:04029600059337A1F4 -:0402970045290F8066 -:040298000593378112 -:04029900452D03707C -:04029A0045813F2536 -:04029B003F0D453D91 -:04029C000513458180 -:04029D00372D0C905D -:04029E00051345817E -:04029F00370D0CA06B -:0402A000051345817C -:0402A1003F290CB035 -:0402A200051345817A -:0402A3003F090CC043 -:0402A4000513458178 -:0402A50037290CD019 -:0402A6000513458176 -:0402A70037090CE027 -:0402A8000513458174 -:0402A9003DED0CF02B -:0402AA000513458172 -:0402AB003DCD0D0038 -:0402AC000044458342 -:0402AD0040A2441215 -:0402AE000E10051316 -:0402AF00B5CD013197 -:0402B000C82211212E -:0402B1000413C62646 -:0402B200CA063E80BA -:0402B300872A4685CB -:0402B400543387AE8A -:0402B500648D0285CD -:0402B60000D5956377 -:0402B700849364893F -:0402B80045858004F4 -:0402B900C432453DC9 -:0402BA00C03AC23E46 -:0402BB0045813D55E7 -:0402BC0003300513F3 -:0402BD00D59335752B -:0402BE0005130084A0 -:0402BF00354D034076 -:0402C000051345815C -:0402C1003D69035040 -:0402C2000FF475932D -:0402C300030005131C -:0402C40055933D41D0 -:0402C500F593008429 -:0402C60005130FF518 -:0402C70035490310A2 -:0402C8000104559345 -:0402C9000FF5F593A5 -:0402CA0003200513F5 -:0402CB0045813D9597 -:0402CC0035BD453DBA -:0402CD00051345814F -:0402CE00359D0C50FE -:0402CF0064E5470299 -:0402D0008693479238 -:0402D100C698F904CE -:0402D20084934705C5 -:0402D3004409F904DD -:0402D40083634622D8 -:0402D500442900E7D1 -:0402D6008223470533 -:0402D70083A300E419 -:0402D80046850084D3 -:0402D9000363473D37 -:0402DA00470100D602 -:0402DB0000E482A316 -:0402DC000C0005937A -:0402DD000E000513F7 -:0402DE00351DC03ECC -:0402DF004705478206 -:0402E000059005136D -:0402E1000AE797632E -:0402E20075933521BA -:0402E300E5930FC5CB -:0402E40005130015E9 -:0402E5003529059022 -:0402E6003BDD4511A6 -:0402E7000EB5759348 -:0402E8003BFD451184 -:0402E9000044C58385 -:0402EA000E100513DA -:0402EB0005933BD567 -:0402EC0005130E40A8 -:0402ED0033ED0E20BF -:0402EE000054C58370 -:0402EF000E300513B5 -:0402F0003BF989BD90 -:0402F100051345A10B -:0402F2003BD90E40A6 -:0402F300453D4585BB -:0402F40045A13BC124 -:0402F50009100513D4 -:0402F600458133E12A -:0402F70009200513C2 -:0402F800458533C144 -:0402F90009300513B0 -:0402FA0045813B659A -:0402FB00094005139E -:0402FC0085A23B4557 -:0402FD00098005135C -:0402FE00459333658C -:0402FF0005920FF461 -:0403000000B5E593CC -:040301000FB5F593AC -:040302000990051346 -:0403030045813B51A4 -:040304003379453DC7 -:040305000C10059340 -:040306000E000513CD -:0403070007933351D4 -:040308008323FC103F -:0403090040D200F4EA -:04030A0044B2444273 -:04030B000161450542 -:04030C003BB98082F7 -:04030D000FC5759310 -:04030E001151BFA921 -:04030F00C4064511CA -:0403100065933B8135 -:04031100F5930085DB -:0403120045110FF58D -:0403130040A23B9138 -:04031400030005934A -:0403150006100513B6 -:04031600B399013165 -:04031700451111512A -:04031800333DC406A7 -:04031900659340A206 -:04031A00F593004512 -:04031B0045110FF584 -:04031C00B33D0131BB -:04031D00C4061151B0 -:04031E00E911C222FD -:04031F0005134581FC -:040320003B390CD089 -:0403210040A24505AC -:04032200013144124F -:04032300842E808222 -:04032400D9F54501C1 -:04032500453D458588 -:0403260045833321B7 -:040327000513003486 -:0403280039FD058016 -:0403290000444583C4 -:04032A000590051322 -:04032B00458339D5F8 -:04032C000513005461 -:04032D0031ED05A009 -:04032E00006445839F -:04032F0005B00513FD -:04033000458331C50B -:04033100051300743C -:0403320039D905C0F0 -:04033300008445837A -:0403340005E00513C8 -:04033500458331F1DA -:040336000513009417 -:0403370031C905F0D3 -:0403380000A4458355 -:0403390006000513A2 -:04033A004583396559 -:04033B00051300B4F2 -:04033C00317D0610F9 -:04033D0000C4458330 -:04033E00062005137D -:04033F00458331556C -:04034000051300D4CD -:0403410039690630E0 -:0403420000E445830B -:040343000640051358 -:040344004583394173 -:04034500051300F4A8 -:0403460031590650D3 -:0403470000340793E4 -:0403480004414581A6 -:040349000007C703DF -:04034A008D990785FD -:04034B000FF5F59322 -:04034C00FEF41AE3BE -:04034D0006F5859399 -:04034E000FF5F5931F -:04034F0005D00513BD -:04035000458131852D -:0403510039A9453D44 -:04035200BF15458D01 -:04035300C40611517A -:04035400E911C222C7 -:0403550005134581C6 -:0403560031990CE0ED -:0403570040A2450576 -:040358000131441219 -:04035900842E8082EC -:04035A00D9F545018B -:04035B00453D458552 -:04035C004583390597 -:04035D000513003450 -:04035E00311D0680C7 -:04035F00004445838E -:0403600006900513EB -:040361004583393166 -:04036200051300641B -:04036300390906B09E -:040364000074458359 -:0403650006C00513B6 -:040366004583312179 -:040367004783003494 -:040368000513004435 -:0403690097AE06D075 -:04036A0007100593E0 -:04036B0047838D9D9A -:04036C008D9D00540F -:04036D00006447835E -:04036E0047838D9D97 -:04036F008D9D0074EC -:040370000FF5F593FD -:0403710045813EF193 -:040372003ED9453DEE -:04037300B761458D9C -:04037400C22211513F -:04037500842A458110 -:04037600C406453D37 -:04037700051336D163 -:04037800367D0C10B2 -:040379000080343399 -:04037A000FE5759383 -:04037B0005138DC118 -:04037C00367D0C10AE -:04037D0040A2441244 -:04037E000513458D91 -:04037F0001310C60DC -:040380001121B6454C -:04038100C22AC626A0 -:04038200451184AEEF -:04038300C82245F552 -:040384008432C036C9 -:040385003669CA0605 -:0403860067654682DF -:04038700F907061359 -:0403880097938A8538 -:040389004683001691 -:04038A009AF500C61A -:04038B0007938EDD69 -:04038C000623F90744 -:04038D00C03E00D698 -:04038E00C2998A89FD -:04038F003F49450598 -:040390000513478288 -:04039100C783070017 -:04039200C43E00372E -:04039300F713369195 -:040394004685003466 -:040395000035759327 -:04039600076347A210 -:04039700468908D7B4 -:0403980008D7076318 -:040399000087F69350 -:04039A00E593C2998C -:04039B00F6930205CE -:04039C00C2990017EB -:04039D000105E593DE -:04039E000027F693AB -:04039F00E593C29987 -:0403A0008B910085B8 -:0403A100E593C39984 -:0403A20005130045FA -:0403A300C43A070051 -:0403A40047223E01AD -:0403A5001A071863B8 -:0403A6004785880DF2 -:0403A70004F40C63EB -:0403A800116347890D -:0403A90097931AF418 -:0403AA0087E1018462 -:0403AB00D4634401D2 -:0403AC00041300072F -:0403AD00F4930A00BB -:0403AE0007930304AA -:0403AF008A6302005B -:0403B000079314F4A7 -:0403B100836303005F -:0403B20047C104F447 -:0403B3009F6365E5FA -:0403B400460D14F4EA -:0403B500EA458593FD -:0403B60007300513F4 -:0403B70065E13CC9F7 -:0403B800859346499A -:0403B900A835460518 -:0403BA000405E593BE -:0403BB00E593BFA562 -:0403BC00BF8D0805E4 -:0403BD00018497938D -:0403BE00F41387E1CC -:0403BF00DCE3040473 -:0403C0006413FA07C1 -:0403C1007413FA04B3 -:0403C200B7750FF408 -:0403C300460D65E599 -:0403C400EA858593AE -:0403C50007300513E5 -:0403C60065E13C5958 -:0403C700859346498B -:0403C800051347458D -:0403C900F0EF0760EA -:0403CA004489A89F1B -:0403CB0007200513EF -:0403CC00A61FF0EF89 -:0403CD0001C575935E -:0403CE008DC18C450C -:0403CF0007200513EB -:0403D000A61FF0EF85 -:0403D1004585478295 -:0403D20000C7C78316 -:0403D300E3918B899E -:0403D4000513458147 -:0403D500F0EF0C0039 -:0403D60045D5A4BFA6 -:0403D700F0EF4511ED -:0403D80045C1A43F38 -:0403D90006100513F2 -:0403DA00A39FF0EFFE -:0403DB0047894712F5 -:0403DC000CF71D639A -:0403DD0008800593FC -:0403DE0006200513DD -:0403DF00A25FF0EF3A -:0403E000051345C1FB -:0403E100F0EF063003 -:0403E2000593A1BF1F -:0403E30005130840B6 -:0403E400F0EF0640F0 -:0403E5004505A0FF2B -:0403E600A53FF0EF50 -:0403E700F0EF4511DD -:0403E80075939F3F2B -:0403E900451101D5E4 -:0403EA009F9FF0EFF2 -:0403EB0006400513B0 -:0403EC00A3BFF0EFCC -:0403ED00F0EF4511D7 -:0403EE0075939DBFA7 -:0403EF00451101555E -:0403F0009E1FF0EF6D -:0403F10006400413AB -:0403F200F0EF4539AA -:0403F30089419C7F21 -:0403F400147DE9018A -:0403F5004505044274 -:0403F600F0EF804163 -:0403F700F46DA11FE1 -:0403F80045314581C5 -:0403F9009BDFF0EFA7 -:0403FA000400059363 -:0403FB00F0EF4535A5 -:0403FC0045859B3F59 -:0403FD00F0EF45399F -:0403FE0045819ABFDC -:0403FF00F0EF45399D -:04040000F0EF9A3F40 -:0404010040D29F5FE7 -:0404020044B244427A -:040403000161450549 -:0404040065E58082A8 -:040405008593460D88 -:040406000513EA856B -:04040700F0EF0730DB -:0404080065E1991FF2 -:040409008593464948 -:04040A00BDE544C543 -:04040B008593460D82 -:04040C000513EA45A5 -:04040D00F0EF0730D5 -:04040E0065E1979F6E -:04040F008593464942 -:04041000B5C54385A6 -:0404110044814401DD -:0404120045E1B5D536 -:0404130006200513A7 -:04041400951FF0EF51 -:04041500051345C1C5 -:04041600F0EF0630CD -:0404170045B1947FD8 -:040418001151B73D8A -:04041900C026C22215 -:04041A0084AE842AFE -:04041B004581453D95 -:04041C00F0EFC40633 -:04041D00051392FF32 -:04041E00F0EF059066 -:04041F007593917FC1 -:04042000E49902F564 -:040421008DC1041A6B -:040422000FF5F5934A -:040423000105E59357 -:0404240040A244129C -:0404250005134482F5 -:04042600013105900B -:04042700905FF06F83 -:04042800A50367E5DC -:0404290067E5E98713 -:04042A00FAA7A0236A -:04042B000015351370 -:04042C0040A00533B4 -:04042D0011118082A7 -:04042E00C826CA22F0 -:04042F00C22ACC060B -:04043000C432C02EE4 -:04043100440184B648 -:0404320047824701B5 -:0404330000F46D6301 -:04043400450147A295 -:0404350000F7046365 -:04043600F340051377 -:04043700445240E209 -:04043800017144C248 -:0404390047828082F4 -:04043A001000069315 -:04043B00408787333C -:04043C0000E6F4637F -:04043D001000071391 -:04043E0086BA4792A1 -:04043F0005B3862655 -:0404400067E500F478 -:04044100FA07A5030E -:04044200F0EFC63AD7 -:04044300F579B12F67 -:0404440036134732F2 -:0404450085260014F4 -:0404460030EF85BA54 -:04044700872A2E7062 -:040448001004041385 -:040449001141B75D49 -:04044A006465C422FF -:04044B000513C02AAB -:04044C00C606FA44A2 -:04044D0045D040EF67 -:04044E00E9094582F1 -:04044F00200007136F -:04045000460146819A -:04045100FA44051351 -:04045200591040EF0E -:04045300442240B24D -:0404540040A005338C -:04045500808201415F -:04045600CA22111194 -:04045700CC06C826E1 -:04045800842EC02A04 -:04045900C23684B271 -:04045A004501E099DF -:04045B000713A805D6 -:04045C0082A6200054 -:04045D00009774632D -:04045E0020000293E5 -:04045F00459246027A -:0404600001029713EB -:04046100834167E587 -:040462008513468137 -:04046300C416FA477A -:04046400549040EF81 -:04046500C90142A2E5 -:0404660040A005337A -:04046700445240E2D9 -:04046800017144C218 -:040469007713808203 -:04046A0016130FF462 -:04046B0063E50084C1 -:04046C004692C715D8 -:04046D00FA03A503E6 -:04046E00008455931E -:04046F0005C2871625 -:04047000F0EFC416CF -:0404710042A2874FCD -:040472004782F97153 -:040473000082D71319 -:040474000785943A2A -:0404750084B3C03E4E -:04047600B7794054BE -:04047700FA03A503DC -:04047800C61685B26D -:04047900F0EFC432AA -:04047A0063E5804F67 -:04047B0042B2462221 -:04047C00B76DD1691E -:04047D00C422114143 -:04047E00C606C226C6 -:04047F0064E5468565 -:040480000863646544 -:0404810067E500D556 -:04048200FE17C70397 -:04048300136347892F -:0404840067E50AF727 -:04048500EAC78793A8 -:040486004641438820 -:04048700FBC485939A -:040488007C1040EFB5 -:04048900879367E509 -:04048A00439CEAC7DE -:04048B00059346414E -:04048C008513FF4491 -:04048D00C03E020764 -:04048E007A9040EF31 -:04048F00C7034782D6 -:0404900086233CC7BC -:04049100C7033C075A -:0404920086A33CD72A -:04049300C7033C0758 -:0404940087233CE797 -:04049500C7033C0756 -:0404960087A33CF705 -:04049700C7033C0754 -:04049800470D3C4789 -:040499003CE7822397 -:04049A003C57C70301 -:04049B003C0782A3F5 -:04049C003C67C703EF -:04049D003C07832372 -:04049E003C77C703DD -:04049F003C0783A3F0 -:0404A0003C87C703CB -:0404A1003C0784236D -:0404A2003C97C703B9 -:0404A3003C0784A3EB -:0404A4003CA7C703A7 -:0404A5003C07852368 -:0404A6003CB7C70395 -:0404A7003C0785A3E6 -:0404A800FF44059375 -:0404A90040B24422F7 -:0404AA00FBC48513F7 -:0404AB000141449235 -:0404AC003BB0306FC2 -:0404AD00FEF516E35F -:0404AE00667020EF65 -:0404AF0000454303BE -:0404B000879367E5E2 -:0404B1004388EAC7CB -:0404B20000531713C9 -:0404B3004641074176 -:0404B4000593953ADD -:0404B500C01AFF4426 -:0404B600709040EF13 -:0404B700879367E5DB -:0404B800439CEAC7B0 -:0404B900468543022F -:0404BA003C87C703B1 -:0404BB003C97C603A1 -:0404BC008F51062234 -:0404BD003CA7C6038F -:0404BE008E5906420B -:0404BF003CB7C7037C -:0404C0008E590762E8 -:0404C1000066973307 -:0404C20076938F514D -:0404C300C6030FF766 -:0404C40084233C87CA -:0404C50056933CD737 -:0404C600F693008722 -:0404C700C6030FF663 -:0404C80084A33C9736 -:0404C90056933CD733 -:0404CA00F69301079D -:0404CB00C6030FF65F -:0404CC0083613CA765 -:0404CD003CD7852370 -:0404CE003CB7C683EE -:0404CF003CE785A3DE -:0404D00067E5B785A0 -:0404D10011F7C783D5 -:0404D2001151EFCD08 -:0404D300C22267E5F5 -:0404D400C026C40674 -:0404D500EAC7A703C8 -:0404D600EAC78413DA -:0404D7004783C51979 -:0404D800E7933C0763 -:0404D90000230027D5 -:0404DA0064E53CF7A2 -:0404DB00FD04859304 -:0404DC004641853AD6 -:0404DD0066D040EFB6 -:0404DE0067E540008E -:0404DF0007078593F3 -:0404E00002040513FA -:0404E10040EF464161 -:0404E200470365B0B7 -:0404E30006233CC4EC -:0404E40047033C048A -:0404E50006A33CD45A -:0404E60047033C0488 -:0404E70007233CE4C7 -:0404E80047033C0486 -:0404E90007A33CF435 -:0404EA0047033C0484 -:0404EB0085133C44F5 -:0404EC00470DFD04B7 -:0404ED003CE40223C6 -:0404EE003C54470330 -:0404EF003C0402A324 -:0404F0003C6447031E -:0404F1003C040323A1 -:0404F2003C7447030C -:0404F3003C0403A31F -:0404F4003C844703FA -:0404F5003C0404239C -:0404F6003C944703E8 -:0404F7003C0404A31A -:0404F8003CA44703D6 -:0404F9003C04052397 -:0404FA003CB44703C4 -:0404FB003C0405A315 -:0404FC0040A24412C4 -:0404FD0067E54482E9 -:0404FE0007078593D4 -:0404FF00306F013128 -:04050000808226D0FF -:04050100C4061151CA -:04050200842AC22263 -:04050300851FF0EF71 -:0405040045014581E7 -:04050500939FF0EFE1 -:0405060005634789B9 -:04050700646502F431 -:04050800088404134C -:04050900460367E559 -:04050A00458303849E -:04050B00A5030374CD -:04050C00F0EFFB47CA -:04050D004503E8EFCB -:04050E00441203741C -:04050F00013140A2D4 -:04051000C96FF06F50 -:04051100441240A2AE -:0405120080820131B1 -:04051300C02611519C -:04051400450584AA6B -:04051500C222C40634 -:04051600979FF0EFCC -:04051700FDEFF0EF15 -:0405180045014581D3 -:04051900811FF0EF5F -:04051A00079364657A -:04051B00C503008490 -:04051C004785010707 -:04051D00008404133F -:04051E0000A03533D1 -:04051F004601050587 -:0405200000F49363ED -:04052100869346096E -:0405220036B3FFE409 -:04052300458100D03E -:04052400973FF0EF1E -:040525008963478916 -:04052600636502F413 -:04052700088303132F -:0405280000F4478311 -:04052900024347033F -:04052A000114450370 -:04052B00009035B354 -:04052C0046014681BD -:04052D00F0EF058660 -:04052E0067E5BAEFD4 -:04052F0008878313A3 -:0405300002434783B8 -:0405310004F40123AA -:040532003F2D8526AE -:0405330040A244128C -:0405340045014482B7 -:04053500F06F013131 -:0405360047898FBFA3 -:040537000EA7EA63BE -:04053800C422114187 -:04053900278364654B -:04053A00C606EB44C2 -:04053B00C703C2260A -:04053C00041300376D -:04053D00830DEB44FB -:04053E0006638B3D88 -:04053F0047510CA76D -:0405400002E5073396 -:04054100859365DD5C -:0405420084AADE4564 -:040543008513465185 -:04054400C03E00476E -:0405450040EF95BA34 -:040546004782359023 -:0405470000F4F513B4 -:04054800C483050A59 -:04054900F493000720 -:04054A008D45FC34AB -:04054B00802364E5C0 -:04054C00879300A7EA -:04054D00C7830084DC -:04054E00849301C7CA -:04054F00C3850084DC -:040550005783676501 -:040551004529FE47F3 -:0405520007C29BF54C -:04055300122383C12B -:040554001737FEF760 -:04055500202300025D -:04055600E0EF0EF7CD -:0405570017B7BA5FB9 -:04055800A783000273 -:0405590097130D07E0 -:04055A00406300C733 -:04055B00401C040735 -:04055C000037C7039A -:04055D00CB11831D1E -:04055E000007C703C8 -:04055F0067134505D4 -:0405600080230017DD -:04056100E0EF00E7E0 -:040562004018B79FE7 -:04056300478345295C -:040564009BF90007F8 -:0405650000F7002378 -:04056600478340186F -:04056700E79300070F -:040568000023002745 -:04056900E0EF00F7C8 -:04056A00C783B59FEF -:04056B00CF8101C477 -:04056C0057836765E5 -:04056D00E793FE47CB -:04056E00122300272D -:04056F001737FEF745 -:040570002023000242 -:0405710040B20EF78F -:040572004492442249 -:040573008082014140 -:04057400115180821F -:0405750067E5C02650 -:04057600C222C406D3 -:04057700C70384AA88 -:04057800E549081732 -:04057900879367E518 -:04057A0043D40087DF -:04057B00019C0437A4 -:04057C00CC04041394 -:04057D0002D454331D -:04057E0000A78683C9 -:04057F0067E5467175 -:040580001407879342 -:0405810002C686B375 -:04058200D78397B6CE -:04058300043300E756 -:04058400478902F4AD -:0405850000F71E63FA -:0405860001C9C7B729 -:0405870037F7879328 -:040588000087E363A2 -:04058900852644BDC2 -:04058A00637030EF7B -:04058B00A80D450D65 -:04058C0062F030EFFA -:04058D00C78367E5D4 -:04058E004721FE27DC -:04058F0000E78B6393 -:0405900088634741F4 -:04059100471100E727 -:040592009B63450121 -:04059300450500E733 -:04059400B537A801CE -:04059500051304C482 -:0405960035333FF5C5 -:0405970005090085CD -:0405980040A2441227 -:040599000131448266 -:04059A001ED0306FD0 -:04059B001763440995 -:04059C00453D008752 -:04059D00FAE48AE30F -:04059E00B77D4501DF -:04059F0030EF4501F3 -:0405A000450D5E1097 -:0405A100FC947EE365 -:0405A2008D05451569 -:0405A3000FF57513C8 -:0405A4004785BFC107 -:0405A50000A7F86350 -:0405A600C50367E53D -:0405A7001561FE27B5 -:0405A80000153513F2 -:0405A900053347E1EE -:0405AA0067E102F50E -:0405AB00E3C7879388 -:0405AC00306F953ED9 -:0405AD0067654B50E3 -:0405AE00EB872603AE -:0405AF0067E11101EE -:0405B000CC22CE0685 -:0405B1008793CA263C -:0405B20046836A070B -:0405B300829D0036EF -:0405B40017FDE299B4 -:0405B50040EFFBFD1B -:0405B60067E50500F0 -:0405B70000878693A0 -:0405B80000B6C58341 -:0405B9008493C23E27 -:0405BA0067E500876A -:0405BB00871382AA76 -:0405BC00C591EB8773 -:0405BD00C783431499 -:0405BE00F59300268B -:0405BF0005130037E9 -:0405C000C8160370E6 -:0405C10030EFC62E23 -:0405C200C02A1CD05F -:0405C3000380051399 -:0405C4001C3030EFC8 -:0405C5006605430282 -:0405C6000085169303 -:0405C700F006061321 -:0405C80056138EF147 -:0405C900E6B3006530 -:0405CA008A05006638 -:0405CB000513842A66 -:0405CC00C0360390A2 -:0405CD0000C484A33F -:0405CE0019B030EF41 -:0405CF000513C42A22 -:0405D00030EF03A065 -:0405D10067E51910B1 -:0405D200EB87871319 -:0405D30045B24310DA -:0405D4004703468211 -:0405D50042C20026F8 -:0405D6000027531394 -:0405D70000364703A0 -:0405D80016138B7DEE -:0405D900673300671D -:0405DA004609006668 -:0405DB0002C587636B -:0405DC0000B4C6039E -:0405DD000613E60912 -:0405DE00706318F03E -:0405DF00460502D6F5 -:0405E00000C59A6355 -:0405E1000017069366 -:0405E2001906B593AE -:0405E3000015C593A7 -:0405E400A80947819A -:0405E5000204771382 -:0405E600B593DB6589 -:0405E700C5930C8626 -:0405E800478500152E -:0405E90000B4C30394 -:0405EA006765666576 -:0405EB00F8060613F5 -:0405EC000887041365 -:0405ED002803186364 -:0405EE004C028363D5 -:0405EF004C058163D3 -:0405F0000006058379 -:0405F1005A634309FD -:0405F200002326B309 -:0405F30047110006A6 -:0405F40085A3460590 -:0405F500C03A00C444 -:0405F6000085131356 -:0405F700650547222D -:0405F800F0050513F2 -:0405F90000A37333B5 -:0405FA0000E3633384 -:0405FB0065E5471259 -:0405FC00008726034B -:0405FD0000160513CC -:0405FE0000D56D6354 -:0405FF00001685134A -:0406000000C5696365 -:04060100166340D06C -:04060200C6030066C5 -:040603000B63008401 -:04060400862346F60D -:04060500458306051E -:04060600C603019492 -:04060700966303747F -:0406080045830AC557 -:04060900C60301A47F -:04060A009063038472 -:04060B0045830AC554 -:04060C00C60301B46C -:04060D009A63039455 -:04060E00458308C553 -:04060F00C60301C459 -:04061000946303A448 -:04061100458308C550 -:04061200C60301D446 -:040613009E6303B42B -:04061400458306C54F -:04061500C6030144D3 -:0406160098630324BE -:04061700458306C54C -:04061800C6030154C0 -:0406190092630334B1 -:04061A00458306C549 -:04061B00C6030164AD -:04061C009C63034494 -:04061D00458304C548 -:04061E00C60301749A -:04061F009663035487 -:04062000458304C545 -:04062100C603018487 -:04062200906303647A -:04062300458304C542 -:04062400C603027493 -:040625009A6304547C -:04062600458302C541 -:04062700C603028480 -:04062800946304646F -:04062900458302C53E -:04062A00C6030334CC -:04062B009E630514B1 -:04062C00458300C53D -:04062D00C60303A459 -:04062E009863058444 -:04062F00458300C53A -:04063000C60303B446 -:040631008C6305943D -:04063200470200C5B6 -:0406330046034589AC -:0406340073630001EB -:04063500460900B7BB -:040636000FF6771331 -:040637004583C03AFD -:04063800C60302549F -:040639008D63043495 -:04063A00860302C56C -:04063B0045F100A4E1 -:04063C0002B60633C9 -:04063D00859365E557 -:04063E00962E1405DB -:04063F0005934A10C5 -:04064000821520D02F -:040641007FF67613B7 -:0406420000B61C637F -:04064300458947029C -:040644000001460368 -:0406450000B7736324 -:0406460077134609D7 -:04064700C03A0FF6B0 -:040648000264458380 -:040649000444C6039C -:04064A0002C58D63F5 -:04064B0000A486037E -:04064C00063345F13B -:04064D0065E502B6A7 -:04064E001405859377 -:04064F004A10962E89 -:040650001C100593E2 -:040651007613821585 -:040652001C637FF6B0 -:04065300470200B6A4 -:04065400460345898B -:0406550073630001CA -:04065600460900B79A -:040657000FF6771310 -:040658006665C03AD9 -:04065900EB46260343 -:04065A0001D4C5837F -:04065B00003646031C -:04065C008A3D820D44 -:04065D0000C58C63E5 -:04065E004589470281 -:04065F00000146034D -:0406600000B7736309 -:0406610077134609BC -:04066200C03A0FF695 -:04066300460367657E -:04066400CE41082754 -:0406650000A48383E7 -:0406660062E5467192 -:0406670002C383B394 -:0406680014028613DF -:040669000124C58320 -:04066A00C0834515EF -:04066B0093B20454EE -:04066C000103A603DD -:04066D0006338A7D49 -:04066E00063302B697 -:04066F00051302A6C7 -:040670000613064027 -:0406710046330326E3 -:04067200D50302A604 -:04067300853300E3E8 -:04067400159302A533 -:0406750081C101033B -:040676000542953272 -:040677009B238141FF -:04067800460100A493 -:040679000000866394 -:04067A000183A6034F -:04067B008A05820565 -:04067C00C436C61AA0 -:04067D0030EFC83E54 -:04067E008603095096 -:04067F0045F100A49D -:04068000063367E5F1 -:04068100829302B6A8 -:04068200C583140711 -:0406830092B201240A -:040684000162C50347 -:04068500325030EFD0 -:0406860089A34702FB -:0406870046A200A4E3 -:0406880047C24332F0 -:040689004705E31925 -:04068A004712C03A19 -:04068B000064A22342 -:04068C0000F48423CF -:04068D0000D724234B -:04068E000585A03D01 -:04068F0000B600238E -:04069000BB59C00290 -:04069100000284637C -:0406920022059B633F -:040693000006030357 -:04069400516353F16A -:040695004711227374 -:040696000006002337 -:04069700000485A333 -:040698009BE3C03AE6 -:0406990067E5D60536 -:04069A000887C60304 -:04069B0001E4C6832D -:04069C000CD61A63FB -:04069D0000144603FC -:04069E0001F4C6831A -:04069F000CD61463FE -:0406A00000244603E9 -:0406A1000204C68306 -:0406A2000AD61E63F3 -:0406A30000344603D6 -:0406A4000214C683F3 -:0406A5000AD61863F6 -:0406A60000644603A3 -:0406A7000244C683C0 -:0406A8000AD61263F9 -:0406A900656565E539 -:0406AA0085934615D9 -:0406AB00051302E54C -:0406AC0040EF09050D -:0406AD00E559596052 -:0406AE00656565E534 -:0406AF0085934619D0 -:0406B00005130335F6 -:0406B10040EF0955B8 -:0406B200ED2D5820B2 -:0406B30000444603B6 -:0406B4000224C683D3 -:0406B50006D61863EA -:0406B60000544603A3 -:0406B7000234C683C0 -:0406B80006D61263ED -:0406B9000074460380 -:0406BA000254C6839D -:0406BB0004D61C63E2 -:0406BC0001F44603FC -:0406BD0003D4C68319 -:0406BE0004D61663E5 -:0406BF0002044603E8 -:0406C00003E4C68306 -:0406C10004D61063E8 -:0406C20002144603D5 -:0406C30003F4C683F3 -:0406C40002D61A63DD -:0406C50002244603C2 -:0406C6000404C683DF -:0406C70002D61463E0 -:0406C80001E4460300 -:0406C90003C4C6831D -:0406CA0000D61E63D5 -:0406CB00036446037B -:0406CC000544C68398 -:0406CD0000D61863D8 -:0406CE000354460388 -:0406CF000534C683A5 -:0406D00000D60663E7 -:0406D100E3994782E0 -:0406D200C03E47855A -:0406D30002A4450335 -:0406D4000484C68351 -:0406D50000A6846394 -:0406D600219030EF50 -:0406D70002B4450321 -:0406D8000494C6833D -:0406D90000A6846390 -:0406DA006A8030EF13 -:0406DB0002C445030D -:0406DC0004A4C68329 -:0406DD0000A684638C -:0406DE006A2030EF6F -:0406DF0003044503C8 -:0406E00004E4C683E5 -:0406E1000314458336 -:0406E20000A6966375 -:0406E30004F4C683D2 -:0406E40000B6846375 -:0406E50065E030EFAD -:0406E6000294450332 -:0406E7000474C6834E -:0406E80000A6846381 -:0406E900AEFFF0EF81 -:0406EA0002F44503CE -:0406EB0004D4C683EA -:0406EC0000A684637D -:0406ED00A1FFF0EF8A -:0406EE0002D44503EA -:0406EF0004B4C68306 -:0406F00000A6846379 -:0406F1000BF030EFEB -:0406F20002E44503D6 -:0406F30004C4C683F2 -:0406F40000A6846375 -:0406F50013D030EFFF -:0406F60003C4458371 -:0406F70005A4C6830D -:0406F80003D44503DF -:0406F90000B696634E -:0406FA0005B4C683FA -:0406FB0000A684636E -:0406FC001A7030EF51 -:0406FD0065E566E564 -:0406FE000C668513EE -:0406FF008593461D7C -:0407000040EF06457B -:0407010066E5446005 -:040702008513C5098D -:0407030030EF0C6661 -:040704004603614007 -:04070500C683037430 -:04070600186305541B -:04070700460300D6CF -:04070800C68303841D -:04070900066305641A -:04070A00450300D6CD -:04070B00F0EF0234D5 -:04070C0066E5FD6F32 -:04070D000836C68361 -:04070E004503CE8150 -:04070F00C703039485 -:040710000763057402 -:04071100155100A7D7 -:040712008561056296 -:040713000D2030EF96 -:04071400859367E57D -:0407150085130887B9 -:04071600061301E4E1 -:0407170040EF04604B -:0407180040F241006A -:0407190067E54462EA -:04071A000807812328 -:04071B0044D245027D -:04071C008082610571 -:04071D000023137D25 -:04071E00C0020066AF -:04071F000023B3DD23 -:04072000BFE500062B -:0407210006C5C60340 -:0407220006E34505A0 -:040723000605B8A669 -:040724000FF6761343 -:0407250006C586235C -:04072600B6A61FE371 -:0407270045894702B7 -:040728000001460383 -:0407290000B773633F -:04072A0077134609F2 -:04072B00C03A0FF6CB -:04072C000113B69D62 -:04072D0067E5FC81FF -:04072E00D826DA22CD -:04072F0000878713A5 -:0407300000A7048397 -:040731006565467143 -:0407320002C4863344 -:040733001405069310 -:0407340001F7458301 -:0407350001274283D3 -:04073600008787931E -:04073700140503138F -:04073800D60396B29C -:04073900C40300C62F -:04073A00CC32014676 -:04073B000136C6833A -:04073C00CE36C002F3 -:04073D0002374683B6 -:04073E0000C74703A6 -:04073F00C43AD436AE -:040740000FD5F713C7 -:04074100C703EB15EA -:04074200C2990257FF -:04074300A005468542 -:04074400468D462276 -:0407450000D60B636C -:0407460046114522F1 -:0407470010C509636D -:0407480015E34689E6 -:040749000706FED5CC -:04074A0046A2B7D537 -:04074B0097330706D3 -:04074C00771300E639 -:04074D00C03A0FF7A8 -:04074E00FFF586939A -:04074F000FF6F69318 -:04075000C202470595 -:0407510002D7606308 -:04075200C603469103 -:04075300E363025703 -:0407540086930E5624 -:0407550086B3FFF276 -:0407560016B302C60E -:04075700F71300D7BD -:04075800C23A0FF69C -:040759000247C70389 -:04075A00C60246810C -:04075B00438DCA3AC6 -:04075C0000269513CB -:04075D000C759A631A -:04075E0000D7873306 -:04075F0002674703E3 -:04076000FFF7061386 -:0407610016338A3D84 -:04076200453200A676 -:0407630000E0373348 -:0407640000D7173370 -:04076500C6328E49C1 -:040766008F51460267 -:040767000FF77713FE -:040768000685C03A08 -:0407690095E34715B8 -:04076A004681FCE6E2 -:04076B00438DC802F0 -:04076C0000269513BB -:04076D000A75916315 -:04076E0000D78733F6 -:04076F0002B7470383 -:04077000FFF7061376 -:0407710016338A3D74 -:04077200454200A656 -:0407730000E0373338 -:0407740000D7173360 -:04077500C8328E49AF -:040776008F51461247 -:040777000FF77713EE -:040778000685C23AF6 -:0407790095E34719A4 -:04077A004771FCE6E1 -:04077B0002E48733DA -:04077C004F18971A61 -:04077D008B05D00216 -:04077E004722CB0142 -:04077F00C703C711D4 -:040780003713022702 -:04078100D03A001753 -:0407820001E7C703C1 -:040783001B63468529 -:04078400472204D72D -:040785004771CB11DC -:0407860002E48733CF -:04078700971A4691E6 -:0407880083754B58D2 -:0407890004D711631D -:04078A00C002C202E5 -:04078B000733A82D5B -:04078C00BDF502D7DE -:04078D00FFE28513EF -:04078E0002C5063367 -:04078F001633450DCB -:04079000771300C516 -:04079100BF310FF66F -:040792001533475282 -:04079300473200A742 -:04079400C63A8F4989 -:040795004752B7B957 -:0407960000A7153370 -:040797008F494742FD -:04079800B741C83A63 -:040799004F8CD3713D -:04079A008B636721E5 -:04079B00666330E57C -:04079C00069302B707 -:04079D0047111000F0 -:04079E0002D58D6390 -:04079F00470D66910B -:0407A00002D5896392 -:0407A10008000693B3 -:0407A20032D59A634F -:0407A30003C7C6833F -:0407A40007068F15A0 -:0407A5000FF77713C0 -:0407A6000737A82940 -:0407A7008663002045 -:0407A80007372EE5FC -:0407A900866304005F -:0407AA0066C12EE511 -:0407AB0097634719F0 -:0407AC0066E530D5F9 -:0407AD00FE26C6035B -:0407AE00156346A1E8 -:0407AF00040600D666 -:0407B000804104423E -:0407B1000147C683B3 -:0407B200CA324605FC -:0407B3000086F76362 -:0407B40040D406B374 -:0407B50082C106C235 -:0407B6004571CA3689 -:0407B70002A48533E0 -:0407B80040E286B3E2 -:0407B9004609D636E1 -:0407BA000367C3838B -:0407BB0095A674C1CA -:0407BC0013FD44D213 -:0407BD00007033B3E2 -:0407BE002303951A62 -:0407BF0003F60085B8 -:0407C000029284B36A -:0407C100015353137A -:0407C2000015B593D6 -:0407C30006B305F282 -:0407C400F49302D3D5 -:0407C50004D20FF457 -:0407C60002C6C6B3EE -:0407C70082C106C223 -:0407C800C683D236DC -:0407C900561203D7EA -:0407CA0001254403BE -:0407CB0002D706B398 -:0407CC0007428B1D38 -:0407CD00C60396B217 -:0407CE00033300D71A -:0407CF00F693025348 -:0407D000067A7FF630 -:0407D1000076663315 -:0407D2008DD106CEF1 -:0407D30047528ED922 -:0407D400C6038DC506 -:0407D50083B30137B2 -:0407D6007313028215 -:0407D7008A1D7FF305 -:0407D8008ED1063682 -:0407D900015546037D -:0407DA000547C50307 -:0407DB00064E8A1D1F -:0407DC001FF3F39381 -:0407DD00E5B303AECF -:0407DE00E33300758C -:0407DF00F593006529 -:0407E00002B30072EE -:0407E1005732008704 -:0407E2008ECD05AA09 -:0407E30002E282B3F9 -:0407E400897D5712A2 -:0407E50092BA051EA1 -:0407E6003FF2F29359 -:0407E70003E7C7035A -:0407E8000056E2B322 -:0407E900771346A29A -:0407EA00075A03F7B0 -:0407EB008F5506F62A -:0407EC0001C146837E -:0407ED00C6038F515F -:0407EE00959303F7E5 -:0407EF0046E200B628 -:0407F0008A3D8F4D62 -:0407F1007FF6F69306 -:0407F20000D7643395 -:0407F3000407C683AE -:0407F400C5838D51DB -:0407F5008A9D0207D0 -:0407F6008D55069285 -:0407F700C70346B23C -:0407F80089FD05373B -:0407F90000C696138D -:0407FA008231468280 -:0407FB008AFD05E688 -:0407FC008ED106D2C2 -:0407FD000217C60316 -:0407FE0007328B052E -:0407FF0017938A05BD -:04080000E63301E6F4 -:040801008E5D00B652 -:040802008F49578241 -:040803008000053735 -:04080400FFF54513A4 -:040805008E6907FEF3 -:0408060047C28E5DFA -:040807000FF37693E2 -:04080800008794933E -:0408090080A14792F1 -:04080A0003F7F79366 -:04080B008CDD07E297 -:04080C008CE957A27A -:04080D008CDD07FE79 -:04080E00A78367E570 -:04080F00C583EB872B -:04081000862300C774 -:04081100569300D723 -:04081200F6930083D6 -:04081300C5830FF694 -:0408140086A300D7E0 -:04081500569300D71F -:04081600F693010351 -:04081700C5830FF690 -:04081800872300E74B -:04081900C68300D7BB -:04081A00531300F77D -:04081B0087A301832B -:04081C00F6930067E8 -:04081D00C5830FF28E -:04081E008823010723 -:04081F00D69300D795 -:04082000F6930082C9 -:04082100C5830FF686 -:0408220088A301178F -:04082300D69300D791 -:04082400F693010244 -:04082500C5830FF682 -:0408260089230127FA -:04082700C68300D7AD -:04082800D29301372F -:0408290089A301821C -:04082A00769300576A -:04082B00C5830FF47E -:04082C008A230147D3 -:04082D00569300D707 -:04082E00F6930084B9 -:04082F00C5830FF678 -:040830008AA301573F -:04083100569300D703 -:04083200F693010434 -:04083300C5830FF674 -:040834008B230167AA -:04083500C68300D79F -:040836008061017765 -:0408370000878BA308 -:040838000FF77693AD -:040839000187C583EB -:04083A0000D78C2334 -:04083B000087569349 -:04083C000197C583D8 -:04083D0000D78CA3B1 -:04083E0001A7C683C5 -:04083F008D23836121 -:04084000C683000764 -:040841008DA301B7CB -:04084200771300E741 -:04084300C6830FF663 -:040844008E2301C737 -:04084500571300E75E -:04084600771300869E -:04084700C6830FF75E -:040848008EA301D7A3 -:04084900571300E75A -:04084A007713010619 -:04084B00C6830FF75A -:04084C008F2301E70E -:04084D00C70300E7F6 -:04084E00826101F7CB -:04084F0000C78FA3AC -:040850000FF4F71397 -:040851000207C68351 -:0408520002E7802316 -:040853000084D71333 -:040854000FF7771310 -:040855000217C6833D -:0408560002E780A392 -:040857000104D713AE -:040858000FF777130C -:040859000227C68329 -:04085A00812380E195 -:04085B00C70302E7E6 -:04085C0081A302373B -:04085D005452029758 -:04085E00011354C26C -:04085F00808203810F -:0408600003C7C68381 -:040861008F15471197 -:04086200C683B33165 -:04086300471503C76B -:04086400C683BFDDAB -:04086500471903C765 -:0408660077138F1560 -:04086700C6830FF73E -:0408680098E30367A7 -:0408690046E2D0068D -:04086A0006C216A10B -:04086B00CC3682C144 -:04086C0006B146F299 -:04086D0082C106C27C -:04086E00B9E5CE36E4 -:04086F00004006B788 -:04087000FEE3871606 -:04087100B1F5FCD50C -:0408720062E567E5EF -:040873001111470513 -:0408740006E78623EA -:0408750000828793E3 -:0408760043C4C82689 -:04087700CC06CA22BF -:040878000082841363 -:040879002E048C635A -:04087A000082A7034E -:04087B002E070863D9 -:04087C00A0EEC7B76C -:04087D00B0078793A6 -:04087E0002E7D7B303 -:04087F0000844703A7 -:04088000019C03379D -:04088100CC0303138E -:040882000293533357 -:040883002C070663D5 -:040884000297D4B350 -:0408850003B00513A4 -:0408860020EFC61A7F -:04088700C22A6B9086 -:040888000041478361 -:0408890003C0051390 -:04088A0020EFC43E59 -:04088B0047836A90A5 -:04088C00460301C45A -:04088D0062E500849C -:04088E000027971395 -:04088F00879367DD07 -:0408900097BAD30739 -:04089100A7034394E2 -:040892000793008246 -:0408930043320700E5 -:040894000793E219CB -:04089500763706901C -:040896006565000193 -:04089700E20606135C -:04089800051345C53A -:04089900C61AFD0579 -:04089A006DF030EFDE -:04089B00069343324B -:04089C0007933E8000 -:04089D0047290640A1 -:04089E0002D37633D8 -:04089F0045C5656581 -:0408A0000705051330 -:0408A10002F4D3B3D7 -:0408A20002F4F4B3B5 -:0408A300C61E879E48 -:0408A40002E65733DE -:0408A50000017637A1 -:0408A600E2C606138D -:0408A70056B3C0265E -:0408A80030EF02D358 -:0408A90045056A5047 -:0408AA0089AFF0EF33 -:0408AB0062E543B20D -:0408AC00458346A298 -:0408AD00A50300841B -:0408AE00861E008220 -:0408AF004AE030EFFC -:0408B000046357FD89 -:0408B100052322F504 -:0408B200078300A414 -:0408B300676500A4D1 -:0408B4000F2364E5C5 -:0408B500477110F780 -:0408B60002E787339B -:0408B700140486138C -:0408B800012446834E -:0408B900140484930C -:0408BA004B0C97321A -:0408BB0089FD461558 -:0408BC0002D585B329 -:0408BD0002C585B338 -:0408BE0006400613D7 -:0408BF0003258593F5 -:0408C00002C5C5B3F5 -:0408C10000E75603F3 -:0408C20002C686B331 -:0408C30005C295B61F -:0408C4001B2381C1B0 -:0408C500470300B431 -:0408C60066E501776B -:0408C70076138B7D9C -:0408C800CA090087D2 -:0408C9004503676517 -:0408CA004609FB07D9 -:0408CB0073634721EB -:0408CC00474100A6FA -:0408CD00FEE681239F -:0408CE00405047123D -:0408CF000454430387 -:0408D0000FF7771394 -:0408D10002B7073330 -:0408D200FE26C50336 -:0408D30057334681D0 -:0408D400064202C70F -:0408D50009638241F0 -:0408D60046F10003E4 -:0408D70002D787B30A -:0408D8004F9497A6FC -:0408D9008A85828505 -:0408DA0005944783B7 -:0408DB000FF7771389 -:0408DC00F8078793FF -:0408DD0087E107E2C6 -:0408DE0022E030EFF5 -:0408DF0004D44503F5 -:0408E000A52FF0EF61 -:0408E1000474450353 -:0408E200B0AFF0EFD4 -:0408E30000A40783E3 -:0408E4004583477190 -:0408E50087B30124B0 -:0408E60097A602E7E8 -:0408E7000167C503DD -:0408E800198030EF54 -:0408E900A68367E596 -:0408EA004783EB470E -:0408EB0009A300C499 -:0408EC00B71300A49A -:0408ED00C7830017A6 -:0408EE009BF900066C -:0408EF0080238FD9FA -:0408F000478300F644 -:0408F100470D00C4EB -:0408F20012F7656331 -:0408F300F46347095A -:0408F400470512E7BB -:0408F50000E7956320 -:0408F6000EA3478581 -:0408F700450300F4C1 -:0408F800F0EF01D448 -:0408F90047038F8F93 -:0408FA00196300C4BA -:0408FB000783100758 -:0408FC00477100A49C -:0408FD0002E787B3D4 -:0408FE00D78394BE4A -:0408FF00B79300C4E7 -:04090000C7932BD797 -:04090100863E001717 -:04090200268367657C -:040903008B8DEAC727 -:04090400C703078E90 -:0409050006163C1680 -:040906008FD99B1DCD -:040907003CF680A397 -:040908003C16C7834F -:04090900F9F7F79370 -:04090A0080A38FD166 -:04090B00F0EF3CF6D7 -:04090C004703885FB6 -:04090D0047890414FE -:04090E0000E44503B9 -:04090F0007634581B4 -:04091000458300F724 -:040911008D8900F4D8 -:040912000015B59384 -:04091300C17FE0EFD1 -:0409140007B7405889 -:040915008793019C27 -:04091600D7B3CC0780 -:04091700570302E799 -:04091800460301642D -:04091900468D00D433 -:04091A0002E787B3B6 -:04091B0000C44703CA -:04091C0087B3070591 -:04091D00470302E7A3 -:04091E00070500E4E5 -:04091F0002E787B3B1 -:040920002A236765BA -:040921001E63FAF760 -:04092200838508D6EB -:04092300FAF72A2392 -:04092400FB472683E4 -:04092500051107377A -:04092600F4070713B8 -:040927006B63478532 -:04092800773700D746 -:040929000713047834 -:04092A0047818BF77F -:04092B0000D774631A -:04092C0001044783F8 -:04092D000504470373 -:04092E0004144583E5 -:04092F004703E7098A -:040930000763010454 -:04093100082306F79A -:04093200445200F437 -:0409330044C240E298 -:040934000171852E9A -:04093500F79FE06FD9 -:04093600BB1D078658 -:04093700631164855F -:040938007704849329 -:04093900D54303138C -:04093A004791B335F9 -:04093B0000F405239C -:04093C004711BBE9BB -:04093D000EA3B5C58B -:04093E00B5D500E447 -:04093F0000D4478316 -:0409400000E4468306 -:0409410017F546015F -:040942000017B79350 -:0409430086938F9573 -:0409440037930017CE -:04094500C79300371D -:0409460097B6001749 -:040947000FF7F7931C -:040948004585B5E547 -:04094900F6B616E305 -:04094A00D7B3078A8E -:04094B00BFB902D757 -:04094C0082634789F2 -:04094D00450302F567 -:04094E00C19101143E -:04094F00478345890C -:04095000470300F465 -:0409510044520424E4 -:0409520044C240E279 -:040953004601468192 -:04095400E06F0171DE -:0409550040E2B12F9C -:0409560044C2445201 -:040957008082017128 -:04095800C026115153 -:04095900C50364E589 -:04095A004581FB34A4 -:04095B00C406C222EA -:04095C002B0020EF5D -:04095D00E121842AE6 -:04095E00FB34C6831D -:04095F00472967E5D8 -:0409600000D782A397 -:04096100C78367E5FC -:0409620085630CD7C6 -:04096300676500E7DD -:04096400FAF70CA3EF -:04096500C70367E578 -:04096600478100675E -:0409670067E5C70178 -:04096800FB97C783AF -:0409690007136765A4 -:04096A0097BAFE87B3 -:04096B008023453D63 -:04096C0020EF00D7A1 -:04096D0085220E00D1 -:04096E00441240A24D -:04096F00013144828C -:04097000115180821F -:0409710064E5C02653 -:04097200FB34C5038A -:04097300C406C222D2 -:040974000C2020EF44 -:04097500E51D842ACE -:04097600FB34C68305 -:0409770082A367E50B -:0409780067E500D758 -:040979000067C70349 -:04097A00C7014781E9 -:04097B00C78367E5E2 -:04097C006765024762 -:04097D00FE870713D7 -:04097E00453D97BAA2 -:04097F0000D78023FA -:04098000092020EF3B -:0409810040A28522E9 -:040982004482441255 -:04098300808201313C -:04098400C406115143 -:04098500C026C222A4 -:040986000002143720 -:04098700202347C51D -:0409880067E50EF41D -:04098900EB87A783CE -:04098A000E04202314 -:04098B00C703650930 -:04098C00862300C7F7 -:04098D00C703000795 -:04098E0086A300D765 -:04098F00C703000793 -:04099000872300E7D2 -:04099100C703000791 -:0409920087A300F740 -:04099300C70300078F -:040994008A2301476A -:04099500C70300078D -:040996008AA30157D8 -:04099700C70300078B -:040998008B23016745 -:04099900C703000789 -:04099A0005130177C9 -:04099B008BA37105B4 -:04099C00D0EF000791 -:04099D000713A8DFB5 -:04099E0067E51D10DC -:04099F00FEE79223BA -:0409A0001D1007938C -:0409A1000EF420230D -:0409A200E0EF45013C -:0409A3001537E51F00 -:0409A4000513000334 -:0409A500D0EFD405B6 -:0409A6002637A69FAB -:0409A70005B700068A -:0409A8000513019C96 -:0409A9000613040429 -:0409AA008593A80683 -:0409AB00D0EFCC05B8 -:0409AC0020EFEC5FED -:0409AD0020EF718046 -:0409AE00547915B0B3 -:0409AF0012050663C4 -:0409B00020EF4501EE -:0409B1000793211077 -:0409B20054750FF079 -:0409B30010F50E63CA -:0409B4005DB020EF23 -:0409B500E0EF450921 -:0409B60047CDABAFCF -:0409B70015635471FF -:0409B800E0EF10F567 -:0409B90020EFB2AFCA -:0409BA00C50965A066 -:0409BB00470567E5A0 -:0409BC0008E781A324 -:0409BD009ADFE0EFEE -:0409BE001D63842A07 -:0409BF0000EF0E0532 -:0409C00064E5017079 -:0409C1000084849397 -:0409C200061365DDD6 -:0409C30085930460B4 -:0409C4008513ECC5E6 -:0409C50030EF01E42A -:0409C60065DD159046 -:0409C7000613656549 -:0409C80085930360B0 -:0409C90005130C0501 -:0409CA0030EF0D05F8 -:0409CB0045811450FE -:0409CC0020EF453D96 -:0409CD0067E50EE0EC -:0409CE000057C50306 -:0409CF0020EF45814F -:0409D00067E50E20A9 -:0409D100EB07C683E7 -:0409D200450167E58F -:0409D300FED780A328 -:0409D400C70367E509 -:0409D50067E5EB17D0 -:0409D60000E783A310 -:0409D700A78367E5A6 -:0409D8008B0DEAC7D2 -:0409D900C603070E3C -:0409DA009A1D3C170F -:0409DB003CC780A3F2 -:0409DC003C17C603FB -:0409DD00F9F676139E -:0409DE003CC780A3EF -:0409DF003C07C60308 -:0409E00066138A7D93 -:0409E1008023060663 -:0409E200C6033CC745 -:0409E3009A613C17C2 -:0409E4000036661360 -:0409E5003CC780A3E8 -:0409E60000D03633D4 -:0409E7003C07C68380 -:0409E8008ED19AF919 -:0409E9003CD7802354 -:0409EA003C07C6837D -:0409EB008F559A9DED -:0409EC003CE7802341 -:0409ED003C17C703E9 -:0409EE00F80767138C -:0409EF003CE780A3BE -:0409F0003C27C703D6 -:0409F10081239B794A -:0409F20047893CE70E -:0409F30000F488A3E1 -:0409F400C7DFE0EF8A -:0409F500000217B72E -:0409F6000D07A783BF -:0409F70000E797136B -:0409F800000744634D -:0409F90076C000EFD5 -:0409FA0040A2852270 -:0409FB0044824412DC -:0409FC0080820131C3 -:0409FD00BFCD547D99 -:0409FE00C78367E55F -:0409FF000113FB17CE -:040A0000CE22FDC144 -:040A0100F7930785DB -:040A020007B20FF731 -:040A030083C107C2E2 -:040A04006465C83E1F -:040A0500FE445783D1 -:040A0600D00647428D -:040A07008FD9CC2691 -:040A08008FD96721FA -:040A0900FEF41223C2 -:040A0A000002173798 -:040A0B00C402C2025D -:040A0C000001763738 -:040A0D00202364E559 -:040A0E0006130EF7C6 -:040A0F0045C5D5C63E -:040A1000FF44851307 -:040A1100103030EF82 -:040A1200E0EF4501CB -:040A130067419ABFDE -:040A14004785177D7E -:040A15001737CA3A8B -:040A16002683000231 -:040A170046520D072F -:040A1800C6368EF15F -:040A1900CAE1EBE95A -:040A1A00869366E574 -:040A1B00D6030D06EB -:040A1C0045B2016678 -:040A1D00FE445783B9 -:040A1E0012B6166393 -:040A1F0016FD76F555 -:040A200012238FF519 -:040A21002023FEF49C -:040A220045010EF785 -:040A2300FE048A2320 -:040A2400965FE0EF0A -:040A2500000625376B -:040A2600A805051307 -:040A2700863FD0EF47 -:040A2800FE445783AE -:040A29006691474249 -:040A2A003E800513F2 -:040A2B008FD58FD9FB -:040A2C00FEF412239F -:040A2D000002173775 -:040A2E000EF720237C -:040A2F00742030EF10 -:040A3000768030EFAD -:040A310066E54785AA -:040A320002F51C634A -:040A3300EB86A603A5 -:040A3400008647836E -:040A350000964703DD -:040A36008F5D0722A7 -:040A370000A647834B -:040A38008F5D07C205 -:040A390000B6478339 -:040A3A008FD907E267 -:040A3B000107971305 -:040A3C00C23A8341F6 -:040A3D0000479713C4 -:040A3E00C43A8351E2 -:040A3F00FC07D2E3FB -:040A4000740030EF1F -:040A4100EF9D47924C -:040A42000001763702 -:040A4300D68606133A -:040A4400851345C50C -:040A450030EFFF444B -:040A46004501031053 -:040A47008D9FE0EFB0 -:040A4800FE4457030E -:040A490017FD77F12D -:040A4A0012238FF9EB -:040A4B001737FEF467 -:040A4C002023000261 -:040A4D0065090EF732 -:040A4E007105051316 -:040A4F00FC2FD0EFB9 -:040A5000BF1147B2D9 -:040A5100475247922F -:040A520000E79763BF -:040A530000017637F1 -:040A5400D786061328 -:040A55004712BF7510 -:040A5600660545A24A -:040A570006400793BB -:040A580056B3167DFE -:040A5900773302F7F6 -:040A5A009C6302F7A0 -:040A5B00763700C525 -:040A5C00061300017C -:040A5D0045C5D806AD -:040A5E00FF448513B9 -:040A5F007CA030EF58 -:040A60004622BF6902 -:040A6100851345C5EF -:040A62007633FF44A4 -:040A6300C03202F6A5 -:040A640057B346221C -:040A6500763702F6E8 -:040A66000613000172 -:040A670030EFD8C6CE -:040A6800BFA57A802C -:040A69000186D683A9 -:040A6A009BE3463292 -:040A6B0007D2F6C6F2 -:040A6C00122383D1FD -:040A6D005082FEF4C1 -:040A6E00202344728B -:040A6F0044E20EF758 -:040A70000113450128 -:040A7100808202413C -:040A7200C406115154 -:040A73007F0020EFF1 -:040A7400FF8FF0EF11 -:040A750040A267E54F -:040A76000AB7C503F3 -:040A7700E06F0131FA -:040A78000113A6FFC1 -:040A7900D406FD4161 -:040A7A00D026D2228E -:040A7B00F80FD0EFB1 -:040A7C00F0EF842AE9 -:040A7D0086AAC1FF85 -:040A7E00656564E561 -:040A7F001206C66332 -:040A800000017637C4 -:040A810005800713D2 -:040A82000613468190 -:040A830045C5DA0685 -:040A8400FD05051354 -:040A8500732030EFBB -:040A8600000175B73F -:040A870085934645C8 -:040A88008513DD05F0 -:040A890030EF07043F -:040A8A00450564803A -:040A8B00917FE0EF88 -:040A8C000007A53783 -:040A8D001205051336 -:040A8E00EC6FD0EF4A -:040A8F00EE6FD0EF47 -:040A900000A4551356 -:040A910012C00793F5 -:040A920002F507B3AF -:040A9300C2026465D2 -:040A9400C602C002D4 -:040A950000840413C2 -:040A96004799CC3E72 -:040A970017B7C43E8B -:040A9800A78300022E -:040A990066650D077A -:040A9A0097136565E4 -:040A9B00834101078B -:040A9C00FFF7C69307 -:040A9D0010E6282314 -:040A9E00073783E1B2 -:040A9F008F7500034C -:040AA0000FF7F593C4 -:040AA100AA2366E539 -:040AA2000C2310E62B -:040AA300853210B5D3 -:040AA40066E5863647 -:040AA5008793CF81E3 -:040AA600F793FFE5DE -:040AA700430D0FF7F5 -:040AA80000F376637E -:040AA90010C6C78329 -:040AAA0000B794639A -:040AAB0010052823E7 -:040AAC00862367E551 -:040AAD00A68310B656 -:040AAE00A4231087E6 -:040AAF00EAD910E789 -:040AB00047836765AC -:040AB10064E50847A9 -:040AB2004783C3F9BA -:040AB300C3E101C4D6 -:040AB400478366E529 -:040AB500C68300B440 -:040AB6008FD511F6D1 -:040AB700D0EFEBCDC4 -:040AB80047E2E68F9C -:040AB9000AF5656372 -:040ABA000793471245 -:040ABB00E0630630BE -:040ABC0067650AE779 -:040ABD00084746831D -:040ABE00470547851C -:040ABF0000F6876353 -:040AC000871347A2AF -:040AC1003733FFA721 -:040AC200478300E086 -:040AC30046A101C483 -:040AC400F79317FD90 -:040AC500EA630FF7DA -:040AC60066DD04F6EF -:040AC7008693078A81 -:040AC80097B6CDC64A -:040AC9008782439C41 -:040ACA00000176377A -:040ACB00DB460613ED -:040ACC00051345C504 -:040ACD0030EFFD0504 -:040ACE0075B7610097 -:040ACF004645000197 -:040AD0003CC5859309 -:040AD100070485137E -:040AD200698030EF18 -:040AD300E0EF450506 -:040AD400A001FF4F2F -:040AD50010062A23BA -:040AD60067E5B7A574 -:040AD700FE67C7836C -:040AD80000F037B340 -:040AD9008CA307895A -:040ADA004792FAF451 -:040ADB000785472222 -:040ADC000FF7F79386 -:040ADD004799C23E35 -:040ADE0000F70763B3 -:040ADF000017079362 -:040AE0000FF7F79382 -:040AE100D0EFC43E50 -:040AE2004785D9CF9C -:040AE3002B3DC03EA9 -:040AE400C78367E578 -:040AE500C82A11F713 -:040AE6004501C7817E -:040AE700754010EF57 -:040AE800FB94C78331 -:040AE90001C44703FA -:040AEA0000F70463AA -:040AEB00E711474286 -:040AEC00CB154702DD -:040AED0000B4470307 -:040AEE006765C71D54 -:040AEF000067470352 -:040AF000C315C03A30 -:040AF100071367651B -:040AF20097BAFE872A -:040AF3000007C50330 -:040AF400C70367E5E8 -:040AF500C0020057E4 -:040AF60000A70763EB -:040AF70082A3458110 -:040AF80010EF00A754 -:040AF900C70343F0FC -:040AFA004783FB949F -:040AFB0064E501C4E9 -:040AFC000884849353 -:040AFD0000E79E630D -:040AFE00C68367E55F -:040AFF004789FB0721 -:040B000016D7FA63A7 -:040B01000344C68360 -:040B020005244783FC -:040B030016F68463FB -:040B040066E567E556 -:040B0500FA06882341 -:040B0600080780A3B9 -:040B0700CA3E468D0F -:040B080000E6FD63A3 -:040B09000344C78357 -:040B0A009863468521 -:040B0B00069304D772 -:040B0C00F693FFA7B6 -:040B0D00E9630FF693 -:040B0E00468D2CD70D -:040B0F00A085450573 -:040B1000F31547850D -:040B1100C78367E54A -:040B1200B793EAB7F4 -:040B1300079100172F -:040B14004799BF1925 -:040B1500F00709E3F9 -:040B1600FB94C78302 -:040B1700479DB72916 -:040B180067E5B719BD -:040B1900FB87C7830C -:040B1A0000F037B3FD -:040B1B00BDE507A18C -:040B1C001AE34799F8 -:040B1D004785EE0713 -:040B1E004615B5FDC6 -:040B1F0028E67963E8 -:040B20009863460987 -:040B2100079300C76F -:040B2200F793FFA79F -:040B2300F0630FF775 -:040B240046D228F697 -:040B250045094789AE -:040B260008F680A3AA -:040B27000793468565 -:040B2800F793FFF749 -:040B290046210FF75B -:040B2A0002F6646308 -:040B2B000613665DEA -:040B2C00963ED246D9 -:040B2D000006460375 -:040B2E00C632078A3A -:040B2F000613665DE6 -:040B300097B2D006A2 -:040B31008782439CD8 -:040B32006665478528 -:040B3300FAF60823A3 -:040B340004D447831B -:040B350000E40E23A7 -:040B3600000405A30F -:040B3700458D47059C -:040B380000F77663E9 -:040B39008D9D4595B4 -:040B3A000FF5F5932B -:040B3B0020EFCE36A3 -:040B3C0020EF39C0AD -:040B3D00D0EF46C0EF -:040B3E0067E5F67FF2 -:040B3F000837C78329 -:040B4000C78146F231 -:040B410010EF8536F6 -:040B420067E57F30B4 -:040B4300FB07C58364 -:040B4400463247D21C -:040B45000817C503C5 -:040B4600173020EF55 -:040B470001C447831B -:040B48004645656554 -:040B490000279713D7 -:040B4A00879367DD49 -:040B4B0097BAD3077B -:040B4C000513438CBE -:040B4D002223FD055D -:040B4E0030EF000480 -:040B4F0075B74A60CC -:040B500065650001D6 -:040B510085934645FD -:040B52000513DC4566 -:040B530030EF070573 -:040B540045054920EA -:040B5500DEEFE0EF00 -:040B5600CF8947C23A -:040B5700C70367E584 -:040B580047A9FB2787 -:040B590000F7156329 -:040B5A0010EF453D16 -:040B5B00D0EF129035 -:040B5C00C202BB4FC7 -:040B5D000234C70394 -:040B5E0004144783B1 -:040B5F0002F7056331 -:040B60000114450334 -:040B61004701478180 -:040B62004601468181 -:040B6300D0EF458109 -:040B6400C503AD7F99 -:040B6500E0EF023487 -:040B6600C783EB6FE7 -:040B6700222302340F -:040B680000A30004E2 -:040B6900C58304F448 -:040B6A004789023481 -:040B6B0002F58663A6 -:040B6C000244C70375 -:040B6D000424478392 -:040B6E0002E78063B7 -:040B6F000114450325 -:040B70004589C19161 -:040B710000F44783C2 -:040B72004601468171 -:040B7300A99FD0EF77 -:040B74000244C783ED -:040B750004F4012360 -:040B76000344C783EA -:040B77000524470307 -:040B780000F704631B -:040B790004F4092354 -:040B7A0066E567E5E0 -:040B7B00EB07C703BA -:040B7C00FE16C58319 -:040B7D00C78367E5DE -:040B7E006665EB17A6 -:040B7F0000E5966394 -:040B80000076458333 -:040B810004F585638F -:040B8200FEE680A368 -:040B8300A68366E5FA -:040B840003A3EAC617 -:040B8500363300F60D -:040B8600C70300E0C1 -:040B87008B8D3C0610 -:040B88009B79078EC0 -:040B890080238F51E5 -:040B8A00C7033CE67B -:040B8B009B1D3C066C -:040B8C0080238FD95A -:040B8D0067E53CF6E6 -:040B8E0011F7C78311 -:040B8F0067E5CB89C2 -:040B90001007A8237F -:040B9100376010EFCA -:040B920010EF450516 -:040B930047834A60EA -:040B9400CBB901C414 -:040B9500C50367E548 -:040B9600E0EFFB078A -:040B9700478985DF26 -:040B980008F50E63EB -:040B9900016347911C -:040B9A00478502F594 -:040B9B0002F51E63DE -:040B9C0000B44783D7 -:040B9D00E0EFCB9525 -:040B9E00A03DE3DFB4 -:040B9F00B5B1478D18 -:040BA000B5A147951F -:040BA100B59147893A -:040BA20000B44783D1 -:040BA3006765C39D22 -:040BA400FE4757832E -:040BA5000027E793AB -:040BA600FEF7122321 -:040BA70000021737FA -:040BA8000EF7202301 -:040BA900B25FF0EF58 -:040BAA0012C005135D -:040BAB00A52FD0EFB3 -:040BAC002223B67DCD -:040BAD0020EF000431 -:040BAE0047832A80CF -:040BAF00656501C4B3 -:040BB000971346450C -:040BB10067DD0027D5 -:040BB200D30787934B -:040BB300438C97BA1E -:040BB400FD05051323 -:040BB50030C030EF2D -:040BB600000175B70E -:040BB70046456565E5 -:040BB800DC45859300 -:040BB9000705051314 -:040BBA002F8030EF69 -:040BBB00E0EF45051D -:040BBC00D0EFC54F62 -:040BBD00C402A30FBC -:040BBE00B77DC2023B -:040BBF0000B44783B4 -:040BC000F0EFD7C5B6 -:040BC100B74DAC6F11 -:040BC200E4E347958C -:040BC3004689D8E7A0 -:040BC400B3714501C3 -:040BC500656565DD20 -:040BC60006131151B0 -:040BC70085930460AE -:040BC8000513ECC560 -:040BC900C4060885D1 -:040BCA00146030EF94 -:040BCB00656565E116 -:040BCC0047C0061305 -:040BCD00E7C5859360 -:040BCE0014050513F2 -:040BCF00132030EFD0 -:040BD00067E540A2F3 -:040BD1008123470530 -:040BD200450108E7EA -:040BD30080820131EA -:040BD4000113675D45 -:040BD5000713FDC144 -:040BD600D0060547F9 -:040BD700CC26CE2238 -:040BD8004781C0028F -:040BD9006465C43A51 -:040BDA00000175B7EA -:040BDB008593464573 -:040BDC0005130F8569 -:040BDD00C23EFBC455 -:040BDE00268030EF4E -:040BDF00656547926F -:040BE00097134645DC -:040BE100C83E0027E3 -:040BE200051347A20E -:040BE30064E5FF4581 -:040BE400430C973EE9 -:040BE50024C030EF09 -:040BE600EAC4A68334 -:040BE700C7034505F6 -:040BE80067133C064D -:040BE900802300471E -:040BEA00E0EF3CE616 -:040BEB0047C2A4AFAA -:040BEC00C2224601DA -:040BED001737C626CA -:040BEE0025030002D9 -:040BEF0066C10D07C7 -:040BF00075B316FDC6 -:040BF100646500D562 -:040BF20010B42823F0 -:040BF3000D072703C0 -:040BF400000306B73D -:040BF500471364E559 -:040BF6008F75FFF701 -:040BF70010E4AA2339 -:040BF8004702CD8D56 -:040BF90002E58B6323 -:040BFA00931366E506 -:040BFB008713001745 -:040BFC00EE3D0D06B7 -:040BFD004792C03E1D -:040BFE0075B7971A16 -:040BFF0010230001BE -:040C0000464500A7BE -:040C010010058593C2 -:040C0200FBC7851394 -:040C03001D4030EF71 -:040C0400E0EF4505D3 -:040C050046059E2FD3 -:040C06006765478255 -:040C070010872583AA -:040C08001144A6836A -:040C0900E19D84BA2B -:040C0A00916367414A -:040C0B00E7BD02E659 -:040C0C00656565DDD8 -:040C0D000360061367 -:040C0E000C058593B9 -:040C0F000D050513B7 -:040C100030EFC036CB -:040C1100468202C055 -:040C120047ED46095B -:040C1300110427039E -:040C140010D4A42331 -:040C1500C03ACA32E5 -:040C16000463470923 -:040C1700650904E681 -:040C1800710505134A -:040C1900D0EFC83E12 -:040C1A0047C2898FB5 -:040C1B00B7A14652E5 -:040C1C005703971AC9 -:040C1D00460900077D -:040C1E00FAE581E38F -:040C1F004792C03EFA -:040C2000000175B7A3 -:040C2100859346452C -:040C220085131085A1 -:040C230030EFFBC7EC -:040C2400450515204D -:040C2500960FE0EF57 -:040C2600BFBD460107 -:040C2700460917F96A -:040C28000785B77510 -:040C290050E34769E4 -:040C2A00453DECF761 -:040C2B005E6010EF08 -:040C2C00A70347B221 -:040C2D004783EAC748 -:040C2E009BED3C07F7 -:040C2F003CF700236B -:040C30004472508238 -:040C3100011344E285 -:040C32008082024179 -:040C33000793715D55 -:040C3400D43E01F1B8 -:040C3500879367E555 -:040C3600D63E0A1785 -:040C3700879367E553 -:040C3800D83E0A2771 -:040C3900879367E551 -:040C3A00DA3E0A375D -:040C3B00879367E54F -:040C3C00DC3E0A4749 -:040C3D0067E5DE3E4B -:040C3E000A57879337 -:040C3F0027B7C0BE55 -:040C400087930F0384 -:040C4100D03EF0179A -:040C420030300793B4 -:040C43001223C2A610 -:040C440064E502F170 -:040C45000323478DB1 -:040C4600879302F19D -:040C4700C7830084DB -:040C4800468501C715 -:040C4900C4A2C686F5 -:040C4A0000010FA3F3 -:040C4B00C63647253D -:040C4C000084849309 -:040C4D0000E78663D3 -:040C4E00F79307858C -:040C4F00C63E0FF797 -:040C5000A60367E5AB -:040C510067E511073B -:040C52000D07879370 -:040C530045E94681A8 -:040C54000007D703BB -:040C550000E61D6335 -:040C5600E46347E923 -:040C5700675D10D7EE -:040C58000026979348 -:040C5900F147071345 -:040C5A00439C97BA66 -:040C5B0007898782FC -:040C5C007EB681637C -:040C5D00BFE9068560 -:040C5E0066E547857B -:040C5F00FE46D70373 -:040C6000100747131F -:040C6100FEE69223F6 -:040C62002703676598 -:040C6300169311478C -:040C6400D36300F75F -:040C650047B200068C -:040C660000E71693FA -:040C67000006DE6342 -:040C680046836765F3 -:040C6900458508872E -:040C6A00E5634601F7 -:040C6B00068500D525 -:040C6C000FF6F61376 -:040C6D0008C704238D -:040C6E0045014729CC -:040C6F0000E78663B1 -:040C70000CA3676505 -:040C71004505FAF744 -:040C7200D70367E558 -:040C730066E5FE47ED -:040C740011F6C6832C -:040C750007429B4D4A -:040C76009223834101 -:040C7700EA81FEE729 -:040C7800C68366E5E4 -:040C7900068AFF26C2 -:040C7A0092238F55DD -:040C7B00D703FEE7B6 -:040C7C0040B6FE4739 -:040C7D0017B744263B -:040C7E00A0230002AD -:040C7F0044960EE7A2 -:040C800080826161AC -:040C8100BF9547894B -:040C8200BF85478D56 -:040C8300B7B5479129 -:040C8400B7A5479534 -:040C8500B79547993F -:040C8600B785479D4A -:040C8700BFB147A111 -:040C8800BFA147A51C -:040C890046836765D2 -:040C8A00B79311F714 -:040C8B000FA300169D -:040C8C00676510F791 -:040C8D00EAC7260389 -:040C8E000027971391 -:040C8F003C06478355 -:040C90008FD99BED70 -:040C91003CF600230A -:040C9200C70367E548 -:040C930067E50057BA -:040C9400FAE789A34F -:040C95004A06916317 -:040C9600763000EFC5 -:040C970010EF450510 -:040C980047A909203F -:040C990067E5BF1933 -:040C9A00EB87A7033A -:040C9B000007478384 -:040C9C000017468374 -:040C9D008EDD06A240 -:040C9E000027478361 -:040C9F0000374603D1 -:040CA0008EDD07C21C -:040CA10067B30662CD -:040CA200F69300D6EF -:040CA300CA367FF7D7 -:040CA40000B7D6932C -:040CA500C8368A853E -:040CA60000474403BC -:040CA7000057468329 -:040CA8008EC106A251 -:040CA9000067440399 -:040CAA008EC10442B1 -:040CAB000077440387 -:040CAC00470367652E -:040CAD00046211F7D5 -:040CAE00F7458C5525 -:040CAF001793CC3E8D -:040CB000646500C4B3 -:040CB100EAC4250369 -:040CB200061383B1F1 -:040CB30045813C003B -:040CB400D0EFC43E7B -:040CB5002503BCCF88 -:040CB6007637EAC4DF -:040CB700061300011F -:040CB80045C1F90633 -:040CB900663020EF92 -:040CBA002503676542 -:040CBB004683EAC4BE -:040CBC007637005730 -:040CBD000613000119 -:040CBE0045C1F9C66D -:040CBF0020EF0541DC -:040CC000C703649072 -:040CC100056300B413 -:040CC20025030E07F1 -:040CC3007637EAC4D2 -:040CC4000613000112 -:040CC50045C1FA0625 -:040CC600020505130B -:040CC70062B020EF08 -:040CC80000A48703FA -:040CC900250346F1C8 -:040CCA000733EAC43E -:040CCB0066E502D701 -:040CCC0014068693F1 -:040CCD000001763775 -:040CCE003C46061387 -:040CCF00051345C103 -:040CD00096BA0305C8 -:040CD100603020EF80 -:040CD200EAC4250348 -:040CD300000176376F -:040CD400FB06061302 -:040CD500051345C1FD -:040CD60020EF040502 -:040CD70047D25ED0D2 -:040CD800EAC4250342 -:040CD9000690071367 -:040CDA0000178693E6 -:040CDB00051347C2F4 -:040CDC0096B30505C1 -:040CDD0096BE00F6C9 -:040CDE00961347E240 -:040CDF004463014722 -:040CE00007130006F0 -:040CE10006370700CB -:040CE2008E7D000300 -:040CE30002A00793D1 -:040CE4000793E21977 -:040CE50045A2020022 -:040CE600A0EEC6377F -:040CE700B00606133A -:040CE80002B65633C7 -:040CE9000640059329 -:040CEA0002B672B329 -:040CEB0002B65633C4 -:040CEC0045C1C21626 -:040CED007637C03264 -:040CEE0006130001E8 -:040CEF0020EFFC06F0 -:040CF00025035890F0 -:040CF1007637EAC4A4 -:040CF20006130001E4 -:040CF30045C1FD8674 -:040CF40006050513D9 -:040CF500573020EF65 -:040CF600EAC4250324 -:040CF700763746A264 -:040CF80006130001DE -:040CF90045C1FE866D -:040CFA0007050513D2 -:040CFB0055B020EFE1 -:040CFC00EAC425031E -:040CFD000001763745 -:040CFE00FEC6061315 -:040CFF00051345C1D3 -:040D000020EF0805D3 -:040D01002503545022 -:040D02007637EAC492 -:040D030007130001D1 -:040D0400468105809F -:040D0500FF8606134C -:040D0600051345C1CB -:040D070020EF0905CB -:040D0800278352905B -:040D0900C703EAC46E -:040D0A0067133C0728 -:040D0B00802300271A -:040D0C00C7033CE7F6 -:040D0D0086233CC736 -:040D0E00C7033C07D4 -:040D0F0086A33CD7A4 -:040D1000C7033C07D2 -:040D110087233CE711 -:040D1200C7033C07D0 -:040D130087A33CF77F -:040D1400C7033C07CE -:040D1500477D3C4793 -:040D16003CE7822311 -:040D17003C57C683FC -:040D18003C0782A36F -:040D19003C67C683EA -:040D1A003C078323EC -:040D1B003C77C683D8 -:040D1C003C0783A36A -:040D1D003C87C683C6 -:040D1E003CE7842307 -:040D1F003C97C70333 -:040D20003C0784A365 -:040D21003CA7C70321 -:040D22003C078523E2 -:040D23003CB7C7030F -:040D24003C0785A360 -:040D25006765B3F952 -:040D2600FE475783AA -:040D27000107C79366 -:040D2800FEF712239D -:040D290067E5BB7D42 -:040D2A000887C7036C -:040D2B0046814605B2 -:040D2C0000E6656315 -:040D2D0076930705AD -:040D2E0067650FF7EF -:040D2F0011F747036E -:040D3000842364E5CF -:040D3100849308D7C8 -:040D3200E345F4841D -:040D3300646540D8DB -:040D3400EAC42503E5 -:040D35004641430CE4 -:040D3600509020EFCA -:040D370067E540D458 -:040D38000887C7035E -:040D3900EAC42403E1 -:040D3A00070A4A9CBE -:040D3B00438C97BA94 -:040D3C000513464114 -:040D3D0020EF02049D -:040D3E0047834EB0E9 -:040D3F00E7933C04F6 -:040D40000023002765 -:040D410047833CF4B4 -:040D420006233CC484 -:040D430047833C04A2 -:040D440006A33CD4F2 -:040D450047833C04A0 -:040D460007233CE45F -:040D470047833C049E -:040D480007A33CF4CD -:040D490047833C049C -:040D4A00478D3C4451 -:040D4B003CF402234F -:040D4C003C54478349 -:040D4D003C0402A3BD -:040D4E003C64478337 -:040D4F003C0403233A -:040D50003C74478325 -:040D51003C0403A3B8 -:040D52003C84478313 -:040D53003C04042335 -:040D54003C94478301 -:040D55003C0404A3B3 -:040D56003CA44783EF -:040D57003C04052330 -:040D58003CB44783DD -:040D59003C0405A3AE -:040D5A0000EFB9ED00 -:040D5B00411C3B50AC -:040D5C00CE9799E3B2 -:040D5D00447000EFEF -:040D5E0067E5B1EDA7 -:040D5F000887871367 -:040D6000001747032E -:040D61008793460529 -:040D62004681088737 -:040D630000E66563DE -:040D64007693070576 -:040D650067650FF7B8 -:040D660011F7470337 -:040D670080A364E51C -:040D6800849300D799 -:040D6900F371F484AA -:040D6A00646540D8A4 -:040D6B00EAC42503AE -:040D6C0008C725830C -:040D6D0020EF4641EC -:040D6E0040D442B07B -:040D6F00879367E51A -:040D7000C703088726 -:040D71002403001740 -:040D7200A783EAC4A5 -:040D7300BF3109C6BD -:040D74000793646518 -:040D7500475908844E -:040D76000067C783C8 -:040D770008840413D5 -:040D780004E6946396 -:040D790017FDC7811A -:040D7A000FF7F793E5 -:040D7B0000F403235A -:040D7C00C78367E5DD -:040D7D0064E511F721 -:040D7E00F4848493E2 -:040D7F0040D8F7BDA4 -:040D8000A50367E57B -:040D81004B4CEAC726 -:040D820020EF4641D7 -:040D830040D83D70A7 -:040D840000644503BF -:040D85009702535826 -:040D860065E567E5D3 -:040D8700EAC7A40310 -:040D880085934641C8 -:040D8900B5F1FF457C -:040D8A00873E46B9A1 -:040D8B0000F6F36318 -:040D8C0007054739D7 -:040D8D0000E4032358 -:040D8E0075B7BF6511 -:040D8F006565000195 -:040D900085934645BC -:040D91000513004501 -:040D920020EFFBC58E -:040D930063653970EB -:040D9400000175B72E -:040D9500FF43051300 -:040D960085934645B6 -:040D970020EF014503 -:040D98006465383026 -:040D9900EAC42683FF -:040D9A00C7834505C1 -:040D9B00E7933C0698 -:040D9C008023004769 -:040D9D00D0EF3CF661 -:040D9E006765B7FFCF -:040D9F00071363656E -:040DA00017B7140766 -:040DA100A6030002A3 -:040DA20067E50D07ED -:040DA3000D0787931E -:040DA4008241064240 -:040DA50045ED468151 -:040DA6000007D5036A -:040DA70000C506631A -:040DA800078906852C -:040DA900FEB69AE315 -:040DAA0000A4878397 -:040DAB0087B3467153 -:040DAC00461502C71F -:040DAD004BDC97BACA -:040DAE00646383F502 -:040DAF00461102F6F1 -:040DB00006D64363BD -:040DB100973E00D891 -:040DB200FDC747032F -:040DB30040D757339B -:040DB400C7158B05CF -:040DB50000D8078AD1 -:040DB600A78397BABE -:040DB7008023FE4750 -:040DB800270300D736 -:040DB90067E5EAC43C -:040DBA0010078FA3EC -:040DBB003C07478327 -:040DBC0000239BED88 -:040DBD0045013CF7B9 -:040DBE00C4BFD0EFEF -:040DBF007637B69D30 -:040DC00006850001A3 -:040DC100020606130D -:040DC200051345C50B -:040DC30020EFFF43DB -:040DC400450523902E -:040DC500AE1FD0EF9E -:040DC6000007A53746 -:040DC70012050513F9 -:040DC8009DFFC0EFDC -:040DC90047B1BF7DF2 -:040DCA00FAF68DE3C5 -:040DCB00051365099E -:040DCC00C0EF7105FE -:040DCD0067E59CDF5B -:040DCE00140787136C -:040DCF00B791636510 -:040DD00000A4878371 -:040DD100646546719E -:040DD20002C786339B -:040DD30014040713EA -:040DD40014040413EC -:040DD50046659732A6 -:040DD6000167470367 -:040DD7000AC6906355 -:040DD800C701467D8C -:040DD9007613177DF9 -:040DDA0046F10FF7D8 -:040DDB0002D786B302 -:040DDC0096A267650F -:040DDD0000C68B239E -:040DDE000123468522 -:040DDF00676508D765 -:040DE000128747032C -:040DE10000F71B6399 -:040DE20087B347711B -:040DE30097A202E7EA -:040DE4000167C703D9 -:040DE5009E2367E5FD -:040DE60067E510E7C6 -:040DE70011F7C70336 -:040DE800879367E5A1 -:040DE900E32DF0877F -:040DEA00676543DC1A -:040DEB00EAC725032B -:040DEC000A07A583CA -:040DED0020EF46416C -:040DEE00878322B025 -:040DEF0046F100A425 -:040DF00087B364E57C -:040DF100069302D78C -:040DF20076374650BA -:040DF30006130001E2 -:040DF40045C50306E8 -:040DF500FF4485131F -:040DF600C78397A276 -:040DF70087B3016756 -:040DF800069302D785 -:040DF900C6B3064037 -:040DFA0020EF02D70D -:040DFB00676515D043 -:040DFC00EAC724031B -:040DFD008593464153 -:040DFE00B9E5FF4410 -:040DFF00460146F96A -:040E0000F6E6E5E34A -:040E0100B7850705A5 -:040E0200117000EF7C -:040E030067E5411846 -:040E0400F087879359 -:040E0500A4F717E354 -:040E06004785BBB1B0 -:040E0700C43E4401A0 -:040E080077B76565EE -:040E09004645000159 -:040E0A000387859342 -:040E0B00FBC505130B -:040E0C001B1020EFA8 -:040E0D0006B346A939 -:040E0E00461502D4AF -:040E0F00873647A239 -:040E100000D6536352 -:040E110076374715D4 -:040E120064E5000192 -:040E13000613072596 -:040E140045C5048646 -:040E1500FF448513FE -:040E160020EFC83EC3 -:040E170066E50ED0AE -:040E1800EAC6A6037D -:040E19004703450541 -:040E1A0067133C0618 -:040E1B000023004769 -:040E1C00D0EF3CE6F1 -:040E1D0066E5983FAF -:040E1E00C43647C2CD -:040E1F0016FD66C195 -:040E2000000217377E -:040E21000D0727038F -:040E2200EF998F7540 -:040E230067E5CF119F -:040E24000D0786131D -:040E2500478145EDCF -:040E2600000655036A -:040E270002E502637B -:040E2800060907852B -:040E2900FEB79AE393 -:040E2A00051365093E -:040E2B00C83A71054B -:040E2C0084FFC0EF90 -:040E2D0087BA4742F7 -:040E2E00069367417F -:040E2F00B7C9FFF749 -:040E30008763462569 -:040E3100461100C79F -:040E32004625E01160 -:040E330006C7D163BA -:040E340007854729BE -:040E350002E7E7B336 -:040E360002E403339C -:040E3700979A6765BA -:040E3800FAF709A319 -:040E3900C7DFE0EF40 -:040E3A0076B7CD15A5 -:040E3B008693000199 -:040E3C007637F88687 -:040E3D000613000197 -:040E3E0045C53C4624 -:040E3F00FF448513D4 -:040E4000047020EF2B -:040E4100D0EF4505A4 -:040E4200A5378EFF43 -:040E4300051300078C -:040E4400C0EF1205E4 -:040E450067E5FECF90 -:040E460010078FA35F -:040E4700A70347A214 -:040E4800B3E9EAC759 -:040E4900000176B777 -:040E4A00F80686938D -:040E4B004669B7D964 -:040E4C0000C79663E2 -:040E4D000014441336 -:040E4E00B5D587BAD5 -:040E4F0095E34631B0 -:040E5000BFD1F6C751 -:040E5100C70367E587 -:040E520047B211F79B -:040E5300820707E328 -:040E540047A9BA09E7 -:040E5500835FF06F58 -:040E5600DBC10113E8 -:040E57002C23182808 -:040E580020232291A0 -:040E59002E2324110F -:040E5A00D0EF228132 -:040E5B0084AAFBCF9B -:040E5C00656020EFBE -:040E5D0004B3C4898D -:040E5E00440D40906F -:040E5F004611A01583 -:040E60000828182C1A -:040E610005D020EFA9 -:040E6200000175B75F -:040E6300859346111C -:040E6400082827C56E -:040E6500021020EF68 -:040E6600440DC93539 -:040E670006400493AA -:040E6800626020EFB5 -:040E69000650069396 -:040E6A0030D48D6390 -:040E6B002E96CC6390 -:040E6C008D634685C7 -:040E6D00069330D4E4 -:040E6E008E63064049 -:040E6F00069330D4E2 -:040E70008F63F34059 -:040E710075B730D44D -:040E72008593000163 -:040E730067E51F050B -:040E74008513464557 -:040E750020EFFF4724 -:040E7600450500B07E -:040E7700819FD0EF98 -:040E7800000F4537EB -:040E79002405051334 -:040E7A00F16FC0EF65 -:040E7B003004D96303 -:040E7C003080576308 -:040E7D0000017637C3 -:040E7E00061365658D -:040E7F0045C52E86B1 -:040E8000FBC5051396 -:040E8100742020EFCA -:040E8200A2E5147D54 -:040E830003C15783CD -:040E840003E10593EE -:040E850005134621EA -:040E86001E2301E145 -:040E870020EF00F167 -:040E880057837C20F0 -:040E890002A304615B -:040E8A001A23020124 -:040E8B00578300F198 -:040E8C001B2304819F -:040E8D00455200F1D9 -:040E8E001A4010EF07 -:040E8F0004A15783E0 -:040E90001A23D42A23 -:040E9100578300F192 -:040E92001B2304C159 -:040E9300455200F1D3 -:040E940018C010EF83 -:040E950004E157839A -:040E96001A23D62A1B -:040E9700578300F18C -:040E98001B23050112 -:040E9900455200F1CD -:040E9A00174010EFFE -:040E9B002503D82A29 -:040E9C00CA2A2341FA -:040E9D00168010EFBC -:040E9E00DA2A55A255 -:040E9F001E20079377 -:040EA000FE65871351 -:040EA1000CE7ED630A -:040EA20018284605C1 -:040EA300174010EFF5 -:040EA400066357D2B8 -:040EA500440D00F503 -:040EA600066004934B -:040EA7006465B711B6 -:040EA8000001763798 -:040EA90028460613BE -:040EAA00051345C522 -:040EAB0020EFFBC475 -:040EAC0056B2698051 -:040EAD00763767E548 -:040EAE0085130001A7 -:040EAF000613FF47E0 -:040EB00045C52946C5 -:040EB100682020EFA6 -:040EB200D0EF450533 -:040EB30057C2F2AF81 -:040EB400450154B2EE -:040EB500C422C23E53 -:040EB600E663478127 -:040EB70047920897BF -:040EB8001AA79A6378 -:040EB90001E1478389 -:040EBA0001C14683A9 -:040EBB0001D1470317 -:040EBC0077B7C3E958 -:040EBD008793000116 -:040EBE0045221BC7E7 -:040EBF0001E1061334 -:040EC0007637C0328F -:040EC1000613000113 -:040EC20045C52A06F2 -:040EC300FBC5051353 -:040EC400636020EF58 -:040EC50075B767E5B1 -:040EC600464500019C -:040EC7002F8585935B -:040EC800FF47851348 -:040EC90054A020EF22 -:040ECA006441450535 -:040ECB00EC8FD0EFE9 -:040ECC0014B7147DC6 -:040ECD00A7830002F5 -:040ECE0067650D0443 -:040ECF000D07568332 -:040ED0008D638FE1BE -:040ED100071306F607 -:040ED20057030D07AE -:040ED300076300278A -:040ED400650914F7A1 -:040ED500710505138B -:040ED600DA6FC0EF20 -:040ED700440DBFD92E -:040ED8000650049329 -:040ED9008333BD356D -:040EDA00071340F4C6 -:040EDB00746320001C -:040EDC000313006795 -:040EDD0017132000C7 -:040EDE008413010375 -:040EDF00C83E2007E2 -:040EE000851367E52A -:040EE1008341FA4708 -:040EE20056134681DC -:040EE300182C009433 -:040EE40020EFC61A1B -:040EE5004332346000 -:040EE600C50147C239 -:040EE70040A004B370 -:040EE800B613BBE999 -:040EE900859A0017CF -:040EEA0010EF1828C5 -:040EEB0087A2056075 -:040EEC0077B7B72DF0 -:040EED0087930001E6 -:040EEE00B7813CC7C5 -:040EEF005A2010EF86 -:040EF0005783676558 -:040EF1006509FE474A -:040EF200710505136E -:040EF3000027E7935A -:040EF400FEF71223D0 -:040EF5000EF4A02334 -:040EF600D26FC0EF08 -:040EF700000175B7CA -:040EF80046456565A1 -:040EF9002AC58593EE -:040EFA00FBC505131C -:040EFB005F4020EF45 -:040EFC0077B7440D73 -:040EFD0085930001D8 -:040EFE0067E52B87F2 -:040EFF0085134645CC -:040F000020EFFF4798 -:040F010045055DE065 -:040F0200DECFD0EF7F -:040F03001834563216 -:040F040045054581D9 -:040F0500D44FD0EF06 -:040F060013E384AAC3 -:040F070066E5D805BE -:040F0800000175B7B8 -:040F0900FBC685138B -:040F0A008593464540 -:040F0B0020EF2C8522 -:040F0C0077375B20B8 -:040F0D0067E5000193 -:040F0E002B87059395 -:040F0F0085134645BB -:040F100020EFFF4788 -:040F1100450559E059 -:040F1200DACFD0EF73 -:040F130055B256423B -:040F140086BA183849 -:040F1500D0EF4501D3 -:040F160084AAC60FD4 -:040F1700D40512E308 -:040F1800366020EF30 -:040F190075B766E55D -:040F1A004645000147 -:040F1B0030C58593C5 -:040F1C00FBC6851378 -:040F1D003FA020EFE2 -:040F1E0075B767E557 -:040F1F004645000142 -:040F20002D85859303 -:040F2100FF478513EE -:040F2200558020EFE7 -:040F2300D0EF4505C1 -:040F2400A001D66FE3 -:040F25000493440DE0 -:040F2600B319067085 -:040F27000493440DDE -:040F2800B9FD068089 -:040F290006700693B5 -:040F2A0004D4816307 -:040F2B0004D4C463C3 -:040F2C0006800693A2 -:040F2D00D0D499E3A0 -:040F2E00000175B792 -:040F2F00244585933D -:040F300075B7B339A5 -:040F310085930001A3 -:040F3200B3112105D1 -:040F3300000175B78D -:040F3400200585937C -:040F350075B7B9EDE6 -:040F3600859300019E -:040F3700B9C526C54D -:040F3800000175B788 -:040F390025858593F2 -:040F3A0075B7B1DDF9 -:040F3B008593000199 -:040F3C00B9F12305DF -:040F3D00000175B783 -:040F3E002205859370 -:040F3F002975B9C98E -:040F400024012083E5 -:040F410023C12403A1 -:040F42002381248360 -:040F43000113557DC4 -:040F44008082244142 -:040F4500C70367E592 -:040F460046F111E778 -:040F4700842367E5B3 -:040F4800073312E772 -:040F490067E502D77F -:040F4A00140787936E -:040F4B00D68397BAF8 -:040F4C00676500E7EE -:040F4D0012D7132381 -:040F4E0066E54B9871 -:040F4F0096238B7DDD -:040F5000C68312E65C -:040F51006765014788 -:040F520012D7172378 -:040F53000127C68329 -:040F54001D2367658D -:040F5500479810D7D2 -:040F5600835566E574 -:040F570012E69223E9 -:040F58000157C683F4 -:040F59001023676595 -:040F5A00C68312D761 -:040F5B00676501378E -:040F5C0012D7152370 -:040F5D0000C7D68370 -:040F5E00112367658F -:040F5F00C70312D7DB -:040F600067E50167D9 -:040F610010E79E23D4 -:040F620067658082BD -:040F63001267568338 -:040F6400111167659B -:040F650012C75283DA -:040F6600CC226765CD -:040F670012E7540336 -:040F6800470367656F -:040F6900CA2611A7DC -:040F6A00C23A67E53B -:040F6B00570367655C -:040F6C00C7831247DE -:040F6D00636512871F -:040F6E006765C43AB5 -:040F6F00120757030B -:040F70000313C03E69 -:040F7100C63A140365 -:040F72004703676565 -:040F7300C83A12A7BF -:040F740054836765D6 -:040F75006765122773 -:040F760011C75583C7 -:040F77000713676590 -:040F780046030087A5 -:040F7900CE3D00B7B2 -:040F7A0000A70603C3 -:040F7B0006F61C63F7 -:040F7C00073347717F -:040F7D00971A02E6D7 -:040F7E0000E75383B2 -:040F7F0006D39063A2 -:040F800001072383BF -:040F81000FF2F793E1 -:040F820001F3F393F1 -:040F830004F3986378 -:040F8400014743835B -:040F850004839463EA -:040F86000127438379 -:040F87009F6347928B -:040F8800238302F3CA -:040F890047A20087F4 -:040F8A000153D393A9 -:040F8B0002F3986372 -:040F8C000157438343 -:040F8D00936347B271 -:040F8E00438302F3A4 -:040F8F0047C201371D -:040F900000F39E6369 -:040F910000C757033B -:040F920000971A6347 -:040F93000633477169 -:040F9400961A02E6C1 -:040F950001664703A7 -:040F960000B7066337 -:040F9700460567653F -:040F980008C7012362 -:040F990047714782D3 -:040F9A0001F2F293DB -:040F9B0002E787B32F -:040F9C005783933EA6 -:040F9D000A2301031F -:040F9E001723008392 -:040F9F009B8100D35F -:040FA0000057E2B361 -:040FA10000531823BE -:040FA2000923479246 -:040FA30047A200F36E -:040FA4000057971348 -:040FA50000A35783CB -:040FA6008FD98BFD57 -:040FA70000F315231B -:040FA800676547B280 -:040FA90011C7071352 -:040FAA0000F30AA3A3 -:040FAB00162347C200 -:040FAC000B23009380 -:040FAD0009A300B3E1 -:040FAE0067E500F300 -:040FAF0002E51663DE -:040FB000465006930E -:040FB10002D585B32D -:040FB200064006935C -:040FB300000176378C -:040FB4000306061317 -:040FB50002D5C6B3E8 -:040FB60044D244627B -:040FB700851345C594 -:040FB8000171FF477D -:040FB9002620206F5F -:040FBA00071367654D -:040FBB00176312673F -:040FBC00763700E59F -:040FBD000613000116 -:040FBE00BFF9F9C6B8 -:040FBF000713676548 -:040FC0001D6312C7D4 -:040FC100270300E51D -:040FC200469501034C -:040FC300000186376C -:040FC40006B38B7D68 -:040FC500061302D736 -:040FC600BF7DDDC648 -:040FC7000005568348 -:040FC8000693BFC904 -:040FC90006B3271034 -:040FCA00079302D5B2 -:040FCB00763706402F -:040FCC006565000156 -:040FCD003C86061345 -:040FCE00051345C5FD -:040FCF00C6B3FF4561 -:040FD000206F02F696 -:040FD100F06F20405D -:040FD2000505FDDF35 -:040FD30096B3468506 -:040FD400763700A6C6 -:040FD500656500014D -:040FD60036060613C2 -:040FD700051345C5F4 -:040FD800206FFF4542 -:040FD90046851E40EB -:040FDA0000A696B324 -:040FDB000001763764 -:040FDC00061365652E -:040FDD0045C536C60A -:040FDE00FF450513B3 -:040FDF001CA0206FC3 -:040FE000F805069377 -:040FE100763706E277 -:040FE2006565000140 -:040FE300061386E18A -:040FE40045C5DC061D -:040FE500FF450513AC -:040FE6001AE0206F7E -:040FE700763786AA29 -:040FE800656500013A -:040FE900F9C606132C -:040FEA00051345C5E1 -:040FEB00206FFF452F -:040FEC0086AA198038 -:040FED000001763752 -:040FEE00061365651C -:040FEF0045C536C6F8 -:040FF000FF450513A1 -:040FF1001820206F35 -:040FF200000F46B7EF -:040FF30024068693B7 -:040FF40002D50533EA -:040FF50087936789EE -:040FF6000713964700 -:040FF70036B73E804B -:040FF8008693006379 -:040FF9007637EA0657 -:040FFA0006130001D9 -:040FFB0045C53806AA -:040FFC0002F557B3F0 -:040FFD0002E7F7B35D -:040FFE0056B3472976 -:040FFF00656502D54D -:04100000FF45051390 -:0410010002E7D733F8 -:0410020013E0206F68 -:04100300467006939A -:0410040002D506B358 -:041005000640079307 -:041006000001863728 -:041007000613656502 -:0410080045C5DC8678 -:04100900FF45051387 -:04100A0002F6C6B371 -:04100B0011A0206FA1 -:04100C002710069310 -:04100D00053305059D -:04100E00069302D56E -:04100F0076370640EA -:0410100006130001C2 -:0410110045C53C860F -:0410120002D546B30A -:0410130005136565F7 -:04101400206FFF4505 -:0410150086AA0F4058 -:041016000001763728 -:0410170006136565F2 -:0410180045C536068E -:04101900FF45051377 -:04101A000DE0206F56 -:04101B0001851693A2 -:04101C00763786E1BC -:04101D006565000104 -:04101E00061316D1CE -:04101F0045C5378606 -:04102000FF45051370 -:041021000C20206F10 -:04102200053345F15C -:0410230065E502B5C8 -:041024001405859397 -:0410250095AA4645FD -:0410260005136565E4 -:04102700206FFF45F2 -:0410280017931420E6 -:04102900655D0025DC -:04102A003A0505136B -:04102B00410C953EA1 -:04102C00464565656B -:04102D00FF45051363 -:04102E001280206F9D -:04102F000F6347A95B -:04103000179300F51D -:0410310065610025D0 -:041032004A05051353 -:04103300410C953E99 -:041034004645656563 -:04103500FF4505135B -:041036001080206F97 -:04103700000175B788 -:0410380038C585939F -:041039001141B7F5B5 -:04103A00C60645851C -:04103B002F0DC02A8B -:04103C00478367651A -:04103D0046821307CD -:04103E00130707137A -:04103F007737E7898F -:041040000713000191 -:0410410040B23B87F7 -:0410420000017637FC -:0410430006136565C6 -:0410440045C53C065C -:04104500FF4505134B -:04104600206F0141D5 -:0410470067E502C097 -:04104800F817C7834B -:0410490005136565C1 -:04104A00078ED545F3 -:04104B008082953ECC -:04104C000045478391 -:04104D0086B247110F -:04104E0008F76063DC -:04104F00078A675D48 -:041050003207071349 -:04105100439C97BA6B -:04105200451C878230 -:04105300C703464544 -:04105400491C00072C -:0410550097BA070A35 -:041056006565438CFD -:04105700FF45051339 -:041058000800206FFD -:041059002303451C0C -:04105A00C5030105C4 -:04105B008302000705 -:04105C000105230364 -:04105D0083024508BD -:04105E008A2367E595 -:04105F008082FE0786 -:04106000E619C58543 -:04106100000175B75E -:0410620085934645E7 -:04106300B7F1F805E4 -:0410640002065463C9 -:0410650000018637C9 -:0410660006136565A3 -:0410670045C5DE4657 -:04106800FF45051328 -:041069007A30106F5A -:04106A00D7F9455C11 -:04106B00A303439800 -:04106C0045030087B1 -:04106D00BF65000754 -:04106E0067E5808230 -:04106F0011F7C7832B -:041070001207876379 -:04107100C70367E565 -:041072004785FE1799 -:0410730012F71163FC -:04107400111166658B -:04107500D5460793C2 -:04107600CC06C826B6 -:041077004481CA22C4 -:041078004681470165 -:041079006665C03EAA -:04107A00F81646031B -:04107B00060E478294 -:04107C00420C963E4E -:04107D00A50367E57B -:04107E00C603EAC7F4 -:04107F00CE63000537 -:04108000769306C697 -:0410810046030FF71C -:0410820002233C45C4 -:0410830056933CD56F -:04108400F693008758 -:0410850046030FF619 -:0410860002A33C5530 -:0410870056933CD56B -:04108800F6930107D3 -:0410890046030FF615 -:04108A0083613C65DD -:04108B003CD503232A -:04108C003C754683E6 -:04108D003CE503A398 -:04108E000FF4F71351 -:04108F003C854683D3 -:041090003CE5042314 -:041091000084D713ED -:041092000FF77713CA -:041093003C954683BF -:041094003CE504A390 -:041095000104D71368 -:041096000FF77713C6 -:041097003CA54683AB -:041098000184D79365 -:041099003CE505230A -:04109A003CB5470317 -:04109B003CF505A378 -:04109C00445240E298 -:04109D00017144C2D7 -:04109E0043518082B8 -:04109F00026686332C -:0410A0000045A30361 -:0410A100005694134E -:0410A200C63A952293 -:0410A3009332C4368A -:0410A400000325839D -:0410A500C21A4641E4 -:0410A600749010EF43 -:0410A70046A2431208 -:0410A8004603473282 -:0410A9004585004336 -:0410AA0000D592B328 -:0410AB00C6161675DA -:0410AC000FF67613B2 -:0410AD00005767334E -:0410AE0002C5F9631B -:0410AF004601851A57 -:0410B000C43A458178 -:0410B100F0EFC23664 -:0410B20067E5E6BF49 -:0410B300EAC7A503E0 -:0410B40065E50441A9 -:0410B5008593464198 -:0410B6009522FF453B -:0410B700705010EF76 -:0410B800472242B2D7 -:0410B900E4B34692C4 -:0410BA000685005453 -:0410BB008082BDED85 -:0410BC00A70367E53A -:0410BD0067E51107CB -:0410BE000D07879300 -:0410BF0046C5462DAF -:0410C0000167D5836C -:0410C10006E590634D -:0410C2000FF676139C -:0410C3000163E119CB -:0410C40063E53807A1 -:0410C500F813C30356 -:0410C600CA22111118 -:0410C70007936465C2 -:0410C8001593D54463 -:0410C900C826003302 -:0410CA00CC0697AE0B -:0410CB00C78343880C -:0410CC004751004741 -:0410CD0087334154D0 -:0410CE00849302E71E -:0410CF00C026F8132C -:0410D000041342952E -:0410D10064E5D544B9 -:0410D20006939736B4 -:0410D300F693FF563B -:0410D400E7630FF6C9 -:0410D50062DD0AD2FC -:0410D6008293068A71 -:0410D7009696334274 -:0410D8008682429436 -:0410D9000789060578 -:0410DA00F8D61CE345 -:0410DB00BF79460192 -:0410DC00004747037F -:0410DD0017754685B8 -:0410DE000FF777137E -:0410DF0006E6E663D8 -:0410E000EAC4A303B8 -:0410E1003C83470302 -:0410E2003C93428376 -:0410E300E73302A24B -:0410E400428300E261 -:0410E50002C23CA364 -:0410E60000E2E2B38F -:0410E7003CB34703CC -:0410E80062B3076286 -:0410E90097330057E2 -:0410EA00471300F6B2 -:0410EB007733FFF761 -:0410EC0076930057A0 -:0410ED0042830FF734 -:0410EE0004233C8318 -:0410EF0056933CD305 -:0410F000F6930087EC -:0410F10042830FF631 -:0410F20004A33C9384 -:0410F30056933CD301 -:0410F400F693010767 -:0410F50042830FF62D -:0410F60083613CA333 -:0410F7003CD30523BE -:0410F8003CB346833C -:0410F9003CE305A32C -:0410FA001E634735F5 -:0410FB00E39900E68F -:0410FC000005478321 -:0410FD00F79317FD51 -:0410FE0095A20FF7B1 -:0410FF0000F5822353 -:04110000468147815C -:041101004703A8B147 -:041102000785000558 -:04110300E7B395A217 -:04110400B7ED02E75A -:041105000003096377 -:04110600137D47828C -:0411070000678023DA -:04110800D9BFF0EF6C -:04110900A703BFF188 -:04110A0067E5EAC4E7 -:04110B0010078FA397 -:04110C003C074783D2 -:04110D009BED450110 -:04110E003CF7002387 -:04110F0040E2445224 -:04111000017144C263 -:04111100EFFFC06FBD -:0411120000474783C8 -:041113008B63468D17 -:04111400469112D717 -:04111500FAD796E38C -:041116009782471C59 -:04111700468587AAD8 -:041118004782C63E06 -:0411190005136565F0 -:04111A00C703FBC547 -:04111B00C4360007CF -:04111C009722070E01 -:04111D000047430341 -:04111E0047514310E2 -:04111F0002E30733AD -:04112000004623035F -:04112100933A464572 -:04112200000325831E -:0411230010EFC21AED -:0411240046A255305A -:04112500431247B278 -:04112600863E85B6C6 -:04112700F0EF851A46 -:041128004782C93FF2 -:04112900EAC4A5036C -:04112A00C78365E52D -:04112B004641000732 -:04112C00FF45859363 -:04112D0097A2078EF0 -:04112E000047C7832C -:04112F0007C1079657 -:0411300010EF953EE9 -:04113100470251F030 -:04113200EAC4A783E1 -:041133004703460523 -:04113400C583000768 -:0411350046A23CC7CB -:04113600943A070ED2 -:041137000044470326 -:0411380000E6173383 -:041139000FF7761323 -:04113A003CC7862305 -:04113B0000875613C0 -:04113C000FF6761321 -:04113D003CD7C58353 -:04113E003CC786A381 -:04113F00010756133B -:041140000FF676131D -:041141003CE7C5833F -:041142003CC78723FC -:041143003CF7C5832D -:0411440001875613B6 -:041145003CC787A379 -:041146004312EA99CD -:041147004683461184 -:041148009D63004360 -:04114900268314C61F -:04114A008C6300C3EF -:04114B00C68314063D -:04114C00C6033C8713 -:04114D0006223C97A3 -:04114E00C6838E5571 -:04114F0006C23CA7F1 -:04115000C6838E556F -:0411510006E23CB7BF -:041152008F558ED156 -:041153000FF7769389 -:041154003C87C6030B -:041155003CD78423DC -:041156000087569325 -:041157000FF6F69306 -:041158003C97C603F7 -:041159003CD784A358 -:04115A0001075693A0 -:04115B000FF6F69302 -:04115C003CA7C603E3 -:04115D008523836102 -:04115E00C6833CD731 -:04115F0085A33CB771 -:04116000A2013CE7C5 -:04116100C7814B1CDB -:041162009782C23A74 -:041163004782471266 -:04116400C7834714E2 -:0411650007850007F3 -:0411660000379713A4 -:0411670000E4063367 -:041168008463420C4E -:04116900022300D588 -:04116A0097220006C2 -:04116B004702C31460 -:04116C0000F7002365 -:04116D004783B5B54A -:04116E00469100475F -:04116F00E4F6E2E3DD -:04117000078A66DDA7 -:0411710034C6869367 -:04117200439C97B64D -:04117300470C87821C -:041174004503433DAF -:04117500468300C7E6 -:04117600C78300D754 -:041177004703000525 -:041178001D6300E70C -:04117900F8630066B1 -:04117A0017FD00F667 -:04117B000FF7F71360 -:04117C0000E58023E7 -:04117D00FD6DB5311E -:04117E00BFDD873614 -:04117F0000E7F8632A -:04118000F693078556 -:0411810080230FF7C1 -:04118200BBDD00D5FC -:0411830086BAFD6DBE -:04118400470CBFDD78 -:041185005683453D0B -:04118600D78300C744 -:041187005703000505 -:041188001E6300E7FB -:04118900F76300A662 -:04118A0017FD00F657 -:04118B0001079713AE -:04118C00A0198341E2 -:04118D008736C2914E -:04118E0000E59023C5 -:04118F00F463B3D181 -:04119000078500E7E8 -:04119100FAEDB7E5D7 -:04119200B7FD47015D -:0411930045BD4754BB -:0411940047834298B3 -:0411950019630007D3 -:04119600C78100B657 -:04119700F79317FDB6 -:0411980000230FF72A -:04119900BB6900F737 -:04119A000046C683C2 -:04119B0000D7F56321 -:04119C00F69307853A -:04119D0000230FF725 -:04119E00B35900D76A -:04119F0084E3460D92 -:0411A0004452EAC605 -:0411A10044C240E222 -:0411A2000171450191 -:0411A300B69FC06FC4 -:0411A40047BD808241 -:0411A50018A7E46340 -:0411A600445257B7A1 -:0411A700EF41011300 -:0411A800355787939D -:0411A90057B7C03E36 -:0411AA0087930041E6 -:0411AB00C23E4417E5 -:0411AC0000F5379380 -:0411AD001081222368 -:0411AE001091202359 -:0411AF0000F1052323 -:0411B000010504131E -:0411B10010112423D2 -:0411B200044247B9F3 -:0411B300F16364E59B -:0411B40067950CA788 -:0411B50050078793C5 -:0411B600142365E5B4 -:0411B700462900F1D4 -:0411B80004A00793F5 -:0411B900FE85859397 -:0411BA0000D1051348 -:0411BB0000F105A397 -:0411BC000001062305 -:0411BD0017B010EF68 -:0411BE00C78367E597 -:0411BF0065E5FB9750 -:0411C00003600613AF -:0411C10000F10C230A -:0411C200C78367E593 -:0411C3008593FB27EE -:0411C40005130D05FD -:0411C5000CA3021164 -:0411C60067E500F1E8 -:0411C7000067C78373 -:0411C80000F10BA384 -:0411C900C78367E58C -:0411CA000D23FF27CB -:0411CB0067E500F1E3 -:0411CC000847C78386 -:0411CD0000F10DA37D -:0411CE00C78367E587 -:0411CF000E23FE6786 -:0411D00067E500F1DE -:0411D100EAB7C7832F -:0411D20000F10EA377 -:0411D300C78367E582 -:0411D4000F23FB8763 -:0411D50067E500F1D9 -:0411D600EB07C783D9 -:0411D70000F10FA371 -:0411D800C78367E57D -:0411D9000023EB17ED -:0411DA0010EF02F11F -:0411DB00A503105008 -:0411DC000693FA0478 -:0411DD00860A057009 -:0411DE00B0EF85A247 -:0411DF002083BE3F6C -:0411E0002403108153 -:0411E1002483104112 -:0411E20001131001E4 -:0411E300808210C135 -:0411E40087936799ED -:0411E5001423800748 -:0411E60007B700F156 -:0411E7008793047C6A -:0411E800CC3E04678E -:0411E900C70367E5EC -:0411EA00EB191307E3 -:0411EB000001863742 -:0411EC00130785134D -:0411ED00E3460613BC -:0411EE0010EF45B504 -:0411EF0067E518D0C8 -:0411F00013078593C9 -:0411F1000513463567 -:0411F20010EF00B149 -:0411F30065E521701D -:0411F400046006137A -:0411F5000885859351 -:0411F60010EF086886 -:0411F70065E5095051 -:0411F80009E00613F1 -:0411F90014058593C1 -:0411FA0006210513B2 -:0411FB00083010EFB9 -:0411FC00FA04A50349 -:0411FD001000069345 -:0411FE0085A2860A36 -:0411FF00B61FB0EF78 -:04120000A503FD3D08 -:0412010066E5FA04A0 -:041202003DE00713B1 -:041203001DE68693CB -:0412040010040613B9 -:04120500B0EF85A21F -:04120600B795A21FD7 -:041207008082557D0F -:04120800882367E5EB -:0412090047BD1207C4 -:04120A0022A7E763CD -:04120B00EE4101139C -:04120C0010812A2300 -:04120D00079364657A -:04120E0025030105AE -:04120F00C22EFA04ED -:041210001000069331 -:0412110001079593A9 -:04121200C03E0810C2 -:0412130010112C2367 -:0412140010912823EA -:04121500BC9FB0EFDB -:0412160087AAC422BD -:0412170085B7E10DA9 -:0412180085930001B9 -:041219000808E2C51A -:04121A00EDFFB0EF45 -:04121B00176387AA24 -:04121C0047031E0561 -:04121D00CF1101A14B -:04121E000E63468590 -:04121F0020830ED743 -:041220002403118111 -:0412210024831141D0 -:04122200853E1101F3 -:0412230011C10113E1 -:04122400470380827A -:0412250015630181CB -:0412260046831C07D8 -:041227000713019117 -:041228009F6305506B -:0412290047031AE677 -:04122A00468301C135 -:04122B00072201B1E4 -:04122C0006938F5541 -:04122D0014E304A022 -:04122E004712FCD790 -:04122F0066E5F36914 -:0412300047810818D2 -:041231008693453922 -:0412320045A9FE8646 -:0412330000D7460397 -:0412340000C5666328 -:0412350000D7833328 -:0412360000C30023CE -:04123700070507851B -:04123800FEB796E384 -:041239000291478354 -:04123A0009236765B8 -:04123B004725FAF752 -:04123C0000F76663EE -:04123D000CA3676532 -:04123E00A029FAF7F2 -:04123F00028147835E -:04124000FEF77AE358 -:0412410002B14703AC -:04124200656567E592 -:0412430008E7822313 -:0412440002C1470399 -:04124500061367E540 -:04124600832303609B -:041247004703FEE774 -:0412480067E502D183 -:0412490003110593F5 -:04124A00EAE785A3A7 -:04124B0002E1470372 -:04124C00051367E53A -:04124D008C230D05DC -:04124E004703FAE771 -:04124F0067E502F15C -:04125000EAE788231E -:04125100030147034B -:0412520088A367E521 -:041253004703EAE77C -:0412540067E50271D7 -:0412550000E7832308 -:04125600C70367E57E -:0412570067E5FE87C2 -:0412580000E782A386 -:0412590002A14703A4 -:04125A00892367E598 -:04125B0010EFFEE7AB -:04125C004781700056 -:04125D004483B729E6 -:04125E009363018114 -:04125F0046830E04B0 -:0412600007130191DE -:041261009D63058004 -:0412620056830CE6BD -:0412630007130281EA -:0412640096E30460A9 -:041265005403EEE65A -:04126600071302A1C7 -:0412670010E347C089 -:0412680067E5EEE464 -:041269004635C62A16 -:04126A0013078513CE -:04126B0001B1059335 -:04126C00031010EF6C -:04126D0047B247122B -:04126E00EC0713E393 -:04126F000613656598 -:04127000106C04609A -:0412710008850513D4 -:041272006A6010EFAF -:0412730063654782E6 -:0412740007A2470185 -:041275000313C03E61 -:0412760007931403C3 -:041277000613062034 -:04127800053310002A -:04127900C63A00670A -:04127A0008188E1DA5 -:04127B0005B3C23EB7 -:04127C004A6300F7CA -:04127D0010EF04C4A6 -:04127E0047926780AC -:04127F000485473269 -:041280008F1D943EEC -:04128100F493478219 -:0412820007130FF44B -:0412830085B3100718 -:0412840047A200F489 -:041285008341074258 -:04128600FA07A503BB -:0412870010000693BA -:0412880005A20810A3 -:041289000413C23A4E -:04128A00B0EFF004CD -:04128B0004429F3F3B -:04128C00804166E552 -:04128D00471287AAD3 -:04128E0014068313AC -:04128F00E40511E37E -:04129000FC51478145 -:041291008622A021F0 -:04129200626010EF97 -:04129300470567E5BF -:0412940008E78123C3 -:0412950057FDBF3909 -:041296008082853E8F -:04129700B5054785CD -:04129800BD31478994 -:04129900DE0101135E -:04129A002E230848AF -:04129B002C232011CF -:04129C002A23208160 -:04129D00C0EF2091ED -:04129E00C02AEB0F68 -:04129F0054A010EF58 -:0412A000ED294502ED -:0412A10085B7646544 -:0412A20046450001BC -:0412A300E1858593C9 -:0412A400FF440513EB -:0412A5005DA010EF49 -:0412A600C0EF450947 -:0412A700C222F5AFBB -:0412A800147D64410C -:0412A900000217B771 -:0412AA000D07A78302 -:0412AB00568367659A -:0412AC008FE10D07BA -:0412AD0004F6876359 -:0412AE000D0707130E -:0412AF0000275703BA -:0412B00002F71B63C3 -:0412B10085B7479224 -:0412B20046450001AC -:0412B300DF0585933B -:0412B400FF47851358 -:0412B50070C010EF06 -:0412B6000680051396 -:0412B70010EFC02A4A -:0412B80020834E80C1 -:0412B900240321C128 -:0412BA004502218147 -:0412BB002141248326 -:0412BC0022010113F7 -:0412BD0065098082BD -:0412BE00710505139E -:0412BF00E02FB0EF7D -:0412C0004792B75545 -:0412C100000185B7EC -:0412C2008593464585 -:0412C3008513DFC5EB -:0412C40010EFFF47E1 -:0412C50045096CE08B -:0412C600EDCFC0EFB9 -:0412C700C00244819C -:0412C8000104941376 -:0412C90020040413E6 -:0412CA0067E584252B -:0412CB004681472DE4 -:0412CC00002C86224A -:0412CD00FA47851344 -:0412CE003A0010EFE3 -:0412CF000533C5011D -:0412D000BF6940A012 -:0412D100000185B7DC -:0412D200E2C5859359 -:0412D300B0EF002850 -:0412D400E129BF9FAE -:0412D50001014703C9 -:0412D6004683EF1547 -:0412D70007130111E7 -:0412D8009963058091 -:0412D900468302E660 -:0412DA0047050121A2 -:0412DB0002D764636F -:0412DC00959366057B -:0412DD0095B2008442 -:0412DE004DE00613C6 -:0412DF0000E684633E -:0412E000057006137C -:0412E1008522085406 -:0412E200DD0FC0EF6D -:0412E3004782F92124 -:0412E400C03E07857C -:0412E50047410485F4 -:0412E600F8E494E3B1 -:0412E700453D4581BB -:0412E800C81FF0EF3C -:0412E900C78367E56B -:0412EA006765FB97A2 -:0412EB00FE87071360 -:0412EC00C50397BAE5 -:0412ED004581000730 -:0412EE0082A367E58B -:0412EF00F0EF00A775 -:0412F0004792C63F1C -:0412F1008637468274 -:0412F20006130001DE -:0412F30045C5E08687 -:0412F400FF47851318 -:0412F500572010EF7F -:0412F600B7094505EA -:0412F7000185571303 -:0412F80001851793C2 -:0412F90006B78FD9CC -:0412FA00171300FFC7 -:0412FB008F75008566 -:0412FC0067418FD9DE -:0412FD00F0070713DC -:0412FE008D79812144 -:0412FF0080828D5DFF -:0413000017B7C6094C -:04130100A023000223 -:041302004701000798 -:04130300FFC5F69399 -:041304000002163796 -:0413050000E507B345 -:0413060002D7646343 -:04130700470D898D78 -:0413080002E5946303 -:041309000007D68380 -:04130A00000217378F -:04130B0000D71223D2 -:04130C000027C7836C -:04130D0000F70223C0 -:04130E00000217B70B -:04130F0080824B8805 -:041310000711439CE2 -:04131100B7F9C25C0A -:04131200996347098B -:04131300D70300E517 -:0413140017B7000700 -:04131500922300021D -:04131600BFF900E734 -:041317009DE3470506 -:04131800C703FCE526 -:0413190017B70007FB -:04131A008223000228 -:04131B00B7E900E747 -:04131C00C222115187 -:04131D00000214377F -:04131E004601C0269E -:04131F00059384AA04 -:04132000051304A00D -:04132100C4060404F6 -:04132200915FB0EF38 -:04132300460185A654 -:0413240004040513A5 -:04132500943FB0EF52 -:0413260004A0059387 -:0413270004040513A2 -:04132800B0EF4605D7 -:0413290005138FBF5A -:04132A004412040461 -:04132B00448240A216 -:04132C0001314585C1 -:04132D00909FB06F6E -:04132E00C422114183 -:04132F00000214376D -:04133000C02AC226E7 -:04133100460184AE3F -:0413320004A005937B -:041333000404051396 -:04133400B0EFC6064A -:0413350047828CBFA0 -:041336000513460154 -:0413370085BE040467 -:041338008F7FB0EF04 -:041339000404051390 -:04133A0040B2442257 -:04133B00449285A6AD -:04133C000141460520 -:04133D008E3FB06FC0 -:04133E00C222115165 -:04133F00143344051A -:04134000741300A47E -:0413410085A20FF47E -:04134200C40645197F -:04134300FADFF0EFEE -:04134400441285A228 -:04134500451D40A260 -:04134600F06F013112 -:041347001151F9FF48 -:04134800C2220506B2 -:041349000FF5741315 -:04134A00450585A22E -:04134B00F0EFC406F5 -:04134C0085A2F8BFBF -:04134D0040A2441264 -:04134E00013145091B -:04134F00F7DFF06F65 -:0413500045151151DD -:04135100F0EFC406EF -:041352000713F2BFCC -:041353004781086066 -:0413540004E5136336 -:0413550009000593F3 -:041356000200051379 -:04135700F5DFF0EFDF -:0413580005134581B3 -:04135900F0EF02109F -:04135A004581F53F95 -:04135B000220051354 -:04135C00F49FF0EF1B -:04135D000513458DA2 -:04135E00F0EF02307A -:04135F004581F3FFD2 -:0413600002800513EF -:04136100F35FF0EF57 -:0413620003000593EC -:041363000710051357 -:04136400F29FF0EF15 -:0413650040A24785D6 -:041366000131853E8E -:04136700114180822E -:0413680000A101A33C -:041369000002153732 -:04136A004605C4224E -:04136B000513842EB4 -:04136C0005930405DC -:04136D00C60600317F -:04136E00869FB0EFB7 -:04136F00B0EF852234 -:0413700040B2B40FC4 -:0413710001414422D0 -:041372001151808213 -:041373006465C222C9 -:04137400FE44578359 -:04137500C026C406C4 -:04137600F9F7F793F9 -:0413770083C107C265 -:04137800FEF412234A -:04137900000214B7A3 -:04137A000EF4A023AA -:04137B00B0EF455139 -:04137C0045D1B10F97 -:04137D0003800513D1 -:04137E00FA7FF0EF13 -:04137F00051345D13C -:04138000F0EF0390F7 -:0413810045D1F9DF7A -:04138200F0EF4551F2 -:0413830045D1F95FF8 -:041384000710051336 -:04138500F8BFF0EFCE -:04138600051345D135 -:04138700F0EF05E09E -:0413880045D1F81F34 -:0413890006D0051372 -:04138A00F77FF0EF0A -:04138B00453145D1D2 -:04138C00F6FFF0EF89 -:04138D003200059392 -:04138E00F0EF450532 -:04138F0045D1F65FEF -:04139000F0EF45191C -:041391000593F5DFEC -:0413920045093200D7 -:04139300F53FF0EF43 -:04139400FE44578339 -:04139500E79340A2F8 -:041396001223040713 -:041397004412FEF40A -:041398000EF4A0238C -:041399000131448258 -:04139A00112180821B -:04139B006465C8229B -:04139C00FE44578331 -:04139D001737CA062E -:04139E00F7930002BF -:04139F0007C2F9F791 -:0413A000122383C1D0 -:0413A100C626FEF46A -:0413A2002023C02E16 -:0413A30005930EF7A9 -:0413A40084AA3200E5 -:0413A500F0EF45051B -:0413A6000513F09F9C -:0413A700B0EF19008A -:0413A8005783A60FB2 -:0413A9001737FE44B0 -:0413AA0045C1000237 -:0413AB000207E793BB -:0413AC00FEF4122316 -:0413AD000EF72023F4 -:0413AE0010EF852691 -:0413AF00771334A0DC -:0413B000EB110FF539 -:0413B100000185B7FB -:0413B2008593464594 -:0413B300852631C595 -:0413B400310010EF05 -:0413B5004781470520 -:0413B60000F486B306 -:0413B7000006C50364 -:0413B800C43A45D11D -:0413B900F0EFC23E51 -:0413BA004792EB9FCC -:0413BB000785472239 -:0413BC000FF7F6939E -:0413BD00FEE6E2E383 -:0413BE00FE4457830F -:0413BF00000214B75D -:0413C000F79345D189 -:0413C10007C2FDF76B -:0413C200122383C1AE -:0413C300A023FEF471 -:0413C40005130EF40B -:0413C500F0EF0C0039 -:0413C6005783E89FC2 -:0413C7004502FE4499 -:0413C800E79345C1A1 -:0413C90012230207E2 -:0413CA00A023FEF46A -:0413CB0010EF0EF41D -:0413CC0077932D6086 -:0413CD00EB910FF59C -:0413CE0085B7450298 -:0413CF00464500018E -:0413D00031C585930B -:0413D10029C010EF30 -:0413D2004481478586 -:0413D3004782C23E4D -:0413D400873345D145 -:0413D5004503009735 -:0413D6000485000783 -:0413D700E43FF0EF10 -:0413D800F71347922E -:0413D90063E30FF4C7 -:0413DA005783FEF740 -:0413DB0040D2FE44BA -:0413DC0000021737BD -:0413DD000407E79387 -:0413DE00FEF41223E4 -:0413DF002023444241 -:0413E00044B20EF70E -:0413E10080820161A4 -:0413E200C2221151C1 -:0413E30000021437B9 -:0413E4004601C026D8 -:0413E500059384AA3E -:0413E600051302C029 -:0413E700C406040430 -:0413E800DFCFB0EFB4 -:0413E900460585A68A -:0413EA0004040513DF -:0413EB00E2AFB0EFCE -:0413EC0002C00593A3 -:0413ED0004040513DC -:0413EE00B0EF460511 -:0413EF000513DE2FD5 -:0413F000441204049B -:0413F100448240A250 -:0413F20001314585FB -:0413F300DF0FB06FE9 -:0413F400C4221141BD -:0413F50000021437A7 -:0413F600C02AC22621 -:0413F700460184AE79 -:0413F80002C0059397 -:0413F90004040513D0 -:0413FA00B0EFC60684 -:0413FB004782DB2F1B -:0413FC00051346018E -:0413FD0085BE0404A1 -:0413FE00DDEFB0EF80 -:0413FF0004040513CA -:0414000040B2442290 -:04140100449285A6E6 -:041402000141460559 -:04140300DCAFB06F3B -:041404000002153796 -:04140500460111513A -:041406000513458104 -:04140700C40604050E -:04140800DB6FB0EFF7 -:04140900B0EF4529D2 -:04140A0045E18D8F9C -:04140B00F0EF4505B4 -:04140C0045E1FA3F7D -:04140D00F0EF4509AE -:04140E0045E1F9BFFC -:04140F00F0EF450DA8 -:041410004505F93F56 -:04141100F45FF0EFA5 -:04141200152140A2BE -:041413000015351378 -:0414140080820131A0 -:04141500C22211518D -:041416004505842ADA -:04141700F0EFC40628 -:04141800991DF2BF69 -:041419008C49040EE8 -:04141A000FF4741344 -:04141B00450585A25C -:04141C00F61FF0EFD8 -:04141D00450985A256 -:04141E00F59FF0EF57 -:04141F00441285A24C -:04142000450D40A294 -:04142100F06F013136 -:041422001151F4BFB1 -:04142300842AC22233 -:04142400C4064505B0 -:04142500EF5FF0EF96 -:04142600751347896A -:0414270013630D85B9 -:04142800641302F453 -:0414290085A2001583 -:04142A00F0EF450595 -:04142B0085A2F27F25 -:04142C00F0EF45098F -:04142D0085A2F1FFA4 -:04142E0040A2441282 -:04142F000131450D35 -:04143000F11FF06F49 -:041431008C490416C8 -:041432000FF474132C -:0414330000446413FA -:041434001151BFD9BA -:041435001437C22284 -:04143600C0260002CA -:0414370084AA46013C -:0414380005C0059353 -:04143900040405138F -:04143A00B0EFC40645 -:04143B00F593CB2F2B -:04143C0046050FF45E -:04143D00040405138B -:04143E00CDEFB0EF4F -:04143F0005C005934C -:041440000404051388 -:04144100B0EF4605BD -:041442000513C96F56 -:041443004412040447 -:04144400448240A2FC -:0414450001314585A7 -:04144600CA4FB06F6A -:04144700C422114169 -:041448000002143753 -:04144900C02AC226CD -:04144A00460184AE25 -:04144B0005C0059340 -:04144C00040405137C -:04144D00B0EFC60630 -:04144E004782C66F9C -:04144F00051346013A -:04145000F593040408 -:04145100B0EF0FF7F2 -:041452000513C90FA6 -:041453004422040427 -:0414540085A640B277 -:041455004605449272 -:04145600B06F014131 -:041457001151C7CF99 -:041458000220051356 -:04145900C222C406E1 -:04145A00F0EFC026C9 -:04145B007413F69F71 -:04145C0045CD0FF576 -:04145D00F0EF45590E -:04145E006489FA7F24 -:04145F00710485137C -:04146000F7FFA0EF03 -:04146100455D458D13 -:04146200F95FF0EF4F -:041463007104851378 -:04146400F6FFA0EF00 -:04146500080465937F -:041466000220051348 -:04146700F81FF0EF8B -:041468003E800513AA -:04146900F5BFA0EF3C -:04146A0007F475937B -:04146B0040A2441245 -:04146C00051344829E -:04146D000131022027 -:04146E00F65FF06FC6 -:04146F00C222115133 -:0414700005136409F3 -:04147100C406710438 -:04147200F37FA0EF75 -:04147300455945C5CD -:04147400F4DFF0EFC2 -:0414750071040513E6 -:04147600F27FA0EF72 -:041477004589455D01 -:04147800F3DFF0EFBF -:0414790071040513E2 -:04147A0040A2441236 -:04147B00A06F01312C -:04147C001151F11FFA -:04147D00842EC222D5 -:04147E00454985AAAD -:04147F00F0EFC406C0 -:0414800085A2F1FF51 -:0414810040A244122F -:041482000131454DA2 -:04148300F11FF06FF6 -:04148400051385AA1D -:04148500F06F03D031 -:0414860085AAF07FC4 -:04148700F06F454578 -:041488001151EFFF10 -:04148900C222C406B1 -:04148A00006547832F -:04148B00456D842AFD -:04148C0000479593ED -:04148D00F5938DDD69 -:04148E00F0EF0FF577 -:04148F004583EE3F64 -:04149000457100643E -:04149100ED9FF0EFEC -:04149200003445835A -:04149300F0EF452908 -:041494004583ECFFA1 -:0414950045250044A5 -:04149600EC5FF0EF28 -:041497000054458335 -:04149800F0EF45210B -:041499004583EBBFDD -:04149A0045350004D0 -:04149B00EB1FF0EF64 -:04149C000014458370 -:04149D00F0EF4531F6 -:04149E004583EA7F19 -:04149F0044120024CF -:0414A000452D40A2F4 -:0414A100F06F0131B6 -:0414A2001141E97F8C -:0414A300842AC422B1 -:0414A400C226451106 -:0414A50084AEC0321F -:0414A600F0EFC60697 -:0414A7004602E39F77 -:0414A8000F857593A4 -:0414A9000793CE4D8A -:0414AA00EA637FF082 -:0414AB0045110A8756 -:0414AC000015E593AF -:0414AD00E69FF0EFD7 -:0414AE0000141513FE -:0414AF00010514130C -:0414B000559380418F -:0414B100F59300446B -:0414B20045050FF5E8 -:0414B300E51FF0EF52 -:0414B4000044159348 -:0414B5000F05F59397 -:0414B600F0EF450905 -:0414B7000737E43FD0 -:0414B8000713019C79 -:0414B9005733CC07D2 -:0414BA0056B7029788 -:0414BB0086930225ED -:0414BC0047810FF65F -:0414BD000287073368 -:0414BE0002E6F063EF -:0414BF00042C26B71C -:0414C000D7F6869342 -:0414C100F9634785FF -:0414C200F7B700E692 -:0414C3008793080BF8 -:0414C400B7B3FBF7C8 -:0414C500078900E7AC -:0414C600071367653C -:0414C700973EF687CF -:0414C80000074503D1 -:0414C9000280071383 -:0414CA0002E50533FF -:0414CB00001457139F -:0414CC004533953AD5 -:0414CD00471D028530 -:0414CE000FF575138E -:0414CF000FF575930D -:0414D00000A773639B -:0414D1004422459DCF -:0414D200449240B24E -:0414D300079A058EE1 -:0414D400F5938DDD22 -:0414D500450D0F852D -:0414D600F06F014171 -:0414D7004511DC3FA0 -:0414D800DBDFF0EF77 -:0414D9005583BFB9BF -:0414DA00115100A507 -:0414DB0081A1C22207 -:0414DC000513842A46 -:0414DD00C40604B08D -:0414DE00DA5FF0EFF2 -:0414DF0000A445839D -:0414E00004A005134C -:0414E100D99FF0EFB0 -:0414E20000C455836A -:0414E30004D0051319 -:0414E400F0EF81A103 -:0414E5004583D8BFA4 -:0414E600051300C426 -:0414E700F0EF04C05E -:0414E8005583D7FF52 -:0414E900051300E403 -:0414EA0081A104F0E8 -:0414EB00D71FF0EF28 -:0414EC0000E4458350 -:0414ED0004E00513FF -:0414EE00D65FF0EFE6 -:0414EF0000445583DD -:0414F00005100513CB -:0414F100F0EF81A1F6 -:0414F2004583D57FDA -:0414F3000513004499 -:0414F400F0EF050010 -:0414F5005583D4BF88 -:0414F6000513006476 -:0414F70081A105309A -:0414F800D3DFF0EF5F -:0414F90000644583C3 -:0414FA0005200513B1 -:0414FB00D31FF0EF1C -:0414FC000084558390 -:0414FD00055005137E -:0414FE00F0EF81A1E9 -:0414FF004583D23F10 -:04150000051300844B -:04150100F0EF0540C2 -:041502005583D17FBD -:0415030005130104C7 -:0415040081A105704C -:04150500D09FF0EF94 -:041506000104458314 -:041507000560051363 -:04150800CFDFF0EF52 -:0415090001245583E1 -:04150A000590051330 -:04150B00F0EF81A1DB -:04150C004583CEFF46 -:04150D00051301249D -:04150E00F0EF058075 -:04150F005583CE3FF3 -:04151000051301447A -:0415110081A105B0FF -:04151200CD5FF0EFCA -:0415130001444583C7 -:0415140040A244129B -:0415150005A0051315 -:04151600F06F013140 -:041517001151CC3F63 -:04151800842AC2223D -:0415190003F00513C3 -:04151A00F0EFC40624 -:04151B007593C69F5F -:04151C008DC10F0569 -:04151D0040A2441292 -:04151E0003F00513BE -:04151F00F06F013137 -:041520001151C9FF9D -:04152100842AC22234 -:04152200C40645694D -:04152300C47FF0EFA2 -:0415240000641593B7 -:0415250075134412E4 -:0415260040A203F5E7 -:04152700F5938DC9E2 -:0415280045690FF50D -:04152900F06F01312D -:04152A001131C77F35 -:04152B00461D65E113 -:04152C003545859329 -:04152D00C806850A5D -:04152E003B7000EF1F -:04152F00CA3FF0EFD0 -:04153000F0EF45692A -:041531007593C11FCE -:04153200E5930F55D9 -:04153300456900A561 -:04153400C4DFF0EF31 -:0415350005134585D0 -:04153600F0EF03507F -:041537006561C43FE7 -:04153800E3C50513EF -:04153900E83FF0EFA8 -:04153A00F0EF450188 -:04153B004519F99FB6 -:04153C00D21FF0EFDB -:04153D00044005134E -:04153E00D23FF0EFB9 -:04153F004505458198 -:04154000CF3FF0EFBA -:04154100F0EF850A38 -:0415420040C2D1DFF3 -:041543008082015150 -:04154400C22211515D -:041545004569842A46 -:04154600F0EFC406F8 -:041547001593BB9F9E -:041548004412004405 -:04154900FCF5751325 -:04154A008DC940A265 -:04154B000FF5F59310 -:04154C0001314569BB -:04154D00BE9FF06FDE -:04154E00C422114161 -:04154F004511842A94 -:04155000C02EC606DD -:04155100B8FFF0EF00 -:041552000433458297 -:04155300759302B4D6 -:0415540045110075C8 -:0415550001F4779393 -:041556008DDD078E92 -:04155700BC1FF0EFD6 -:041558004054551393 -:04155900442240B236 -:04155A000FF5751301 -:04155B008082014148 -:04155C00C222115145 -:04155D004541842A56 -:04155E00F0EFC406E0 -:04155F001593B59F8C -:0415600044120034FD -:0415610040A2891DFE -:04156200F5938DC9A7 -:0415630045410FF5FA -:04156400F06F0131F2 -:04156500050EB8BFF8 -:04156600F5938DC9A3 -:0415670005130FF564 -:04156800F06F02809E -:041569001101B7BFF6 -:04156A00842ECC22DD -:04156B0005B345F986 -:04156C00C03602B4CF -:04156D00CA2656D95B -:04156E00832ACE06F8 -:04156F00C5B384B2CA -:04157000059502C516 -:0415710002D5C5B327 -:04157200F59395BA9E -:0415730095BE0FF51D -:04157400478505C2E0 -:041575000B6381C1C2 -:0415760047A100F594 -:0415770008F50D6303 -:0415780005C205990A -:04157900468985C159 -:04157A00A031474114 -:04157B0005C2058917 -:04157C00468585C15A -:04157D008633471951 -:04157E00C66300E65A -:04157F0087B3080521 -:0415800096BE00E52E -:041581000FF00793CD -:0415820000D7D56356 -:041583000FF00593CD -:04158400F7938D91BB -:0415850085BE0FF51B -:04158600C61A451527 -:04158700C23EC83266 -:04158800F0EFC43A82 -:041589004722AFBF87 -:04158A0085BA4519C0 -:04158B00AF1FF0EFAF -:04158C0008000593BB -:04158D0002600513E0 -:04158E00AE5FF0EF6D -:04158F0046424792F7 -:04159000031005132C -:0415910000C785B357 -:041592000FF5F593C9 -:04159300AD1FF0EFA9 -:0415940047C14332D6 -:04159500036345B1F6 -:0415960045A100F378 -:041597000220051316 -:04159800ABDFF0EFE6 -:041599004462852201 -:04159A0040F24602D3 -:04159B0044D285A60B -:04159C00F06F610586 -:04159D008593C19FD2 -:04159E0005C203255A -:04159F0046A185C11B -:0415A000020007132B -:0415A1004581BF8D34 -:0415A2001151B769C3 -:0415A300C026C2227A -:0415A40084AEC40647 -:0415A50084324789BC -:0415A600E663458132 -:0415A7009593009781 -:0415A80005E20064F4 -:0415A900171385E1AE -:0415AA00179300256E -:0415AB008FD900458F -:0415AC008DDD8FC979 -:0415AD000FF5F593AE -:0415AE00F0EF4565B0 -:0415AF004541A63FCD -:0415B000A13FF0EF78 -:0415B1007593478D5A -:0415B20014630F852A -:0415B300E59300F4C8 -:0415B4004541005558 -:0415B500A49FF0EF10 -:0415B60005934789C9 -:0415B700F96305B01F -:0415B80047910097C0 -:0415B9000520059371 -:0415BA000097F4633F -:0415BB00053005935F -:0415BC00F0EF4539CE -:0415BD000513A2BFB1 -:0415BE00A0EF3E80DC -:0415BF004551A05F93 -:0415C0009D3FF0EF6C -:0415C10045C1478D4C -:0415C20000F40363CB -:0415C30045614581B8 -:0415C400A0DFF0EFC5 -:0415C50040A24412EA -:0415C6004581448295 -:0415C70003600513A5 -:0415C800F06F01318E -:0415C90011519FBF5E -:0415CA00842AC2228B -:0415CB00C4064551BC -:0415CC009A3FF0EF63 -:0415CD007C634711E3 -:0415CE00751300870A -:0415CF000513088573 -:0415D0003513F78553 -:0415D10040A200151F -:0415D200013144128D -:0415D30047098082C2 -:0415D40000877763B2 -:0415D500090575137C -:0415D600F7050513FD -:0415D7007793B7DD72 -:0415D800D5130FF523 -:0415D9008905001769 -:0415DA00711DBFF9C7 -:0415DB004791CCA2C6 -:0415DC00CAA66465D2 -:0415DD00D43ECE86A4 -:0415DE0000840793EB -:0415DF00C683CA36BF -:0415E0004721032775 -:0415E1000437C38385 -:0415E20000D71733E4 -:0415E3000337C68381 -:0415E4000087671302 -:0415E5000713D63AD8 -:0415E6001733400077 -:0415E700C68300D7E0 -:0415E800D83A0347A3 -:0415E90000020737BE -:0415EA0000D71733DC -:0415EB000357C68359 -:0415EC000737DA3AA9 -:0415ED001733004070 -:0415EE00DC3A00D70C -:0415EF00027107136B -:0415F0006765DE3A13 -:0415F10003F70713E2 -:0415F2006765C0BAAF -:0415F30004070713CF -:0415F4006765C2BAAB -:0415F50004170713BD -:0415F6006765C4BAA7 -:0415F70004270713AB -:0415F8000447C7835A -:0415F9006765C6BAA2 -:0415FA00071363650B -:0415FB00029304371C -:0415FC00C42A1403E6 -:0415FD00C832C62EFC -:0415FE00020103A340 -:0415FF00C03EC8BA68 -:04160000041344810A -:04160100031300844B -:0416020097931403A3 -:0416030087E10184F6 -:041604000793C23E48 -:041605009563029057 -:0416060057FD00F498 -:04160700A689C23EB0 -:0416080087B347F16C -:04160900468D02F414 -:04160A004BD8979A88 -:04160B00036383757D -:04160C0046111AD792 -:04160D001AC707638E -:04160E001F63460907 -:04160F00061306C7F1 -:04161000D8321000BC -:04161100DA32664122 -:04161200400006137B -:041613004B9CDC32DE -:041614001C1006138D -:04161500F79383952F -:041616009F637FF758 -:0416170087B704C7C6 -:0416180085930001B5 -:041619008516E6C785 -:04161A00D01E461D7B -:04161B00CC16CE3AE1 -:04161C00145000EF77 -:04161D0042E267E559 -:04161E00538247723A -:04161F001407831316 -:041620004782E51107 -:041621000485EB95BC -:04162200B74102F1D9 -:04162300000187B784 -:04162400E74785937C -:04162500461D8516C3 -:04162600CE3AD01ECA -:0416270000EFCC16EE -:0416280067E51170F1 -:04162900477242E2E0 -:04162A008313538251 -:04162B00E5091407B2 -:04162C004785468226 -:04162D00FCF689E35B -:04162E0087B347F146 -:04162F00979A02F490 -:0416300000A7D60336 -:041631008A7D47C5A2 -:0416320000F60B6350 -:0416330000D6079343 -:0416340045858BFD60 -:0416350000F5F56364 -:04163600176347FDF2 -:0416370046C200F6B1 -:0416380003600793B1 -:04163900FAD7E1E318 -:04163A00179308D426 -:04163B0097B6002737 -:04163C00FE87A783FB -:04163D000182A5037E -:04163E000007C78357 -:04163F0097B6078AC9 -:04164000FD47A78338 -:04164100D3C18FE999 -:04164200459346B2D4 -:041643008985FFF5A1 -:04164400F6D59BE359 -:0416450085B346F132 -:0416460046A202D4E2 -:04164700498C959A9B -:04164800F593819500 -:0416490005F97FF52B -:04164A00F4D5EFE301 -:04164B001723468596 -:04164C0008A30004EB -:04164D000923000469 -:04164E000A2300D497 -:04164F00CC1C0004AB -:0416500085636591B8 -:04165100E46328B76F -:04165200059314F5F3 -:0416530086630800A2 -:04165400EC6322B76A -:0416550045C10EF588 -:0416560010B78763DF -:041657000CF5E96342 -:0416580087634591CE -:0416590046211CB753 -:04165A00F0C79FE353 -:04165B000623478596 -:04165C00478300F4CC -:04165D009F6305146E -:04165E0047F11E072B -:04165F0002F487B357 -:04166000D603979A7C -:04166100079300E704 -:04166200E563577075 -:0416630017931EC7F4 -:04166400516301D7F6 -:04166500478D1EF09F -:0416660000F406A3E3 -:041667000923478983 -:04166800079300F4F0 -:041669008B950067F6 -:04166A004795CF9140 -:04166B0020F71263EF -:04166C0086B347F109 -:04166D00079302F4E9 -:04166E0093364AF075 -:04166F0000E357033A -:041670001EE7E86326 -:041671000464478343 -:041672001E0781636B -:04167300000406A3C6 -:04167400AA4D4789AB -:041675004000079397 -:0416760007B7D83E9C -:04167700DA3E000255 -:04167800D783BDE176 -:04167900458900A7F8 -:04167A009E638BFDE3 -:04167B00866300B7CB -:04167C00478500039B -:04167D00ECF382E325 -:04167E0046D2B57922 -:04167F0005200793A8 -:04168000EAD7FCE3C6 -:04168100A603B549BE -:041682007613018258 -:04168300CA01200672 -:041684009AE3478D11 -:041685000793E6F3EE -:04168600D63E20002C -:041687004605BD71E6 -:04168800E8C79CE330 -:04168900E8038AE305 -:04168A00E8B388E356 -:04168B000713BDA9DB -:04168C00866302006F -:04168D00071300E758 -:04168E0096E30400DB -:04168F000793E4E7F2 -:0416900016233010DD -:04169100479100F489 -:041692000613AA355C -:04169300846340002C -:041694006F6314C7A5 -:04169500071300F641 -:041696008863100055 -:04169700071312E73C -:0416980092E32000B9 -:041699000793E2E7EA -:04169A0016232010E3 -:04169B00B78D00F413 -:04169C0084636705F7 -:04169D00670914E7DE -:04169E0014E7846366 -:04169F0080078793A6 -:0416A000E00793E3E9 -:0416A100102007937B -:0416A20000F4162317 -:0416A3000637A2154F -:0416A4008863001047 -:0416A500646314C79F -:0416A600063704F609 -:0416A7008D6300024D -:0416A800636312C79F -:0416A900672102F6BD -:0416AA0012E785635B -:0416AB009CE3674114 -:0416AC000793DCE7DD -:0416AD0016232020C0 -:0416AE00479D00F460 -:0416AF0000F4092317 -:0416B0000A2347B50D -:0416B100A0ED00F4B4 -:0416B20000040737F2 -:0416B30010E7886351 -:0416B40000080737EC -:0416B500DAE799E3F4 -:0416B6002030079346 -:0416B7000737A0E56C -:0416B80086630080C5 -:0416B900606310E773 -:0416BA00073702F7F5 -:0416BB008D6300201B -:0416BC0007370EE7F7 -:0416BD0098E300406E -:0416BE004791D8E791 -:0416BF0000F41623FA -:0416C0000780079305 -:0416C1000737BF7DAB -:0416C2008C63020033 -:0416C30007370EE7F0 -:0416C400816304003A -:0416C500073710E7EC -:0416C60096E30100A6 -:0416C7000793D6E7C8 -:0416C8001623204085 -:0416C900479100F451 -:0416CA0000F40923FC -:0416CB00BF5147F9CB -:0416CC0000041623DD -:0416CD0000C408A3AA -:0416CE0000577793B7 -:0416CF008763468562 -:0416D000478900D76F -:0416D10000F71D639E -:0416D200C9118909A8 -:0416D30004644783E1 -:0416D4004789CF91E2 -:0416D50000F40923F1 -:0416D60007A347859A -:0416D700CA2900F428 -:0416D800446640F62E -:0416D90044D645129C -:0416DA008082612584 -:0416DB000723478515 -:0416DC00B7DD00F482 -:0416DD00000406A35C -:0416DE000793B52D8C -:0416DF00162330108E -:0416E000479900F432 -:0416E10000F40923E5 -:0416E2000793A025A5 -:0416E300162320109A -:0416E400479500F432 -:0416E5004789BFC5AD -:0416E60000F41623D3 -:0416E7001963478DAF -:0416E800478300F73D -:0416E90095E304641D -:0416EA004785E20747 -:0416EB0000F40723DD -:0416EC0005844783A7 -:0416ED0000F408A35A -:0416EE000793B76542 -:0416EF00B57520208D -:0416F000202007931C -:0416F10000F41623C8 -:0416F200BF6D478DF4 -:0416F3002020079319 -:0416F4000793BD8D0E -:0416F500BF6520208D -:0416F600BF7D478DE0 -:0416F7002030079305 -:0416F8000793B56936 -:0416F900BDB120302F -:0416FA002030079302 -:0416FB000793B74D4D -:0416FC001623204051 -:0416FD00478D00F421 -:0416FE0000F40923C8 -:0416FF0002800793CB -:041700000793B5C9CD -:04170100162320404B -:04170200479500F413 -:0417030000F40923C2 -:04170400BD4547E1B7 -:0417050020400793E6 -:0417060000F41623B2 -:0417070009234799D2 -:0417080047D100F4D1 -:041709001111BD7984 -:04170A0001851793AB -:04170B00C826CA2200 -:04170C0087E1CC069F -:04170D0084AE842AF8 -:04170E000007DD6390 -:04170F0005134581F8 -:0417100037D5077052 -:0417110000A101A38F -:04171200EE634785B6 -:04171300741306A79E -:041714002E9507F413 -:0417150045014591B4 -:041716002E81268179 -:041717000184D793DF -:0417180000F102A337 -:041719000104D7935D -:04171A0000F10323B4 -:04171B000084D793DC -:04171C0000F103A332 -:04171D000081022322 -:04171E00009104230F -:04171F0004000713A8 -:0417200009500793D2 -:0417210000E4096374 -:041722000480071325 -:04172300146347857F -:04172400079300E443 -:04172500459908706A -:0417260004A30048D0 -:0417270024ED00F1BC -:041728002EB1451584 -:041729004585842A44 -:04172A000031051372 -:04172B00078324F517 -:04172C00D66300314F -:04172D002E8D0007F6 -:04172E0006E3478502 -:04172F00E011FEF5D2 -:041730004503264106 -:0417310040E2003161 -:0417320044C2445217 -:04173300808201713E -:04173400FD8101131F -:041735004581C02A00 -:041736000490051303 -:04173700D022D206E4 -:04173800F0EFCE26DA -:04173900C901F45F8F -:04173A005092450183 -:04173B0044F254021E -:04173C000281011312 -:04173D00842A8082F8 -:04173E002611451516 -:04173F00458584AAAE -:04174000007105131C -:0417410047032C51DD -:041742000793007198 -:0417430016630FF02A -:041744002E1900F763 -:0417450004E34785ED -:04174600E091FEF53B -:041747004703261519 -:041748000793007192 -:0417490011E30FE0B9 -:04174A0045C9FCF79A -:04174B0024AD0028A1 -:04174C00C783478286 -:04174D00F713004747 -:04174E00CF1500278C -:04174F0000E145036D -:0417500000F14783DA -:041751000121470328 -:041752000522890DD6 -:0417530047838D5DDE -:04175400050A010180 -:0417550000D1440378 -:041756008D5D839989 -:0417570001114783B2 -:04175800883D831D28 -:041759008B990786DB -:04175A00943E8FD951 -:04175B001465050507 -:04175C0000851533BC -:04175D008B91BF9D10 -:04175E004503CB89EB -:04175F0047830101BA -:04176000052201114C -:0417610047C58D5D8E -:041762004781B7CD37 -:04176300BFF145018C -:04176400FDC10113AF -:04176500CC2665DD4C -:0417660084AA4629E2 -:04176700C9C58593D8 -:04176800D00600683F -:0417690024E1CE2287 -:04176A00C03E478DA9 -:04176B002C2122C14A -:04176C0045A924A9BE -:04176D002AC900681D -:04176E00A0234581EE -:04176F00051300045A -:04177000F0EF040092 -:041771004785E65F63 -:041772001B63842A47 -:0417730005930EF5D7 -:0417740005131AA09F -:04177500F0EF04800D -:04177600C22AE51F7F -:041777000885176367 -:04177800002845916F -:0417790047032A55A3 -:04177A00479200A1F1 -:04177B000CF71A63EA -:04177C0000B147036E -:04177D000AA0079324 -:04177E000CF71463ED -:04177F003E80051390 -:04178000241D2AFDFD -:04178100196347851C -:0417820005B700F5B2 -:04178300051340000A -:04178400F0EF0E90E4 -:04178500F575E15FB6 -:0417860047852C0166 -:0417870002F50663FE -:041788002C394401B3 -:0417890017FD47827F -:04178A000FF7F793CB -:04178B00CBD9C03EB8 -:04178C004785DC357C -:04178D00008482232F -:04178E008526C09C50 -:04178F00E95FF0EF2F -:04179000C488157D77 -:04179100A0412A69E0 -:041792000513458175 -:04179300F0EF07A0CC -:04179400F579DD9F67 -:041795000028459152 -:0417960047832281E2 -:041797004431008158 -:041798000407F793B8 -:041799004411FFDD1B -:04179A004581BF6D59 -:04179B000E90051394 -:04179C00DB7FF0EF10 -:04179D00041007939A -:04179E0000A46563DB -:04179F00079344095F -:0417A00005130E908F -:0417A100C23E0FA095 -:0417A2002A792A9DD9 -:0417A30017634785FC -:0417A400451200F5F5 -:0417A500F0EF45819B -:0417A600F965D91FE9 -:0417A700E1112271B9 -:0417A8002A79440155 -:0417A900051345815E -:0417AA00F0EF07B0A5 -:0417AB00C111D7DFB2 -:0417AC00059344015C -:0417AD000513200000 -:0417AE00F0EF050053 -:0417AF00D13DD6DF73 -:0417B000B78D4401AC -:0417B10020CDF43D16 -:0417B20000143513D7 -:0417B30044725082AA -:0417B400011344E2F7 -:0417B50080820241EB -:0417B6001121451C9C -:0417B700CA06C82274 -:0417B800C22EC62651 -:0417B900440DC03AE1 -:0417BA0002C7E1631E -:0417BB004783CF1978 -:0417BC0084B60045AA -:0417BD00E3918BA188 -:0417BE0085B20626C4 -:0417BF0005100513F9 -:0417C000D27FF0EFF5 -:0417C1004409C90905 -:0417C2008522204517 -:0417C300444240D28A -:0417C400016144B2C9 -:0417C5000513808206 -:0417C60020D50640E4 -:0417C700051345853C -:0417C800289D00B1A7 -:0417C90000B1470321 -:0417CA000FF0079382 -:0417CB0000F71663AA -:0417CC00478528E540 -:0417CD00FEF504E33E -:0417CE00470322218A -:0417CF00079300B1CB -:0417D00012E30FE031 -:0417D1004782FCF758 -:0417D20020200413BC -:0417D3008C1D8C05D8 -:0417D400804104420A -:0417D50085A6C481A0 -:0417D600283D450164 -:0417D70045124582F0 -:0417D80085A2282599 -:0417D900280D450191 -:0417DA00BF7944018E -:0417DB0000062637A7 -:0417DC00019C05B7B0 -:0417DD0000021537BA -:0417DE00A806061340 -:0417DF00CC0585931D -:0417E00002050513E6 -:0417E100DEEFA06F28 -:0417E20085AA862E20 -:0417E30000021537B4 -:0417E40002050513E2 -:0417E500E8CFA06F3A -:0417E60085AA862E1C -:0417E70000021537B0 -:0417E80002050513DE -:0417E900E50FA06FF9 -:0417EA00676580822D -:0417EB00FE475783DB -:0417EC00F7F7F79381 -:0417ED0083C107C2EB -:0417EE00FEF71223CD -:0417EF0000021737A6 -:0417F0000EF72023AD -:0417F1006765808226 -:0417F200FE475783D4 -:0417F3000807E79369 -:0417F400FEF71223C7 -:0417F50000021737A0 -:0417F6000EF72023A7 -:0417F700363780827F -:0417F80005B7002908 -:0417F9001537019C03 -:0417FA0006130002D0 -:0417FB0085932E069E -:0417FC000513CC0500 -:0417FD00A06F0205D2 -:0417FE00F06FD7CFE2 -:0417FF006765F73FE4 -:041800005BC7278318 -:04180100679DE38577 -:0418020097878793AA -:0418030002F50533B2 -:04180400C4061151B4 -:041805005AA72E238D -:0418060090AFA0EF10 -:04180700450140A2B5 -:0418080080820131A8 -:04180900808245058F -:04180A00C4061151AE -:04180B0091AFA0EF0A -:04180C00A78367E562 -:04180D0040A25BC7D3 -:04180E0000F5353379 -:04180F0080820131A1 -:04181000AE2367E5B7 -:0418110080825A0770 -:041812001463470113 -:04181300450100E6A5 -:0418140007B3808214 -:04181500070500E5DE -:0418160000E586B3B0 -:041817000007C7837C -:04181800FFF6C6838E -:04181900FED783E390 -:04181A0040D78533FB -:04181B00C7B380824D -:04181C008B8D00A50B -:04181D0000C50733C8 -:04181E00478DE7818A -:04181F0002C7E963B0 -:04182000716387AABF -:04182100C6830CE589 -:041822000785000531 -:041823008FA3058505 -:04182400EAE3FED71E -:041825008082FEE7D8 -:041826000005C68370 -:0418270005850785A7 -:04182800FED78FA3B5 -:04182900FEE7EAE309 -:04182A000111440262 -:04182B0076938082AE -:04182C0087AA003552 -:04182D00C683CA9113 -:04182E000785000525 -:04182F008FA30585F9 -:04183000F693FED756 -:04183100B7FD0037C8 -:04183200FFC77693E3 -:04183300FE06861314 -:0418340006C7F5638B -:04183500C02211714B -:04183600A30349C0FF -:04183700A383000582 -:04183800CBC000859C -:04183900A0234D801B -:04183A00A30300679D -:04183B00CF80004515 -:04183C00A2234DC0D6 -:04183D00A28300671B -:04183E00A30300C53B -:04183F008593010587 -:04184000CFC00245CE -:04184100FFC5A40338 -:041842000077A42364 -:041843000057A62381 -:041844000067A8236E -:04184500024787933C -:04184600FE87AE2348 -:04184700FAC7EEE30B -:04184800F8D7F2E3F8 -:041849000791419032 -:04184A00AE23059133 -:04184B00BFCDFEC748 -:04184C00079141902F -:04184D00AE23059130 -:04184E00EBE3FEC703 -:04184F00E4E3FED7F9 -:041850008082F4E7B7 -:04185100011380827D -:04185200D6A6F7C15E -:04185300DA86C13E32 -:04185400D8A267E5CA -:04185500DEBADCB665 -:04185600F047A48330 -:041857000005DC6349 -:0418580008B007933A -:04185900557DC09C5D -:04185A00544650D6CA -:04185B00011354B66B -:04185C00808208413D -:04185D00208007934D -:04185E0000F118235A -:04185F00CA2AC22AA5 -:04186000C199478162 -:04186100FFF5879375 -:04186200CC3EC63E74 -:0418630057FD18B461 -:041864008526842E23 -:041865001923004CF7 -:04186600C03600F197 -:0418670057FD260102 -:0418680000F55563CF -:0418690008B0079329 -:04186A00DC5DC09CE5 -:04186B0080234792FD -:04186C00BF5D000755 -:04186D00167DC60519 -:04186E0007B3470174 -:04186F0086B300E557 -:04187000C78300E545 -:04187100C683000723 -:041872009663000673 -:04187300046300D733 -:04187400070500C79D -:041875008533F3FDC7 -:04187600808240D755 -:041877008082450125 -:04187800CA0987AA68 -:04187900C703058517 -:04187A000785FFF5EA -:04187B008FA3167DA4 -:04187C00FB65FEE723 -:04187D009363963E9D -:04187E00808200C79D -:04187F008FA30785A7 -:04188000BFD5FE07CB -:0418810087AA95AAF3 -:0418820000B78563C3 -:041883000007C70390 -:041884008533E701C0 -:04188500808240A776 -:04188600B7FD07851E -:04188700A783C5D599 -:041888001151FFC536 -:04188900C406C222AD -:04188A008413C026DD -:04188B00D363FFC55F -:04188C00943E00077F -:04188D0000EF84AA3A -:04188E00676515F085 -:04188F00F84727836C -:04189000EF81863A24 -:04189100000422230A -:04189200F88722238E -:0418930040A2441219 -:0418940044828526DF -:04189500006F0131AE -:041896007063141057 -:04189700401402F403 -:0418980000D407333E -:0418990000E796636B -:04189A0043DC439850 -:04189B00C0189736A4 -:04189C002223C05CE7 -:04189D00BFD9F88631 -:04189E0043D887BAEA -:04189F007DE3C31909 -:0418A0004394FEE48B -:0418A10000D78633B3 -:0418A20000861F633A -:0418A30096B24010A9 -:0418A4008633C39430 -:0418A5001BE300D76A -:0418A6004310FAC72A -:0418A70096B243585A -:0418A800C3D8C3944A -:0418A9007563B76547 -:0418AA0047B100C47E -:0418AB00BF79C09CA5 -:0418AC0006B340102F -:0418AD00166300C4FA -:0418AE00431400D708 -:0418AF0096B2435852 -:0418B000C058C01448 -:0418B100B759C3C0A0 -:0418B20011418082DE -:0418B3008493C22632 -:0418B40098F1003572 -:0418B500C422C6067D -:0418B60047B104A191 -:0418B70004F4FB63D7 -:0418B800EA6344B1EA -:0418B900C02A04B489 -:0418BA000AD000EF61 -:0418BB0026836765B4 -:0418BC004782F84720 -:0418BD00F8470613CF -:0418BE00E431843657 -:0418BF000413646545 -:0418C0004018F88450 -:0418C100853EEB0174 -:0418C200C03E45815E -:0418C3007F8000EF33 -:0418C400C00847828F -:0418C50085A6853E31 -:0418C60000EFC03E31 -:0418C700577D7EA02B -:0418C80015634782DB -:0418C900473106E5B8 -:0418CA00853EC398FC -:0418CB0006B000EF74 -:0418CC00D8E3A02994 -:0418CD0047B1FA0421 -:0418CE004501C11CF3 -:0418CF00442240B2BD -:0418D00001414492FC -:0418D10040188082B9 -:0418D2004E638F05CD -:0418D30045AD020716 -:0418D40000E5F663D2 -:0418D500943AC01869 -:0418D600A029C00481 -:0418D7009263405880 -:0418D800C2180286AA -:0418D90000EF853E59 -:0418DA0005130310DF -:0418DB00071300B43B -:0418DC0099610044CA -:0418DD0040E507B328 -:0418DE00943ED3F170 -:0418DF00C0188F0995 -:0418E000C2D8BF7536 -:0418E10086A2B7C55F -:0418E200BF8540403E -:0418E30000350413B5 -:0418E40003E3987111 -:0418E50005B3FC85C6 -:0418E600853E40A457 -:0418E700279DC03E3B -:0418E8004782577D5F -:0418E900FAE51AE31F -:0418EA00A303BFBDD8 -:0418EB001111008552 -:0418EC00CC06C82638 -:0418ED00C232CA2217 -:0418EE00E36384AE7E -:0418EF00D6030866AE -:0418F000771300C5A5 -:0418F100CB3D48069D -:0418F20048C882AAB6 -:0418F3004080470DDD -:0418F40002A707330D -:0418F5004509498CCC -:0418F60040B407B340 -:0418F7004433C03E78 -:0418F800851302A7AB -:0418F900953E001602 -:0418FA0000A4736370 -:0418FB007613842AB2 -:0418FC00C6364006A6 -:0418FD008516C63D49 -:0418FE00C41685A2E5 -:0418FF00ECFFF0EF1B -:0419000046B242A207 -:04190100CD2D473170 -:04190200488C4602C5 -:04190300C42AC636F6 -:04190400C5FFF0EF3C -:0419050000C4D60341 -:0419060046B2432280 -:04190700B7F67613A6 -:041908000806661354 -:0419090000C496235D -:04190A00A823478245 -:04190B00C8C00064EC -:04190C008C1D933E5D -:04190D000064A023AF -:04190E008336C480D8 -:04190F000066F36318 -:041910004592833643 -:04191100861A40886A -:041912002701C01ACF -:0419130043024498AF -:04191400073345014F -:04191500C4984067CB -:04191600933A409828 -:041917000064A023A5 -:041918008516A80D7B -:04191900C416862248 -:04191A00832A2F05E8 -:04191B0046B242A2EC -:04191C00488CFD45B1 -:04191D00C016851655 -:04191E00DA5FF0EFAD -:04191F004731428288 -:0419200000E2A0231E -:0419210000C4D70324 -:041922006713557D75 -:0419230096230407FC -:0419240040E200E4B9 -:0419250044C2445222 -:041926008082017149 -:0419270000C5D7031D -:04192800F78101132F -:04192900DEA6C12253 -:04192A0001F107932D -:04192B00C22AC30603 -:04192C007713C02E3F -:04192D0084B2080771 -:04192E00FF87F41328 -:04192F004998CB1DEB -:041930000593EB0D23 -:04193100C6360400B2 -:04193200E03FF0EFB3 -:0419330046B24782EF -:04193400CB88C38811 -:041935004712E91953 -:04193600557D47B1E3 -:04193700409AC31CF3 -:0419380054F6440A13 -:04193900088101130D -:04193A0047828082DE -:04193B00040007138A -:04193C000713CBD8EA -:04193D000CA30200F5 -:04193E00071300E4A7 -:04193F002A23030054 -:041940000D2300046F -:04194100CA3600E4BE -:0419420006138726DB -:041943004683025085 -:04194400C29900073D -:041945000AC69863D3 -:041946004097033390 -:041947000203026332 -:04194800451245827D -:041949008626869ACE -:04194A00C61AC83AB7 -:04194B00E7FFF0EFD3 -:04194C00026356FDDF -:04194D0048541CD509 -:04194E004742433297 -:04194F00C854969A48 -:0419500000074683C3 -:041951001A06896386 -:0419520000170493E3 -:041953002023577D79 -:041954002623000442 -:04195500C058000472 -:041956000004242342 -:04195700040401A3E0 -:0419580004042C2334 -:041959000004C5833E -:04195A00000187B74A -:04195B008513461595 -:04195C002B7D318727 -:04195D0000148713D8 -:04195E00E539401413 -:04195F000106F61374 -:041960000613C6099B -:0419610001A30200DC -:04196200F61304C4B0 -:04196300C60900862B -:0419640002B00613B4 -:0419650004C401A312 -:041966000004C58331 -:0419670002A00613C1 -:0419680004C58163CE -:041969008726445435 -:04196A004525458149 -:04196B00460342A944 -:04196C00031300075A -:04196D000613001746 -:04196E007963FD0696 -:04196F00C99506C54B -:04197000A805C454AE -:04197100B7A107050E -:04197200000187B732 -:04197300318786131F -:0419740040C5063331 -:0419750015334505DC -:041976008EC900C551 -:0419770084BAC0145A -:041978004652B751CB -:04197900004605938C -:04197A00CA2E42101F -:04197B0002064963B4 -:04197C004603C4500A -:04197D0006930007C6 -:04197E00116302E00F -:04197F00460306D63F -:0419800006930017B3 -:041981001B6302A042 -:0419820046D202D671 -:0419830086130709B7 -:041984004294004643 -:04198500C163CA323E -:04198600C054020641 -:041987000633A08102 -:04198800E69340C0E2 -:04198900C450002620 -:04198A00B7E1C014ED -:04198B00025686B3C7 -:04198C00871A4585EC -:04198D00BFA596B2AA -:04198E00B7C556FD86 -:04198F002223070503 -:041990004581000489 -:041991004525468121 -:04199200460342A91D -:041993000313000733 -:04199400061300171F -:041995007763FD0671 -:04199600F1E106C5B0 -:04199700000745837D -:04199800000184B70F -:041999008513460D5F -:04199A00C63A320413 -:04199B00473221D1DD -:04199C008493CD0162 -:04199D008D0532047E -:04199E0004000693A8 -:04199F0000A696B355 -:0419A00007054008EF -:0419A100C0088D5598 -:0419A2000007458372 -:0419A3000001853783 -:0419A40005134619C8 -:0419A5000493324530 -:0419A6000C230017F7 -:0419A700294900B416 -:0419A8000737C52D0B -:0419A9000713000020 -:0419AA00E70D00073E -:0419AB00475240144B -:0419AC001006F69398 -:0419AD000711CE91BF -:0419AE004858CA3A91 -:0419AF00973E47A276 -:0419B000B599C858C5 -:0419B100025686B3A1 -:0419B200871A4585C6 -:0419B300BFB596B274 -:0419B400B7DD072173 -:0419B500451246028F -:0419B600000166B70F -:0419B70086930858B3 -:0419B80085A23AA624 -:0419B9000000009793 -:0419BA00000000E742 -:0419BB0047A2C42A51 -:0419BC0094E3577DDC -:0419BD004782FCE77A -:0419BE00D703557D79 -:0419BF00771300C7D3 -:0419C0001EE3040717 -:0419C1004848DC07AF -:0419C2004602BBD945 -:0419C30066B74512AC -:0419C40008580001BE -:0419C5003AA6869325 -:0419C6002A0585A2C7 -:0419C7001101BFC18A -:0419C8008332CA2676 -:0419C900459084B60B -:0419CA00CC2249944E -:0419CB00842ACE0696 -:0419CC00D36387AEAC -:0419CD0086B200C618 -:0419CE0000D32023FF -:0419CF000437C60310 -:0419D0000685C601C1 -:0419D10000D32023FC -:0419D200F6934394B1 -:0419D300C6910206B1 -:0419D4000003268363 -:0419D500202306893C -:0419D600A28300D315 -:0419D70083930007EF -:0419D800F2930197EE -:0419D90083630062C2 -:0419DA00439006022E -:0419DB000437C68384 -:0419DC000206761376 -:0419DD0000D036B34D -:0419DE008613EA4141 -:0419DF0085A604379E -:0419E000C41A85227E -:0419E100C03AC23E08 -:0419E20056FD970215 -:0419E30006D50863BA -:0419E40043224792C1 -:0419E50043944511D1 -:0419E60000032603D1 -:0419E7008A9947CCC6 -:0419E800470243016E -:0419E90000A697635A -:0419EA0040C583333E -:0419EB00000353633F -:0419EC0047944301D8 -:0419ED0054634B9064 -:0419EE008E9100D600 -:0419EF004281933668 -:0419F000126307E98E -:0419F1004501065353 -:0419F2000285A81DA5 -:0419F300260347D4AC -:0419F4008E910003CD -:0419F500F8D2DBE366 -:0419F600861E46857E -:0419F700852285A61A -:0419F800C63EC81A05 -:0419F900C21EC41630 -:0419FA009702C03A56 -:0419FB00470256FD4C -:0419FC0042A243922E -:0419FD00434247B268 -:0419FE00FCD519E318 -:0419FF0040F2557DE0 -:041A000044D2446226 -:041A01008082610579 -:041A020000D785B3D1 -:041A030003000513C4 -:041A040004A581A311 -:041A05000457C5833A -:041A0600001686132D -:041A07000689963E78 -:041A080004B601A37C -:041A09004685BF99B6 -:041A0A0085A6863EE9 -:041A0B00C616852254 -:041A0C00C23EC41AF8 -:041A0D009702C03A42 -:041A0E0001E356FD9D -:041A0F0042B2FCD50E -:041A10004792432294 -:041A11004702028501 -:041A12001101BFAD52 -:041A1300842ECC222F -:041A14004603C23291 -:041A1500CA26018458 -:041A160084B6CE06BE -:041A17000693C02A48 -:041A1800859306E0CC -:041A19000863043525 -:041A1A00E4631ED68D -:041A1B00069306C662 -:041A1C000463063029 -:041A1D00ED630AD695 -:041A1E00046300C697 -:041A1F000693200604 -:041A20000C630580CE -:041A2100031316D6BF -:041A22000123042474 -:041A2300A87904C4D6 -:041A240006400693DF -:041A250000D606637E -:041A2600069006938D -:041A2700FED615E3EF -:041A28002303401440 -:041A2900F6130007A9 -:041A2A000513080692 -:041A2B00C249004369 -:041A2C00000326830A -:041A2D008637C3082D -:041A2E00D863000178 -:041A2F000713000693 -:041A300006B302D027 -:041A310001A340D0FD -:041A3200061304E4AF -:041A3300472932C647 -:041A34000693A07DF8 -:041A35000A63073009 -:041A3600EF631AD66A -:041A3700069300C64C -:041A3800006306F051 -:041A3900069306D634 -:041A3A001EE30700A0 -:041A3B004014F8D685 -:041A3C000206E69325 -:041A3D00A809C01420 -:041A3E0007500693B4 -:041A3F0004D6036363 -:041A40000780069382 -:041A4100F8D611E3DF -:041A42000780069380 -:041A430000018637E1 -:041A440004D402A321 -:041A4500340606134A -:041A46004314A0FDA8 -:041A4700042403135D -:041A480000468613BB -:041A49004298C310EC -:041A4A0004E401238C -:041A4B00AABD4705E4 -:041A4C000406F61383 -:041A4D0000032683E9 -:041A4E00DE35C308B6 -:041A4F0086C106C284 -:041A50004008BF9DEE -:041A51007293431435 -:041A520083130805ED -:041A53008663004660 -:041A54002023000249 -:041A55004294006750 -:041A56007513A8015B -:041A5700202304053F -:041A5800D9750067D5 -:041A59000006D6832A -:041A5A0006F005137A -:041A5B0000018737C8 -:041A5C000CA60D6364 -:041A5D0032C7061373 -:041A5E0001A3472970 -:041A5F0040480404F3 -:041A60004863C4080B -:041A61002303000556 -:041A620073130004F6 -:041A63002023FFB38A -:041A6400E29900649F -:041A6500CD11832EEE -:041A6600F533832EA3 -:041A6700137D02E603 -:041A6800450395326B -:041A69000023000551 -:041A6A00D53300A3CD -:041A6B00F26302E63A -:041A6C0046A10AE69F -:041A6D0000D71E631D -:041A6E008B0540188C -:041A6F004054CB1103 -:041A70004763481868 -:041A7100071300D780 -:041A72000FA30300BB -:041A7300137DFEE3FE -:041A7400406585B391 -:041A75004692C80CC1 -:041A76008726450278 -:041A770085A208102C -:041A7800F0EFC41AAD -:041A7900577DD3DFE3 -:041A7A00156343228B -:041A7B00557D0CE5A4 -:041A7C00446240F28E -:041A7D00610544D2E9 -:041A7E0002A38082BD -:041A7F00863704C4DE -:041A80000613000148 -:041A8100400832C621 -:041A82000007230333 -:041A8300080572934D -:041A840000032683B2 -:041A85008163031165 -:041A86002023020215 -:041A8700771300676A -:041A8800C70100157D -:041A890002056513DA -:041A8A004741C00808 -:041A8B004008F6B960 -:041A8C00FDF57513DC -:041A8D00B791C00845 -:041A8E000405729346 -:041A8F0000672023A9 -:041A9000FC028FE3E2 -:041A910082C106C246 -:041A92000613BFD99F -:041A9300472132C7EE -:041A940086AAB72D3A -:041A95004010B799AD -:041A96004848431465 -:041A97000806729338 -:041A9800004683136E -:041A9900000287635D -:041A9A00006720239E -:041A9B00C3084298A2 -:041A9C002023A80952 -:041A9D007613006755 -:041A9E004298040660 -:041A9F001023DA6DC9 -:041AA000282300A750 -:041AA100832E00048C -:041AA2004314B7B979 -:041AA30086134581E0 -:041AA400C310004625 -:041AA5000006A30391 -:041AA600851A40500D -:041AA7002849C41AEC -:041AA800C50143220F -:041AA900406505335C -:041AAA004058C04898 -:041AAB0001A3C818B3 -:041AAC00B715040462 -:041AAD004592481402 -:041AAE00861A45024D -:041AAF00577D948249 -:041AB000F2E507E371 -:041AB1008B09401845 -:041AB2004742EB15A7 -:041AB30051E344486F -:041AB400853AF2E598 -:041AB5004592BF3166 -:041AB600468545021A -:041AB700C63A861A8B -:041AB8009482C41A36 -:041AB90004E356FDEF -:041ABA004732F0D5EA -:041ABB0007054322B6 -:041ABC004642445406 -:041ABD0040E38E91E3 -:041ABE00BFC1FED7CF -:041ABF0003134701C5 -:041AC000B7FD0194D9 -:041AC100C2221151DB -:041AC2006465C02671 -:041AC300852E84AA3E -:041AC4002023C40611 -:041AC50020FD5C04A0 -:041AC600166357FD4F -:041AC700278300F57C -:041AC800C3915C0466 -:041AC90040A2C09CDB -:041ACA0044824412FC -:041ACB0080820131E3 -:041ACC000FF5F5938A -:041ACD001463962ADE -:041ACE00450100C509 -:041ACF004783808247 -:041AD0008DE300059D -:041AD1000505FEB752 -:041AD200F363B7FD06 -:041AD30086B304A52D -:041AD4007F6300C567 -:041AD500459302D55E -:041AD6004781FFF64F -:041AD700936317FD01 -:041AD800808200F513 -:041AD90000F6873359 -:041ADA0000074303BB -:041ADB0000C7873386 -:041ADC000023972A22 -:041ADD00B7DD00670A -:041ADE0000F5873355 -:041ADF000007468333 -:041AE00000F50733D3 -:041AE1000023078552 -:041AE20017E300D72F -:041AE3008082FEF609 -:041AE400BFE5478192 -:041AE50080828082F9 -:041AE60085B2E5815F -:041AE700F2EFF06FBB -:041AE800C8061131EA -:041AE900C426C62227 -:041AEA00F0EFEA111E -:041AEB004781E72F19 -:041AEC00443240C27E -:041AED00853E44A24C -:041AEE0080820151A0 -:041AEF00C032842E4F -:041AF000203D84AA67 -:041AF10087A2460280 -:041AF200FEC574E3D6 -:041AF300852685B20D -:041AF400F0EFC2321B -:041AF50087AAEF8F3E -:041AF6004612DD6156 -:041AF700C02A85A2DA -:041AF800C8EFF0EF54 -:041AF900852685A217 -:041AFA00E34FF0EFD7 -:041AFB00B7C947829E -:041AFC00FFC5A783F8 -:041AFD00FFC7851387 -:041AFE000007D563A5 -:041AFF00419C95AAC7 -:041B00008082953E0C -:041B0100C4221141A8 -:041B0200278364656C -:041B0300C606F8C456 -:041B0400832AC22648 -:041B0500F8C4041309 -:041B06004501EB8525 -:041B070046014581CD -:041B080047014681CA -:041B09000D600293D6 -:041B0A000000007364 -:041B0B005C6384AAE9 -:041B0C002881000527 -:041B0D00409004B34D -:041B0E00557DC1043C -:041B0F00442240B27A -:041B100001414492B9 -:041B1100C008808206 -:041B120045814008C1 -:041B1300951A4601D8 -:041B140047014681BE -:041B1500029347816F -:041B160000730D60EB -:041B170084AA00009C -:041B18000005596308 -:041B19002831C01A95 -:041B1A0004B34302CB -:041B1B00C104409031 -:041B1C00401C54FD18 -:041B1D00933E557D21 -:041B1E00FC6492E3EE -:041B1F00853EC0043B -:041B200067E5BF7541 -:041B2100F047A503E1 -:041B220000008082BD +:0400730087AA808256 +:04007400CF815529BA +:04007500CA11C9994A +:04007600556D5B98D1 +:04007700C711C218D3 +:040078000347879320 +:040079004501C19CE0 +:04007A00808280827E +:04007B00552987AAD2 +:04007C00C363C7A1F2 +:04007D00A7030405CC +:04007E00FF630C47C9 +:04007F00A68302E56D +:0400800087130D07CE +:040081008F6DFFF68A +:04008200D5B3EB0502 +:04008300073702D564 +:04008400071301005D +:040085004501F0073A +:040086008DF905A249 +:040087000C07A703B8 +:040088000025E593D7 +:04008900A703C74CB6 +:04008A004B1C0C07F8 +:04008B00C7898B8511 +:04008C00CB1C4785BD +:04008D008082556DAB +:04008E00CE6380823B +:04008F000113100544 +:04009000D026FD4138 +:04009100D222D4069D +:0400920084B2832A87 +:040093004C6355293C +:040094000A630A06EB +:04009500CAC50A03CB +:040096000C4327836D +:040097000AF675638D +:040098000AF5F3630F +:040099002703843A7B +:04009A0007B30D0398 +:04009B0007B340B6B1 +:04009C00EA6340F7DC +:04009D004863088725 +:04009E00177D0804BE +:04009F00E7418F6D39 +:0400A0004501E0191D +:0400A10057FDA0491E +:0400A200F513CE3E46 +:0400A300C151003413 +:0400A4008F89479168 +:0400A500F363862259 +:0400A600863E00870B +:0400A700087C8C89BC +:0400A80000E685B336 +:0400A900CC1A953E9A +:0400AA00CA3AC0325C +:0400AB0026D5C83658 +:0400AC00086C460294 +:0400AD0087B346C20D +:0400AE00C03E00C58B +:0400AF008793678547 +:0400B000C23E8027A5 +:0400B100000227B76B +:0400B2001107879318 +:0400B3004752C43EAE +:0400B400436267A19B +:0400B5000207879324 +:0400B60082B7C63E09 +:0400B7004782000874 +:0400B80002F59F634B +:0400B9000B8327830B +:0400BA008C119732DC +:0400BB0097A646724C +:0400BC002783C39043 +:0400BD0086130C0397 +:0400BE004B9C01074F +:0400BF00CBB18B89AD +:0400C000C21C47898E +:0400C10050A2556D87 +:0400C20054825412FE +:0400C30002C1011362 +:0400C400478D808262 +:0400C500E4E3461119 +:0400C6008622F8870F +:0400C700C783B749EB +:0400C80045120005D8 +:0400C900058543A2C4 +:0400CA0002A78533D1 +:0400CB000075753314 +:0400CC0087B343B201 +:0400CD0083930277A0 +:0400CE00F7B344023E +:0400CF008D5D0077CC +:0400D000879367C1EA +:0400D10005331017CC +:0400D200814102F571 +:0400D300FEA58FA354 +:0400D4000491B77963 +:0400D5005529B735BD +:0400D6000113808210 +:0400D700D006FDC191 +:0400D800CC26CE2242 +:0400D900CD59C0320B +:0400DA005529842AF6 +:0400DB002703CE49E0 +:0400DC0087B60B8454 +:0400DD00972E84AE28 +:0400DE0000E686337F +:0400DF000BC42683A5 +:0400E00008D7736367 +:0400E10008C6E16309 +:0400E2000D042303E3 +:0400E300D333428150 +:0400E4002703026587 +:0400E50064630CC480 +:0400E600450100E3ED +:0400E700DFF5A0ADF4 +:0400E8000D0425835B +:0400E90005B3470113 +:0400EA008DE540B0B0 +:0400EB0000B4846376 +:0400EC0040B4873362 +:0400ED00CA3A852264 +:0400EE00C616C81A50 +:0400EF00C22EC43E1B +:0400F000E131353590 +:0400F1000D04268351 +:0400F20047A2475288 +:0400F3008733459278 +:0400F40042B240E6EE +:0400F500F36343422C +:0400F600873E00E75A +:0400F7004782C63E38 +:0400F80085228626B1 +:0400F900005786B373 +:0400FA00C43AC81A22 +:0400FB0035B1C21643 +:0400FC004722E91995 +:0400FD00429247B232 +:0400FE008F99434251 +:0400FF0094BA92BA63 +:04010000BF410305F3 +:0401010050825529AA +:0401020044E244721D +:0401030002410113A1 +:04010400C5598082D7 +:04010500873287AA0C +:04010600C649552968 +:04010700C2221151AE +:04010800A683843610 +:04010900A7830B8736 +:04010A00C4060BC755 +:04010B00C02695B6BF +:04010C0000B406B382 +:04010D0002F5F8639C +:04010E0002D7E663CB +:04010F00853A862285 +:04011000872A2C8985 +:0401110025B76605A3 +:040112006521000261 +:040113000613468108 +:040114008593802629 +:0401150005131105B8 +:0401160082B70205A5 +:04011700C8630008B1 +:040118004501008617 +:04011900441240A2AA +:04011A0001314482E9 +:04011B0003338082A8 +:04011C00438300D742 +:04011D0084930003C4 +:04011E00068544020C +:04011F0002C387B3DD +:0401200002A383B300 +:04012100F3B38FEDB8 +:04012200E7B30093AC +:0401230063C100773D +:04012400101383939E +:04012500027787B323 +:04012600002383C16E +:04012700B7C100F369 +:040128008082552953 +:04012900CD4D8082B6 +:04012A000C05270396 +:04012B00CB5557B5A4 +:04012C000C85278394 +:04012D004718EBA9DB +:04012E00771346B14C +:04012F0007930FF72C +:04013000E963FEB7CA +:0401310066D902F693 +:040132008693078A1F +:0401330097B63886BD +:040134008782439CDF +:04013500200007930C +:040136000D0526830A +:040137000C4526034A +:0401380002F685B393 +:0401390000B6166393 +:04013A000CC5258348 +:04013B0004F58663DE +:04013C00020528236D +:04013D00A0B557B55D +:04013E0040000793E3 +:04013F006785BFF120 +:04014000800787931A +:040141004358BFD18F +:04014200771347D90F +:040143000F630FF740 +:0401440047E100F798 +:0401450000F70F634D +:0401460057B546D192 +:0401470004D7136363 +:040148000200079317 +:040149000793BF5504 +:04014A00B77D040079 +:04014B00080007930E +:04014C000793B765F9 +:04014D00B74D10009A +:04014E00C406115181 +:04014F000CE52C236C +:04015000470565E119 +:04015100D918DD5C80 +:0401520002052A2355 +:04015300C134DD10C6 +:0401540062858593A8 +:0401550040A22201A1 +:04015600853E47811A +:040157008082013170 +:04015800853E57A9E0 +:0401590047958082C4 +:04015A0002F6063370 +:04015B000005242354 +:04015C00C91C4785EE +:04015D0002C5D5B34F +:04015E00F79315FD01 +:04015F0081A10FF576 +:04016000F593C11C36 +:04016100C14C0FF589 +:0401620008000793F7 +:040163008082C51CB5 +:040164008A0505867D +:04016500C54C95B23E +:0401660009000793F2 +:040167000105071374 +:04016800431CC91C4F +:04016900FFF58B898A +:04016A00811D4908A2 +:04016B008082890500 +:04016C00010507136F +:04016D00068007936E +:04016E000793E19979 +:04016F00C91C0200A5 +:040170008B89431C18 +:040171004548FFF509 +:04017200C54C808276 +:040173000105071368 +:0401740005000793E8 +:0401750047C1E2118B +:04017600431CC91C41 +:04017700FFF58B897C +:04017800811D490894 +:0401790080828905F2 +:04017A000693478120 +:04017B00C36302C098 +:04017C00808200C7B6 +:04017D000105031362 +:04017E002703C91476 +:04017F008B090003E5 +:04018000C599FF6DB1 +:0401810000C523038F +:0401820000F58733CA +:0401830000670023EE +:04018400BFF107853B +:0401850046F1478177 +:0401860000C7C36388 +:0401870087338082B8 +:04018800470300F534 +:040189000313000755 +:04018A00C55801054E +:04018B002703C91469 +:04018C008B090003D8 +:04018D000785FF6D76 +:04018E0047EDB7C5BD +:04018F0002F505333D +:040190005533479DFF +:04019100E46302F52C +:04019200450100A77C +:040193000001808265 +:04019400BFD5078547 +:04019500451CC1192B +:0401960067E1EF919D +:040197006307A783D0 +:040198001151C395A9 +:040199009782C4067F +:04019A0047D940A25F +:04019B005529C11C05 +:04019C00808201312B +:04019D00C14C419C74 +:04019E00419CC11CA3 +:04019F00C188C3C888 +:0401A0008082450113 +:0401A1000513656578 +:0401A20047D9CD85E7 +:0401A3005529C11CFD +:0401A400832A8082A8 +:0401A5008383CA097D +:0401A600002300052D +:0401A700167D00734E +:0401A80005850305C1 +:0401A9008082FA6DE9 +:0401AA00832AC215CD +:0401AB0067634685BB +:0401AC0056FD00B547 +:0401AD00FFF607133F +:0401AE0095BA933A31 +:0401AF000005838341 +:0401B00000730023B5 +:0401B1009336167DEE +:0401B200FA6D95B697 +:0401B300832A808299 +:0401B4000023C6114D +:0401B500167D00B300 +:0401B600FE650305DA +:0401B70046038082F9 +:0401B800C6830005F5 +:0401B9000505000533 +:0401BA001363058541 +:0401BB00FA6500D60B +:0401BC0040D60533F1 +:0401BD007179808252 +:0401BE00D62267E1FD +:0401BF008713D426A8 +:0401C000040369C704 +:0401C10045F100A75D +:0401C20005B366E13A +:0401C300861302B4E9 +:0401C40045037F86EA +:0401C500448301F777 +:0401C60086930127F4 +:0401C700CE367F862B +:0401C80069C78793E9 +:0401C900962E4281AB +:0401CA000146458322 +:0401CB005583C62E64 +:0401CC00460300C620 +:0401CD00C82E013601 +:0401CE004603CA32E8 +:0401CF0047030237A9 +:0401D000D23200C760 +:0401D1007713C03AA6 +:0401D200EB0D0FD54D +:0401D3000257C70305 +:0401D4004685C21981 +:0401D5004602A00539 +:0401D6000B63468DE4 +:0401D700458200D687 +:0401D8008F634611DA +:0401D900468910C57E +:0401DA00FED595E3D6 +:0401DB00B7D5070687 +:0401DC00070646824A +:0401DD0000E697336E +:0401DE000FF7729312 +:0401DF00FFF506938F +:0401E0000FF6F6938D +:0401E100438147050A +:0401E20000D76F6370 +:0401E300C603469178 +:0401E400EA63025771 +:0401E50086930E9659 +:0401E60086B3FFF4E9 +:0401E70016B302C683 +:0401E800F39300D7B6 +:0401E900C7030FF643 +:0401EA0066E1024781 +:0401EB00D03A4601BF +:0401EC0069C6871346 +:0401ED008693CC3AEF +:0401EE00C20269C61A +:0401EF001313470D92 +:0401F0001C63002666 +:0401F100C7030CE54F +:0401F2000593026609 +:0401F30089BDFFF7CC +:0401F400006595B35A +:0401F5003733431247 +:0401F600173300E0DB +:0401F70065B300C725 +:0401F800673300B3B6 +:0401F900C22E0057BB +:0401FA000FF77293F6 +:0401FB004715060599 +:0401FC0015E306857C +:0401FD004681FCE655 +:0401FE004319C402DB +:0401FF009593470D80 +:04020000146300265D +:0402010047620AE561 +:0402020002B74703F5 +:04020300FFF70613E8 +:0402040016338A3DE6 +:0402050045A200B658 +:0402060000E03733AA +:0402070000D71733D2 +:0402080067338E4D7D +:04020900C432007784 +:04020A000FF77393E4 +:04020B0006854762BB +:04020C00CC3A0705DC +:04020D00FC6694E314 +:04020E0007334771FA +:04020F0046F202E4CD +:040210009736CC024F +:040211008B054F18F2 +:040212004702CB01D3 +:04021300C703C71145 +:040214003713022773 +:04021500CC3A0017C8 +:0402160001E7C70332 +:040217001D63468598 +:04021800470204D7BE +:040219004771CB1945 +:04021A0002E40733C0 +:04021B00973646F2DA +:04021C0046914B5864 +:04021D001263837570 +:04021E00438104D73D +:04021F00A83542813B +:0402200002D70733C7 +:040221008713BDC5BD +:040222000633FFE4BC +:04022300470D02C7BA +:0402240000C71633C6 +:040225000FF67393CA +:040226005702B7398B +:040227000067133326 +:0402280067334712DF +:04022900C23A00676E +:04022A005702B7912F +:04022B0000B715B350 +:04022C008F4D472289 +:04022D00BF9DC43A73 +:04022E004F94D369AD +:04022F008963672157 +:040230006E6320E6F3 +:0402310006131CD7BD +:040232004711100060 +:0402330000C68B6313 +:04023400470D6611FB +:0402350000C6876315 +:0402360008000613A3 +:040237001EC68363F9 +:04023800666587264A +:04023900CBF6458338 +:04023A00976346215F +:04023B00463200C582 +:04023C00064206066A +:04023D00C632824102 +:04023E000147C603AB +:04023F00430545B27C +:0402400000B6786329 +:0402410040C58633FB +:04024200010613138B +:04024300010353134D +:0402440005334571C8 +:04024500467202A457 +:04024600F3934409E1 +:04024700953203F3F6 +:040248008633450CA8 +:0402490081D540E437 +:04024A0002C5863330 +:04024B0002864633AE +:04024C0003D7C4030D +:04024D0002870433ED +:04024E0082410642A1 +:04024F00CE229432F5 +:04025000012544033D +:04025100029585B3DA +:040252008433C62209 +:04025300F593028499 +:0402540074137FF5AB +:04025500042E1FF460 +:0402560085B38C4D93 +:04025700F5930264B5 +:0402580005D20FF5C7 +:0402590074418DC19E +:04025A00B69396A21F +:04025B0006F2001691 +:04025C00C6838DD5F3 +:04025D0044120367DD +:04025E0036B316FDA0 +:04025F0006F600D0CF +:04026000C6838DD5EF +:0402610006FA00D7C2 +:0402620046B28DD53E +:0402630086B3933695 +:04026400033340E43C +:04026500C68302D377 +:040266008A9D013735 +:04026700933206B612 +:040268000074F61315 +:040269007313062ADB +:04026A0063333FF3C8 +:04026B00633300C336 +:04026C00460300D372 +:04026D007693014142 +:04026E00477200775C +:04026F0066B306C2AA +:04027000749300D3B0 +:0402710017137FF7E9 +:04027200464200B64A +:0402730000C413139D +:0402740000C353135D +:040275007FF6761387 +:0402760047038E5953 +:04027700C503015565 +:0402780004CE03E7C6 +:04027900074E8B1D84 +:04027A0003F5751300 +:04027B00055A8E5939 +:04027C0000A667333E +:04027D00C50346026D +:04027E008EC504071E +:04027F008F5106761F +:0402800003F7C603B7 +:040281000512891DBC +:040282008D518A3DD3 +:040283000547C60362 +:04028400061E8A7D4B +:04028500C6038D51CE +:040286008A050537A9 +:040287008E49063264 +:0402880001F2F51377 +:040289006533055282 +:04028A00C303006545 +:04028B00C78302071C +:04028C00064E021701 +:04028D0001F37313F3 +:04028E008B850366F3 +:04028F00006565336E +:040290008FC907FA11 +:04029100824D4562F3 +:0402920001F513134C +:04029300E7B3452266 +:0402940003370067C5 +:04029500137D0100D4 +:04029600006572B3DA +:04029700F3335512D6 +:04029800051E0062DD +:040299000075653354 +:04029A000182D39377 +:04029B000403F393D2 +:04029C000075653351 +:04029D00000223B781 +:04029E0000B3A623E0 +:04029F0000D3A823BD +:0402A00000E3AA23AA +:0402A10000C3AC23C7 +:0402A200AE23056220 +:0402A300653300F3CC +:0402A400A02300A3F0 +:0402A500543202A32A +:0402A600614554A2B8 +:0402A7000737808213 +:0402A8008C63002043 +:0402A900073702E62B +:0402AA008C6304005D +:0402AB00664102E6C0 +:0402AC0088E3471983 +:0402AD000637E2C668 +:0402AE00872600405F +:0402AF0002C6F96327 +:0402B000C603B50DBF +:0402B1008F1103C7DF +:0402B20077130706B1 +:0402B300BD110FF773 +:0402B40003C7C603B3 +:0402B5008F1147114D +:0402B600C603BFCDEF +:0402B700471503C71D +:0402B800C603BFDDDD +:0402B900471903C717 +:0402BA0077138F1116 +:0402BB00C6030FF770 +:0402BC0018E30367D9 +:0402BD004642DE06D1 +:0402BE0006421621BD +:0402BF00C83282417E +:0402C000063146526B +:0402C100824106422E +:0402C200BBE1CA32A0 +:0402C300C78367E5A1 +:0402C4006765CD4756 +:0402C500CCF709A3C6 +:0402C60087B3477142 +:0402C700676102E782 +:0402C8007F87071312 +:0402C900D68397BA87 +:0402CA00676500E77D +:0402CB00CCD7122357 +:0402CC0066E54B9800 +:0402CD0093238B7D6F +:0402CE00C683CCE631 +:0402CF006765014717 +:0402D000CCD7142350 +:0402D1000127C683B8 +:0402D2001123676528 +:0402D3004798CCD7A5 +:0402D400835566E503 +:0402D500CCE69023C0 +:0402D6000157C68383 +:0402D700182367651C +:0402D800C683CCD736 +:0402D900676501371D +:0402DA00CCD7172343 +:0402DB0000C7D683FF +:0402DC001623676519 +:0402DD00C703CCD7B0 +:0402DE0067E5016768 +:0402DF00CCE79523B0 +:0402E00057138082AE +:0402E10017930185E9 +:0402E2008FD901852A +:0402E30000FF06B75B +:0402E4000085171367 +:0402E5008FD98F75A9 +:0402E6000713674152 +:0402E7008121F0077A +:0402E8008D5D8D7922 +:0402E900C609808240 +:0402EA00000217B740 +:0402EB000007A02345 +:0402EC00F69347013D +:0402ED001637FFC5FC +:0402EE0007B3000250 +:0402EF00646300E55F +:0402F000898D02D71B +:0402F1009463470DBE +:0402F200D68302E5C8 +:0402F30017370007B2 +:0402F40012230002CF +:0402F500C78300D7E4 +:0402F60002230027B8 +:0402F70017B700F73E +:0402F8004B8800022D +:0402F900439C808220 +:0402FA00C25C0711CA +:0402FB004709B7F9FF +:0402FC0000E599631D +:0402FD000007D7031C +:0402FE00000217B72C +:0402FF0000E792235F +:040300004705BFF9F5 +:04030100FCE59DE397 +:040302000007C70326 +:04030300000217B726 +:0403040000E7822369 +:040305006765B7E988 +:04030600CBA7071367 +:040307000007578311 +:040308000807E79368 +:0403090000F71023C6 +:04030A00000217379F +:04030B000EF72023A6 +:04030C001151808289 +:04030D001437C222BD +:04030E00C026000203 +:04030F0084AA460175 +:0403100004C005938D +:0403110004040513C8 +:040312003299C40652 +:040313000FF4F5935B +:040314000513460186 +:040315003A9504040D +:0403160004C0059387 +:0403170004040513C2 +:04031800323D460527 +:0403190004040513C0 +:04031A0040A24412A7 +:04031B00458544824E +:04031C00BA3D0131B4 +:04031D00C4221141A4 +:04031E00000214378E +:04031F00C02AC22608 +:04032000460184AE60 +:0403210004C005937C +:0403220004040513B7 +:040323003209C606CF +:0403240046014782C5 +:0403250004040513B4 +:040326000FF7F59345 +:040327000513323D4B +:040328004422040463 +:0403290085A640B2B3 +:04032A0046054492AE +:04032B00BA310141A1 +:04032C004511115115 +:04032D003FB5C4060E +:04032E00659340A2F1 +:04032F00F5930045FD +:0403300045110FF56F +:04033100B77D013162 +:04033200C222115181 +:04033300842A458152 +:04033400C406453D79 +:040335000513374530 +:040336003FA10C10C7 +:040337000FE57593C6 +:0403380005138DC15B +:0403390037790C10F4 +:04033A0040A2441287 +:04033B000513458DD4 +:04033C0001310C601F +:04033D001141B74172 +:04033E001437C4228A +:04033F00C2260002D0 +:0403400084AEC02A9D +:0403410005934601D9 +:04034200051304A0FB +:04034300C6060404E2 +:04034400881FF0EF2F +:0403450046014782A4 +:040346000404051393 +:04034700F0EF85BE90 +:0403480005138ADF30 +:040349004422040442 +:04034A0085A640B292 +:04034B00460544928D +:04034C00F06F01410C +:04034D001141899F32 +:04034E001437C4227A +:04034F00C2260002C0 +:0403500084AEC02A8D +:0403510005934601C9 +:04035200051302C0CD +:04035300C6060404D2 +:04035400841FF0EF23 +:040355004601478294 +:040356000404051383 +:04035700F0EF85BE80 +:04035800051386DF24 +:040359004422040432 +:04035A0085A640B282 +:04035B00460544927D +:04035C00F06F0141FC +:04035D001151859F16 +:04035E001437C2226C +:04035F00C0260002B2 +:0403600084AA460124 +:0403610005C005933B +:040362000404051377 +:04036300F0EFC406ED +:04036400F593803F4E +:0403650046050FF446 +:040366000404051373 +:0403670082FFF0EF32 +:0403680005C0059334 +:040369000404051370 +:04036A00F0EF460565 +:04036B000513FE6F09 +:04036C00441204042F +:04036D00448240A2E4 +:04036E00013145858F +:04036F00FF4FF06FDD +:04037000C422114151 +:04037100000214373B +:04037200C02AC226B5 +:04037300460184AE0D +:0403740005C0059328 +:040375000404051364 +:04037600F0EFC606D8 +:040377004782FB6F4F +:040378000513460122 +:04037900F5930404F0 +:04037A00F0EF0FF79A +:04037B000513FE0F59 +:04037C00442204040F +:04037D0085A640B25F +:04037E00460544925A +:04037F00F06F0141D9 +:040380001151FCCF4C +:04038100C222C406CA +:040382000065478348 +:04038300456D842A16 +:040384000047959306 +:04038500F5938DDD82 +:04038600375D0FF5DB +:040387000064458346 +:040388003F79457103 +:040389000034458374 +:04038A003F59452969 +:04038B000044458362 +:04038C003779452553 +:04038D000054458350 +:04038E003759452175 +:04038F00000445839E +:040390003FBD4535F3 +:04039100001445838C +:040392003F9D453115 +:04039300002445837A +:0403940040A244122D +:040395000131452DC0 +:040396001141B7A5B5 +:04039700842AC422CE +:04039800C226451123 +:0403990084AEC0323C +:04039A003731C6062B +:04039B00759346020E +:04039C00C65D0F85A6 +:04039D007FF0079353 +:04039E000A87E46383 +:04039F00E5930406D8 +:0403A00045110015EE +:0403A1003F2D0442A6 +:0403A20055938041AE +:0403A300F59300448A +:0403A40045050FF507 +:0403A5001593373540 +:0403A600F593004487 +:0403A70045090F05F0 +:0403A80007B7370557 +:0403A9008793019C99 +:0403AA00D7B3CC07F2 +:0403AB005737029727 +:0403AC00071302250C +:0403AD0045810FF780 +:0403AE00028787B388 +:0403AF0002F770637E +:0403B000042C2737BB +:0403B100D7F7071360 +:0403B20079634585A1 +:0403B300F5B700F7A3 +:0403B4008593080B1A +:0403B500B5B3FBF5EC +:0403B600058900F5C0 +:0403B700879367E1E0 +:0403B80097AEAB074A +:0403B9000007C50371 +:0403BA000280079323 +:0403BB000533471DA2 +:0403BC00579302F55C +:0403BD00953E001455 +:0403BE00028544333D +:0403BF000FF47413B0 +:0403C0000FF477932C +:0403C10000877363DB +:0403C2004422479DED +:0403C300449240B26E +:0403C400059A078E01 +:0403C500F5938DDD42 +:0403C600450D0F854D +:0403C700B54D0141EE +:0403C8003D79451125 +:0403C9005583B79D04 +:0403CA00115100A528 +:0403CB0081A1C22228 +:0403CC000513842A67 +:0403CD00C40604B0AE +:0403CE0045833561CD +:0403CF00051300A46E +:0403D0003DBD04A08B +:0403D10000C455838C +:0403D20004D005133B +:0403D3003D8D81A13A +:0403D40000C4458399 +:0403D50004C0051348 +:0403D600558335A571 +:0403D700051300E426 +:0403D80081A104F00B +:0403D90045833DB16A +:0403DA00051300E423 +:0403DB003D8904E074 +:0403DC000044558301 +:0403DD0005100513EF +:0403DE00359981A12B +:0403DF00004445830E +:0403E00005000513FC +:0403E10055833D35CE +:0403E200051300649B +:0403E30081A10530BF +:0403E40045833D050B +:0403E5000513006498 +:0403E600351D05209C +:0403E70000845583B6 +:0403E80005500513A4 +:0403E9003D2981A188 +:0403EA0000844583C3 +:0403EB0005400513B1 +:0403EC0055833D01F7 +:0403ED0005130104EF +:0403EE0081A1057074 +:0403EF0045833511FC +:0403F00005130104EC +:0403F1003BED05607B +:0403F200012455830A +:0403F3000590051359 +:0403F40033FD81A1B3 +:0403F5000124458317 +:0403F6000580051366 +:0403F700558333D522 +:0403F80005130144A4 +:0403F90081A105B029 +:0403FA0045833BE11B +:0403FB004412014463 +:0403FC00051340A203 +:0403FD00013105A025 +:0403FE001151B3E105 +:0403FF00842AC22268 +:0404000003F00513ED +:040401003B85C4066D +:040402000F057593DA +:0404030044128DC151 +:04040400051340A2FA +:04040500013103F0CE +:040406001151B36578 +:04040700842AC2225F +:04040800C406456978 +:0404090075133B89A3 +:04040A00041A03F5D8 +:04040B0075938C4910 +:04040C0044120FF493 +:04040D00456940A25B +:04040E00B3590131AC +:04040F00C2221151A3 +:04041000842EC02650 +:04041100843384AA02 +:04041200451102840A +:040413003325C406C3 +:040414007593891D36 +:04041500058E01F45B +:0404160045118DC936 +:0404170040A2339537 +:0404180040545513E4 +:0404190044824412C3 +:04041A000FF5751352 +:04041B0080820131A9 +:04041C00C222115196 +:04041D00000214378E +:04041E0005934601FB +:04041F00051302C0FF +:04042000C406040406 +:04042100D0CFF0EF59 +:0404220045854605C1 +:0404230004040513B5 +:04042400D3AFF0EF73 +:0404250002C0059379 +:0404260004040513B2 +:04042700F0EF4605A7 +:040428000513CF2FBA +:040429004412040471 +:04042A00458540A222 +:04042B00F06F01313C +:04042C001151D02F6B +:04042D00C222C4061D +:04042E003F5D842A80 +:04042F00FE7575934E +:040430008C4D040EDD +:040431000FF474133D +:04043200450585A255 +:0404330085A231ADC0 +:0404340031954509B0 +:04043500441285A246 +:04043600450D40A28E +:04043700B9A1013135 +:04043800C026115178 +:04043900C40667E5A9 +:04043A00C703C22210 +:04043B0084AACBD7ED +:04043C0067E1E1494A +:04043D0069C7879371 +:04043E00043743D468 +:04043F000413019C05 +:040440005433CC0461 +:04044100878302D4D7 +:0404420046F100A7D8 +:0404430002D786B3A3 +:04044400879367E152 +:0404450097B67F8760 +:0404460000E7D78371 +:0404470002F4043384 +:040448001D63478960 +:04044900C7B700F73A +:04044A00879301C9CA +:04044B00E36337F739 +:04044C0044BD008724 +:04044D0035D18526FA +:04044E00A03D450D7B +:04044F0067E53D7DA3 +:04045000CBF7C7031C +:04045100FF87079387 +:040452000F77F79396 +:040453004791C7996D +:040454001B634501E0 +:04045500450500F762 +:04045600B537A8010D +:04045700051304C4C1 +:0404580035333FF504 +:04045900050900850C +:04045A0040A2441266 +:04045B0001314482A5 +:04045C004409B7890F +:04045D00008717639A +:04045E008EE3453DA7 +:04045F004501FAE475 +:040460004501BF5D36 +:04046100450D3D9D6B +:04046200FE9470E3B1 +:040463008D054515A9 +:040464000FF5751308 +:040465001793BFD159 +:04046600655D0025AB +:0404670043450513F1 +:04046800410C953E70 +:04046900464565613E +:04046A0072050513FF +:04046B0011E0506FDD +:04046C00053345F11E +:04046D0065E102B58E +:04046E007F8585936E +:04046F0095AA4645BF +:0404700005136561AA +:04047100506F720551 +:0404720047A9104046 +:0404730000F50E631F +:04047400050A67E12D +:04047500C087879322 +:04047600410C953E62 +:040477004645656130 +:0404780072050513F1 +:040479000E60506F52 +:04047A00859365D928 +:04047B00B7FD3BC5C9 +:04047C00112167E5FE +:04047D00CA47A68341 +:04047E00C82267E148 +:04047F008793C62673 +:04048000CA067B0726 +:040481000377C70333 +:040482000387C60323 +:040483003E800413A0 +:04048400D4334785A1 +:04048500648D0286FA +:0404860000F7156303 +:04048700849364896D +:040488004585800422 +:04048900C432453DF7 +:04048A00C036C23A7C +:04048B00A49FF0EF4B +:04048C00051345818E +:04048D00F0EF033059 +:04048E00D593A3FF60 +:04048F0005130084CD +:04049000F0EF034046 +:040491004581A33FBF +:0404920003500513FB +:04049300A29FF0EF45 +:040494000FF4759359 +:040495000300051348 +:04049600A1DFF0EF03 +:0404970000845593F5 +:040498000FF5F593D4 +:040499000310051334 +:04049A00A0DFF0EF00 +:04049B000104559370 +:04049C000FF5F593D0 +:04049D000320051320 +:04049E009FDFF0EFFD +:04049F00453D458111 +:0404A0009F5FF0EF7B +:0404A1000513458179 +:0404A200F0EF0C501B +:0404A30046829EBF30 +:0404A400471264E1B6 +:0404A50068C487930D +:0404A6004785C7942B +:0404A70084934622D2 +:0404A800440968C4D7 +:0404A90000F70363F2 +:0404AA004685442916 +:0404AB00008483A3A3 +:0404AC0000D48223D3 +:0404AD00036347BDE1 +:0404AE00478100D6AC +:0404AF0000F482A330 +:0404B0000E00051322 +:0404B1000C000593A3 +:0404B200F0EFC03A6D +:0404B30047029ABFA3 +:0404B4000513478560 +:0404B5001D6305902E +:0404B600F0EF10F75C +:0404B7007593959F05 +:0404B800E5930FC5F4 +:0404B9000513001512 +:0404BA00F0EF0590CA +:0404BB00451198BF90 +:0404BC00943FF0EF8A +:0404BD000EB5759370 +:0404BE00F0EF451105 +:0404BF00C58397BF9B +:0404C00005130044DC +:0404C100F0EF0E103A +:0404C200059396FF09 +:0404C30005130E40CF +:0404C400F0EF0E2027 +:0404C500C583963F16 +:0404C60005130054C6 +:0404C70089BD0E30AD +:0404C800955FF0EF5D +:0404C900051345A131 +:0404CA00F0EF0E4001 +:0404CB00458594BF10 +:0404CC00F0EF453DCB +:0404CD0045A1943F72 +:0404CE0009100513F9 +:0404CF00939FF0EF18 +:0404D000051345814A +:0404D100F0EF09201F +:0404D200458592FFCB +:0404D30009300513D4 +:0404D400925FF0EF54 +:0404D5000513458145 +:0404D600F0EF0940FA +:0404D70085A291BFAA +:0404D800098005137F +:0404D900911FF0EF90 +:0404DA000FF4459343 +:0404DB00E59305920E +:0404DC00F59300B5DF +:0404DD0005130FB53F +:0404DE00F0EF0990A2 +:0404DF0045818FBF05 +:0404E000F0EF453DB7 +:0404E10005938F3FB1 +:0404E20005130C10E2 +:0404E300F0EF0E0028 +:0404E40007938E7F6D +:0404E5008323FC1061 +:0404E600458500F454 +:0404E700F0EF453DB0 +:0404E80045858D7F3A +:0404E9000680051371 +:0404EA008CDFF0EFC4 +:0404EB00051345812F +:0404EC00F0EF069097 +:0404ED0045818C3F7A +:0404EE0006B005133C +:0404EF008B9FF0EF00 +:0404F000051345812A +:0404F100F0EF06C062 +:0404F20005938AFFE5 +:0404F30005130700E6 +:0404F400F0EF06D04F +:0404F50045818A3F74 +:0404F600F0EF453DA1 +:0404F700444289BF33 +:0404F80044B240D2F8 +:0404F9000513458D15 +:0404FA0001610CE0B0 +:0404FB00889FF06F77 +:0404FC00843FF0EF5A +:0404FD000FC575931F +:0404FE000113B5FD34 +:0404FF00D006FDC165 +:04050000CC26CE2215 +:0405010087AA470975 +:0405020010E59C6301 +:0405030005000713D5 +:0405040000E105A36A +:0405050000B14703F7 +:040506000076141354 +:0405070004800593D4 +:0405080000846413F4 +:040509000036F49331 +:04050A00002767134C +:04050B0000B1062312 +:04050C000FF4741361 +:04050D00453D45859E +:04050E0000F10723CE +:04050F0005A3C03E42 +:04051000C23A00E10A +:04051100008106A3BC +:04051200009107A3AA +:04051300CA02C8024E +:04051400825FF0EF23 +:040515000513471271 +:0405160085BA05801D +:04051700819FF0EFE1 +:0405180004800593C3 +:040519000590051331 +:04051A0080DFF0EF9F +:04051B00051385A29D +:04051C00F0EF05A057 +:04051D004782803F52 +:04051E0005B005130C +:04051F00F0EF85BEB6 +:0405200085A6FF6F3E +:0405210005C00513F9 +:04052200FECFF0EF29 +:0405230005134581F6 +:04052400F0EF05E00F +:040525004581FE2FDF +:0405260005F00513C4 +:04052700FD8FF0EF65 +:0405280005134581F1 +:04052900F0EF0600E9 +:04052A004581FCEF1C +:04052B00061005139E +:04052C00FC4FF0EFA1 +:04052D0005134581EC +:04052E00F0EF0620C4 +:04052F004581FBAF58 +:040530000630051379 +:04053100FB0FF0EFDD +:0405320005134581E7 +:04053300F0EF06409F +:040534004581FA6F94 +:040535000650051354 +:04053600F9CFF0EF1A +:040537000713003C6A +:0405380045810151A7 +:040539000037C6833E +:04053A008D9507850F +:04053B000FF5F59330 +:04053C00FEF71AE3C9 +:04053D0006F58593A7 +:04053E000FF5F5932D +:04053F0005D00513CB +:04054000F74FF0EF92 +:04054100453D45816E +:04054200F6CFF0EF11 +:04054300508244722C +:04054400458D44E2BB +:040545000CD00513BE +:04054600024101135A +:04054700F58FF06FCD +:04054800B5FD474175 +:04054900CA221111A0 +:04054A004505842AB5 +:04054B00C826CC06EC +:04054C00F98FF0EF44 +:04054D00F0EF451175 +:04054E006593EFCFF3 +:04054F00F59300859B +:0405500045110FF54D +:04055100F30FF0EFC5 +:04055200030005930A +:040553000610051376 +:04055400F24FF0EF83 +:0405550005134581C4 +:04055600F0EF0CD0E6 +:0405570067E1F1AFB8 +:0405580069C78713D5 +:0405590001074483CF +:04055A0069C7879353 +:04055B00C03E47094E +:04055C00009034B324 +:04055D00C23A4785D2 +:04055E0003630485AA +:04055F00C20200F4E0 +:04056000451145F507 +:04056100EF0FF0EFB9 +:04056200079366E1B4 +:040563008613FFE418 +:0405640037B368C67B +:04056500971300F0F8 +:0405660047830017B0 +:040567009BF500C63A +:0405680087138FD98D +:04056900062368C637 +:04056A00C43A00F699 +:04056B00C7818B8930 +:04056C00F0EF450562 +:04056D0047A2F16F41 +:04056E00070005136A +:04056F000037C78307 +:04057000F0EFC63EA4 +:0405710047B2E70F97 +:040572000035759348 +:040573000087F713F3 +:04057400E593C3192F +:04057500F713020571 +:04057600C31900178E +:040577000105E59302 +:040578000027F7134E +:04057900E593C3192A +:04057A008B910085DC +:04057B00E593C399A8 +:04057C00051300451E +:04057D00F0EF070094 +:04057E004792E7EFCA +:04057F00C3B545813A +:04058000879367E115 +:04058100C583648743 +:040582000513000756 +:04058300F0EF07305E +:0405840067E1E66FD6 +:0405850064878713ED +:040586000017458392 +:040587000740051311 +:04058800E54FF0EF5C +:04058900879367E10C +:04058A00C58364873A +:04058B00051300272D +:04058C00F0EF075035 +:04058D006761E42F8F +:04058E000713478187 +:04058F0006B3C347A5 +:04059000C58300F728 +:0405910085130006C8 +:04059200C23E0767F7 +:04059300E28FF0EF14 +:0405940067614792C2 +:04059500078546C9C7 +:04059600C34707133D +:04059700FED791E317 +:040598000513458979 +:04059900C22E072047 +:04059A00DCAFF0EFF3 +:04059B00897145928B +:04059C0005138DC9ED +:04059D00F0EF072054 +:04059E0047A2DFEFA2 +:04059F00C783458544 +:0405A0008B8900C77C +:0405A1004581E3911C +:0405A2000C00051331 +:0405A300DE8FF0EF08 +:0405A400451145D5E3 +:0405A500DE0FF0EF86 +:0405A600051345C133 +:0405A700F0EF06105B +:0405A8004789DD6F33 +:0405A9000EF49E634B +:0405AA00088005932D +:0405AB00062005130E +:0405AC00DC4FF0EF41 +:0405AD00051345C12C +:0405AE00F0EF063034 +:0405AF000593DBAF26 +:0405B00005130840E7 +:0405B100F0EF064021 +:0405B2000513DAEF64 +:0405B300E0EF3E80B7 +:0405B4004511A31F2B +:0405B500D5EFF0EF9F +:0405B60001D5759363 +:0405B700F0EF45110B +:0405B8006561D96F31 +:0405B9006A050513B7 +:0405BA00A17FE0EF4E +:0405BB00F0EF451107 +:0405BC007593D44F10 +:0405BD00451101558E +:0405BE00D7CFF0EFB4 +:0405BF00064004935B +:0405C000F0EF4539DA +:0405C1008941D30F8A +:0405C20014FDE90932 +:0405C300051304C256 +:0405C40080C13E8034 +:0405C5009EBFE0EF06 +:0405C6004581F4E592 +:0405C700F0EF4531DB +:0405C8000593D56F53 +:0405C90045350400B0 +:0405CA00D4CFF0EFAB +:0405CB0045394585E4 +:0405CC00D44FF0EF29 +:0405CD0045394581E6 +:0405CE00D3CFF0EFA8 +:0405CF00453D4581E0 +:0405D000D34FF0EF26 +:0405D1000513458148 +:0405D200F0EF061030 +:0405D3004789D2AFD3 +:0405D40002F40563C5 +:0405D50064E1478214 +:0405D6007B0484938B +:0405D70000F7C683E0 +:0405D8000117C5033F +:0405D9000244C6030F +:0405DA00008035B3B5 +:0405DB0031710586EF +:0405DC000244C7838B +:0405DD0001234702AD +:0405DE00F0EF04F73F +:0405DF004581D36F10 +:0405E0000CE0051313 +:0405E100CF0FF0EF59 +:0405E20004634789DE +:0405E300F0EF00F441 +:0405E4004452A63F98 +:0405E50044C240E2EA +:0405E6000171450159 +:0405E700D2CFF06F10 +:0405E800051345E1D1 +:0405E900F0EF062009 +:0405EA0045C1CCEF4C +:0405EB0006300513BE +:0405EC00CC4FF0EF11 +:0405ED00B73145B12C +:0405EE0001A3114113 +:0405EF00153700A11B +:0405F000C42200021F +:0405F100842E460509 +:0405F20004050513E4 +:0405F300003105933B +:0405F400E0EFC60668 +:0405F5008522E43F38 +:0405F600927FE0EF21 +:0405F700442240B2A8 +:0405F80080820141BB +:0405F9000513115184 +:0405FA00C406022011 +:0405FB00C026C22232 +:0405FC00D86FF0EFD5 +:0405FD000FF574136F +:0405FE00455945CD49 +:0405FF00DC4FF0EFEE +:040600008513648971 +:04060100E0EF7104B1 +:04060200458D8F9FF4 +:04060300F0EF455D72 +:040604008513DB2F50 +:04060500E0EF7104AD +:0406060065938E9FCB +:0406070005130804CB +:04060800F0EF0220ED +:040609000513D9EF0D +:04060A00E0EF3E805F +:04060B0075938D5FF7 +:04060C00441207F499 +:04060D00448240A241 +:04060E0002200513AE +:04060F00F06F013156 +:040610004789D82F0F +:040611000CA7EF63E0 +:04061200C22211519E +:04061300C406C02633 +:04061400000284B7A5 +:04061500842A409C57 +:040616008BBD83ED28 +:040617000AA78E633D +:0406180007B347D10C +:0406190065DD02F5A4 +:04061A00440585937B +:04061B0085134651AC +:04061C00883D0044D1 +:04061D0095BE040A78 +:04061E00E1BFE0EF69 +:04061F00F793409C71 +:040620008C5DFC37BA +:040621006461C080D0 +:0406220069C407930D +:0406230001C7C783C1 +:0406240069C404138E +:040625006765C395AD +:04062600CBA7071344 +:0406270000075783EE +:040628009BF54529D0 +:0406290083C107C2C0 +:04062A0000F71023A2 +:04062B00000217377B +:04062C000EF7202382 +:04062D0084BFE0EFB7 +:04062E00000217B7F8 +:04062F000D07A78389 +:040630000008073780 +:04063100EB8D8FF9C5 +:04063200000287B784 +:04063300596343982C +:0406340043980007E0 +:0406350067134505FD +:04063600C39800174E +:04063700823FE0EF2F +:04063800000287B77E +:040639004529439874 +:04063A00C3989B794D +:04063B006713439866 +:04063C00C398002738 +:04063D0080BFE0EFAB +:04063E0001C4478329 +:04063F006765CF918B +:04064000CBA707132A +:0406410000075783D4 +:040642000027E79313 +:0406430000F7102389 +:040644000002173762 +:040645000EF7202369 +:04064600441240A278 +:0406470001314482B7 +:0406480080828082AA +:04064900656165DDA5 +:04064A000613115131 +:04064B00859304602F +:04064C00051352C57B +:04064D00C4067B055F +:04064E00D5BFE0EF45 +:04064F00656165DD9F +:0406500047C0061386 +:0406510057458593F1 +:040652007F85051388 +:04065300D47FE0EF81 +:0406540067E540A274 +:0406550089234705A9 +:040656004501CCE7A7 +:04065700808201316B +:04065800467006934F +:0406590002D505338E +:04065A0006400693BD +:04065B0006136659C3 +:04065C0045C53C460E +:04065D0002D546B3C9 +:04065E0005136561BA +:04065F00306F720581 +:0406600046B7749095 +:040661008693000F6D +:040662000533240632 +:04066300678902D5CC +:04066400964787939B +:040665003E800713B9 +:04066600006336B740 +:04066700EA06869386 +:0406680006136659B6 +:0406690045C53CC681 +:04066A0002F557B38B +:04066B0002E7F7B3F8 +:04066C0056B3472911 +:04066D00656102D5EC +:04066E0072050513F9 +:04066F0002E7D73394 +:040670007070306F07 +:0406710027100693B5 +:040672000533050542 +:04067300069302D513 +:04067400665906407D +:040675003D860613A5 +:0406760046B345C57D +:04067700656102D5E2 +:0406780072050513EF +:040679006E30306F40 +:04067A0027100693AC +:04067B0002D505336C +:04067C00064006939B +:04067D0006136659A1 +:04067E0045C53D86AB +:04067F0002D546B3A7 +:040680000513656198 +:04068100306F72055F +:04068200BFF96C1040 +:04068300665986AA84 +:040684000613656193 +:0406850045C53E0623 +:0406860072050513E1 +:040687006AB0306FB6 +:04068800665986AA7F +:04068900061365618E +:04068A0045C53EC65E +:04068B0072050513DC +:04068C006970306FF2 +:04068D00665986AA7A +:04068E000613656189 +:04068F0045C53F8698 +:0406900072050513D7 +:040691006830306F2E +:04069200F8050693CE +:04069300665906E2BC +:0406940086E1656135 +:040695006E060613D4 +:04069600051345C53E +:04069700306F720549 +:0406980016936690BF +:0406990086E1018570 +:04069A0065616659D7 +:04069B00061316D15B +:04069C0045C53FC64B +:04069D0072050513CA +:04069E0064F0306F65 +:04069F0096B3468543 +:0406A000665900A6F1 +:0406A1000613656176 +:0406A20045C53E0606 +:0406A30072050513C4 +:0406A4006370306FE0 +:0406A500468505057C +:0406A60000A696B361 +:0406A70065616659CA +:0406A8003EC6061331 +:0406A900051345C52B +:0406AA00306F720536 +:0406AB00478361D050 +:0406AC0047110045AD +:0406AD00696386B245 +:0406AE00675906F78B +:0406AF000713078A9C +:0406B00097BA41872D +:0406B1008782439C5D +:0406B2004645451C58 +:0406B3000007C70372 +:0406B400070A491CCC +:0406B500438C97BA21 +:0406B6000513656162 +:0406B700406F720519 +:0406B80045187EC0A3 +:0406B9004503491C90 +:0406BA00878200072C +:0406BB004508491C89 +:0406BC0067E18782E9 +:0406BD00720780231D +:0406BE00C1958082E0 +:0406BF0065D9E61102 +:0406C0008593464593 +:0406C100BFC9404528 +:0406C2000206506379 +:0406C30065616659AE +:0406C40040C6061313 +:0406C500051345C50F +:0406C600306F72051A +:0406C700455C5AD064 +:0406C8004398DBE98F +:0406C900B7C1479CD2 +:0406CA0067E58082DE +:0406CB00C9E7C78331 +:0406CC0067E5C3E13A +:0406CD00CA07C7038E +:0406CE001B634785DE +:0406CF0067610AF75E +:0406D00007131111EA +:0406D100CA224E47A4 +:0406D200CC06C82664 +:0406D3004481440119 +:0406D400C23A47815E +:0406D500470367650B +:0406D6004692C9F788 +:0406D7009736070E3D +:0406D8004703431081 +:0406D900CD630006E7 +:0406DA0047B700E737 +:0406DB00A223000254 +:0406DC00A4233C9780 +:0406DD0040E23C8734 +:0406DE0044C244527C +:0406DF0080820171A3 +:0406E00087334751C4 +:0406E100425002E79A +:0406E2000057969394 +:0406E30047B7C63E11 +:0406E4008533000258 +:0406E500C03600F625 +:0406E600430C9732F8 +:0406E700C43A46418A +:0406E80072A040EFCD +:0406E90047B24722AB +:0406EA0046034585F9 +:0406EB0092B300477F +:0406EC00C61600F539 +:0406ED0076131675F5 +:0406EE00E4B30FF66C +:0406EF00F763005459 +:0406F000853A02C580 +:0406F10045814601F8 +:0406F20035D5C43EF8 +:0406F300000247B703 +:0406F4000107851362 +:0406F50065E14782F2 +:0406F600953E4641A6 +:0406F7007205859370 +:0406F8006EA040EFC1 +:0406F90047A242B220 +:0406FA000054643311 +:0406FB00B79D07851B +:0406FC0001138082E4 +:0406FD006765FD81AF +:0406FE005403D222AD +:0406FF006765CC87D8 +:04070000CC274703B8 +:0407010062E5D026B7 +:040702006765C03A2D +:04070300CC075703C5 +:04070400666564E5DD +:040705006765C23A28 +:04070600CD075703C1 +:04070700CC44D68385 +:04070800CCA6530325 +:040709006765C43A22 +:04070A00CCE74703EE +:04070B00849385AAA4 +:04070C00C63ACC44D9 +:04070D0057036765C2 +:04070E00D503CCC77C +:04070F000613CC629F +:04071000C83ACCA671 +:040711000713676102 +:04071200438369C7ED +:04071300829300B716 +:0407140067E5CC6267 +:04071500CA26CC160E +:04071600CE3262E19C +:04071700CD37C78390 +:040718007F828293C7 +:0407190008038163ED +:04071A0000A706032B +:04071B0006F61D635E +:04071C0007334771E7 +:04071D00971602E643 +:04071E0000E753831A +:04071F0006D3916309 +:040720000107238327 +:040721000FF57493C9 +:0407220001F3F39359 +:04072300049399633F +:0407240001474383C3 +:040725000483956351 +:0407260001274383E1 +:040727009063448215 +:040728002383049390 +:04072900449200876F +:04072A000153D39311 +:04072B000293996339 +:04072C0001574383AB +:04072D00946344A2EB +:04072E00438302936C +:04072F0044B2013798 +:0407300000939F6330 +:0407310000C75703A3 +:040732001A6344C240 +:040733004771009773 +:0407340002E60633A0 +:0407350047039616CA +:0407360006630166EF +:04073700676500678B +:040738000923460546 +:040739004771CCC771 +:04073A0002E787B398 +:04073B0001F577133A +:04073C00D78392BE0F +:04073D0097230102FB +:04073E008A2300D238 +:04073F009B81008218 +:0407400000E7E533B6 +:0407410000A2982357 +:040742008B2347823C +:04074300656100628A +:0407440000F2892313 +:04074500961347922E +:04074600D7830057FE +:040747008BFD00A284 +:0407480095238FD195 +:0407490047A200F2D1 +:04074A0000F28AA38C +:04074B0089A347B285 +:04074C0047C200F2AE +:04074D0000F29623FD +:04074E00966347F275 +:04074F00069302F516 +:0407500003334650D9 +:04075100069302D336 +:04075200665906409E +:0407530042C6061381 +:0407540002D346B3D3 +:040755005482541264 +:04075600051345C57D +:040757000113720513 +:04075800306F02817B +:0407590047D23650FD +:04075A0000F59663AD +:04075B0006136659C2 +:04075C00B7CD3F8650 +:04075D00996347E273 +:04075E00469500F5C7 +:04075F0006B366591E +:04076000061302D7A3 +:04076100B7F943465B +:040762000005D68335 +:0407630067E5B7C5CA +:04076400CB47879365 +:04076500EF194398AD +:040766000713671DF1 +:040767000533978738 +:04076800115102E544 +:04076900C388C40677 +:04076A00B7AFE0EF56 +:04076B00450140A262 +:04076C008082013155 +:04076D00808245053C +:04076E00C40611515B +:04076F00B8AFE0EF50 +:04077000A78367E50F +:0407710040A2CB4790 +:0407720000F5353326 +:04077300808201314E +:040774006563473D35 +:0407750057B718A7B3 +:04077600716D44520B +:0407770035578793D8 +:0407780057B7C23E6F +:040779008793004121 +:04077A00C43E44171E +:04077B0000F53793BB +:04077C0010812423A1 +:04077D001091222392 +:04077E00101126230D +:04077F00072364E503 +:04078000842A00F1D6 +:04078100C984849310 +:040782000CE510630F +:04078300879367955C +:0407840065E15007D4 +:0407850000F1162346 +:040786000793462966 +:04078700859304A0B2 +:0407880005137005E0 +:0407890007A30111B0 +:04078A00082300F14F +:04078B00E0EF00019A +:04078C0067E5865F38 +:04078D00CBC7C7838C +:04078E00061365E108 +:04078F000E230360D2 +:0407900067E500F128 +:04079100C947C7830A +:040792007345859393 +:0407930002510513F7 +:0407940000F10EA3BF +:04079500C78367E5CA +:040796000DA3CA974E +:0407970067E500F121 +:04079800C9C7C78383 +:0407990000F10F2339 +:04079A00C78367E5C5 +:04079B000FA3C8B729 +:04079C0067E500F11C +:04079D00C897C783AF +:04079E0002F1002341 +:04079F00C78367E1C4 +:0407A00000A3644707 +:0407A10067E502F115 +:0407A200C8A7C7839A +:0407A30002F101233B +:0407A400C78367E1BF +:0407A50001A3654700 +:0407A60067E102F114 +:0407A7006557C78348 +:0407A80002F1022335 +:0407A900FEEFE0EF90 +:0407AA0006934088EA +:0407AB000050057085 +:0407AC00001F05B76E +:0407AD00CA6FE0EF40 +:0407AE0010C12083D3 +:0407AF00108124038E +:0407B000104124834D +:0407B1008082615190 +:0407B2008793679929 +:0407B3001623800782 +:0407B40007B700F192 +:0407B5008793047CA6 +:0407B600CE3E0467C8 +:0407B700C70367E12C +:0407B800EB117A07C0 +:0407B90085136659E5 +:0407BA0006137A07A1 +:0407BB0045B543C637 +:0407BC001D7030EF8D +:0407BD00859367E1D8 +:0407BE0046357A073B +:0407BF0000F105132D +:0407C0003CA040EF2A +:0407C100061365E1D5 +:0407C20085930460B7 +:0407C30010087B059A +:0407C400F82FE0EF3B +:0407C500061365E1D1 +:0407C600859309E02E +:0407C70005137F8512 +:0407C800E0EF0661F7 +:0407C9000593F70F8E +:0407CA00408801045E +:0407CB000FF5F5939E +:0407CC00069305C2C9 +:0407CD0000501000C8 +:0407CE00E0EFC02E6A +:0407CF00FD2DC20F2B +:0407D0004088458296 +:0407D100879367E1C2 +:0407D20007137F8703 +:0407D30086933DE0EC +:0407D400861309E798 +:0407D500E0EF10053C +:0407D600BFB9AE4FAA +:0407D7008082557D4A +:0407D800C0261151D5 +:0407D900849364E5BC +:0407DA00C503CAB4D5 +:0407DB00C222000432 +:0407DC003DB9C40659 +:0407DD00E515842A70 +:0407DE000004C70349 +:0407DF00468167E503 +:0407E000CAE78523BC +:0407E100C78367E57E +:0407E200C781CA976A +:0407E300C68367E181 +:0407E40067E16B87D7 +:0407E500700787937F +:0407E600453D97B640 +:0407E70000E7802384 +:0407E80040A23D05E9 +:0407E900441285220F +:0407EA000131448213 +:0407EB0001138082F4 +:0407EC0067E1EE4192 +:0407ED007A078023E4 +:0407EE0010112C2397 +:0407EF0010812A2328 +:0407F0001091282319 +:0407F10047BDC22E10 +:0407F20020A7EE63EB +:0407F30064650541F3 +:0407F4000FF57793F3 +:0407F500C98425038B +:0407F60001079593CF +:0407F7001000069355 +:0407F800C03E0810E7 +:0407F900C2EFE0EF7C +:0407FA00C984071394 +:0407FB0087AAC43ACB +:0407FC0065D9E105D5 +:0407FD004445859357 +:0407FE00E0EF080818 +:0407FF0087AAEE4F88 +:040800001E0514635A +:0408010001A1470307 +:040802004685CF1147 +:040803000ED70E639B +:0408040011812083BB +:040805001141240376 +:040806001101248335 +:040807000113853E16 +:04080800808211C118 +:04080900018147031F +:04080A001C07126352 +:04080B00019146838E +:04080C000550071379 +:04080D001AE69C63E8 +:04080E0001C14703DA +:04080F0001B146836A +:040810008F550722D7 +:0408110004A00693A6 +:04081200FCD714E318 +:04081300F36947122C +:04081400081866E179 +:040815004539478199 +:04081600700686934F +:04081700460345A9A6 +:04081800666300D73C +:04081900833300C560 +:04081A00002300F6C1 +:04081B00078500C38A +:04081C0096E3070553 +:04081D004783FEB758 +:04081E006765029177 +:04081F00C8F70A23E9 +:04082000666347259F +:04082100676500F710 +:04082200CAF70E23E0 +:040823004783A0293E +:040824007AE30281F0 +:040825004703FEF790 +:0408260067E502B1CF +:0408270085A36561DF +:040828004703C8E7D3 +:0408290067E502C1BC +:04082A00036006134E +:04082B00C8E784A3F3 +:04082C0002D14703AB +:04082D00059367E1E7 +:04082E00822303110D +:04082F00470364E730 +:0408300067E502E195 +:0408310073450513F3 +:04083200C8E785236B +:0408330002F1470384 +:040834008A2367E1CB +:04083500470364E72A +:0408360067E1030172 +:0408370064E78AA345 +:0408380002714703FF +:0408390084A367E548 +:04083A0067E1CAE7C1 +:04083B007007C70378 +:04083C00852367E5C4 +:04083D004703CAE7BC +:04083E0067E502A1C7 +:04083F00C8E78E2355 +:04084000D92FE0EFDD +:04084100B72947810B +:040842000181448369 +:040843004683E0E523 +:040844000713019104 +:040845009B6305802C +:0408460056830CE6E3 +:040847000713028110 +:0408480097E30460CE +:040849005403EEE680 +:04084A00071302A1ED +:04084B0011E347C0AE +:04084C0067E1EEE48E +:04084D004635C62A3C +:04084E007A0785138D +:04084F0001B105935B +:0408500018A040EFBD +:0408510047B2471251 +:04085200EC0714E3B8 +:0408530006136561C2 +:04085400106C0460C0 +:040855007B05051307 +:04085600D3AFE0EF4D +:040857006361478210 +:0408580007A24701AB +:040859000313C03E87 +:04085A0007937F83FE +:04085B00061306205A +:04085C000533100050 +:04085D00C63A00E3B4 +:04085E0008188E1DCB +:04085F0005B3C23EDD +:04086000496300F7F1 +:04086100E0EF04C4FC +:040862004792D0CF1A +:04086300048547328F +:040864008F1D943E12 +:04086500F49347823F +:0408660007130FF471 +:0408670085B310073E +:0408680047A200F4AF +:04086900834107427E +:04086A000693438826 +:04086B000810100061 +:04086C00C23A05A2E5 +:04086D00F00404137C +:04086E00A5AFE0EF63 +:04086F0066E10442F8 +:04087000804147126A +:04087100831387AABC +:0408720013E37F8687 +:040873004781E405D0 +:04087400A021FC596A +:04087500E0EF862208 +:0408760067E5CBCF98 +:040877008923470585 +:04087800B70DCCE705 +:04087900B52D57FD45 +:04087A00B51D4785DC +:04087B00B50D4789E7 +:04087C00C026115130 +:04087D00849364E517 +:04087E00C503CAB430 +:04087F0045810004AB +:04088000C406C222C6 +:04088100842A336D25 +:04088200C703E121A6 +:0408830067E5000421 +:04088400852346A9D9 +:0408850067E1CAE776 +:040886007F57C7834E +:0408870000D78563AE +:040888008E2366E570 +:0408890067E5CAF65F +:04088A00CA97C783BF +:04088B00C78146815A +:04088C00C68367E5D3 +:04088D0067E1CBC78D +:04088E0070078793D5 +:04088F00453D97B696 +:0408900000E78023DA +:04089100B8DFF0EFED +:04089200852240A2D9 +:040893004482441245 +:04089400808201312C +:040895004585114143 +:04089600C02AC606A8 +:04089700D53FF0EF6A +:0408980047836761CA +:0408990046827A0712 +:04089A007A070713BF +:04089B006759E78131 +:04089C0044C7071333 +:04089D00665940B2A6 +:04089E000613656177 +:04089F0045C54546C0 +:0408A00072050513C5 +:0408A100306F014172 +:0408A20011216400BC +:0408A3006465C8229E +:0408A400CBA40713C7 +:0408A500000757836E +:0408A600C626CA0692 +:0408A700F9F7F793D3 +:0408A80083C107C23F +:0408A90000F7102321 +:0408AA00000216B77B +:0408AB00A023C02E98 +:0408AC0005930EF6AC +:0408AD0084AA3200E7 +:0408AE00F0EF45051D +:0408AF000513CFEF6F +:0408B000D0EF19006C +:0408B1000713E3DF67 +:0408B2005783CBA4F9 +:0408B30016B700076D +:0408B40045C1000238 +:0408B5000207E793BC +:0408B60000F7102314 +:0408B7000EF6A02376 +:0408B80040EF852662 +:0408B900779300C071 +:0408BA0004130FF51F +:0408BB00EB89CBA456 +:0408BC00464565E167 +:0408BD00A205859378 +:0408BE0030EF85266C +:0408BF0047857D10DC +:0408C00086B34701B3 +:0408C100C50300E487 +:0408C20045D1000616 +:0408C300C23AC43E33 +:0408C400CA8FF0EFF8 +:0408C50047A24712ED +:0408C6007693070519 +:0408C700E2E30FF762 +:0408C8005783FEF65E +:0408C90014B700045C +:0408CA0045D1000212 +:0408CB00FDF7F793AB +:0408CC0083C107C21B +:0408CD0000F4102300 +:0408CE000EF4A02361 +:0408CF000C00051301 +:0408D000C78FF0EFEF +:0408D1000004578345 +:0408D20045C14502D5 +:0408D3000207E7939E +:0408D40000F41023F9 +:0408D5000EF4A0235A +:0408D600797030EF16 +:0408D7000FF5749312 +:0408D8004502E88964 +:0408D900464565E14A +:0408DA00A20585935B +:0408DB0075F030EF95 +:0408DC004781448587 +:0408DD0045D14702B8 +:0408DE00973EC23E41 +:0408DF0000074503C6 +:0408E000C38FF0EFE3 +:0408E10007854792AE +:0408E2000FF7F71302 +:0408E300FE9764E335 +:0408E4000004578332 +:0408E500173740D2AF +:0408E600E793000292 +:0408E70010230407CF +:0408E800444200F492 +:0408E9000EF72023C3 +:0408EA00016144B2B2 +:0408EB0011418082B5 +:0408EC00C226C4223A +:0408ED004785C6066F +:0408EE00646164E1FC +:0408EF0000F50863A5 +:0408F000468367656F +:0408F1004709CA07E2 +:0408F20004E6946321 +:0408F3008593464162 +:0408F400453770C450 +:0408F50030EF0002DE +:0408F60047B76F5041 +:0408F7008513000263 +:0408F800464102076C +:0408F90072040593ED +:0408FA006E3030EF3D +:0408FB00000247B7F9 +:0408FC003C07A623EC +:0408FD00A223470DDE +:0408FE00A4233CE70C +:0408FF0005933C071A +:040900004422720417 +:04090100851340B268 +:04090200449270C4E7 +:04090300BDB501413C +:04090400FEE517E312 +:0409050047036765D8 +:040906004641C9F7A6 +:0409070072040593DE +:04090800003716930B +:040909000713676108 +:04090A0097364E4787 +:04090B0000474683D8 +:04090C000002473767 +:04090D0000569513E8 +:04090E00953A0541D0 +:04090F0030EFC036CF +:04091000468268D0E3 +:040911000002473762 +:040912003C872603F5 +:0409130097B34785CA +:040914008FD100D7A8 +:040915003CF7242364 +:0409160067E5B75D7D +:04091700C9D7C783F2 +:04091800FD81011349 +:040919000785D0225C +:04091A000FF7F79349 +:04091B0007C207B256 +:04091C00646583C1CA +:04091D000713C83EB6 +:04091E005783CBA48C +:04091F0046C20007C5 +:04092000CE26D20607 +:0409210076E18FD517 +:0409220007C28FD5A4 +:04092300102383C159 +:04092400C20200F714 +:04092500000217377E +:0409260064E1C402C2 +:0409270020236659CA +:0409280006130EF7AD +:0409290045C545C6B5 +:04092A0072048513BB +:04092B0041A030EFC8 +:04092C003DF545014F +:04092D00177D67418A +:04092E0004134785E2 +:04092F00CA3ACBA451 +:040930000002173773 +:040931000D07268305 +:040932008EF14652AA +:04093300EFC5C63610 +:0409340066E1CADDD1 +:0409350073468693EC +:040936000166D6037D +:04093700578345B2EB +:04093800166300043E +:0409390076F510B689 +:04093A008FF516FD22 +:04093B0000F4102391 +:04093C000EF720236F +:04093D0080234501CD +:04093E003D557204AD +:04093F000006253752 +:04094000A8050513EE +:04094100BFBFD0EF75 +:0409420000045783D3 +:040943006691474230 +:040944003E800513D9 +:040945008FD58FD9E2 +:0409460083C107C2A0 +:0409470000F4102385 +:04094800000217375B +:040949000EF7202362 +:04094A00867FF0EFC5 +:04094B0088DFF0EF62 +:04094C001063478568 +:04094D0027B702F5D1 +:04094E00479C0002C0 +:04094F0001079713F2 +:04095000C23A8341E3 +:0409510000479713B1 +:04095200C43A8351CF +:04095300DFE3CC3ED4 +:0409540067E5FC0750 +:04095500CA07AA2300 +:04095600EF8D479248 +:0409570006136659C4 +:0409580045C54686C5 +:04095900720485138C +:04095A0035E030EF65 +:04095B00358145019C +:04095C000004570339 +:04095D0017FD77F11A +:04095E0010238FF9DA +:04095F00173700F452 +:04096000202300024E +:0409610065090EF71F +:040962007105051303 +:04096300B73FD0EFDB +:04096400B73D47B2A2 +:04096500475247921C +:0409660000E79663AD +:0409670006136659B4 +:04096800B7C1478646 +:0409690045A247124A +:04096A000793660584 +:04096B00167D0640AF +:04096C0002F756B385 +:04096D0002F77733E3 +:04096E0000C59B63C2 +:04096F0006136659AC +:0409700045C548062B +:040971007204851374 +:040972002FE030EF53 +:040973004622B7451C +:04097400851345C5DD +:04097500763372045F +:04097600C03202F693 +:0409770057B346220A +:04097800665902F6C4 +:0409790048C6061353 +:04097A002DE030EF4D +:04097B00D683B74127 +:04097C004632018678 +:04097D00F6C69EE339 +:04097E0083D107D248 +:04097F0000F410234D +:04098000540250923B +:040981000EF720232A +:04098200450144F2F5 +:0409830002810113D9 +:0409840067E5808221 +:04098500C9E7C78374 +:040986001151E3B573 +:04098700C222C406BE +:04098800C909C026B3 +:0409890000024737EA +:04098A003C0727837C +:04098B000027E793C7 +:04098C003CF72023F1 +:04098D00859364E109 +:04098E00464176C4A4 +:04098F0000024537E6 +:0409900048B030EF4C +:0409910000024437E5 +:04099200859367E101 +:0409930005137807C9 +:0409940046410204D2 +:04099500477030EF88 +:040996002623470DC0 +:0409970022233C04D7 +:0409980024233CE4F4 +:0409990044123C04C4 +:04099A00851340A2DF +:04099B00448276C458 +:04099C00859367E1F7 +:04099D0001317807A5 +:04099E00C13FF06FF6 +:04099F0067E5808206 +:0409A0004705636143 +:0409A1008C237159D9 +:0409A2000793CAE706 +:0409A300D4A269C3AE +:0409A400D2A643C0D4 +:0409A5000493D6865B +:0409A600006369C3BE +:0409A700409816045A +:0409A80014070D63C0 +:0409A900019C07B7EF +:0409AA00CC0787935C +:0409AB000287D333B9 +:0409AC00A0EEC7B73B +:0409AD00B007879375 +:0409AE0002E7D7B3D2 +:0409AF000084C703F6 +:0409B00012070B63BC +:0409B1000287D433B2 +:0409B20003B0051376 +:0409B300E0EFC21A95 +:0409B400C62AEA8FD6 +:0409B50000C14783B3 +:0409B60003C0051362 +:0409B700E0EFCC3E63 +:0409B800C783E98F79 +:0409B900C60301C4AC +:0409BA004312008460 +:0409BB000027971367 +:0409BC00879367DDD9 +:0409BD0097BA3CC7E2 +:0409BE004098439486 +:0409BF000700079393 +:0409C0000793E2199E +:0409C10066590690DD +:0409C2000613656152 +:0409C30045C54A06D6 +:0409C40076C50513DC +:0409C50030EFC21A33 +:0409C60043121B00BD +:0409C700064007934C +:0409C8003E800693D4 +:0409C90045C565615A +:0409CA0002F4773389 +:0409CB007805051393 +:0409CC0002D37633A9 +:0409CD004729C03ABC +:0409CE0002E65733B3 +:0409CF00061366594C +:0409D00057B34AC609 +:0409D10056B302F423 +:0409D20030EF02D32D +:0409D300450517C0FF +:0409D400409C35C945 +:0409D5000324C7032D +:0409D6000434C283A0 +:0409D700C783C83ECC +:0409D8000BA30084E9 +:0409D900638502012F +:0409DA004791CA3E39 +:0409DB0047A1DC3E16 +:0409DC0000E797B3E6 +:0409DD000334C70315 +:0409DE000087E79314 +:0409DF000793DE3E5E +:0409E00097B3400089 +:0409E100C70300E761 +:0409E200C0BE03444C +:0409E300000207B750 +:0409E40000E797B3DE +:0409E5000354C703ED +:0409E60007B7C2BECF +:0409E70097B3004082 +:0409E800C4BE00E7A2 +:0409E90003710793FC +:0409EA008793C6BE6B +:0409EB00C8BE03740B +:0409EC000384879366 +:0409ED008793CABE64 +:0409EE00CCBE0394E4 +:0409EF0003A4879343 +:0409F0008793CEBE5D +:0409F100D0BE03B4BD +:0409F2000444C7836F +:0409F300C43E4701B6 +:0409F400869367E19E +:0409F50087937F87DE +:0409F600C23E7F87F7 +:0409F7000FD2F79391 +:0409F8001793D03E43 +:0409F90087E101870A +:0409FA000793CE3E53 +:0409FB001D630290E6 +:0409FC00479100F728 +:0409FD000786AEA912 +:0409FE006405B5F1E6 +:0409FF0004136791E5 +:040A000083137704E1 +:040A0100B5C9D54757 +:040A02000633467100 +:040A0300479202C74D +:040A0400963E458D48 +:040A050083F54A5CCF +:040A06001AB789632F +:040A07008D634511A5 +:040A080045891AA75B +:040A090006B79D632C +:040A0A001000059340 +:040A0B0065C1C0AE53 +:040A0C000593C2AEDE +:040A0D00C4AE400033 +:040A0E0005934A10F2 +:040A0F0082151C1020 +:040A10007FF67613E4 +:040A110004B61D63A7 +:040A120067D9D43E8E +:040A13004C47859334 +:040A1400461D8536C0 +:040A1500D616D83ADF +:040A160030EFD236B5 +:040A1700569224309F +:040A180052B257A2DD +:040A19006385574258 +:040A1A004622E5117A +:040A1B000705EA0DD4 +:040A1C00BF8506F19B +:040A1D0067D9D43E83 +:040A1E004CC78593A9 +:040A1F00461D8536B5 +:040A2000D616D83AD4 +:040A210030EFD236AA +:040A22005692217057 +:040A230052B257A2D2 +:040A2400638557424D +:040A250045A2E509F8 +:040A26008AE3460514 +:040A27004671FCC553 +:040A280002C70633C8 +:040A2900962E45922E +:040A2A0000A655834A +:040A2B0089FD4645B6 +:040A2C0000C58B6313 +:040A2D0000D5861357 +:040A2E0045058A7D73 +:040A2F0000C5756326 +:040A30009763467D05 +:040A3100660500C591 +:040A320057B606139A +:040A3300FA8661E3FB +:040A3400961310C83D +:040A3500962A0027D6 +:040A3600FE8626030F +:040A37000186A08311 +:040A3800000646036B +:040A3900962A060AE9 +:040A3A00FD4626034C +:040A3B0000C0F633CE +:040A3C004352DE3D06 +:040A3D00FFF0C513EE +:040A3E001AE3890529 +:040A3F004571F6A364 +:040A400002A70533D1 +:040A4100951A4312AD +:040A420043424908DA +:040A43007513811591 +:040A440005797FF5BC +:040A4500F4656DE304 +:040A460089234505B6 +:040A4700972300A44D +:040A480088A300047B +:040A49008A230004F8 +:040A4A00CC90000448 +:040A4B000E636521B0 +:040A4C00666336A601 +:040A4D00051314C5B4 +:040A4E00026310002F +:040A4F00626332A606 +:040A5000454110C547 +:040A510010A60F6379 +:040A52000CC56B6301 +:040A530003634511E3 +:040A540045A11CA6F6 +:040A5500F0B61DE3F7 +:040A56008623468528 +:040A5700C68300D47E +:040A58009563051489 +:040A590046F12E062E +:040A5A0002D706B306 +:040A5B0096B24612F7 +:040A5C0000E6D603D7 +:040A5D005770069335 +:040A5E002CC6EA6355 +:040A5F0001D7969392 +:040A60002CD05663DD +:040A610086A3468D95 +:040A6200468900D4ED +:040A630000D489230F +:040A6400FFE786938F +:040A65000FD6F6931F +:040A66004695CE994A +:040A67002ED794638F +:040A6800073347F118 +:040A6900479202F7B7 +:040A6A005703973E59 +:040A6B00079300E706 +:040A6C00E9634AF000 +:040A6D00C7832CE728 +:040A6E008263046437 +:040A6F0086A32C0727 +:040A700047890004AE +:040A710000F48923E1 +:040A72000613AC7546 +:040A7300C0B24000CD +:040A7400000206373F +:040A7500B5E1C2B273 +:040A760000A655037E +:040A7700897D460926 +:040A780000C51E6334 +:040A7900000286638E +:040A7A008AE34605C0 +:040A7B00B541EAC2D5 +:040A7C00061345E236 +:040A7D0074E30520F9 +:040A7E00BD95EAB682 +:040A7F0076134E900C +:040A8000C61920066D +:040A8100E6B295E361 +:040A82002000061337 +:040A8300BD41DE3261 +:040A840016E346052A +:040A85005602E8C568 +:040A8600E4061BE384 +:040A87000793B549D3 +:040A88000A630400F9 +:040A8900079300F6D9 +:040A8A0004630800F9 +:040A8B00079322F6B5 +:040A8C001EE3020063 +:040A8D000793E2F6F3 +:040A8E00962330106B +:040A8F00479100F497 +:040A90000B63B751EC +:040A9100E363247681 +:040A9200059302C303 +:040A93000E634000AE +:040A9400079320B6EE +:040A95008C638006E8 +:040A96000793220799 +:040A970018E3200040 +:040A98000793E0F6EA +:040A99009623201070 +:040A9A00BFA100F404 +:040A9B0008636789FC +:040A9C00679122F646 +:040A9D00DEF61DE381 +:040A9E00202007937A +:040A9F0005B7BF7D5B +:040AA00008630020C7 +:040AA100EE6324B626 +:040AA20005B702C5CD +:040AA3000C630004DC +:040AA400ED6322B626 +:040AA50065C100C562 +:040AA60020B60C6307 +:040AA700000205B78D +:040AA800DCB617E3BE +:040AA9009623470D3C +:040AAA00A2E100E4E1 +:040AAB00000807B781 +:040AAC0020F60E63BF +:040AAD00001007B777 +:040AAE00DAF61BE376 +:040AAF002030079359 +:040AB00007B7BFAD18 +:040AB1000F630100CE +:040AB200E46320F6E3 +:040AB30007B702C7B8 +:040AB4000363004098 +:040AB50007B720F669 +:040AB6001AE30080BF +:040AB7000793D8F6D3 +:040AB8009623204021 +:040AB900478D00F471 +:040ABA0000F4892398 +:040ABB00028007931B +:040ABC0007B7AAC10D +:040ABD0000630200D0 +:040ABE0007B720F660 +:040ABF0018E3040034 +:040AC0000793D6F6CC +:040AC1009623204018 +:040AC200479900F45C +:040AC30000F489238F +:040AC400A27D47D1F7 +:040AC5000004962370 +:040AC60000B488A34D +:040AC7000057F713CA +:040AC80009634685F3 +:040AC900470900D702 +:040ACA0002E790634C +:040ACB000020F09384 +:040ACC0000008C6337 +:040ACD000464C78373 +:040ACE001007866324 +:040ACF0089234789A7 +:040AD000478500F462 +:040AD10000F487A303 +:040AD20012058E6318 +:040AD300852347F23E +:040AD400878300F420 +:040AD500676500A4AD +:040AD6000A23461297 +:040AD7004771CCF7A0 +:040AD80002E7873377 +:040AD9000124C683AB +:040ADA004B00973204 +:040ADB00887D4615B7 +:040ADC0002D4043309 +:040ADD0002C4043318 +:040ADE0006400613B5 +:040ADF0003240413D5 +:040AE00002C44433D5 +:040AE10000E75603D1 +:040AE20002C686B30F +:040AE300061366652B +:040AE4009436CBF683 +:040AE5008041044206 +:040AE60000849B23CA +:040AE7000177470349 +:040AE8007693C4320B +:040AE9008B2101F765 +:040AEA001607006388 +:040AEB0046036765F2 +:040AEC004689CBE785 +:040AED00F363472147 +:040AEE00474100C6B6 +:040AEF00802346A278 +:040AF000473200E6A3 +:040AF100759340D0E9 +:040AF20085B30FF7C2 +:040AF300472202850F +:040AF400010616934E +:040AF500C63682C1BE +:040AF60000074703AB +:040AF700D53346812C +:040AF800C58302C5EB +:040AF900C98904544F +:040AFA0087B346F187 +:040AFB00469202D746 +:040AFC004F9497B6C6 +:040AFD008A858285DF +:040AFE0005B345F9FE +:040AFF00064202B4F5 +:040B0000F7938241A4 +:040B0100C83E0FF6E5 +:040B0200C5B357D947 +:040B0300059502C58D +:040B040002F5C5B37E +:040B05000594C78309 +:040B0600F8078793D2 +:040B070087E107E299 +:040B0800F59395AA22 +:040B090095BE0FF591 +:040B0A00478505C254 +:040B0B00016381C140 +:040B0C0047A10EF7F8 +:040B0D0030F7026358 +:040B0E0005C205997E +:040B0F00478985C1CC +:040B1000A8E146C151 +:040B1100872347856A +:040B1200BDE500F449 +:040B1300000486A3B1 +:040B14000793B3810F +:040B150096233010E3 +:040B1600479900F407 +:040B17000793B3A5E8 +:040B180096232010F0 +:040B1900479500F408 +:040B1A004709BBB11B +:040B1B0000E4962339 +:040B1C009963470D85 +:040B1D00C78300E7A3 +:040B1E0094E30464F4 +:040B1F004785D4072B +:040B200000F4872333 +:040B21000584C783FD +:040B220000F488A3B0 +:040B23000793B5C1BE +:040B240096231020E4 +:040B2500B7FD00F424 +:040B260020200793F1 +:040B27000793B3E994 +:040B280096232020D0 +:040B2900478D00F400 +:040B2A000793BB3141 +:040B2B00BF55202072 +:040B2C0020200793EB +:040B2D0000F4962317 +:040B2E008923479D33 +:040B2F0047B500F4D2 +:040B300000F48A2320 +:040B31000793B7C1AE +:040B3200BB71203043 +:040B330020300793D4 +:040B34000793BFC99B +:040B3500B771203044 +:040B3600962347912A +:040B3700079300F42C +:040B3800BFF907807A +:040B390020400793BE +:040B3A0000F496230A +:040B3B008923479132 +:040B3C0047F900F481 +:040B3D000793B7F172 +:040B3E00962320409A +:040B3F00479500F4E2 +:040B400000F4892311 +:040B4100BF6D47E15C +:040B42000023472223 +:040B4300BD5500D7C5 +:040B440005C2058958 +:040B4500478585C19A +:040B46008633469913 +:040B4700C56300D7AB +:040B480085332205CA +:040B490097AA00D592 +:040B4A000FF0051390 +:040B4B0000F55563F9 +:040B4C000FF005930E +:040B4D00F7938D91FC +:040B4E0085BE0FF55C +:040B4F00CC3A451542 +:040B5000CA3ED03297 +:040B5100E0EFCE36CD +:040B520046F287AF31 +:040B530085B6451905 +:040B5400870FE0EF38 +:040B550008000593FC +:040B56000260051321 +:040B5700864FE0EFF6 +:040B5800560247D228 +:040B5900031005136D +:040B5A0000C785B398 +:040B5B000FF5F5930A +:040B5C00850FE0EF32 +:040B5D0047C14762E3 +:040B5E00036345B137 +:040B5F0045A100F7B5 +:040B60000220051357 +:040B610083CFE0EF6F +:040B620045B2464210 +:040B6300E0EF852218 +:040B6400C5038CCF6A +:040B6500E0EF04D4E5 +:040B6600C503B4AF60 +:040B67004785047446 +:040B680000A7F86387 +:040B6900C50347A2D7 +:040B6A00156100070A +:040B6B000015351329 +:040B6C00053347E125 +:040B6D0067DD02F549 +:040B6E003F878793A3 +:040B6F00E0EF953EE0 +:040B70008783968F52 +:040B7100477100A424 +:040B72000124C58312 +:040B730002E787B35B +:040B740097BA4712D3 +:040B75000167C5034C +:040B7600A64FE0EFB7 +:040B770000C4C7836C +:040B780000A489A3A9 +:040B7900000286B739 +:040B7A000017B71396 +:040B7B009BF9429C04 +:040B7C00C29C8FD9AF +:040B7D0000C4C78366 +:040B7E006963470D53 +:040B7F00470514F71B +:040B800014F768639B +:040B810000E7956391 +:040B82008EA3478572 +:040B8300C50300F4B2 +:040B8400E0EF01D4C9 +:040B8500C703A31FE0 +:040B86001D6300C427 +:040B87008783120747 +:040B8800477100A40D +:040B890002E787B345 +:040B8A0097BA4712BD +:040B8B0000C7D78345 +:040B8C002BD7B79319 +:040B8D000017C793F3 +:040B8E004737863E21 +:040B8F000713000246 +:040B900043343807AB +:040B9100859375F9DA +:040B92008B8D7FF5D3 +:040B930007AE8EED2E +:040B9400C33C8FD5FA +:040B950076E9433C7E +:040B96008FF516FDC4 +:040B97008FD10636BE +:040B9800D0EFC33C9B +:040B9900C703895FA6 +:040B9A00478904146F +:040B9B0000E4C68329 +:040B9C0007634401A6 +:040B9D00C40300F796 +:040B9E008C1500F4BE +:040B9F0000143413F7 +:040BA000453D458109 +:040BA100D0EFC23699 +:040BA2000513DEFF5A +:040BA300D0EF0590FA +:040BA4007593DA5F0C +:040BA500E80902F564 +:040BA6009793469249 +:040BA7008DDD00667A +:040BA8000FF5F593BD +:040BA9000105E593CA +:040BAA00059005139A +:040BAB00DC9FD0EF0C +:040BAC0007B740D86F +:040BAD008793019C8D +:040BAE00D7B3CC07E6 +:040BAF00D70302E77F +:040BB000C603016413 +:040BB100468D00D499 +:040BB20002E787B31C +:040BB30000C4C703B0 +:040BB40087B30705F7 +:040BB500C70302E789 +:040BB600070500E44B +:040BB70002E787B317 +:040BB8002223676528 +:040BB9000713CAF75D +:040BBA001863CA47AB +:040BBB00838508D650 +:040BBC004314C31CFF +:040BBD0005110737E0 +:040BBE00F40707131E +:040BBF006B63478598 +:040BC000773700D7AC +:040BC100071304789A +:040BC20047818BF7E5 +:040BC30000D7746380 +:040BC4000104C783DE +:040BC5000504C70359 +:040BC6000414C583CB +:040BC700C703E70970 +:040BC80003630104BE +:040BC900542606F7B1 +:040BCA00882350B676 +:040BCB00549600F448 +:040BCC006165852EAC +:040BCD00DF0FE06FE7 +:040BCE0003258593E3 +:040BCF0085C105C215 +:040BD000069347A1A0 +:040BD100BBD1020092 +:040BD200B3F54581B1 +:040BD300BD5D4711AC +:040BD400BD6547892B +:040BD50000D4C783FE +:040BD60000E4C683EE +:040BD70017F54601C7 +:040BD8000017B793B8 +:040BD90086938F95DB +:040BDA003793001736 +:040BDB00C793003785 +:040BDC0097B60017B1 +:040BDD000FF7F79384 +:040BDE004585B5C9CB +:040BDF00F6B61BE368 +:040BE000D7B3078AF6 +:040BE100B7AD02D7D3 +:040BE200806347895C +:040BE300C50302F54F +:040BE400C1910114A6 +:040BE50054264589C4 +:040BE60000F4C683CE +:040BE7000424C60319 +:040BE800549650B619 +:040BE900E06F6165F3 +:040BEA0050B6C54FED +:040BEB0054965426A2 +:040BEC00808261653D +:040BED00A70367E50E +:040BEE0067E1CAC72A +:040BEF00734787932E +:040BF000464546AD83 +:040BF1000167D58340 +:040BF20004E59F6314 +:040BF3000FF6F69370 +:040BF4000A63E11996 +:040BF500112128079B +:040BF60064E5C626C6 +:040BF700C9F4C50375 +:040BF8006461C8224A +:040BF9004E440793CC +:040BFA000035161399 +:040BFB00CA0697B2DD +:040BFC00C783438CDC +:040BFD004751004715 +:040BFE000045A30308 +:040BFF0002E787334F +:040C0000C9F484931C +:040C01004E44041346 +:040C02004339971AC1 +:040C030002D3636352 +:040C040063634331B2 +:040C050047AD04D320 +:040C06000CF6806305 +:040C07000866866392 +:040C080047014781D8 +:040C09000685A0F1CB +:040C0A009DE30789D6 +:040C0B004681F8C660 +:040C0C004783B7451E +:040C0D00460D004749 +:040C0E0016C79B6307 +:040C0F0045BD475048 +:040C100047834218BC +:040C11009C630007D9 +:040C1200C7811EB6C2 +:040C1300F79317FD3F +:040C140000230FF7B3 +:040C1500B7E900F744 +:040C1600004745034B +:040C17001575470503 +:040C18000FF575134C +:040C190000A76E635F +:040C1A000002453758 +:040C1B003C852303EE +:040C1C0000F7173393 +:040C1D00FFF7471383 +:040C1E0000677733C1 +:040C1F003CE5242369 +:040C20009C63473555 +:040C2100E39900E66D +:040C22000005C7837F +:040C2300F79317FD2F +:040C240096220FF70E +:040C250000F60223B0 +:040C2600C703B761E8 +:040C27000785000538 +:040C2800E7B3962276 +:040C2900B7FD02E72A +:040C2A00157DC51956 +:040C2B0000A480237E +:040C2C00A7BFE0EF8F +:040C2D0067E5B7B50B +:040C2E00C8078F2341 +:040C2F000002473741 +:040C30003C072783D3 +:040C31009BED4501F1 +:040C32003CF7202348 +:040C330040D2444225 +:040C3400016144B264 +:040C3500D3EFF06F9A +:040C360000474783A9 +:040C37008163468D02 +:040C380046910AD700 +:040C3900F2D79EE36D +:040C3A009782471C3A +:040C3B00470587AA38 +:040C3C000004C68367 +:040C3D00656145D1D7 +:040C3E0096A2068EE6 +:040C3F00C683429096 +:040C40000513004652 +:040C4100425070C5E8 +:040C420002B686B3BD +:040C4300C23AC43EAF +:040C4400428C96B296 +:040C4500C03646452A +:040C46001B2030EF50 +:040C470047A2471267 +:040C480085BA4682A1 +:040C49008536863E28 +:040C4A00987FE0EFC0 +:040C4B000004C78357 +:040C4C0044B765E163 +:040C4D00078E00020C +:040C4E004503943E88 +:040C4F0046410044D6 +:040C50007205859311 +:040C5100054105163E +:040C520030EF9526C4 +:040C5300460318003C +:040C5400478500448C +:040C550000C797B38A +:040C56003CF4A623A1 +:040C5700EB0947124C +:040C58004611468279 +:040C59000046C70387 +:040C5A000EC7156349 +:040C5B00C76D46D843 +:040C5C000002473714 +:040C5D003C87268327 +:040C5E0024238FD5E7 +:040C5F00A8E93CF7CD +:040C6000C7814B1CE1 +:040C61009782C03A7C +:040C6200C7834702FB +:040C6300471400042E +:040C64009713078556 +:040C6500063300371B +:040C6600420C00E458 +:040C670000D58463CD +:040C6800000602235D +:040C6900C3149722F7 +:040C6A0000F48023EF +:040C6B006963B711F1 +:040C6C00460902F63D +:040C6D0004C78863CD +:040C6E00433D470CAF +:040C6F0000C7450372 +:040C700000D7460360 +:040C71000005C78330 +:040C720000E747034D +:040C73000266916321 +:040C740000F67C63A7 +:040C7500F71317FD5D +:040C760080230FF7D1 +:040C7700B58900E556 +:040C78008DE34611B1 +:040C7900BD2DE4C7E2 +:040C7A008732F96D57 +:040C7B00F863B7FD66 +:040C7C00078500E701 +:040C7D000FF7F61364 +:040C7E0000C580230A +:040C7F00FD6DB5153D +:040C8000BFDD863A14 +:040C8100453D470C9A +:040C820000C756034E +:040C83000005D7830E +:040C840000E757032B +:040C850000A69E63C4 +:040C860000F677639A +:040C8700971317FDAB +:040C8800834101079C +:040C8900C211A019DB +:040C8A0090238732FA +:040C8B00BBCD00E5F8 +:040C8C0000E7F46326 +:040C8D00B7E507853B +:040C8E004701FA6DB3 +:040C8F004683B7FDE4 +:040C9000F5630046C2 +:040C9100078500D7FC +:040C92000FF7F693CF +:040C930000D7002363 +:040C9400460DBBC18D +:040C9500F0C70CE3B5 +:040C960040D24442C2 +:040C9700450144B21D +:040C9800F06F016197 +:040C9900808294CFF2 +:040C9A0085AA862E73 +:040C9B000002153707 +:040C9C000205051335 +:040C9D00B74FD06F0E +:040C9E001793111186 +:040C9F00CA220185DF +:040CA000CC06C82690 +:040CA100842A87E139 +:040CA200DD6384AEDC +:040CA3004581000780 +:040CA40007700513BD +:040CA50001A337D59B +:040CA600478500A1DD +:040CA7000AA7E6634F +:040CA80007F47413C6 +:040CA900973FD0EFB2 +:040CAA00450145912A +:040CAB0067653F75C5 +:040CAC00CBA70713B8 +:040CAD000007578362 +:040CAE00F7F7F793CA +:040CAF0083C107C234 +:040CB00000F7102316 +:040CB10000021737EF +:040CB2000EF72023F6 +:040CB3000184D7934E +:040CB40000F102A3A6 +:040CB5000104D793CC +:040CB60000F1032323 +:040CB7000084D7934B +:040CB80000F103A3A1 +:040CB9000081022391 +:040CBA00009104237E +:040CBB000400071317 +:040CBC000950079341 +:040CBD0000E40963E3 +:040CBE000480071394 +:040CBF0014634785EE +:040CC000079300E4B2 +:040CC100153708706B +:040CC20046190002CD +:040CC3000513004CC9 +:040CC40004A302057E +:040CC500D0EF00F17B +:040CC6004515AFEF32 +:040CC700A73FE0EF74 +:040CC8004585842AB0 +:040CC90000310513DE +:040CCA0007833781E4 +:040CCB00D7630031BA +:040CCC00E0EF00074E +:040CCD004785A87F30 +:040CCE00FEF505E347 +:040CCF0067E5E401F0 +:040CD000CA07AA2382 +:040CD10000314503A6 +:040CD200445240E266 +:040CD300017144C2A5 +:040CD40067E18082D2 +:040CD5007947879341 +:040CD60011314798F9 +:040CD700C806C62263 +:040CD800C02AC42644 +:040CD9006F63440DF4 +:040CDA00C78300B715 +:040CDB0084B2004798 +:040CDC00E3918BA174 +:040CDD00051305A650 +:040CDE00F0EF05101E +:040CDF00842AEFFF75 +:040CE0004409C901F9 +:040CE100852240C266 +:040CE20044A24432B2 +:040CE30080820151B9 +:040CE40006400513AE +:040CE5009FBFE0EFDE +:040CE6000513458528 +:040CE700F0EF0071B9 +:040CE8004703ECBF13 +:040CE90007930071FC +:040CEA0017630FF08D +:040CEB00E0EF00F73F +:040CEC004785A0BFD9 +:040CED00FEF502E32B +:040CEE000071470347 +:040CEF00AA2367E5E8 +:040CF0000793CA0795 +:040CF1001EE30FE00F +:040CF2004502FAF7C6 +:040CF300F0EF85A6F3 +:040CF4000593E9BFBC +:040CF5008D852020A9 +:040CF60081C105C2F1 +:040CF700F0EF4501D4 +:040CF800B74DE8BF4D +:040CF90065D971390F +:040CFA004629C42A99 +:040CFB004D4585934B +:040CFC00DE060848C0 +:040CFD00DA26DC22F5 +:040CFE00A9AFD0EFDB +:040CFF00C03E478D1F +:040D0000000627B70B +:040D010000021437A1 +:040D0200019C04B795 +:040D0300A8078613A4 +:040D0400CC04859303 +:040D050002040513CC +:040D060094EFD0EFA7 +:040D0700FFAFD0EF7B +:040D0800000627B703 +:040D0900A80786139E +:040D0A00CC048593FD +:040D0B0002040513C6 +:040D0C00936FD0EF22 +:040D0D0002040513C4 +:040D0E00084C46291E +:040D0F009D8FD0EFF5 +:040D1000458164E1D4 +:040D110004000513C2 +:040D12007804AA2394 +:040D1300E2DFF0EF3C +:040D1400842A478561 +:040D15007944849306 +:040D16001CF517634E +:040D17001AA0059386 +:040D1800048005133B +:040D1900E15FF0EFB7 +:040D1A001D63C22A69 +:040D1B004591148565 +:040D1C00F0EF0808E4 +:040D1D004703DF7F2A +:040D1E0047920121D6 +:040D1F001AF7156347 +:040D20000131470353 +:040D21000AA007938A +:040D220018F71F633C +:040D23003E800513F6 +:040D24008FFFE0EF6E +:040D2500925FE0EF0A +:040D26001963478581 +:040D270005B700F517 +:040D2800051340006F +:040D2900F0EF0E9049 +:040D2A00F56DDD3F47 +:040D2B0090DFE0EF86 +:040D2C00056347858F +:040D2D0044010EF57A +:040D2E00AA2367E5A8 +:040D2F004782CA0726 +:040D3000F79317FD21 +:040D3100C03E0FF7BA +:040D320016078163BC +:040D33004785D81503 +:040D340005134581DD +:040D3500C09C0490CA +:040D36000084822390 +:040D3700D9DFF0EF21 +:040D3800E5514781B9 +:040D3900E0EF45158D +:040D3A00842A8A9FDE +:040D3B0005134585D2 +:040D3C00F0EF00F1E3 +:040D3D004703D77F12 +:040D3E00079300F126 +:040D3F0017630FF037 +:040D4000E0EF00F7E9 +:040D410047858B7FD8 +:040D4200FEF502E3D5 +:040D430067E5E4017B +:040D4400CA07AA230D +:040D450000F14683F0 +:040D46000FE00713A0 +:040D470098634781E5 +:040D480045C904E6AF +:040D4900F0EF1008AF +:040D4A00C703D43FC8 +:040D4B007693004457 +:040D4C008663002793 +:040D4D004703100642 +:040D4E004783026174 +:040D4F0046030271E4 +:040D50008B0D02A164 +:040D51008F5D072289 +:040D52000281478350 +:040D53004683070AC2 +:040D5400839902512C +:040D550047038FD9E8 +:040D5600821D029167 +:040D570007068ABD44 +:040D58008F518B1913 +:040D5900078596BABA +:040D5A0097B316E550 +:040D5B00363700D750 +:040D5C0005B70029AE +:040D5D001537019CA9 +:040D5E0017FD00027B +:040D5F002E06061343 +:040D6000CC058593A6 +:040D6100020505136F +:040D6200C0EFC49C7E +:040D63004522FDDF49 +:040D64002000061352 +:040D6500F0EF4581E5 +:040D6600A859DBDFCE +:040D670005134581AA +:040D6800F0EF07A001 +:040D690018E3CD7F3F +:040D6A004591F005BA +:040D6B00F0EF080895 +:040D6C004783CBBF2F +:040D6D00443101010B +:040D6E000407F793EC +:040D6F00EE079EE30A +:040D7000BDDD441190 +:040D710005134581A0 +:040D7200F0EF0E9000 +:040D73000793CAFF19 +:040D7400656304109F +:040D7500440900A489 +:040D76000E90079341 +:040D77000FA00513B1 +:040D7800E0EFC23EA8 +:040D7900E0EFFACFDE +:040D7A004785FD2F7D +:040D7B0000F5176305 +:040D7C004581451256 +:040D7D00C85FF0EF6C +:040D7E00E0EFF57D30 +:040D7F00E111FBEF94 +:040D800067E54401DE +:040D81000513458190 +:040D8200AA2307B0E9 +:040D8300F0EFCA07BC +:040D8400C111C6BF14 +:040D8500059344018D +:040D86000513200031 +:040D8700F0EF050084 +:040D88000EE3C5BFF2 +:040D89004401E80534 +:040D8A004505BD5905 +:040D8B00EA0411E382 +:040D8C00546250F26B +:040D8D00053354D204 +:040D8E00612140A0FF +:040D8F008B118082C2 +:040D900001E34781B3 +:040D91004783F2079B +:040D92004703028190 +:040D930007A2029120 +:040D940047458FD967 +:040D95000113BF0186 +:040D96000848DE012A +:040D970020112E23D6 +:040D980020812C2367 +:040D990020912A2358 +:040D9A00D7DFF0EFC0 +:040D9B00D0EF842AE7 +:040D9C000533DA8FB2 +:040D9D001763408018 +:040D9E0067E11C04E9 +:040D9F00464565D987 +:040DA000534585939F +:040DA100720785133D +:040DA20080AFD0EF5F +:040DA3006461450939 +:040DA400D1FFE0EFAC +:040DA500734404137C +:040DA600000217B779 +:040DA7000D07A7830A +:040DA80000045703E9 +:040DA90083C107C239 +:040DAA0002F70263E7 +:040DAB0000245703C6 +:040DAC0000F71863D1 +:040DAD00D62FD0EF7E +:040DAE00859365D9EB +:040DAF00A2654E05E6 +:040DB00005136509B9 +:040DB100C0EF710519 +:040DB200B7F9A39F4B +:040DB30065D967E1B6 +:040DB400720785132A +:040DB5008593464597 +:040DB60020EF51C514 +:040DB70045093F109B +:040DB800CCFFE0EF9D +:040DB900C03E47856C +:040DBA00C43E678547 +:040DBB0000100437E9 +:040DBC002000079379 +:040DBD00F46384A2B5 +:040DBE000493008713 +:040DBF0047A2200027 +:040DC000085086A6AB +:040DC100008795937F +:040DC200A50367E539 +:040DC300C0EFC9872D +:040DC4001963D05F80 +:040DC5000793120579 +:040DC600EB631FF0CC +:040DC7000613008788 +:040DC800085C2000A3 +:040DC90045818E05CD +:040DCA0000978533D6 +:040DCB00FA3FC0EF3C +:040DCC00879367E1C1 +:040DCD004798794783 +:040DCE006263468294 +:040DCF00C78310D7EF +:040DD00085B600479D +:040DD100E3998BA176 +:040DD200009695935F +:040DD300058005137F +:040DD400B29FF0EFEB +:040DD50012051B6385 +:040DD60000021537CB +:040DD700059357F930 +:040DD800460501319A +:040DD90002050513F7 +:040DDA0000F109A378 +:040DDB00EA9FC0EFDC +:040DDC000131059349 +:040DDD00C22E47815A +:040DDE00973E0858DC +:040DDF0000074703BF +:040DE00046054592ED +:040DE10000E109A381 +:040DE20000021737BD +:040DE30002070513EB +:040DE400C0EFC63E58 +:040DE50047B2E83FEA +:040DE6002000069350 +:040DE70000021737B8 +:040DE8009BE30785FD +:040DE9004592FCD75C +:040DEA00051357FD99 +:040DEB0046050207B0 +:040DEC0000F109A366 +:040DED00E61FC0EF4E +:040DEE0017374592DC +:040DEF0057FD0002AA +:040DF00002070513DE +:040DF10009A3460507 +:040DF200C0EF00F15D +:040DF3004512E4BF02 +:040DF400F0EF458552 +:040DF5004783A97F08 +:040DF600471501316B +:040DF70098638BFD75 +:040DF80005130AE7EE +:040DF900E0EF0FA078 +:040DFA004512DA8F35 +:040DFB00F0EF45854B +:040DFC004783A7BFC3 +:040DFD00EB81013154 +:040DFE00E0EFC63E1E +:040DFF004705DBEFDA +:040E000004E347B20E +:040E01006765FEE53E +:040E0200CA072A23CE +:040E03004782C3D986 +:040E04008C054722F0 +:040E0500C03E07855F +:040E06000084D793FA +:040E0700C43E97BA94 +:040E0800EC0418E3FB +:040E0900BF2FD0EF38 +:040E0A0065D967E15E +:040E0B008593464540 +:040E0C00851352C533 +:040E0D0020EF720759 +:040E0E00450529501D +:040E0F00450DA835B0 +:040E100040A00533C6 +:040E1100D0EFC02A34 +:040E12004502BD0FC9 +:040E130004634785A8 +:040E1400079304F547 +:040E150001E306905F +:040E160057A9E6F5FD +:040E170004F511636A +:040E1800859365D980 +:040E190067E150C578 +:040E1A0085134645B1 +:040E1B0020EF72074B +:040E1C00653925D03F +:040E1D00EAD50513FA +:040E1E0021C120834B +:040E1F002181240306 +:040E200021412483C5 +:040E21002201011396 +:040E2200450980827C +:040E23004515BF555D +:040E24004511BF4570 +:040E250065D9B7755F +:040E26004FC585939C +:040E270065D9B7E9E9 +:040E28004EC585939B +:040E29001111B7C923 +:040E2A00C826CA22EA +:040E2B00C02ACC0607 +:040E2C0084B2842EDA +:040E2D00E099C23650 +:040E2E00A0254501B5 +:040E2F002000069306 +:040E3000F4638726BA +:040E3100071300960D +:040E320045822000D5 +:040E3300161345123B +:040E340082410107EF +:040E3500F0EFC43ADC +:040E36004722A7DFC9 +:040E37000533C901B5 +:040E380040E240A0B4 +:040E390044C2445219 +:040E3A008082017140 +:040E3B00769367E55E +:040E3C0016130FF486 +:040E3D008293008418 +:040E3E00C685C98715 +:040E3F00A50346922F +:040E400055930002C4 +:040E410005C2008462 +:040E4200C0EFC43AFF +:040E43004722931F90 +:040E44004782F9697F +:040E45000087569339 +:040E46000785943652 +:040E47008C99C03E84 +:040E4800A503BF59E6 +:040E490085B200026C +:040E4A00C432C63AAE +:040E4B008C1FC0EF49 +:040E4C00462267E5EE +:040E4D008293473213 +:040E4E00D169C98716 +:040E4F000113B75D77 +:040E50001868DB81C2 +:040E51002411222323 +:040E520024812023B4 +:040E530022912E2397 +:040E5400A95FF0EFB3 +:040E5500D0EF842A2C +:040E560064E1AC0F98 +:040E5700408007B31D +:040E58001C041963FA +:040E5900186C4611BA +:040E5A0020EF086815 +:040E5B0065D916102F +:040E5C008593461123 +:040E5D0008685B4581 +:040E5E00125020EF1F +:040E5F00440DC91D58 +:040E600006400793AE +:040E6100D0EFC23ECE +:040E62004792A90FFB +:040E630006800713EB +:040E640000F74D63E3 +:040E65000630071339 +:040E66002EF74063C0 +:040E6700F34007133A +:040E680034E7866382 +:040E6900876347054F +:040E6A0065D934E72B +:040E6B004EC5859358 +:040E6C005783A4DD27 +:040E6D0005930401E4 +:040E6E00461D0421F8 +:040E6F000221051344 +:040E700002F1102358 +:040E7100107020EFEE +:040E720004A15783FD +:040E7300020104A3D1 +:040E740000F11C234A +:040E750004C15783DA +:040E760000F11D2347 +:040E7700D0EF456211 +:040E780057839A4FB3 +:040E7900D62A04E190 +:040E7A001C23C22A49 +:040E7B00578300F1A8 +:040E7C001D2305012C +:040E7D00456200F1D9 +:040E7E0098AFD0EF6A +:040E7F00052157836F +:040E80001C23D82A2D +:040E8100578300F1A2 +:040E82001D230541E6 +:040E8300456200F1D3 +:040E8400972FD0EFE5 +:040E85002503DA2A3D +:040E8600D0EF238105 +:040E87004592968F6B +:040E88000793DC2AC6 +:040E890087131E208D +:040E8A00E563FE65B9 +:040E8B0046050CE725 +:040E8C00D0EF186823 +:040E8D0057E2974F42 +:040E8E0000F5066302 +:040E8F000793440D74 +:040E9000B7890660B8 +:040E910066596461D9 +:040E92005BC6061322 +:040E9300051345C539 +:040E940010EF70C427 +:040E950056C267508A +:040E96000613665980 +:040E970045C55CC62B +:040E98007204851348 +:040E9900663010EFC0 +:040E9A00E0EF45053B +:040E9B0057C2945F47 +:040E9C0045014701C4 +:040E9D0057D2C23E28 +:040E9E004792C63E73 +:040E9F0008F760638D +:040EA000936347B25F +:040EA10047831EA7BE +:040EA2004683022160 +:040EA30047030201FE +:040EA400CBD5021197 +:040EA500879367DDEB +:040EA600061338C730 +:040EA700C032022132 +:040EA800061366596E +:040EA90045C55D8658 +:040EAA0070C40513F8 +:040EAB0061B010EF33 +:040EAC00464565D979 +:040EAD0063058593C1 +:040EAE007204851332 +:040EAF00BD7FC0EF54 +:040EB000E0EF450525 +:040EB10016B78EDF03 +:040EB200A703000290 +:040EB30067E10D06E0 +:040EB4007347879366 +:040EB5000007D60359 +:040EB600834107422B +:040EB70006E60963DF +:040EB8000027D683B6 +:040EB90018E686634E +:040EBA0005136509AE +:040EBB00C0EF71050E +:040EBC00BFD1E10FB2 +:040EBD000793440D46 +:040EBE00B5690650BC +:040EBF0086B347921D +:040EC000079340E76D +:040EC100F4632000B6 +:040EC200069300D7BC +:040EC3000793200071 +:040EC400961320075A +:040EC500824101065F +:040EC6000097D59329 +:040EC700CA3A1868A3 +:040EC800C43EC83626 +:040EC90082FFF0EFC5 +:040ECA00475246C283 +:040ECB0007B3C5099B +:040ECC00440D40A0F1 +:040ECD003613BD819A +:040ECE0085B60017CE +:040ECF00D0EF1868E0 +:040ED0004722868FA0 +:040ED10067D9BF1D01 +:040ED2003DC78793FE +:040ED300D0EFB7B9EC +:040ED4006765C97F06 +:040ED500CBA707138D +:040ED6000007578337 +:040ED700000216B748 +:040ED800E79365092E +:040ED90010230027BB +:040EDA00A02300F75A +:040EDB0005130EF6F7 +:040EDC00C0EF7105ED +:040EDD0065D9D8CF2C +:040EDE0070C40513C4 +:040EDF00859346456C +:040EE00020EF5E455C +:040EE100440D7480C8 +:040EE200859367D9B4 +:040EE30046455F071A +:040EE40072048513FC +:040EE500736020EF27 +:040EE600E0EF4505EF +:040EE7005642815F8F +:040EE80045811874B4 +:040EE900F0EF4505DC +:040EEA0087AAD01FE4 +:040EEB00DC051CE323 +:040EEC0065D967E17C +:040EED00859346455E +:040EEE008513600503 +:040EEF0020EF70C7B9 +:040EF00067D970C08E +:040EF1005F0785937F +:040EF20085134645D9 +:040EF30020EF720476 +:040EF40045056FC081 +:040EF500FDAFE0EF7E +:040EF600470157C297 +:040EF700C23E4501B1 +:040EF800C43E57D2CB +:040EF900C63E67E1A9 +:040EFA006E6347924A +:040EFB00472202F791 +:040EFC00F340079325 +:040EFD00D8A718E377 +:040EFE0081EFD0EFC1 +:040EFF0065D947B2B8 +:040F0000859346454A +:040F010085136445AB +:040F0200C0EF70C705 +:040F030065D9A89F65 +:040F04008593464546 +:040F050085136105EA +:040F060020EF720462 +:040F070045056B0031 +:040F0800F8EFE0EF2F +:040F09004792A0016A +:040F0A0040E786B383 +:040F0B001000079338 +:040F0C0000D7F463B3 +:040F0D001000069337 +:040F0E00A50367E5EB +:040F0F001870C98706 +:040F1000CA3685BA9E +:040F1100C0EFC83A2B +:040F120087AAFCCFDF +:040F1300D2051CE304 +:040F140046D2474238 +:040F1500361318680F +:040F160085B6001785 +:040F1700F4BFC0EF74 +:040F18000713474232 +:040F1900B7491007BD +:040F1A000793440DE8 +:040F1B00BB19067088 +:040F1C000793440DE6 +:040F1D00B33906805E +:040F1E00F9B7871385 +:040F1F00EF63468DA9 +:040F200066D906E6A2 +:040F21008693070AA2 +:040F22009736658613 +:040F230087024318E6 +:040F2400859365D973 +:040F250046455A459E +:040F260072048513B9 +:040F270020EFC23EB7 +:040F2800450562C059 +:040F2900F0AFE0EF56 +:040F2A00000F453738 +:040F2B002405051381 +:040F2C00C4EFC0EF5F +:040F2D00D7634792AD +:040F2E0055630407FC +:040F2F00665904807B +:040F300006136561DE +:040F310045C562064A +:040F320070C505136E +:040F33003FB010EFCC +:040F3400BD5D147D0E +:040F3500859365D962 +:040F3600BF7555C569 +:040F3700859365D960 +:040F3800BF5556C586 +:040F3900859365D95E +:040F3A00B77558052A +:040F3B00859365D95C +:040F3C00B7555485CC +:040F3D00859365D95A +:040F3E00BF714FC56B +:040F3F00859365D958 +:040F4000BF515945FF +:040F4100E27FD0EF8C +:040F420024412083A3 +:040F4300240124035E +:040F440023C124831E +:040F45000113557DC2 +:040F46008082248100 +:040F4700DDC10113F4 +:040F48002023082832 +:040F49002E23221120 +:040F4A002C232081B3 +:040F4B00F0EF209112 +:040F4C00C02AEB6F5D +:040F4D00EE3FC0EFC4 +:040F4E00E93145023E +:040F4F0065D964619B +:040F500085934645FA +:040F510005136845D7 +:040F5200C0EF720476 +:040F53004509949F19 +:040F5400E5EFE0EFF6 +:040F55006461C222EF +:040F560073440413C9 +:040F5700000217B7C6 +:040F58000D07A78357 +:040F59000004570336 +:040F5A0083C107C286 +:040F5B0004F7046330 +:040F5C000024570313 +:040F5D0002F71A631A +:040F5E0065D9479278 +:040F5F0085934645EB +:040F600085134E05A2 +:040F610020EF720704 +:040F620005135440DF +:040F6300C02A06801A +:040F6400E87FC0EF73 +:040F650022012083C2 +:040F660021C124037E +:040F67002483450298 +:040F680001132181CF +:040F6900808222411F +:040F6A0005136509FD +:040F6B00C0EF71055D +:040F6C00B76DB50F99 +:040F6D0065D9479269 +:040F6E00851346455C +:040F6F0085937207ED +:040F700020EF668583 +:040F7100450950805E +:040F7200DE6FE0EF5F +:040F7300FFF007374D +:040F740020070793B8 +:040F75006485C002CD +:040F760047A2C43E8C +:040F7700008494134B +:040F7800943E462D30 +:040F790085A28425A4 +:040F7A00F0EF00682C +:040F7B00C501D68F47 +:040F7C0040A0053359 +:040F7D0065D9BF690A +:040F7E0044458593CE +:040F7F00C0EF006857 +:040F8000ED158E1FBE +:040F810001414703E0 +:040F82004683EB1D9A +:040F830007130151FE +:040F840096630580EB +:040F8500468302E6B7 +:040F860047050161B9 +:040F870002D76163C9 +:040F88004DE006131F +:040F890000E6846397 +:040F8A0005700613D5 +:040F8B0085A60834FB +:040F8C00F0EF8522DB +:040F8D00FD21A75F3C +:040F8E00078547820A +:040F8F008493C03E49 +:040F900067091004D9 +:040F9100F8E49BE302 +:040F9200453D458113 +:040F9300962FE0EFC6 +:040F9400C70367E543 +:040F950067E1CBC77E +:040F960070078793C6 +:040F9700C50397BA3D +:040F98004581000788 +:040F9900852367E560 +:040F9A00E0EFCAA713 +:040F9B004792944F96 +:040F9C0066594682CA +:040F9D00674606138A +:040F9E00851345C5AD +:040F9F0010EF7207D6 +:040FA000450524904F +:040FA1000113B72958 +:040FA200CD06F64141 +:040FA300C926CB226E +:040FA40000021437FC +:040FA500AD8FC0EF5D +:040FA6000493C62AC0 +:040FA70047C508042E +:040FA8002023D0BC76 +:040FA90027B70E0454 +:040FAA00A623000278 +:040FAB00AA2300076E +:040FAC00678900074A +:040FAD007107851330 +:040FAE00A46FC0EF7D +:040FAF00871366E559 +:040FB0000793CBA632 +:040FB10010231D10DC +:040FB200D0BC00F7B8 +:040FB300D0EF450135 +:040FB4001537975FF7 +:040FB500051300031D +:040FB600C0EFD405AF +:040FB7002637A24FE8 +:040FB80005B7000673 +:040FB9000613019C7E +:040FBA008593A8066D +:040FBB000513CC0549 +:040FBC00C0EF04047A +:040FBD0067E5E74FAE +:040FBE00CBA7871323 +:040FBF00000757834D +:040FC000F79345510D +:040FC10007C2F9F773 +:040FC200102383C1B4 +:040FC300D0BC00F7A7 +:040FC4009EEFC0EFED +:040FC500051345D1FA +:040FC600D0EF0380E5 +:040FC70045D189FF88 +:040FC800039005137A +:040FC900895FD0EF7D +:040FCA00455145D177 +:040FCB0088DFD0EFFC +:040FCC00051345D1F3 +:040FCD00D0EF07104A +:040FCE0045D1883F42 +:040FCF0005E0051321 +:040FD000879FD0EF38 +:040FD100051345D1EE +:040FD200D0EF06D086 +:040FD30045D186FF7F +:040FD400D0EF4531E4 +:040FD5000593867F7B +:040FD600450532009B +:040FD70085DFD0EFF3 +:040FD800451945D1A1 +:040FD900855FD0EF71 +:040FDA003200059349 +:040FDB00D0EF450905 +:040FDC0067E584BF82 +:040FDD00CBA7871304 +:040FDE00000757832E +:040FDF004581460101 +:040FE0000407E79388 +:040FE10000F71023E2 +:040FE2000513D0BC67 +:040FE300C0EF040453 +:040FE4004529E3CFE9 +:040FE50096AFC0EF14 +:040FE600450545E197 +:040FE700D9BFC0EFBF +:040FE800450945E191 +:040FE900D93FC0EF3D +:040FEA00450D45E18B +:040FEB00D8BFC0EFBC +:040FEC008C0FD0EFA7 +:040FED00871366E51B +:040FEE0047E1CBA666 +:040FEF001A63C83A7F +:040FF000450158F56A +:040FF100DB3FC0EF33 +:040FF2000FF0079362 +:040FF3005AF50A633E +:040FF4001A8087B721 +:040FF50008078793CF +:040FF6006789DABE6F +:040FF700A1A7879394 +:040FF80006F11C23BF +:040FF9000D2347A1DC +:040FFA00D0EF06F13D +:040FFB004569FFAF96 +:040FFC00D87FC0EFEB +:040FFD000F55759384 +:040FFE0000A5E593D2 +:040FFF00C0EF456991 +:041000004585DC3F07 +:041001000350051380 +:04100200DB9FC0EFC1 +:041003000513655D0F +:04100400C0EF3F8575 +:041005004501F15F51 +:04100600802FD0EF78 +:0410070005134599EF +:04100800C0EF03D062 +:041009000593D9FF73 +:04100A004545044014 +:04100B00D95FC0EFFA +:04100C004549458588 +:04100D00D8DFC0EF79 +:04100E00454D458186 +:04100F00D85FC0EFF7 +:04101000C0EF18C84D +:041011004509DC1F92 +:04101200BEBFC0EFAE +:041013000FF575134D +:04101400196347CD48 +:0410150064E152F54B +:0410160068C4849393 +:041017000024C58369 +:04101800C0EF4515CB +:04101900C783C13F89 +:04101A000593002416 +:04101B00451103D0A8 +:04101C009713839D06 +:04101D00C78300275E +:04101E009BED00C482 +:04101F0086238FD9BC +:04102000C0EF00F429 +:041021000513BF3FB5 +:04102200C0EF3E805D +:0410230045F5874FB9 +:04102400C0EF4511C3 +:041025000593BE3F32 +:0410260005130300AB +:04102700C0EF061000 +:041028000593BD7FF0 +:0410290045250B202E +:04102A00BCDFC0EF78 +:04102B000F8005939A +:04102C00C0EF4529A3 +:04102D000593BC3F2C +:04102E00452D0370D9 +:04102F00BB9FC0EFB4 +:04103000453D458174 +:04103100BB1FC0EF32 +:0410320005134581DC +:04103300C0EF0C906E +:041034004581BA7FB9 +:041035000CA00513F3 +:04103600B9DFC0EF6F +:0410370005134581D7 +:04103800C0EF0CB049 +:041039004581B93FF5 +:04103A000CC00513CE +:04103B00B89FC0EFAB +:04103C0005134581D2 +:04103D00C0EF0CD024 +:04103E004581B7FF32 +:04103F000CE00513A9 +:04104000B75FC0EFE7 +:0410410005134581CD +:04104200C0EF0CF0FF +:041043004581B6BF6E +:041044000D00051383 +:04104500B61FC0EF23 +:041046000044C5831A +:041047000E1005136F +:04104800B55FC0EFE1 +:0410490005934601C4 +:04104A00051304A0E6 +:04104B00C0EF0404EA +:04104C004601C62F64 +:04104D0005134595AD +:04104E00C0EF0404E7 +:04104F004605C90F7A +:0410500004A0059360 +:04105100040405137B +:04105200C48FC0EF98 +:0410530005134585B7 +:04105400C0EF0404E1 +:041055000793C5EF49 +:0410560016630860B5 +:04105700059304F504 +:041058000513090073 +:04105900C0EF0200E2 +:04105A004581B91FF4 +:04105B000210051367 +:04105C00B87FC0EFAA +:04105D0005134581B1 +:04105E00C0EF0220BD +:04105F00458DB7DF25 +:041060000230051342 +:04106100B73FC0EFE6 +:0410620005134581AC +:04106300C0EF028058 +:041064000593B69F9B +:04106500051303006C +:04106600C0EF0710C0 +:0410670067E5B5DFA5 +:041068008423470591 +:0410690067E1CAE78A +:04106A006287A7836F +:04106B002C23676566 +:04106C008B63C8F7D3 +:04106D00D0EF3C077D +:04106E0062E1F6EF56 +:04106F0069C283933C +:04107000851365DDA2 +:04107100061301E37E +:0410720085930460FE +:04107300C0EF52C5B3 +:041074006361CC4F99 +:04107500051364DD1E +:0410760006137343A7 +:0410770085930360FA +:04107800C0EF4F4432 +:041079004581CB0FD3 +:04107A00D0EF453D31 +:04107B006665DC5F6B +:04107C00CAA64503B8 +:04107D0064654581E0 +:04107E00DB7FD0EF55 +:04107F0067E165E1DF +:041080006545C68379 +:041081006557C703E5 +:0410820047B76665A1 +:0410830000A30002C4 +:041084000023CAE695 +:041085008793CAD4AF +:0410860043A838073C +:04108700809370F9E9 +:0410880075337FF04D +:04108900C3A80015E3 +:04108A0070E943A81E +:04108B00753310FDAC +:04108C00C3A80015E0 +:04108D0036B343A88B +:04108E008B0D00D0F6 +:04108F00F1F57513EF +:0410900006056513D9 +:0410910043A8C3A805 +:041092007513070EBD +:0410930065138FF55D +:04109400C3A83005B8 +:04109500997943A85A +:04109600C3B48EC988 +:04109700450143B418 +:041098008F559A9D39 +:0410990043B4C3B8E1 +:04109A00177D772126 +:04109B0066A18F7546 +:04109C00C3B88F55F1 +:04109D0047896761B7 +:04109E0069C7039388 +:04109F0000F388A32F +:0410A000AA4FD0EF94 +:0410A100000217B77B +:0410A2000D07A7038C +:0410A30007B762E148 +:0410A400869300022D +:0410A500666569C251 +:0410A60067618FF9F6 +:0410A700655707136F +:0410A8000693C436B1 +:0410A9006665CAA608 +:0410AA000713CABAA4 +:0410AB00C2BACA16E5 +:0410AC00071367655A +:0410AD00CA3ACAC7AA +:0410AE000713676558 +:0410AF0065E1C8C768 +:0410B000D23AD63624 +:0410B1006545869378 +:0410B20063616765AA +:0410B3000713C8B6A1 +:0410B4000693C907CF +:0410B500DE1ACA0471 +:0410B600C0B6CE26CC +:0410B7009263D43A32 +:0410B80066DD1407D6 +:0410B90048868713CB +:0410BA00CC3A448167 +:0410BB0065D964612E +:0410BC00859346458D +:0410BD0005136A05A8 +:0410BE00D03E70C4EC +:0410BF007CF010EFC2 +:0410C000969347E2DA +:0410C1006561002441 +:0410C200428C96BE08 +:0410C3000513464586 +:0410C40010EF7205B2 +:0410C50046377B909F +:0410C600268300027B +:0410C70045053C0699 +:0410C8000046E69365 +:0410C9003CD62023CE +:0410CA00886FE0EF5C +:0410CB004681578281 +:0410CC0000021637D1 +:0410CD000D062503E4 +:0410CE00177D6741E2 +:0410CF0000E575B310 +:0410D0000337475249 +:0410D100C30C000349 +:0410D2000D062603DE +:0410D3004613571257 +:0410D4007633FFF67A +:0410D500C3100066DE +:0410D6008763C985DE +:0410D70067E102F5D6 +:0410D8007347879340 +:0410D9000014961356 +:0410DA00EAAD97B232 +:0410DB00902365D920 +:0410DC00464500A7DE +:0410DD006A85859308 +:0410DE0070C40513C2 +:0410DF0074F010EFAA +:0410E000E0EF4505F3 +:0410E100468582CFEF +:0410E200A30357927B +:0410E30057A2000709 +:0410E400E39D439CA9 +:0410E500116367C16B +:0410E600E0BD02F374 +:0410E700061347F2B3 +:0410E800D01A0360B7 +:0410E9004F47859355 +:0410EA0044ED57F288 +:0410EB0073478513AF +:0410EC00AE2FC0EF74 +:0410ED0046895302DB +:0410EE0047D257226C +:0410EF00202346096B +:0410F000D836006787 +:0410F1008063439C39 +:0410F200650904C6C2 +:0410F300710505136B +:0410F400B0EFD03E4B +:0410F5005782D2DF6D +:0410F600BF9956C286 +:0410F7000007D78394 +:0410F80083E34689BF +:0410F90065D9FAF5C6 +:0410FA00859346454F +:0410FB0005136B0569 +:0410FC0010EF70C4BD +:0410FD0045056D90A8 +:0410FE00FB7FD0EFB5 +:0410FF00B769468106 +:04110000468914F90F +:041101000485BF554D +:04110200D1E346E906 +:04110300453DEE96E2 +:041104009C1FD0EF6D +:041105000002473766 +:041106003C072783F8 +:0411070020239BED19 +:0411080047B23CF7B7 +:04110900665964E1DE +:04110A0000A7D51352 +:04110B0012C0079374 +:04110C0002F507B32E +:04110D00058007133F +:04110E0006134681FD +:04110F0045C56C0660 +:0411100076C4851309 +:04111100C6BE646191 +:0411120047E010EFB3 +:04111300464565D90F +:041114007A858593C0 +:041115007804051342 +:04111600A3AFC0EFD4 +:04111700E0EF4505BB +:04111800A5379B4F0D +:0411190005130007B3 +:04111A00B0EF12051B +:04111B00B0EFC95F09 +:04111C004799CB5FC5 +:04111D00CE02D03EF0 +:04111E00D802CC0225 +:04111F00CEA2CCA6EA +:04112000000217B7FB +:041121000D07A7838C +:04112200674146D209 +:041123008F7D177D28 +:04112400C693C29814 +:041125000737FFF792 +:041126008F750003BE +:04112700D6135692F3 +:0411280087B2018702 +:0411290066E5C2981D +:04112A00CB068693D7 +:04112B001679CA194E +:04112C000FF6761331 +:04112D00F663458D93 +:04112E00C60300C52F +:04112F00156300063E +:04113000465200F62D +:041131000006202371 +:0411320000F6802320 +:04113300439C57A2E0 +:0411340057A2EFD5FA +:041135006465C39892 +:04113600C8B4041322 +:0411370000044783E6 +:041138000E078663B5 +:04113900C78347A27F +:04113A00816301C705 +:04113B0047A20E07B2 +:04113C004703676599 +:04113D00C783C9E7B4 +:04113E008FD900B78E +:04113F000FF7F7931C +:04114000B0EFE7F134 +:0411410047B6C45F8A +:041142000CF56263E3 +:041143000793477255 +:04114400ED63063021 +:0411450046830AE7EC +:0411460047850004D5 +:04114700876347056E +:04114800578200F6D4 +:04114900FFA7871362 +:04114A0000E0373357 +:04114B0046A147A2D0 +:04114C0001C7C7838D +:04114D00F79317FD00 +:04114E00E7630FF74D +:04114F0066D906F661 +:041150007C468693C0 +:0411510097B6078ABC +:0411520067E5439476 +:04115300CBC78793EC +:0411540056F9868240 +:041155006561665911 +:041156006D460613C9 +:04115700051345C572 +:0411580010EF76C559 +:0411590065D93640DE +:04115A004645656140 +:04115B003DC5859376 +:04115C0078050513FA +:04115D00557010EFCA +:04115E00E0EF450574 +:04115F00A001898FD3 +:04116000BFC956F5B8 +:04116100B7F956F193 +:04116200B7E956FD96 +:04116300C39857A234 +:04116400A0235792DB +:04116500B781000747 +:04116600470367656F +:041167003733C897BB +:04116800070900E093 +:0411690000E78023F8 +:04116A00570247F2EF +:04116B00F79307856A +:04116C00CE3E0FF76D +:04116D000763479934 +:04116E00079300F7EC +:04116F00F7930017DB +:04117000D03E0FF767 +:04117100B5FFB0EF27 +:04117200CC3E4785A3 +:0411730006B1079327 +:04117400DABE64611A +:041175007B0407935D +:041176000197871343 +:0411770065D9DCBAA0 +:0411780001A7871331 +:04117900461DDEBA77 +:04117A0001B787131F +:04117B007BC5859318 +:04117C0010E807F17F +:04117D00C33EC13A72 +:04117E0005A3C53EC2 +:04117F00C0EF0601B6 +:0411800047A2894FAA +:041181007B04069352 +:04118200C783C63623 +:04118300468501C7D5 +:04118400DA364725EB +:0411850000E7866396 +:04118600F79307854F +:04118700DA3E0FF746 +:04118800468147D283 +:04118900439045E961 +:04118A00879357F2FE +:04118B00D7037347CC +:04118C001A630007DB +:04118D0047E904E644 +:04118E0054D7E263ED +:04118F009793675972 +:04119000071300261B +:0411910097BA7E8704 +:041192008782439C71 +:04119300E71946858D +:0411940046836761C6 +:04119500B693644762 +:0411960006910016A8 +:0411970000D78023DA +:041198004699B7A11C +:04119900C683DF65C5 +:04119A00BFCD0007BE +:04119B00BF1D471D10 +:04119C004703676539 +:04119D003733C8A775 +:04119E00072100E045 +:04119F004699B72591 +:0411A0004685FF7110 +:0411A1000789BFE11A +:0411A20026B687E303 +:0411A300B7450685C1 +:0411A40047424785F2 +:0411A500570346C2E4 +:0411A60047130007E4 +:0411A700902310077A +:0411A800571200E6F4 +:0411A900431866C1C0 +:0411AA00C2918EF967 +:0411AB0006B757D25A +:0411AC008F75000239 +:0411AD004732CF11E5 +:0411AE00468146052B +:0411AF0000074703EB +:0411B00000E665638D +:0411B1007693070525 +:0411B20047320FF7BA +:0411B30000D700233E +:0411B40047296465FE +:0411B5000413DA0243 +:0411B6008663CBC4BD +:0411B700002300E72A +:0411B800478500F473 +:0411B90047C2DA3E11 +:0411BA00D78347424E +:0411BB009BCD0007C1 +:0411BC0083C107C222 +:0411BD0000F7102304 +:0411BE004683676598 +:0411BF000713C9E762 +:0411C000DC3AC9E765 +:0411C1006765EA89EB +:0411C200C9C747034F +:0411C3008FD9070AAF +:0411C400102347426B +:0411C50047C200F726 +:0411C6000007D70344 +:0411C700000217B754 +:0411C8000EE7A0236B +:0411C900C78357E29F +:0411CA00C7810007D2 +:0411CB00E0EF45010B +:0411CC0047A2887F2F +:0411CD0000044703D0 +:0411CE0001C7C7830B +:0411CF0000E784634E +:0411D000E79957D272 +:0411D100CF8547E29D +:0411D200C78347A2E6 +:0411D300CB8500B711 +:0411D400C78367E581 +:0411D500CC3ECA97AB +:0411D60067E1C39D6D +:0411D7007007879383 +:0411D800C50397BAFA +:0411D90057B2000702 +:0411DA00C783CC02F9 +:0411DB00886300071E +:0411DC0057B200A75F +:0411DD0080234581A5 +:0411DE00D0EF00A7A7 +:0411DF004722835FC1 +:0411E000000447833D +:0411E10047036465F7 +:0411E200041301C72A +:0411E3001F63CBE4D7 +:0411E400468300F747 +:0411E50047090004B2 +:0411E6001CD772633D +:0411E70046834732C2 +:0411E8004722034750 +:0411E900052747038C +:0411EA001AE68A6314 +:0411EB00869364E59E +:0411EC008EA3CBD42F +:0411ED000023CA040D +:0411EE00470D0004A5 +:0411EF0073E3C4B62C +:0411F000473216F775 +:0411F10046834605E6 +:0411F20099E3034733 +:0411F300861312C687 +:0411F4007613FFA7C8 +:0411F500448D0FF620 +:0411F60000C6F463D8 +:0411F7000DC0106FA8 +:0411F800FFF7861364 +:0411F9000FF67593E5 +:0411FA0061634621C6 +:0411FB00665D02B675 +:0411FC003C06061394 +:0411FD004703962EE0 +:0411FE004619000688 +:0411FF0083E3D83A74 +:0412000065E314C7C7 +:04120100460512F696 +:0412020012C78AE3A2 +:04120300D0B64722F8 +:0412040000F70E23BE +:04120500000705A336 +:04120600859FC0EF11 +:041207004789568637 +:041208000D85759348 +:0412090012F694E362 +:04120A000015E59353 +:04120B00D0AE450517 +:04120C00D06FC0EFF0 +:04120D0045095586B4 +:04120E00CFEFC0EF6F +:04120F00450D5586AE +:04121000CF6FC0EFED +:04121100FA1FC0EF11 +:04121200C68FC0EFD4 +:04121300C78367E541 +:04121400CF91CA8725 +:0412150095B34585C3 +:04121600F5930095B7 +:0412170045190FF571 +:04121800C0EFD0AEA5 +:041219005586C94FDE +:04121A00C0EF451DBF +:04121B0047A6C8CF4B +:04121C000004448303 +:04121D00C70345813D +:04121E0047890007F5 +:04121F000097E663EB +:04122000006495933E +:0412210085E105E27C +:0412220000471793D7 +:0412230000271693F7 +:041224008FD98FD5FA +:04122500F5938DDDD3 +:0412260045650FF516 +:04122700D24FC0EFF3 +:04122800C0EF45418D +:041229005742CD4F0C +:04122A007593478DE4 +:04122B0014630F85B4 +:04122C00E59300F74F +:04122D0045410055E2 +:04122E00D08FC0EFAE +:04122F000593478953 +:04123000F96305B0A9 +:04123100479100974A +:0412320005200593FB +:041233000097F463C9 +:0412340005300593E9 +:04123500C0EF453988 +:041236000513CEAF1F +:04123700B0EF3E8056 +:041238004551821F7B +:04123900C92FC0EF0A +:04123A00478D574243 +:04123B00036345C143 +:04123C00458100F7F1 +:04123D00C0EF456158 +:04123E004581CCAF6B +:04123F000360051330 +:04124000CC0FC0EF20 +:04124100464547A235 +:041242000007A223DC +:0412430001C7C78395 +:0412440000279713D5 +:04124500879367DD47 +:0412460097BA3CC750 +:0412470047E6438CA7 +:0412480076C78513CD +:041249001A7010EF18 +:04124A0065D947F625 +:04124B00851346457C +:04124C008593780707 +:04124D0010EF79C560 +:04124E0045051950E9 +:04124F00CD7FD0EF90 +:04125000CF8957D219 +:04125100C70367E583 +:0412520047A9C94798 +:0412530000F7156328 +:04125400D0EF453D55 +:04125500B0EFC7EF40 +:04125600CE02FCCFF9 +:04125700C70347B2D0 +:0412580047A2023770 +:041259000417C7832C +:04125A0002F707632D +:04125B00468147A2DF +:04125C00C50346017F +:04125D0045810117AF +:04125E00A83FC0EFF6 +:04125F00C50347B2CA +:04126000C0EF0237A2 +:0412610047B2BA3F97 +:04126200C7834722D5 +:041263002223023709 +:0412640000A30007DC +:0412650047B204F791 +:041266000237C58303 +:041267008A634789C6 +:0412680047B202F592 +:041269000247C6036F +:04126A00C78347A24D +:04126B00826304276F +:04126C0047A202C7CC +:04126D000117C5039D +:04126E004589C1915C +:04126F00C68347A249 +:04127000C0EF00F7D4 +:0412710047B2A39F3E +:04127200C7834722C5 +:04127300012302470A +:0412740047B204F782 +:04127500C7834722C2 +:0412760047030347E0 +:0412770005630527DF +:04127800472200F712 +:0412790004F709234A +:04127A00470647C616 +:04127B000007C6831F +:04127C00000747031D +:04127D00C78347D606 +:04127E0017630007EB +:04127F00471600D737 +:041280000007470319 +:0412810004F7046307 +:0412820036334706B2 +:04128300002300D074 +:04128400471600D732 +:0412850000F700234B +:0412860000024737E4 +:04128700380707130A +:041288008B8D4334D3 +:041289009AF9078E39 +:04128A00C3348ED10A +:04128B009A9D4334B1 +:04128C00C33C8FD5FB +:04128D00C78357E2DA +:04128E00CB89000701 +:04128F00A02347D27F +:04129000D0EF000794 +:0412910045058E8FF2 +:04129200D6CFE0EFE4 +:04129300C78347A224 +:0412940083E301C728 +:0412950044036007A7 +:0412960067E1000408 +:041297006A078793C8 +:0412980000022737F2 +:04129900C4634314D3 +:04129A0017FD000636 +:04129B004551FFE5D5 +:04129C00B06FC0EF80 +:04129D00F36347911F +:04129E0075136E87CF +:04129F0005130885A6 +:0412A0003313F78588 +:0412A10047A200154B +:0412A20000B7C403CA +:0412A30027B7C41194 +:0412A4004380000281 +:0412A500880D8041EF +:0412A60003700513B9 +:0412A700C0EFC49A36 +:0412A800DA2AAD8F02 +:0412A90003800513A6 +:0412AA00ACEFC0EFF6 +:0412AB0084AA57D2E8 +:0412AC00008517138F +:0412AD0005136505BB +:0412AE008F69F0054F +:0412AF00DC3A8F5D39 +:0412B000D793472267 +:0412B1008B850064C5 +:0412B200039005138D +:0412B30000F704A399 +:0412B400AA6FC0EF6E +:0412B5000513DA2A19 +:0412B600C0EF03A0E2 +:0412B70027B7A9CFDD +:0412B800439C000251 +:0412B90052D246893E +:0412BA00576283C92B +:0412BB00F79343263C +:0412BC0008637FF74D +:0412BD0046A268D409 +:0412BE0000B6C6832D +:0412BF000693E68923 +:0412C000F06318F0CF +:0412C100468568E610 +:0412C20066D4196372 +:0412C3000017871376 +:0412C400190735933E +:0412C5000015C593B8 +:0412C600AD8D468123 +:0412C700BE95478900 +:0412C800BE85478D0B +:0412C900B6B54791DE +:0412CA00B6A54795E9 +:0412CB00B6954799F4 +:0412CC00B685479DFF +:0412CD00BEB147A1C6 +:0412CE00BEA147A5D1 +:0412CF000713676535 +:0412D0004683C9E7A1 +:0412D1004637000795 +:0412D200B7930002CC +:0412D30000230016DE +:0412D400971300F775 +:0412D5002783002744 +:0412D6009BED3C064A +:0412D70020238FD968 +:0412D80057B23CF6D7 +:0412D9000007C70340 +:0412DA0085A367E59C +:0412DB009C63CAE75F +:0412DC00C0EF360623 +:0412DD004505FB9F29 +:0412DE00C3CFE0EFAB +:0412DF00BE1147A94C +:0412E00000022737AA +:0412E100F693431C21 +:0412E200C4B67FF718 +:0412E30000B7D693E7 +:0412E400DC368A85E5 +:0412E50067654344B2 +:0412E600C9E747030A +:0412E7000613F36592 +:0412E80045813C0000 +:0412E9000002453783 +:0412EA00B0EFD0BED3 +:0412EB006659B25F2F +:0412EC006E46061331 +:0412ED00453745C17B +:0412EE0000EF00020B +:0412EF0057B250D0D2 +:0412F000000244377D +:0412F100C6836659F1 +:0412F20006130007D8 +:0412F30045C13F862C +:0412F40001040513D9 +:0412F5004F3000EF87 +:0412F60004B247A255 +:0412F700C70380B1F8 +:0412F800C76900B70B +:0412F9000613665919 +:0412FA0045C16F0675 +:0412FB0002040513D1 +:0412FC004D7000EF42 +:0412FD0046F147A2CD +:0412FE0087036659A3 +:0412FF00061300A72B +:0413000045C1458618 +:0413010002D70733D5 +:04130200869366E187 +:0413030005137F86C9 +:0413040096BA03048E +:041305004B3000EF7A +:04130600061366590B +:0413070045C1700666 +:0413080004040513C1 +:041309004A3000EF77 +:04130A00051347A6DA +:04130B0007130504BB +:04130C00869306902E +:04130D0057E200178C +:04130E0000F696B39C +:04130F00578696BEA9 +:0413100000B7D61339 +:041311008A05D2BEB9 +:041312000713E219C2 +:041313000637070092 +:041314008E7D0003C7 +:0413150002A0079398 +:041316000793E2193E +:04131700C6370200D3 +:041318000613A0EE2A +:041319005633B00691 +:04131A00059302969F +:04131B00443706400D +:04131C007333000225 +:04131D00563302B68B +:04131E00C21A02B637 +:04131F00C03245C1D2 +:0413200006136659F1 +:0413210000EF710662 +:0413220066594410B4 +:0413230072860613B5 +:04132400051345C1A7 +:0413250000EF0604CB +:0413260066594310B1 +:04132700061386A67D +:0413280045C17386C2 +:04132900070405139D +:04132A0041F000EF9F +:04132B000002443741 +:04132C0006136659E5 +:04132D0045C173C67D +:04132E000804051397 +:04132F0040B000EFDB +:0413300007136659E0 +:04133100468105806C +:0413320074860613A4 +:04133300051345C198 +:0413340000EF0904B9 +:0413350007933F508B +:0413360043B838047C +:041337000027671311 +:041338002623C3B8ED +:04133900477D3C04AC +:04133A00C7B8C3F875 +:04133B0047C2BD41A7 +:04133C00D7834742CA +:04133D00C79300074B +:04133E001023010770 +:04133F00BDBD00F739 +:04134000468547B2E5 +:04134100C783470116 +:04134200E563000758 +:04134300078500F624 +:041344000FF7F71395 +:04134500802347B208 +:0413460067E500E770 +:04134700C9E7C783A8 +:041348006461E7B144 +:04134900174404132E +:04134A004641400CCC +:04134B000002453720 +:04134C0059A010EFA5 +:04134D00C70347B2D9 +:04134E00481C000730 +:04134F0097BA070A38 +:041350004641438C43 +:04135100000244371B +:041352000204051379 +:0413530057E010EF60 +:041354003C042783AB +:041355000027E793F3 +:041356003CF4202320 +:041357003C04262309 +:041358002223478D78 +:0413590024233CF419 +:04135A00BD093C0489 +:04135B00C78367E5F8 +:04135C009713C9F723 +:04135D0067E100370D +:04135E004E478793DC +:04135F00676197BA71 +:04136000AF47071379 +:041361009BE3439C2B +:04136200C0EFDEE713 +:04136300B3FDDA1FDD +:04136400468547B2C1 +:04136500C7834701F2 +:04136600E563001724 +:04136700078500F600 +:041368000FF7F71371 +:0413690080A347B264 +:04136A0067E500E74C +:04136B00C9E7C78384 +:04136C006461FFD5E4 +:04136D00174404130A +:04136E0008C4258307 +:04136F004537464177 +:0413700010EF000278 +:0413710047B25080AF +:041372000017C70396 +:0413730009C42783FF +:0413740047B2B7B510 +:04137500C78347598A +:041376009063006719 +:04137700C78104E640 +:04137800F79317FDD3 +:0413790047320FF7F1 +:04137A0000F7032352 +:04137B00C78367E5D8 +:04137C00FFADC9E711 +:04137D000413646190 +:04137E00484C17447C +:04137F004537464167 +:0413800010EF000268 +:0413810047324C8023 +:041382004503505C73 +:0413830097820067E6 +:04138400464165E198 +:0413850072058593D5 +:0413860046B9B73578 +:04138700F363873E47 +:04138800473900F6EB +:04138900070547B25B +:04138A0000E78323D2 +:04138B0065D9B7C1A8 +:04138C00464565610C +:04138D00754585938A +:04138E0070C505130E +:04138F0048E010EF33 +:0413900065D9646156 +:0413910085934645B5 +:041392000513764584 +:0413930010EF7204E1 +:04139400473747C0D0 +:0413950027830002A8 +:0413960045053C07C6 +:04139700E79364E193 +:0413980020230047C7 +:04139900D0EF3CF75E +:04139A008493D48FD5 +:04139B0017B77F847D +:04139C00A7030002A1 +:04139D0067C10D0710 +:04139E008F7D17FD2B +:04139F00879367E1E8 +:0413A00046817347C8 +:0413A100D583466D3D +:0413A20006630007D7 +:0413A300068500B704 +:0413A4009AE3078938 +:0413A50047A2FEC697 +:0413A6008783477181 +:0413A70087B300A761 +:0413A800471502E7FC +:0413A9004BDC97A6DC +:0413AA00646383F500 +:0413AB00471102F7ED +:0413AC0006D74263BB +:0413AD00973E091846 +:0413AE00FDC747032D +:0413AF0040D7573399 +:0413B000C7158B05CD +:0413B1000918078A86 +:0413B200A78397BABC +:0413B3008023FE474E +:0413B40067E500D712 +:0413B500C8078F23B3 +:0413B60000024737B3 +:0413B7003C07278345 +:0413B80020239BED66 +:0413B90045013CF7B7 +:0413BA00F2AFD0EFCF +:0413BB006659B94175 +:0413BC000613068589 +:0413BD0045C57706A5 +:0413BE00720405139D +:0413BF001CB000EF6F +:0413C000D0EF450520 +:0413C100A537CACFB3 +:0413C2000513000708 +:0413C300B0EF120570 +:0413C400B7C19F0FFF +:0413C5008EE347B1BB +:0413C6006509FAF6C5 +:0413C7007105051394 +:0413C8009DEFB0EFF6 +:0413C90047A2B7A9D7 +:0413CA0064614671A3 +:0413CB0000A787836D +:0413CC007F84071300 +:0413CD007F84041302 +:0413CE0002C7863399 +:0413CF0046659732A6 +:0413D0000167470367 +:0413D10008C699634E +:0413D200C701467D8C +:0413D3007613177DF9 +:0413D40046F10FF7D8 +:0413D50002D786B302 +:0413D60096A267650F +:0413D70000C68B239E +:0413D800092346851A +:0413D9006765CCD7A1 +:0413DA00CD374703C1 +:0413DB0000E79B6329 +:0413DC0087B347711B +:0413DD0097A202E7EA +:0413DE000167C703D9 +:0413DF00952367E506 +:0413E00067E5CCE70A +:0413E100C9E7C7830E +:0413E20067E1EFA927 +:0413E300D507A58302 +:0413E4004537464102 +:0413E50010EF000203 +:0413E60047A23340A7 +:0413E70006934771B1 +:0413E8008783465061 +:0413E900665900A79A +:0413EA0042C60613DE +:0413EB0002E787B3DB +:0413EC00943E45C521 +:0413ED0001644783CD +:0413EE00051364611E +:0413EF0087B372044A +:0413F000069302D787 +:0413F100C6B3064039 +:0413F20000EF02D72F +:0413F30046410FD090 +:0413F40072040593E7 +:0413F50046F9BB8575 +:0413F600ECE34601DD +:0413F7000705F6E60A +:0413F80067E5B7BD31 +:0413F900C9F7C783E6 +:0413FA00003797130E +:0413FB00879367E18C +:0413FC0097BA4E4707 +:0413FD00071367610A +:0413FE00B369AB47DD +:0413FF0043014485DD +:0414000065D967E162 +:0414010070C7851318 +:041402008593464543 +:04140300DC1A780572 +:041404002BA010EF1A +:0414050046A953623F +:0414060006B347954D +:04140700873602D34F +:0414080000D7D363D3 +:0414090064614715BE +:04140A0007256659F3 +:04140B007906061345 +:04140C00051345C5BA +:04140D00DC1A72046F +:04140E0008F000EFF3 +:04140F000002473759 +:041410003C072783EB +:04141100E793450513 +:04141200202300474C +:04141300D0EF3CF7E3 +:041414001737B60FC1 +:04141500228300022C +:0414160067410D0716 +:04141700177D87A610 +:04141800F4B3536274 +:04141900EF9900E265 +:04141A0067E1CC9129 +:04141B007347871379 +:04141C00478146EDD1 +:04141D00000756036B +:04141E0000C48D6316 +:04141F00070907852D +:04142000FED79AE376 +:041421000513650941 +:04142200DC1A71055A +:04142300872FB0EF70 +:041424004725B7C9D8 +:0414250000E78863F1 +:0414260013634711F4 +:041427004725000352 +:0414280002E7DA639A +:0414290007854729C3 +:04142A0002E7E7B33B +:04142B0002E30333A2 +:04142C00979A6765BF +:04142D00CAF705A352 +:04142E00938FD0EFD9 +:04142F0066D9C901B0 +:0414300069868693B0 +:0414310006136659DF +:04143200B535458601 +:04143300869366D95D +:04143400BFCD4046A2 +:04143500956347690B +:04143600431300E775 +:04143700B70D0013DA +:0414380091E34731C4 +:04143900B3F5FAE726 +:04143A00C70367E598 +:04143B0057D2C9E7D4 +:04143C00DA07016367 +:04143D0047A9B461A6 +:04143E00DAAFF06FC2 +:04143F00706347157A +:0414400047097CF7E5 +:0414410000E69863C6 +:04144200FFA7871366 +:041443000FF7771315 +:041444007AE677636A +:04144500470946A667 +:041446008023448536 +:04144700468900E6EC +:04144800EC0FF06F46 +:041449004685448D03 +:04144A00EB8FF06FC5 +:04144B008D63461D4A +:04144C00460900C786 +:04144D0000C40023B4 +:04144E00ED4FF06FFF +:04144F0000F4002382 +:04145000ECCFF06F7E +:04145100B7FD460D90 +:04145200B7ED461597 +:041453008DD5069697 +:041454000FF5F59308 +:041455000045E593D6 +:04145600ED4FF06FF7 +:04145700731347893B +:04145800F7630FF532 +:041459007513008780 +:04145A000513090568 +:04145B00BA11F705C6 +:04145C000013551311 +:04145D0000157313F0 +:04145E00F493B23918 +:04145F0089E3020417 +:041460003593980424 +:04146100C5930C879C +:0414620046850015A6 +:04146300646147A2D7 +:04146400C483666572 +:0414650067E500B780 +:04146600CD27879374 +:041467000413DA3E52 +:04146800061369C43A +:041469009263C8863C +:04146A0002632C04E9 +:04146B008063620335 +:04146C00078362058B +:04146D0045890006A7 +:04146E002AF5D56323 +:04146F000006002350 +:041470004785462244 +:0414710005A34491FA +:04147200462200F618 +:041473000085179346 +:0414740042106505B8 +:04147500F005051366 +:0414760065E58FE9B0 +:041477000016051343 +:041478000057E7B37F +:04147900CB85859307 +:04147A0000E56F63B7 +:04147B00001705133E +:04147C0000C56B63D9 +:04147D004250462271 +:04147E0000C79763A9 +:04147F0046034622B8 +:041480000963008676 +:0414810080235CD692 +:0414820046320005E9 +:041483000196458306 +:0414840046034622B3 +:0414850097630376F0 +:0414860046320EC517 +:0414870001A64583F2 +:0414880046034622AF +:041489009F630386D4 +:04148A0046320CC515 +:04148B0001B64583DE +:04148C0046034622AB +:04148D0097630396C8 +:04148E0046320CC511 +:04148F0001C64583CA +:0414900046034622A7 +:041491009F6303A6AC +:0414920046320AC50F +:0414930001D64583B6 +:0414940046034622A3 +:04149500976303B6A0 +:0414960046320AC50B +:041497000146458342 +:04149800460346229F +:041499009F63032624 +:04149A00463208C509 +:04149B00015645832E +:04149C00460346229B +:04149D009763033618 +:04149E00463208C505 +:04149F00016645831A +:0414A0004603462297 +:0414A1009F630346FC +:0414A200463206C503 +:0414A3000176458306 +:0414A4004603462293 +:0414A50097630356F0 +:0414A600463206C5FF +:0414A70001864583F2 +:0414A800460346228F +:0414A9009F630366D4 +:0414AA00666104C5AE +:0414AB007B060613A3 +:0414AC0002764583FC +:0414AD00045446039A +:0414AE0004C5966378 +:0414AF000613666159 +:0414B00045837B06EF +:0414B1004603028666 +:0414B2009D630464CE +:0414B300666102C5A7 +:0414B4007B0606139A +:0414B5000336458332 +:0414B60005144603D0 +:0414B70002C5946373 +:0414B8000613666150 +:0414B90045837B06E6 +:0414BA00460303A63C +:0414BB009B630584A6 +:0414BC00666100C5A0 +:0414BD007B06061391 +:0414BE0003B64583A9 +:0414BF000594460347 +:0414C00000C5896377 +:0414C10086264589AD +:0414C20000B4F3631C +:0414C30074934609CF +:0414C40046320FF6A7 +:0414C5000256458303 +:0414C6004603462271 +:0414C7008B630436F9 +:0414C800462202C5F1 +:0414C900060345F1E0 +:0414CA0005B300A6C0 +:0414CB00666102B69E +:0414CC007F860613FE +:0414CD004A10962EFD +:0414CE0020D0059392 +:0414CF0076138215F9 +:0414D00019637FF627 +:0414D100458900B693 +:0414D200F363862614 +:0414D300460900B412 +:0414D4000FF6749308 +:0414D50045834632D3 +:0414D6004622026642 +:0414D700044646037E +:0414D80002C58B635B +:0414D90045F1462271 +:0414DA0000A606035F +:0414DB0002B605B39D +:0414DC00061366612C +:0414DD00962E7F8642 +:0414DE0005934A1018 +:0414DF0082151C1046 +:0414E0007FF676130A +:0414E10000B61963D5 +:0414E200862645898C +:0414E30000B4F363FB +:0414E40074934609AE +:0414E50045A20FF617 +:0414E6000002863743 +:0414E700C583421067 +:0414E800826D01D53B +:0414E90089638A3D4C +:0414EA00458900C56B +:0414EB00F3638626FB +:0414EC00460900B4F9 +:0414ED000FF67493EF +:0414EE004603565209 +:0414EF00CE490006DC +:0414F00000A405034C +:0414F100666142F1FD +:0414F2000255053367 +:0414F3007F860313DA +:0414F4000124458307 +:0414F5004383429556 +:0414F6004601045453 +:0414F7002503932A0C +:0414F800897D0103E6 +:0414F90002B5053300 +:0414FA00025505335F +:0414FB000640029312 +:0414FC0003250513AC +:0414FD00025545331C +:0414FE0000E3528332 +:0414FF00025585B35A +:041500000542952EDD +:0415010095938141FC +:041502001B2301079F +:0415030081C100A4FE +:0415040000038663F7 +:041505000183260335 +:041506008A058205CB +:04150700DC3AD0B644 +:04150800B0EFC4BEBE +:041509000603A39F93 +:04150A0045F100A403 +:04150B00063367E15B +:04150C00829302B60E +:04150D0045837F870C +:04150E009616012408 +:04150F000166450329 +:04151000BFDFB0EF9A +:0415110000A409A386 +:0415120047A657622F +:04151300862656864C +:041514004605E09117 +:041515000FF67493C6 +:04151600C05CC018DD +:0415170000D40423D5 +:041518000785A0356E +:0415190000F60023B5 +:04151A000463B38D26 +:04151B0090630003D6 +:04151C000783360506 +:04151D005371000600 +:04151E003467D663F5 +:04151F00002347A2BC +:0415200044910006EC +:04152100000785A397 +:04152200D40591E378 +:04152300C70347B201 +:0415240047A20007D3 +:0415250001E7C78390 +:0415260010F71D633A +:04152700C70347B2FD +:0415280047A20017BF +:0415290001F7C7837C +:04152A0010F715633E +:04152B00C70347B2F9 +:04152C0047A20027AB +:04152D000207C78367 +:04152E000EF71D6334 +:04152F00C70347B2F5 +:0415300047A2003797 +:041531000217C78353 +:041532000EF7156338 +:04153300C70347B2F1 +:0415340047A2006763 +:041535000247C7831F +:041536000CF71D632E +:04153700461547A26C +:04153800026785932E +:04153900851347B21D +:04153A0000EF008737 +:04153B00E17158D032 +:04153C00461947A263 +:04153D0002B78593D9 +:04153E00851347B218 +:04153F0000EF00D7E2 +:04154000E945579092 +:04154100C70347B2E3 +:0415420047A2004775 +:041543000227C78331 +:041544000AF711632E +:04154500C70347B2DF +:0415460047A2005761 +:041547000237C7831D +:0415480008F7196324 +:04154900C70347B2DB +:04154A0047A200773D +:04154B000257C783F9 +:04154C0008F7116328 +:04154D00879367E138 +:04154E00C7037B074D +:04154F00478301F7D6 +:04155000186303D445 +:0415510067E106F751 +:041552007B078793F9 +:041553000207C703C1 +:0415540003E44783E2 +:0415550004F71F6315 +:04155600879367E12F +:04155700C7037B0744 +:0415580047830217AC +:04155900166303F41E +:04155A0067E104F74A +:04155B007B078793F0 +:04155C000227C70398 +:04155D0004044783B8 +:04155E0002F71D6310 +:04155F00879367E126 +:04156000C7037B073B +:04156100478301E7D4 +:04156200146303C447 +:0415630067E102F743 +:041564007B078793E7 +:041565000367C7034E +:04156600054447836E +:0415670000F71B630B +:04156800879367E11D +:04156900C7037B0732 +:04156A004783035759 +:04156B0007630534D9 +:04156C0087A600F757 +:04156D004785E0913D +:04156E000FF7F493EC +:04156F00C58347B237 +:0415700047A202A7E5 +:04157100C783DC2E22 +:041572008E630487F9 +:04157300454100B737 +:04157400FA6FB0EF6B +:04157500891D55E295 +:041576008DC9058E88 +:041577000FF5F593E4 +:04157800B0EF45414A +:0415790047B2FDEF89 +:04157A0002B7C5836C +:04157B00C78347A239 +:04157C0086630497E7 +:04157D00051300B79B +:04157E00B0EF03D0F7 +:04157F0047B2FC6F04 +:0415800002C7C58356 +:04158100C78347A233 +:04158200856304A7D2 +:04158300454500B723 +:04158400FB0FB0EFBA +:04158500472247B200 +:041586000307C5830F +:0415870004E747032B +:041588000317C783FB +:0415890000B717632D +:04158A0047034722AA +:04158B000B6304F7F3 +:04158C00454900F7D6 +:04158D00B0EFDC3EA1 +:04158E0057E2F8AF79 +:04158F0085BE454D83 +:04159000F80FB0EFB1 +:04159100C50347B295 +:0415920047830297F2 +:0415930084630474F5 +:04159400478502A7DE +:0415950000A7F86350 +:04159600C50367E53D +:041597001561CBF718 +:0415980000153513F2 +:04159900053347E1EE +:04159A0067DD02F512 +:04159B003F8787936C +:04159C00B0EF953ED9 +:04159D0047B28B5F67 +:04159E0002F7C50388 +:04159F0004D44783A6 +:0415A00000A78463B9 +:0415A100A5DFB0EF23 +:0415A200C50347B284 +:0415A300478302D7A1 +:0415A400846304B4A4 +:0415A500B0EF00A7FC +:0415A60047B2985F51 +:0415A70002E7C5830F +:0415A80004C44783AD +:0415A9008F63DC2E42 +:0415AA00456900B7D8 +:0415AB00ECAFB0EF02 +:0415AC00751355E27C +:0415AD000592FCF5B2 +:0415AE00F5938DC95B +:0415AF0045690FF586 +:0415B000F00FB0EF99 +:0415B10047B24732C4 +:0415B20003D7458393 +:0415B30003C7C78320 +:0415B40005A4470340 +:0415B50000F71663C2 +:0415B60005B447032E +:0415B70000B70A630C +:0415B8008DDD058E32 +:0415B9000FF5F593A2 +:0415BA000280051393 +:0415BB00ED4FB0EF51 +:0415BC00879367E1C9 +:0415BD0087937B078E +:0415BE00853E03E77C +:0415BF000593461D2D +:0415C00000EF05C46F +:0415C10067E1375057 +:0415C2007EE78793A6 +:0415C300853EC5019B +:0415C400EF2FB0EF66 +:0415C500879366E1C1 +:0415C600C7037B06D6 +:0415C70047830377DC +:0415C8001A63055449 +:0415C900879300F70D +:0415CA00C7037B06D2 +:0415CB0047830387C8 +:0415CC00056305644A +:0415CD0067E102F7D9 +:0415CE007B0787937D +:0415CF000237C70315 +:0415D000B0EFC63A78 +:0415D1004581D6EF8B +:0415D2000CE0051311 +:0415D300D28FB0EF14 +:0415D40047894732CA +:0415D50000F70463B4 +:0415D600A99FB0EF2A +:0415D700C78367E57A +:0415D800C795CA8762 +:0415D900879367E1AC +:0415DA00C5837B0743 +:0415DB0047830397A8 +:0415DC008E630574A1 +:0415DD0015D100B76D +:0415DE00F5930586F6 +:0415DF0045050FF5BA +:0415E000B0EFC62E74 +:0415E10045B2D74FE9 +:0415E200B0EF450918 +:0415E30067E1D6CF17 +:0415E4007B07859369 +:0415E5000460061385 +:0415E60001E4051304 +:0415E700EF7FA0EF03 +:0415E800802357D233 +:0415E9004789000727 +:0415EA0010F4826314 +:0415EB00876347913A +:0415EC00478504F437 +:0415ED000AF4926307 +:0415EE0000B447837B +:0415EF00A0EFCFD1C9 +:0415F000A859F39F64 +:0415F100002317FDBF +:0415F200448100F63A +:0415F3000023B975A3 +:0415F400BFE5000649 +:0415F5000005C60324 +:0415F60008E34505BC +:0415F7000605A2A69D +:0415F8000FF6761361 +:0415F90000C5802386 +:0415FA00A2A611E3B1 +:0415FB008626458972 +:0415FC0000B4F363E1 +:0415FD007493460994 +:0415FE00BC010FF627 +:0415FF0000B447836A +:041600006765C3B5A2 +:04160100CBA7071359 +:041602000007578303 +:04160300051364095E +:04160400E7937104F3 +:041605001023002787 +:04160600173700F79B +:04160700202300029A +:04160800A0EF0EF74A +:0416090045C58DDF67 +:04160A00B0EF45599F +:04160B000513D96F7B +:04160C00A0EF7104D6 +:04160D0045898CDFA0 +:04160E00B0EF455D97 +:04160F000513D86F78 +:04161000A0EF7104D2 +:04161100C0EF8BDFBC +:0416120067E1E39F0A +:041613007B07879337 +:041614000237C503D1 +:04161500CD1FB0EF46 +:0416160012C00513E6 +:041617008A3FA0EF77 +:04161800C21FE06F9E +:041619000004222384 +:04161A00F7DFB0EF57 +:04161B0001C447833C +:04161C004645656179 +:04161D0000279713F8 +:04161E00879367DD6A +:04161F0097BA3CC773 +:041620000513438CDF +:0416210000EF76C59B +:0416220065D9245012 +:041623004645656172 +:0416240079C585936C +:04162500780505132C +:04162600233000EF7E +:04162700C0EF4505C6 +:04162800A0EFD75FF9 +:04162900D002881F44 +:04162A00B77DCE02B8 +:04162B0000B447833D +:04162C00C0EFD7C56F +:04162D00B74DDCDFFA +:04162E006DE347150C +:04162F00448984F76F +:04163000E06F4681A0 +:041631000113F1FFB1 +:04163200D6A6F7C180 +:04163300DA86C13E54 +:04163400D8A267E1F0 +:04163500DEBADCB687 +:041636006887A4839A +:041637000005DC636B +:0416380008B007935C +:04163900557DC09C7F +:04163A00544650D6EC +:04163B00011354B68D +:04163C00808208415F +:04163D00208007936F +:04163E0000F118237C +:04163F00CA2AC22AC7 +:041640004781842E2C +:041641008793C19931 +:04164200C63EFFF5AC +:0416430018B4CC3ECD +:04164400004C57FD02 +:0416450019238526BA +:04164600C03600F1B9 +:0416470057FD2C59C6 +:0416480000F55563F1 +:0416490008B007934B +:04164A00DC5DC09C07 +:04164B00802347921F +:04164C00BF5D000777 +:04164D00A783C5C5E5 +:04164E001141FFC582 +:04164F00C606C422E5 +:04165000FFC584133B +:041651000007D36358 +:04165200C02A943ED8 +:041653000BB000EFE9 +:04165400278367651C +:041655004502CDC7B6 +:04165600EB91863A54 +:041657000004222346 +:04165800CC872E23EA +:0416590040B2442235 +:04165A00006F0141DB +:04165B007F6309F0B0 +:04165C00401400F442 +:04165D0000D407337B +:04165E0000E79663A8 +:04165F0043DC43988D +:04166000C0189736E1 +:041661002E23C05C18 +:04166200BFE9CC868A +:0416630043DC873E9F +:041664007DE3C399C6 +:041665004314FEF438 +:0416660000D7063370 +:0416670000861F6377 +:0416680096B24010E6 +:041669000633C3146D +:04166A009DE300D725 +:04166B004390FAC7E7 +:04166C0096B243DC13 +:04166D00C35CC31483 +:04166E007563B77574 +:04166F0047B100C4BB +:04167000B74DC11C95 +:0416710006B340106C +:04167200966300C4B7 +:04167300439400D7C5 +:0416740096B243DC0B +:04167500C05CC01481 +:04167600B769C3404D +:04167700114180821B +:041678008493C2266F +:0416790098F10035AF +:04167A00C422C606BA +:04167B00473104A14E +:04167C00FC6387AADA +:04167D0044B104E48C +:04167E0004B4EB6362 +:04167F00C03E853EA6 +:04168000007000EF07 +:0416810026836765F0 +:041682004782CDC707 +:04168300CDC70613B6 +:04168400E431843693 +:041685000413646581 +:041686004018CE0436 +:04168700853EEB01B0 +:04168800C03E45819A +:0416890079C000EF35 +:04168A00C0084782CB +:04168B0085A6853E6D +:04168C0000EFC03E6D +:04168D00577D78E02D +:04168E001663478216 +:04168F00473106E5F4 +:04169000853EC39838 +:041691007C4000EFAA +:04169200D7E3A029D1 +:041693004731FA04DD +:041694004501C398B1 +:04169500442240B2F9 +:041696000141449238 +:0416970040188082F5 +:041698004F638F0508 +:0416990045AD020752 +:04169A0000E5F6630E +:04169B00943AC018A5 +:04169C00A029C004BD +:04169D0093634058BB +:04169E00C2180286E6 +:04169F0000EF853E95 +:0416A000051378A016 +:0416A100079300B4F7 +:0416A2009961004406 +:0416A30040F50733D4 +:0416A400FCF502E36C +:0416A5008F89943A5B +:0416A600BF6DC01C38 +:0416A700BFF9C2D8ED +:0416A800404086A296 +:0416A9000413B7BDB2 +:0416AA0098710035FE +:0416AB00FC8502E3D5 +:0416AC0040A405B39E +:0416AD00C03E853E78 +:0416AE00577D27211C +:0416AF0019E3478272 +:0416B000BFB5FAE5E3 +:0416B100C23211111F +:0416B200C826459071 +:0416B300CA22CC0675 +:0416B400E46384AEB9 +:0416B50082AA08C637 +:0416B60000C5D50393 +:0416B7004805771358 +:0416B80048D0CB3D0E +:0416B9004080470D19 +:0416BA0002C7073329 +:0416BB004609498C07 +:0416BC0040B407B37C +:0416BD004433C03EB4 +:0416BE00861302C7C6 +:0416BF00963E00163D +:0416C00000C473638C +:0416C10075138432E7 +:0416C200C6364005E3 +:0416C3008516C5358E +:0416C400C41685A221 +:0416C500ECBFF0EF97 +:0416C60046B242A244 +:0416C700CD254731B5 +:0416C800488C460202 +:0416C900C42AC63633 +:0416CA00B6BFA0EF18 +:0416CB0000C4D6037E +:0416CC0046B24322BD +:0416CD00B7F67613E3 +:0416CE000806661391 +:0416CF0000C496239A +:0416D000A823478282 +:0416D100C8C0006429 +:0416D2000733933E09 +:0416D300A02340F41C +:0416D40086360064F2 +:0416D500F363C4985F +:0416D600863600C68E +:0416D7004088459270 +:0416D800A0EFC0328D +:0416D9004498B47FFE +:0416DA00450146027E +:0416DB00C4988F110F +:0416DC00963A409862 +:0416DD00A80DC09004 +:0416DE0086228516C5 +:0416DF002571C41697 +:0416E00046B242A22A +:0416E100FD4D832A0E +:0416E2008516488C95 +:0416E300F0EFC0164E +:0416E4004282DA7FE5 +:0416E500A0234731C6 +:0416E600D70300E244 +:0416E700557D00C469 +:0416E8000407671379 +:0416E90000E4962360 +:0416EA00445240E244 +:0416EB00017144C283 +:0416EC00D70380821E +:0416ED00011300C520 +:0416EE00C122F7819D +:0416EF000793DEA6D9 +:0416F000C30601F13B +:0416F100C02EC22A1B +:0416F200080777135B +:0416F300F41384B2B6 +:0416F400CB1DFF8784 +:0416F500EB0D499818 +:0416F6000400059354 +:0416F700F0EFC63614 +:0416F8004782E01F26 +:0416F900C38846B2AA +:0416FA00E919CB8897 +:0416FB0047B147129A +:0416FC00C31C557D39 +:0416FD00440A409AC1 +:0416FE00011354F68A +:0416FF00808208815C +:041700000713478202 +:04170100CBD804003D +:0417020002000713C7 +:0417030000E40CA34F +:0417040003000713C4 +:0417050000042A238F +:0417060000E40D23CB +:041707008726CA3631 +:041708000250061372 +:04170900000746830C +:04170A009663C29987 +:04170B0006B30AC651 +:04170C00016340979E +:04170D004582029778 +:04170E0086264512D4 +:04170F00C636C83AD8 +:04171000E85FF0EFAF +:041711000A63567D94 +:0417120048501AC55C +:04171300474246B251 +:04171400C8509636ED +:041715000007468300 +:041716001A068163CB +:041717000017049320 +:041718002023577DB6 +:04171900262300047F +:04171A00C0580004AF +:04171B00000424237F +:04171C00040401A31D +:04171D0004042C2371 +:04171E000004C5837B +:04171F00461567E123 +:04172000A1C78513C5 +:04172100401423A5A8 +:041722000014871315 +:04172300F613E5399B +:04172400C6090106EB +:0417250002000613A5 +:0417260004C401A353 +:041727000086F6132F +:041728000613C609D5 +:0417290001A302B066 +:04172A00C58304C4AB +:04172B00061300049D +:04172C008F6302A025 +:04172D00445402C559 +:04172E004581872644 +:04172F0042A9452561 +:041730000007460365 +:041731000017031387 +:04173200FD06061397 +:0417330006C577630D +:04173400C454C9854B +:041735000705A035CF +:0417360067E1B7B1FF +:04173700A1C78613AD +:0417380046058D11C4 +:0417390000A61533BE +:04173A00C0148EC980 +:04173B00B76984BA4C +:04173C000593465279 +:04173D004210004610 +:04173E004963CA2E03 +:04173F00C45002068A +:041740000007460355 +:0417410002E0069329 +:0417420006D6116353 +:041743000017460342 +:0417440002A0069366 +:0417450002D61B634A +:04174600070946D277 +:0417470000468613BF +:04174800CA324294CB +:041749000206C16370 +:04174A00A081C05466 +:04174B0040C0063361 +:04174C000026E693FA +:04174D00C014C450B0 +:04174E0086B3B7E1C6 +:04174F00871A02569D +:0417500096B2458583 +:0417510056FDBFB5CD +:041752000705B7C50B +:041753000004222349 +:041754004681458104 +:0417550042A945253B +:04175600000746033F +:041757000017031361 +:04175800FD06061371 +:0417590006C57363EB +:04175A004583F1E1F1 +:04175B0064E100073E +:04175C008513460D9E +:04175D00C63AA244A2 +:04175E004732299550 +:04175F008493CD01A1 +:041760008D05A2440D +:0417610004000693E7 +:0417620000A696B394 +:04176300070540082E +:04176400C0088D55D7 +:0417650000074583B1 +:04176600461965615A +:04176700A28505133F +:0417680000170493CF +:0417690000B40C2399 +:04176A00C1352191D3 +:04176B000000071360 +:04176C004014E70D31 +:04176D00F693475256 +:04176E00CE91100602 +:04176F00CA3A07115A +:0417700047A24858EC +:04177100C858973E7F +:0417720086B3BD99E4 +:04177300871A025679 +:0417740096B245855F +:041775000721B75140 +:041776004602B7DD93 +:0417770066D94512D8 +:0417780086930858F4 +:0417790085A2AC4653 +:04177A0000000097D4 +:04177B00000000E783 +:04177C0047A2C42A92 +:04177D0095E3577D1C +:04177E004782FCE7BB +:04177F00D703557DBA +:04178000771300C714 +:0417810017E304075F +:041782004848DE07EE +:041783004602B3E582 +:0417840066D94512CB +:0417850086930858E7 +:0417860085A2AC4646 +:04178700BFC922159F +:0417880087AE110116 +:0417890085B6CA2631 +:04178A00479484BA42 +:04178B00CC224B9889 +:04178C00842ACE06D7 +:04178D0053638332ED +:04178E00873600D7C3 +:04178F0000E3202330 +:041790000437C683D1 +:041791000705C68101 +:0417920000E320232D +:0417930077134398ED +:04179400C711020770 +:041795000003270323 +:0417960020230709FC +:04179700439800E390 +:04179800019786131C +:04179900C3358B19B0 +:04179A00C683439827 +:04179B007713043785 +:04179C0036B3020757 +:04179D00E75100D040 +:04179E000437861373 +:04179F00C41A8522C1 +:0417A000C02EC23E57 +:0417A100577D94825A +:0417A20006E50763EE +:0417A3004322479204 +:0417A40043944582A3 +:0417A5000003260314 +:0417A6008A994311C8 +:0417A700470147C8E7 +:0417A80000669763DD +:0417A90040C50733FD +:0417AA00000753637E +:0417AB004794470117 +:0417AC0054634B90A7 +:0417AD008E9100D643 +:0417AE004301973626 +:0417AF0001A78613F5 +:0417B0000667106355 +:0417B100A80D450139 +:0417B20047D407050C +:0417B3000003250307 +:0417B4005BE38E89DC +:0417B5004685F8D796 +:0417B600C81A8522A6 +:0417B700C43AC63E2C +:0417B800C02EC2324B +:0417B90056FD9482C3 +:0417BA00461245820C +:0417BB0047B24722C8 +:0417BC001BE34342A6 +:0417BD00557DFCD585 +:0417BE00446240F24F +:0417BF00610544D2AA +:0417C000863380826A +:0417C100051300D735 +:0417C20001A303007C +:0417C300C60304A6AF +:0417C400871304572C +:0417C500973E001635 +:0417C60001A30689EC +:0417C700BFA904C7EB +:0417C80085224685AB +:0417C900C43AC61A3E +:0417CA00C02EC23239 +:0417CB0057FD9482B0 +:0417CC00FCF503E342 +:0417CD00472243323A +:0417CE0045824612F8 +:0417CF00B74903050E +:0417D000CC22110115 +:0417D100CE06CA2650 +:0417D200842EC02A77 +:0417D3000435851341 +:0417D4000185C58343 +:0417D500C23284B6E2 +:0417D60007800693EF +:0417D70000B6EC6309 +:0417D800062006934E +:0417D90000B6ED6306 +:0417DA001C058C63FB +:0417DB0005800693EC +:0417DC0012D58D6332 +:0417DD0004240313CA +:0417DE0004B401232B +:0417DF008693A80540 +:0417E000F693F9D5AE +:0417E10046550FF664 +:0417E200FED666E3E6 +:0417E300068A6661AB +:0417E400A5860613BD +:0417E500429496B2E2 +:0417E60043148682A0 +:0417E70004240313C0 +:0417E800004686131E +:0417E9004298C3104F +:0417EA0004E40123EF +:0417EB00AA7547058F +:0417EC00230340147F +:0417ED00F6130007E8 +:0417EE000593080651 +:0417EF00C20D0043E4 +:0417F0000003268349 +:0417F1006661C30C5E +:0417F2000006D863B2 +:0417F30002D0071306 +:0417F40040D006B328 +:0417F50004E401A364 +:0417F600A30606132D +:0417F700A0B9472925 +:0417F8000406F613DA +:0417F9000003268340 +:0417FA00DE71C30CCD +:0417FB0086C106C2DB +:0417FC004010BFD901 +:0417FD00729343148C +:0417FE008313080643 +:0417FF0086630046B7 +:04180000202300029F +:0418010042940067A6 +:041802007613A801B0 +:041803002023040694 +:04180400DA7500672A +:041805000006D68380 +:0418060007136661FD +:04180700061306F0CE +:041808008763A30649 +:0418090047290EE578 +:04180A00040401A32E +:04180B00C40C404C7D +:04180C000005C863A8 +:04180D0000042303AD +:04180E00FFB373139E +:04180F00006420232E +:04181000832AE299AC +:04181100832ACD99C0 +:0418120002E6F5B342 +:0418130095B2137DFA +:041814000005C58383 +:0418150000B30023F9 +:04181600D6B385B60A +:04181700F5E302E60D +:0418180046A1FEE502 +:0418190000D71E6373 +:04181A008B054018E2 +:04181B004054CB1159 +:04181C0047634818BE +:04181D00071300D7D6 +:04181E000FA3030011 +:04181F00137DFEE354 +:0418200040650533E7 +:041821004692C8081B +:0418220087264502CE +:0418230085A2081082 +:04182400F0EFC41A03 +:04182500577DD8FF14 +:041826001C634322DA +:04182700557D0CE5FA +:04182800446240F2E4 +:04182900610544D23F +:04182A0066618082F1 +:04182B0004B402A35C +:04182C00A3060613F6 +:04182D002303400C45 +:04182E00F29300072A +:04182F0026830805FF +:04183000031100039D +:0418310002028D63BF +:041832000067202308 +:041833000015F71392 +:04183400E593C70170 +:04183500C00C0205DC +:04183600F6B9474177 +:04183700F593400CD9 +:04183800C00CFDF5EE +:041839004014B7910F +:04183A000206E69329 +:04183B000693C0143C +:04183C00666107805A +:04183D0004D402A32A +:04183E00A4460613A3 +:04183F00F293BF65FC +:041840002023040558 +:0418410083E30067D6 +:0418420006C2FC02DC +:04184300BF7D82C122 +:04184400BF19472160 +:0418450043144010F8 +:041846007293484C05 +:0418470083130806F9 +:04184800876300466C +:041849002023000256 +:04184A004298006759 +:04184B00A809C30C19 +:04184C0000672023EE +:04184D000406761304 +:04184E00DA6D429875 +:04184F0000B71023AB +:041850000004282345 +:04185100B781832AAE +:041852004581431475 +:0418530000468613B2 +:04185400A303C31017 +:0418550040500006F9 +:04185600C41A851A11 +:0418570043222841BF +:041858000533C5018E +:04185900C0484065DE +:04185A00C818405812 +:04185B00040401A3DD +:04185C004814BF1954 +:04185D004502459269 +:04185E009482861AD0 +:04185F0000E3577DCE +:041860004018F2E555 +:04186100EB0D8B09F7 +:04186200444847426D +:04186300F0E55AE36F +:04186400B739853AD1 +:041865004502459261 +:04186600C63A4685B3 +:041867009482C43271 +:041868000EE356FD38 +:041869004732EED53F +:04186A000705462206 +:04186B0045C24454DA +:04186C0041E38E8D39 +:04186D00BFC9FED71A +:04186E000613470115 +:04186F00B7FD01942C +:04187000C22211512E +:04187100842AC026DF +:04187200852E64E576 +:04187300AC23C406D8 +:041874002A15CC0461 +:04187500166357FDA2 +:04187600A78300F54F +:04187700C391CD84C8 +:0418780040A2C01CAE +:04187900448244124F +:04187A008082013136 +:04187B000FF5F593DD +:04187C001463962A31 +:04187D00450100C55C +:04187E00478380829A +:04187F008DE30005F0 +:041880000505FEB7A5 +:041881008082B7FDAD +:04188200E5818082FA +:04188300F06F85B2CB +:041884001131FD0F12 +:04188500C622C806A9 +:04188600EA11C42679 +:04188700F18FF0EFFE +:0418880040C2478192 +:0418890044A24432FF +:04188A000151853E45 +:04188B00842E8082A5 +:04188C0084AAC03238 +:04188D004602203DB2 +:04188E0074E387A2D6 +:04188F0085B2FEC55B +:04189000C2328526B5 +:04189100F9AFF0EFCC +:04189200DD6187AAE3 +:0418930085A24612D2 +:04189400A0EFC02AD7 +:0418950085A2C40F55 +:04189600F0EF8526C4 +:041897004782EDAFE8 +:04189800A783B7C9A2 +:041899008513FFC5EF +:04189A00D563FFC74C +:04189B0095AA000703 +:04189C00953E419C98 +:04189D0047018082FD +:04189E0000E61463E9 +:04189F0080824501FD +:0418A00000E507B3A5 +:0418A10086B30705FE +:0418A200C78300E513 +:0418A300C6830007F1 +:0418A40083E3FFF6E5 +:0418A5008533FED7B2 +:0418A600808240D725 +:0418A700167DC60DD7 +:0418A80007B347013A +:0418A90086B300E51D +:0418AA00C78300E50B +:0418AB00C6830007E9 +:0418AC00946300063B +:0418AD00156300D7E8 +:0418AE00853300C7B7 +:0418AF00808240D71C +:0418B000F3E5070550 +:0418B1004501BFDD51 +:0418B20087AA8082FF +:0418B300C703CA0994 +:0418B40005850005A1 +:0418B5008FA3078571 +:0418B600167DFEE7B6 +:0418B700963EFB65F9 +:0418B80000C793636F +:0418B900078580829D +:0418BA00FE078FA3F3 +:0418BB0095AABFD556 +:0418BC00856387AA0F +:0418BD00C70300B7A6 +:0418BE00E701000737 +:0418BF0040A7853386 +:0418C0000785808296 +:0418C10063E5B7FD27 +:0418C200CE43A783E7 +:0418C300C2221151DB +:0418C400842AC406A8 +:0418C500CE438393F8 +:0418C6004501E79D54 +:0418C7004601458110 +:0418C800470146810D +:0418C9000D60029319 +:0418CA0000000073A7 +:0418CB001A6357FD48 +:0418CC00283500F5C6 +:0418CD00C11C47B142 +:0418CE0040A2557D62 +:0418CF00013144128D +:0418D000A02380824F +:0418D100A30300A3CA +:0418D2004581000349 +:0418D3000533460192 +:0418D40046810064E5 +:0418D50047814701FF +:0418D6000D6002930C +:0418D700000000739A +:0418D80018E3941A63 +:0418D900A023FC85C7 +:0418DA00851A00A3C8 +:0418DB0067E1B7F911 +:0418DC006887A50371 +:0418DD000000808205 +:0418DE000000000006 +:0418DF000000000005 +:0418E0000000000004 +:0418E1000000000003 +:0418E20000010520DC +:0418E30000010526D5 +:0418E4000001052CCE +:0418E50000010532C7 +:0418E600000104D425 +:0418E700000104F404 +:0418E800000104F403 +:0418E900000104F402 +:0418EA00000104F401 +:0418EB00000104F400 +:0418EC00000104F4FF +:0418ED00000104F8FA +:0418EE00000104FEF3 +:0418EF006C206F4EAC +:0418F000006B6E69B2 +:0418F1006D206425DD +:0418F200000000569C +:0418F300252E752504 +:0418F4002075322EFB +:0418F5000000737507 +:0418F600252575250A +:0418F70000000000ED +:0418F8006C207525C6 +:0418F90073656E693C +:0418FA0000000000EA +:0418FB0070207525BF +:0418FC006C65786936 +:0418FD000000007374 +:0418FE00000075254C +:0418FF0064206425D8 +:0419000000000042A1 +:04190100656E6F445C +:0419020000000000E1 +:041903006C69614664 +:0419040028206465CE +:04190500002964252C +:0419060000011AC8FA +:0419070000011AE2DF +:0419080000011AECD4 +:0419090000011B1EA0 +:04190A0000011AFAC4 +:04190B0064206425CB +:04190C00000067650B +:04190D00322E252E23 +:04190E000000007560 +:04190F006573753C4B +:0419100000003E6431 +:041911004452535594 +:0419120000415441FB +:04191300706D653C52 +:04191400003E7974A4 +:04191500203A7525DA +:041916000000732535 +:0419170074204B4F9E +:041918006E69206F65 +:0419190000007469ED +:04191A00736C614643 +:04191B00727420655D +:04191C00656767692B +:04191D000000007254 +:04191E00656D695436 +:04191F000074756F6C +:04192000252E7525D6 +:041921006D75322E80 +:04192200000000734E +:04192300252E7525D3 +:041924006D75322E7D +:0419250075252F7382 +:04192600322E252E0A +:0419270000736D7567 +:0419280025207325DE +:0419290063252D7590 +:04192A0000000000B9 +:04192B00252E7525CB +:04192C006B75322E77 +:04192D0025207A48AF +:04192E002E252E75BF +:04192F007A4875324B +:0419300000000000B3 +:0419310078303237A1 +:04193200003030341D +:04193300783034369E +:04193400003030341B +:04193500FFFFFFFFB2 +:04193600FFFFFFFFB1 +:041937000000FFFFAE +:04193800636E614336 +:04193900656C6C6508 +:04193A000000006445 +:04193B00462F44539C +:04193C006873616CFF +:04193D00727265203D +:04193E000000726FC4 +:04193F0053206F4E74 +:04194000616320447B +:041941006420647248 +:04194200002E74659A +:0419430061766E4912 +:041944002064696C46 +:0419450061726170FA +:04194600002E736D8F +:041947006F70784500 +:041948006E697472DE +:041949002E2E2E67A9 +:04194A000000000099 +:04194B00636375530A +:04194C00007373654C +:04194D006F707845FA +:04194E00203F747250 +:04194F002C593D31A1 +:041950004E3D3220B6 +:041951000000000092 +:0419520073616C460B +:04195300657620682D +:04195400206669722E +:041955006C696166F2 +:04195600000000008D +:0419570061766E49FE +:041958002064696C32 +:04195900207264682C +:04195A0000435243B1 +:04195B0061766E49FA +:04195C002064696C2E +:04195D0061746164EC +:04195E00435243208D +:04195F000000000084 +:0419600061647055F9 +:041961006320657426 +:0419620065636E61EA +:0419630064656C6CDF +:04196400000000007F +:0419650061766E49F0 +:041966002064696C24 +:0419670067616D69DE +:041968000000006516 +:0419690061766E49EC +:04196A002064696C20 +:04196B0064616568E6 +:04196C0000007265A0 +:04196D004353534F3E +:04196E000000000075 +:04196F00696C6156E8 +:0419700069746164D1 +:041971006420676E19 +:04197200006174613B +:041973006220752554 +:0419740073657479AA +:04197500000000006E +:04197600252E752580 +:041977002575322E72 +:041978000073257360 +:0419790061647055E0 +:04197A00676E6974B7 +:04197B0000574620AB +:04197C0061656C70C5 +:04197D0077206573F7 +:04197E002E746961F9 +:04197F0000002E2E08 +:0419800069726556CD +:041981006E697966AC +:041982006C66206708 +:041983000068736124 +:0419840061656C70BD +:0419850072206573F4 +:0419860061747365B0 +:041987000000747276 +:0419880072746552BE +:04198900676E6979A3 +:04198A0064707520F0 +:04198B00006574611E +:04198C0061647055CD +:04198D00203F65741E +:04198E002C593D3162 +:04198F004E3D322077 +:041990000000000053 +:041991006D726946C4 +:0419920065726177A2 +:0419930064707520E7 +:0419940064657461B1 +:04199500000000004E +:0419960000013C9080 +:0419970000013CD43B +:0419980000013CDC32 +:0419990000013CE429 +:04199A0064616F4CC9 +:04199B002E676E69DC +:04199C0000002E2EEB +:04199D00732064252A +:04199E0073746F6C83 +:04199F00616F6C20E8 +:0419A0000064656416 +:0419A1006F706D49AD +:0419A200203F7472FC +:0419A3002C593D314D +:0419A4004E3D322062 +:0419A500000000003E +:0419A6006C696146C1 +:0419A7000000646573 +:0419A80073657250A1 +:0419A90000000073C7 +:0419AA00666E6F43B3 +:0419AB00006D7269F0 +:0419AC006D73694DA1 +:0419AD006863746196 +:0419AE006572202C12 +:0419AF0000797274D5 +:0419B0004353534FFB +:0419B1007766202015 +:0419B2007525202E49 +:0419B300322E252E7D +:0419B4000000617559 +:0419B50074696E499A +:0419B60072726520C4 +:0419B7002020726F0B +:0419B80000006425A2 +:0419B900666F725093 +:0419BA003A656C69B5 +:0419BB000000000028 +:0419BC0065646F4DA2 +:0419BD0065727020BF +:0419BE003A7465739F +:0419BF000000000024 +:0419C000646F6D499A +:0419C100462820652F +:0419C2002941475020 +:0419C3000000003AE6 +:0419C4002D756C25EC +:0419C500632563250E +:0419C600756C2520F7 +:0419C700322E252E69 +:0419C8007A48756C78 +:0419C900000000001A +:0419CA00746E634391 +:0419CB0066202F2043 +:0419CC00656D617272 +:0419CD000000003ADC +:0419CE0000756C250F +:0419CF006D72694686 +:0419D0006572617764 +:0419D1000000003AD8 +:0419D200252E752524 +:0419D3006175322EDA +:0419D400000000000F +:0419D500656E694C86 +:0419D600746C756D4B +:0419D700646F6D20AC +:0419D80000003A656C +:0419D9007365727050 +:0419DA002D31207318 +:0419DB0000000035D3 +:0419DC0020787525D5 +:0419DD0075736E753B +:0419DE00726F707044 +:0419DF0000646574C7 +:0419E000666F72506C +:0419E10020656C69A8 +:0419E20064616F6C61 +:0419E3000000003AC6 +:0419E4007365727045 +:0419E50075252073D1 +:0419E6000075252D36 +:0419E700202020207C +:0419E80053204F4EEB +:0419E90000434E5910 +:0419EA003431303232 +:0419EB003230322D37 +:0419EC006D2020301A +:0419ED00737172613F +:0419EE0000000000F5 +:0419EF000F031F01C2 +:0419F00000030303EA +:0419F1000001459814 +:0419F2000001464C5E +:0419F3000001464C5D +:0419F4000001466246 +:0419F5000001466245 +:0419F6000001466C3A +:0419F7000001467035 +:0419F8000001467E26 +:0419F9000001467E25 +:0419FA000001469012 +:0419FB0000014B2478 +:0419FC0000014B2C6F +:0419FD0000014B1C7E +:0419FE0000014B2871 +:0419FF0000014B3068 +:041A000000014B2076 +:041A010000014B7C19 +:041A020000014B3460 +:041A030000014B385B +:041A040000014B3C56 +:041A050000014B7C15 +:041A060000014B7C14 +:041A070000014B7C13 +:041A080000014B7C12 +:041A090000014B7C11 +:041A0A00000150E89F +:041A0B0000014B800B +:041A0C0000014CEE9B +:041A0D0000014D0087 +:041A0E0000014D90F6 +:041A0F0000014DD2B3 +:041A100000014DD2B2 +:041A110000014E2E54 +:041A120000014F265A +:041A130000014F2659 +:041A140000014FFC82 +:041A15002D62755376 +:041A1600656E696C24 +:041A170073203120E7 +:041A180000007274E4 +:041A19002D62755372 +:041A1A00656E696C20 +:041A1B0073203220E2 +:041A1C0000007274E0 +:041A1D002D6275536E +:041A1E00656E696C1C +:041A1F0073203320DD +:041A200000007274DC +:041A21002D6275536A +:041A2200656E696C18 +:041A230073203420D8 +:041A240000007274D8 +:041A25002D62755366 +:041A2600656E696C14 +:041A270073203520D3 +:041A280000007274D4 +:041A29002D62755362 +:041A2A00756C6F6305 +:041A2B0031206E6D8B +:041A2C00727473203D +:041A2D0000000000B5 +:041A2E002D6275535D +:041A2F00756C6F6300 +:041A300032206E6D85 +:041A31007274732038 +:041A320000000000B0 +:041A33002D62755358 +:041A3400756C6F63FB +:041A350033206E6D7F +:041A36007274732033 +:041A370000000000AB +:041A38002D62755353 +:041A3900756C6F63F6 +:041A3A0034206E6D79 +:041A3B00727473202E +:041A3C0000000000A6 +:041A3D002D6275534E +:041A3E00756C6F63F1 +:041A3F0035206E6D73 +:041A40007274732029 +:041A410000000000A1 +:041A42002D62755349 +:041A4300756C6F63EC +:041A440036206E6D6D +:041A45007274732024 +:041A4600000000009C +:041A470073202E4892 +:041A48006C706D61F0 +:041A490074617265ED +:041A4A000000006533 +:041A4B0073202E488E +:041A4C007461722E21 +:041A4D007266206538 +:041A4E0000006361D0 +:041A4F0073202E488A +:041A50006C636E79DC +:041A510000006E65BE +:041A520062202E4898 +:041A5300706B6361F0 +:041A54006863726FE2 +:041A5500000000008D +:041A560061202E4895 +:041A570076697463D5 +:041A58000000006525 +:041A590073202E5672 +:041A5A006C636E79D2 +:041A5B0000006E65B4 +:041A5C0062202E5680 +:041A5D00706B6361E6 +:041A5E006863726FD8 +:041A5F000000000083 +:041A600061202E567D +:041A610076697463CB +:041A6200000000651B +:041A6300706D6153EE +:041A6400676E696CD4 +:041A65006168702024 +:041A660000006573A4 +:041A670000007332D6 +:041A680000007335D2 +:041A690000733031A5 +:041A6A000066664F5D +:041A6B006C6C7546E4 +:041A6C000000000076 +:041A6D00706D6953DC +:041A6E000000656CA3 +:041A6F0000007333CD +:041A7000007330339C +:041A710072727543D5 +:041A720020746E6509 +:041A730075706E69B3 +:041A740000000074FA +:041A7500206C6C4134 +:041A760075706E69B0 +:041A77000000737484 +:041A78002066664F2F +:041A79002073662848 +:041A7A003639203D9C +:041A7B00297A486B11 +:041A7C000000000066 +:041A7D00202078327B +:041A7E002073662843 +:041A7F003834203D9A +:041A8000297A486B0C +:041A81000000000061 +:041A82000031564198 +:041A83000032564196 +:041A840063616C42EC +:041A85000000006BF2 +:041A860065756C42D4 +:041A8700000000005B +:041A880065657247D7 +:041A89000000006EEB +:041A8A006E617943CD +:041A8B000000000057 +:041A8C00006465523B +:041A8D006567614DDB +:041A8E000061746E11 +:041A8F006C6C6559BD +:041A90000000776F6C +:041A910074696857B5 +:041A920000000065EB +:041A930069726F48BD +:041A9400746E6F7A83 +:041A950000006C6180 +:041A960074726556AB +:041A97006C616369B2 +:041A9800000000004A +:041A990069726F48B7 +:041A9A002B202E7A55 +:041A9B0072655620FA +:041A9C0000002E74A4 +:041A9D0074737543A6 +:041A9E0000006D6F68 +:041A9F0000706F5410 +:041AA00074746F42A9 +:041AA10000006D6F65 +:041AA200746C754D9E +:041AA300696C706991 +:041AA400697461639D +:041AA50000006E6F60 +:041AA600746275539E +:041AA7007463617291 +:041AA800006E6F69F4 +:041AA9006F747541A0 +:041AAA000000000038 +:041AAB0000006E4F7A +:041AAC00494D444814 +:041AAD004752282054 +:041AAE0000002942C9 +:041AAF00494D444811 +:041AB000435928204E +:041AB10034724362E6 +:041AB200002934349F +:041AB300004956444C +:041AB40000373A3885 +:041AB5003032393161 +:041AB600383031781B +:041AB70000000030FB +:041AB8003030363163 +:041AB900303231781E +:041ABA0000000030F8 +:041ABB00303239315B +:041ABC00303231781B +:041ABD0000000030F5 +:041ABE00656E6547A5 +:041ABF0020636972C5 +:041AC000393A363148 +:041AC1000000000021 +:041AC200656E6547A1 +:041AC30020636972C1 +:041AC40000333A347D +:041AC500783231350D +:041AC6002030343266 +:041AC7006974706F5F +:041AC80000002E6D7F +:041AC9007834383302 +:041ACA002030343262 +:041ACB006974706F5B +:041ACC0000002E6D7B +:041ACD007830323308 +:041ACE00203034325E +:041ACF006974706F57 +:041AD00000002E6D77 +:041AD10078363532FC +:041AD200203034325A +:041AD3006974706F53 +:041AD40000002E6D73 +:041AD5007373615076 +:041AD6007572687449 +:041AD700000000000B +:041AD800656E694C82 +:041AD9002820783217 +:041ADA0029626F62AC +:041ADB000000000007 +:041ADC00656E694C7E +:041ADD00000078325B +:041ADE00656E694C7C +:041ADF002820783310 +:041AE0006563616C6D +:041AE1000000296474 +:041AE200656E694C78 +:041AE300282078340B +:041AE40029626F62A2 +:041AE50000000000FD +:041AE600656E694C74 +:041AE70032207832FF +:041AE80033783034EB +:041AE9000000303693 +:041AEA00656E694C70 +:041AEB0032207833FA +:041AEC0033783034E7 +:041AED00000030368F +:041AEE00656E694C6C +:041AEF0047207833E1 +:041AF00072656E6548 +:041AF1000000636925 +:041AF200656E694C68 +:041AF3000000783344 +:041AF400656E694C66 +:041AF5000000783441 +:041AF600656E694C64 +:041AF700000078353E +:041AF8004D382E3403 +:041AF90028207A48DF +:041AFA0056544448B2 +:041AFB002943502FFC +:041AFC0000000000E6 +:041AFD004D352E3005 +:041AFE0028207A48DA +:041AFF0056544453A2 +:041B000000000029B8 +:041B01004D372E31FD +:041B020028207A48D5 +:041B030056544445AB +:041B040000000029B4 +:041B05004D352E32FA +:041B060028207A48D1 +:041B07002978616D6B +:041B080000000000D9 +:041B0900484D3031E2 +:041B0A006D28207AA8 +:041B0B0000296465E4 +:041B0C00484D3333DA +:041B0D006D28207AA5 +:041B0E0000296E69D3 +:041B0F0020414756D4 +:041B100078303436BF +:041B110040303034FC +:041B12000000303768 +:041B130020414756D0 +:041B140078303237BC +:041B150040303034F8 +:041B16000000303764 +:041B170020565444BC +:041B180070303834BD +:041B190000000000C8 +:041B1A004153455698 +:041B1B00303436200C +:041B1C0030383478B1 +:041B1D00003036401E +:041B1E0020505350B0 +:041B1F0078303834AE +:041B20000032373226 +:041B21002E63655278 +:041B22003130362008 :041B230000000000BE -:041B240000000000BD -:041B250000000000BC +:041B24002E63655275 +:041B250039303720FC :041B260000000000BB -:041B2700FFFFFFFFBE -:041B2800FFFFFFFFBD -:041B29000000FFFFBA -:041B2A000001052C85 -:041B2B00000105327E -:041B2C000001053877 -:041B2D000001053E70 -:041B2E00000104E0CE -:041B2F0000010500AC -:041B300000010500AB -:041B310000010500AA -:041B320000010500A9 -:041B330000010500A8 -:041B340000010500A7 -:041B350000010504A2 -:041B36000001050A9B -:041B370000012B5A24 -:041B380000012C403C -:041B390000012C403B -:041B3A0000012C5228 -:041B3B0000012C5227 -:041B3C0000012C5E1A -:041B3D0000012C6215 -:041B3E0000012C7006 -:041B3F0000012C7005 -:041B400000012CC8AC -:041B410000012CD0A3 -:041B420000012CD0A2 -:041B430000012CD0A1 -:041B440000012CD0A0 -:041B450000012E7CF1 -:041B460000012E80EC -:041B470000012E84E7 -:041B480000012E84E6 -:041B49000303020090 -:041B4A000200010292 -:041B4B000000000393 -:041B4C0000016E44E2 -:041B4D0000016E54D1 -:041B4E0000016E60C4 -:041B4F0000016E6CB7 -:041B500000016E78AA -:041B510000016E849D -:041B520000016E9090 -:041B530000016E9C83 -:041B540000016EA876 -:041B550000016EB469 -:041B560000016EC05C -:041B570074204B4F5C -:041B58006E69206F23 -:041B590000007469AB -:041B5A00736C614601 -:041B5B00727420651B -:041B5C0065676769E9 -:041B5D000000007212 -:041B5E00656D6954F4 -:041B5F000074756F2A -:041B6000252E752594 -:041B61006D75322E3E -:041B6200000000730C -:041B6300252E752591 -:041B64006D75322E3B -:041B650075252F7340 -:041B6600322E252EC8 -:041B670000736D7525 -:041B68004353534F41 -:041B6900776620205B -:041B6A007525202E8F -:041B6B00322E252EC3 -:041B6C00000061759F -:041B6D0074696E49E0 -:041B6E00727265200A -:041B6F002020726F51 -:041B700000006425E8 -:041B710020202020F0 -:041B720053204F4E5F -:041B730000434E5984 -:041B740034313032A6 -:041B75003230322DAB -:041B76006D2020308E -:041B770073717261B3 -:041B78000000000069 -:041B79000D8060007B -:041B7A0000402010F7 -:041B7B000804022038 -:041B7C000000402203 -:041B7D000000000064 -:041B7E000DC0600036 -:041B7F0000783C119D -:041B8000070180E0F9 -:041B81000000180E3A -:041B8200000000005F -:041B83000D80600071 -:041B84000030180411 -:041B850002014060B9 -:041B86000000140641 -:041B8700000000005A -:041B8800252073257C -:041B890063252D752E -:041B8A000000000057 -:041B8B00252E752569 -:041B8C006B75322E15 -:041B8D0025207A484D -:041B8E002E252E755D -:041B8F007A487532E9 -:041B90000000000051 -:041B910074736554B0 -:041B920074617020EA -:041B93006E72657495 +:041B2700484D3539B7 +:041B28004828207AAF +:041B290020565444AA +:041B2A0000294949FC +:041B2B00484D3533B9 +:041B2C004828207AAB +:041B2D0020565444A6 +:041B2E000000294941 +:041B2F00484D3631B6 +:041B30004528207AAA +:041B31002956544499 +:041B320000000000AF +:041B33007A484D3966 +:041B340044532820CE +:041B350000295654D9 +:041B3600616F4C3C53 +:041B37007270206444 +:041B38006C69666FFF +:041B3900003E2065E5 +:041B3A007661533C41 +:041B3B00727020653F +:041B3C006C69666FFB +:041B3D00003E2065E1 +:041B3E007365523C3D +:041B3F007320746536 +:041B400069747465EB +:041B41003E73676E1A +:041B4200000000009F +:041B43006B6E694C10 +:041B44006F7270202C +:041B4500693E2D6662 +:041B46007475706ED4 +:041B4700000000009A +:041B48006B6E694C0B +:041B4900706E692031 +:041B4A003E2D747543 +:041B4B00666F7270DF +:041B4C000000000095 +:041B4D0074696E4900 +:041B4E00206C61693D +:041B4F0075706E69D6 +:041B5000000000741D +:041B51006F747541F7 +:041B520065746564ED +:041B5300692074632E +:041B54007475706EC6 +:041B5500000000008C +:041B56006F747541F2 +:041B570031564120A2 +:041B5800472F59209A +:041B59000000007315 +:041B5A006F747541EE +:041B5B00325641209D +:041B5C00472F592096 +:041B5D000000007311 +:041B5E006F747541EA +:041B5F003356412098 +:041B6000472F592092 +:041B6100000000730D +:041B62002044434C8C +:041B630074204C425C +:041B64006F656D69D3 +:041B65000000747593 +:041B66000044534F95 +:041B67002044534F74 +:041B680074617473BD +:041B6900642073750C +:041B6A002E707369FD +:041B6B000000000076 +:041B6C00706D493C13 +:041B6D002074726FFF +:041B6E0074746573B3 +:041B6F003E20202EC6 +:041B70000000000071 +:041B71007078453C07 +:041B72002074726FFA +:041B730074746573AE +:041B74003E20202EC1 +:041B7500000000006C +:041B76002E77463C44 +:041B77006470752001 +:041B7800206574610F +:041B79003E202020CA +:041B7A000000000067 +:041B7B006E776F44CE +:041B7C006D61732DF7 +:041B7D006E696C70B1 +:041B7E0000000067FC +:041B7F0070617753C7 +:041B800066656C200A +:041B810069722F74E2 +:041B8200007468671C +:041B83002D6572500A +:041B84002043444175 +:041B85006E696167BD +:041B8600000000005B +:041B87006C6C7546C7 +:041B8800205854206D +:041B89007574657397 +:041B8A0000000070E7 +:041B8B00203356416C +:041B8C0065746E69A5 +:041B8D0063616C72B2 +:041B8E0078696665A7 +:041B8F000000000052 +:041B90002033564167 +:041B910020657375E3 +:041B92002E746C61E0 +:041B93004247522053 :041B9400000000004D -:041B95005F31564125 -:041B9600534247521D -:041B9700000000004A -:041B98005F31564122 -:041B990042734752FA -:041B9A000000000047 -:041B9B005F3156411F -:041B9C0050625059EA -:041B9D0000000072D2 -:041B9E005F3256411B -:041B9F0050625059E7 -:041BA00000000072CF -:041BA1005F32564118 -:041BA20042734752F1 -:041BA300000000003E -:041BA4005F33564114 -:041BA5004842475219 -:041BA60000000056E5 -:041BA7005F33564111 -:041BA800534247520B -:041BA9000000000038 -:041BAA005F3356410E -:041BAB0042734752E8 -:041BAC000000000035 -:041BAD005F3356410B -:041BAE0050625059D8 -:041BAF0000000072C0 -:041BB0007473614C9D -:041BB10065737520C3 -:041BB20000000064CB -:041BB300000000002E -:041BB400000000012C -:041BB500000000002C -:041BB600000000002B -:041BB700000000002A -:041BB8000000010028 -:041BB9000101010025 -:041BBA000000010026 -:041BBB000000000026 -:041BBC000100000024 -:041BBD00060B000013 -:041BBE0000000044DF -:041BBF000000000121 -:041BC0000100000020 -:041BC10080000C0094 -:041BC2008080090313 -:041BC3001A1A1A8050 -:041BC40000000A080B -:041BC5000001317872 -:041BC6000001320CDC -:041BC70000013214D3 -:041BC80000013204E2 -:041BC90000013210D5 -:041BCA0000013218CC -:041BCB0000013208DB -:041BCC000001326280 -:041BCD000001321CC5 -:041BCE0000013220C0 -:041BCF0000013224BB -:041BD000000132627C -:041BD100000132627B -:041BD200000132627A -:041BD3000001326279 -:041BD4000001326278 -:041BD500000139448E -:041BD6000001326672 -:041BD700000134963F -:041BD800000134A62E -:041BD9000001357A58 -:041BDA00000135D001 -:041BDB00000135D000 -:041BDC000001363A94 -:041BDD00000137408C -:041BDE00000137408B -:041BDF000001381AAF -:041BE000656E6F447B -:041BE1000000000000 -:041BE2006C69614683 -:041BE3000000646535 -:041BE400666F725066 -:041BE5003A656C6988 -:041BE60000000000FB -:041BE7000000752560 -:041BE80065646F4D74 -:041BE9006572702091 -:041BEA003A74657371 -:041BEB0000000000F6 -:041BEC00646F6D496C -:041BED004628206501 -:041BEE0029414750F2 -:041BEF000000003AB8 -:041BF0002D756C25BE -:041BF10063256325E0 -:041BF200756C2520C9 -:041BF300322E252E3B -:041BF4007A48756C4A -:041BF50000000000EC -:041BF600746E634363 -:041BF70066202F2015 -:041BF800656D617244 -:041BF9000000003AAE -:041BFA0000756C25E1 -:041BFB006D72694658 -:041BFC006572617736 -:041BFD000000003AAA -:041BFE00252E7525F6 -:041BFF006175322EAC -:041C000000000000E0 -:041C0100656E694C57 -:041C0200746C756D1C -:041C0300646F6D207D -:041C040000003A653D -:041C05007365727021 -:041C06002D312073E9 -:041C070000000035A4 -:041C080020787525A6 -:041C090075736E750C -:041C0A00726F707015 -:041C0B000064657498 -:041C0C0064206425C7 -:041C0D000000676507 -:041C0E00666F72503B -:041C0F0020656C6977 -:041C100064616F6C30 -:041C11000000003A95 -:041C12007365727014 -:041C130075252073A0 -:041C14000075252D05 -:041C15000001711841 -:041C16000001711C3C -:041C17000001712037 -:041C18000001712432 -:041C19000001701C3A -:041C1A00000171282C -:041C1B000001712C27 -:041C1C000001713022 -:041C1D00000171341D -:041C1E0000017C64E1 -:041C1F000001713817 -:041C2000000171400E -:041C21000001714409 -:041C22000001714C00 -:041C230000017150FB -:041C240000017158F2 -:041C250000017160E9 -:041C260000017168E0 -:041C270000017170D7 -:041C280000017180C6 -:041C290000017190B5 -:041C2A00000171A0A4 -:041C2B00000171B093 -:041C2C00000171C082 -:041C2D00000171D071 -:041C2E00000171D868 -:041C2F00000171E05F -:041C30003EA93E2962 -:041C31003EE93E69E1 -:041C32003E993E1980 -:041C33003ED93E59FF -:041C34003EC93E392E -:041C35003E1D3E4DC5 -:041C36003E2D3EED14 -:041C37003EAD3ECDB3 -:041C38003E653E6D5A -:041C39001C483E0104 -:041C3A001C501C1806 -:041C3B001CC81CD0D5 -:041C3C005ED85E58B8 -:041C3D0000003EB9AC -:041C3E007365725008 -:041C3F00000000732E -:041C4000666E6F431A -:041C4100006D726957 -:041C42006D73694D08 -:041C430068637461FD -:041C44006572202C79 -:041C4500007972743C -:041C46000000003169 -:041C47000000003267 -:041C48000000003365 -:041C49000000003463 -:041C4A000000003660 -:041C4B00000000375E -:041C4C00000000385C -:041C4D00000000395A -:041C4E00554E454D5D -:041C4F000000000091 -:041C500000004B4FF6 -:041C51004B4341427E -:041C5200000000008E -:041C530000005055E8 -:041C54004E574F4454 -:041C5500000000008B -:041C56005446454C5F -:041C57000000000089 -:041C5800484749525E -:041C59000000005433 -:041C5A004F464E495A -:041C5B000000000085 -:041C5C005F44434C52 -:041C5D004B43414272 -:041C5E004847494C5E -:041C5F00000000542D -:041C60004E4143535B -:041C6100454E494C57 -:041C6200444F4D5F3F -:041C63000000004538 -:041C64004E41435357 -:041C6500454E494C53 -:041C66005059545F1E -:041C67000000004534 -:041C68004E41435353 -:041C6900454E494C4F -:041C6A00544E495F2C -:041C6B000000002B4A -:041C6C004E4143534F -:041C6D00454E494C4B -:041C6E00544E495F28 -:041C6F000000002D44 -:041C7000454E494C48 -:041C7100544C554D2D -:041C7200444F4D5F2F -:041C73000000004528 -:041C74005341485040 -:041C750000002B45FB -:041C7600534148503E -:041C770000002D45F7 -:041C7800464F525031 -:041C79005F454C492E -:041C7A004B544F4830 -:041C7B0000005945C7 -:041C7C00462F445358 -:041C7D006873616CBB -:041C7E0072726520F9 -:041C7F000000726F80 -:041C800053206F4E30 -:041C81006163204437 -:041C82006420647204 -:041C8300002E746556 -:041C840061766E49CE -:041C85002064696C02 -:041C860064616568C8 -:041C87000000726582 -:041C880061766E49CA -:041C89002064696CFE -:041C8A0020726468F8 -:041C8B00004352437D -:041C8C0061766E49C6 -:041C8D002064696CFA -:041C8E0061746164B8 -:041C8F004352432059 -:041C90000000000050 -:041C910061647055C5 -:041C920063206574F2 -:041C930065636E61B6 -:041C940064656C6CAB +:041B950061666544DC +:041B960020746C75D6 +:041B9700494D444828 +:041B98004349562047 +:041B99000000000048 +:041B9A00616E6150C7 +:041B9B00696E6F738D +:041B9C0061682063F9 +:041B9D0000006B6376 +:041B9E0069726F48B1 +:041B9F00746E6F7A77 +:041BA0006D206C61E7 +:041BA100006B736101 +:041BA200747265569E +:041BA3006C616369A5 +:041BA40073616D20DC +:041BA5000000006BD1 +:041BA6006B73614DAF +:041BA7006C6F6320DC +:041BA8000000726F58 +:041BA9006B73614DAC +:041BAA0069726220DA +:041BAB006E74686785 +:041BAC0000737365EA +:041BAD0065766552A2 +:041BAE0020657372C9 +:041BAF000046504C50 +:041BB0005949443C0F +:041BB10074616C20CF +:041BB2006574202E08 +:041BB300003E747309 +:041BB4006E616353A8 +:041BB500656E696C84 +:041BB60000000073B8 +:041BB700202E6C531D +:041BB800657274736B +:041BB9006874676E77 +:041BBA000000000027 +:041BBB00202E6C5319 +:041BBC007262796870 +:041BBD0073206469C4 +:041BBE00002E72740F +:041BBF00202E6C5315 +:041BC0006874656D73 +:041BC1000000646F4D +:041BC200202E6C5312 +:041BC30065746C6178 +:041BC40074616E7268 +:041BC50000676E69DE +:041BC600202E6C530E +:041BC70067696C617D +:041BC8006E656D6E6B +:041BC90000000074A4 +:041BCA00202E6C530A +:041BCB0020746C61B5 +:041BCC0065746E6965 +:041BCD006C6176725F +:041BCE000000000013 +:041BCF00202E6C5305 +:041BD000657079744F +:041BD1000000000010 +:041BD2004320203C50 +:041BD3006F74737543 +:041BD4006C53206DC1 +:041BD5003E20202E60 +:041BD600000000000B +:041BD7007030343204 +:041BD8003838322F38 +:041BD9007270207096 +:041BDA000000636F35 +:041BDB0070343833F7 +:041BDC003030342F42 +:041BDD007270207092 +:041BDE000000636F31 +:041BDF0069303834FD +:041BE0003637352F30 +:041BE1007270206995 +:041BE2000000636F2D +:041BE30070303834F2 +:041BE4003637352F2C +:041BE500727020708A +:041BE6000000636F29 +:041BE70069303639F2 +:041BE8003830312F31 +:041BE90070206930CF +:041BEA0000636F72B3 +:041BEB00656E694C6E +:041BEC006D207832BE +:041BED000065646FBC +:041BEE00656E694C6B +:041BEF006D207833BA +:041BF0000065646FB9 +:041BF100656E694C68 +:041BF2006D207834B6 +:041BF3000065646FB6 +:041BF400656E694C65 +:041BF5006D207835B2 +:041BF6000065646FB3 +:041BF700656E694C62 +:041BF80066207835B6 +:041BF900616D726F39 +:041BFA000000007473 +:041BFB0078363532D1 +:041BFC00203034322F +:041BFD00657073613B +:041BFE00000074630C +:041BFF006D205854A9 +:041C00000065646FA8 +:041C0100494D4448BD +:041C020043544920DE +:041C030000000000DD +:041C04006C616E4160 +:041C05007320676F72 +:041C060020636E7970 +:041C07000046504CF7 +:041C08006C616E415C +:041C09005320676F8E +:041C0A004C204354D3 +:041C0B00000046503F +:041C0C006C616E4158 +:041C0D007320676F6A +:041C0E0020636E7968 +:041C0F00006874569F +:041C10006E7973482E +:041C11006F74206369 +:041C12006172656C2A +:041C13000065636E97 +:041C14006E7973561C +:041C1500687420636C +:041C16006873657218 +:041C170000646C6F8A +:041C18004C502D48B7 +:041C19007250204C99 +:041C1A006F432D6582 +:041C1B00007473617D +:041C1C004C502D48B3 +:041C1D006F50204C98 +:041C1E00432D74736B +:041C1F007473616F0A +:041C200000000000C0 +:041C210070303834B3 +:041C2200206E6920A7 +:041C2300706D61730C +:041C24000072656C79 +:041C250070303034B7 +:041C2600206E6920A3 +:041C2700706D617308 +:041C28000072656C75 +:041C29006F6C6C412F +:041C2A005654207775 +:041C2B0050482050AD +:041C2C0078324C4C72 +:041C2D0000000000B3 +:041C2E006F6C6C412A +:041C2F007075207735 +:041C3000706D6173FF +:041C31007832656C34 +:041C320000000000AE +:041C33007664413C56 +:041C34006974202E81 +:041C3500676E696D00 +:041C36003E2020200C +:041C370000000000A9 +:041C38006564695620 +:041C3900504C206F7C +:041C3A000000004660 +:041C3B00506250594A +:041C3C006E6920723B +:041C3D006C6F432065 +:041C3E00006170537E +:041C3F0072502F525E +:041C400066666F2045 +:041C41000074657353 +:041C420020592F47AF +:041C43007366666FEF +:041C440000007465C3 +:041C450062502F4278 +:041C460066666F203F +:041C4700007465734D +:041C480072502F5255 +:041C49006961672046 +:041C4A000000006E28 +:041C4B0020592F47A6 +:041C4C006E696167F5 +:041C4D000000000093 +:041C4E0062502F426F +:041C4F006961672040 +:041C50000000006E22 +:041C51002D6572503B +:041C520020434441A6 +:041C53006E6961470E +:041C5400000000008C +:041C55006D616C430E +:041C56004C412F705E +:041C5700666F204351 +:041C580074657366D6 +:041C59000000000087 +:041C5A0020434C4196 +:041C5B006966205640 +:041C5C007265746CCD +:041C5D000000000083 +:041C5E0020434C4192 +:041C5F00696620484A +:041C60007265746CC9 +:041C6100000000007F +:041C620065646956F6 +:041C63006E69206F17 +:041C64006F7270200B +:041C65003E2020639A +:041C6600000000007A +:041C6700706D6153E8 +:041C6800676E696CCE +:041C690074706F2004 +:041C6A003E20202ECA +:041C6B000000000075 +:041C6C00636E7953D7 +:041C6D0074706F2000 +:041C6E002020202EE4 +:041C6F003E202020D3 +:041C70000000000070 +:041C71007074754FC7 +:041C72006F207475F6 +:041C7300202E74703B +:041C74003E202020CE +:041C7500000000006B +:041C76006E616353E5 +:041C7700656E696CC1 +:041C780074706F20F5 +:041C79003E20202EBB +:041C7A000000000066 +:041C7B0074736F50BF +:041C7C006F72702DE6 +:041C7D0020202E6392 +:041C7E003E202020C4 +:041C7F000000000061 +:041C8000706D6F43D1 +:041C810062697461BF +:041C820074696C69AC +:041C83003E20207966 +:041C8400000000005C +:041C850069647541D8 +:041C8600706F206FEC +:041C87006E6F69749F +:041C88003E20207367 +:041C89000000000057 +:041C8A0074746553B6 +:041C8B0073676E69A4 +:041C8C0074706F20E1 +:041C8D003E202020B5 +:041C8E000000000052 +:041C8F0074736554B1 +:041C900074617020EB +:041C91006E72657496 +:041C9200000000004E +:041C93005F31564126 +:041C9400534247521E :041C9500000000004B -:041C960073616C46C4 -:041C970065762068E6 -:041C980020666972E7 -:041C99006C696166AB -:041C9A000000000046 -:041C9B0061766E49B7 -:041C9C002064696CEB -:041C9D0067616D69A5 -:041C9E0000000065DD -:041C9F004353534F09 -:041CA0000000000040 -:041CA100696C6156B3 -:041CA200697461649C -:041CA3006420676EE4 -:041CA4000061746106 -:041CA500622075251F -:041CA6007365747975 +:041C96005F31564123 +:041C970042734752FB +:041C98000000000048 +:041C99005F31564120 +:041C9A0050625059EB +:041C9B0000000072D3 +:041C9C005F3256411C +:041C9D0050625059E8 +:041C9E0000000072D0 +:041C9F005F32564119 +:041CA00042734752F2 +:041CA100000000003F +:041CA2005F33564115 +:041CA300484247521A +:041CA40000000056E6 +:041CA5005F33564112 +:041CA600534247520C :041CA7000000000039 -:041CA800252E75254B -:041CA9002575322E3D -:041CAA00007325732B -:041CAB0061647055AB -:041CAC00676E697482 -:041CAD000057462076 -:041CAE0061656C7090 -:041CAF0077206573C2 -:041CB0002E746961C4 -:041CB10000002E2ED3 -:041CB2006972655698 -:041CB3006E69796677 -:041CB4006C662067D3 -:041CB50000687361EF -:041CB60061656C7088 -:041CB70072206573BF -:041CB800617473657B -:041CB9000000747241 -:041CBA007274655289 -:041CBB00676E69796E -:041CBC0064707520BB -:041CBD0000657461E9 -:041CBE006164705598 -:041CBF00203F6574E9 -:041CC0002C593D312D -:041CC1004E3D322042 -:041CC200000000001E -:041CC3006D7269468F -:041CC400657261776D -:041CC50064707520B2 -:041CC600646574617C -:041CC7000000000019 -:041CC8000001414A8C -:041CC9000001416471 -:041CCA000001417064 -:041CCB00000141A82B -:041CCC000001418052 -:041CCD000001444886 -:041CCE0000014414B9 -:041CCF00000143705D -:041CD000000143705C -:041CD100000145B613 -:041CD200000145B612 -:041CD300000145CEF9 -:041CD400000145CEF8 -:041CD50000014612B2 -:041CD6000001464C77 -:041CD7000001464C76 -:041CD80070207525DE -:041CD9006C65786955 -:041CDA000000007393 -:041CDB006C207525DF -:041CDC0073656E6955 -:041CDD000000000003 -:041CDE0064206425F5 -:041CDF0000000042BF -:041CE000252E752513 -:041CE1002075322E0A -:041CE2000000737516 -:041CE3006C206F4EB4 -:041CE400006B6E69BA -:041CE50000018FB1BA -:041CE60000000002F8 -:041CE700000140A216 -:041CE80000017AF08D -:041CE90000017AFC80 -:041CEA0000017B0476 -:041CEB0000018FB3B2 -:041CEC000000000EE6 -:041CED00000140E6CC -:041CEE00706D653C74 -:041CEF00003E7974C6 -:041CF000203A7525FC -:041CF1000000732557 -:041CF200252575250A -:041CF30000000000ED -:041CF4006564695664 -:041CF5006E69206F85 -:041CF6006F72702079 -:041CF7003E20206308 -:041CF80000000000E8 -:041CF900706D615356 -:041CFA00676E696C3C -:041CFB0074706F2072 -:041CFC003E20202E38 -:041CFD0000000000E3 -:041CFE00636E795345 -:041CFF0074706F206E -:041D00002020202E51 -:041D01003E20202040 -:041D020000000000DD -:041D03007074754F34 -:041D04006F20747563 -:041D0500202E7470A8 -:041D06003E2020203B -:041D070000000000D8 -:041D08006E61635352 -:041D0900656E696C2E -:041D0A0074706F2062 -:041D0B003E20202E28 -:041D0C0000000000D3 -:041D0D0074736F502C -:041D0E006F72702D53 -:041D0F0020202E63FF -:041D10003E20202031 -:041D110000000000CE -:041D1200706D6F433E -:041D1300626974612C -:041D140074696C6919 -:041D15003E202079D3 -:041D160000000000C9 -:041D17006964754145 -:041D1800706F206F59 -:041D19006E6F69740C -:041D1A003E202073D4 -:041D1B0000000000C4 -:041D1C007474655323 -:041D1D0073676E6911 -:041D1E0074706F204E -:041D1F003E20202022 -:041D200000000000BF -:041D2100616F4C3C66 -:041D22007270206457 -:041D23006C69666F12 -:041D2400003E2065F8 -:041D25007661533C54 -:041D26007270206552 -:041D27006C69666F0E -:041D2800003E2065F4 -:041D29007365523C50 -:041D2A007320746549 -:041D2B0069747465FE -:041D2C003E73676E2D -:041D2D0000000000B2 -:041D2E006B6E694C23 -:041D2F006F7270203F -:041D3000693E2D6675 -:041D31007475706EE7 -:041D320000000000AD -:041D33006B6E694C1E -:041D3400706E692044 -:041D35003E2D747556 -:041D3600666F7270F2 -:041D370000000000A8 -:041D380074696E4913 -:041D3900206C616950 -:041D3A0075706E69E9 -:041D3B000000007430 -:041D3C006F7475410A -:041D3D006574656400 -:041D3E006920746341 -:041D3F007475706ED9 -:041D4000000000009F -:041D41006F74754105 -:041D420031564120B5 -:041D4300472F5920AD -:041D44000000007328 -:041D45006F74754101 -:041D460032564120B0 -:041D4700472F5920A9 -:041D48000000007324 -:041D49006F747541FD -:041D4A0033564120AB -:041D4B00472F5920A5 -:041D4C000000007320 -:041D4D002044434C9F -:041D4E0074204C426F -:041D4F006F656D69E6 -:041D500000007475A6 -:041D51000044534FA8 -:041D52002044534F87 -:041D530074617473D0 -:041D5400642073751F -:041D55002E70736910 -:041D56000000000089 -:041D5700706D493C26 -:041D58002074726F12 -:041D590074746573C6 -:041D5A003E20202ED9 -:041D5B000000000084 -:041D5C002E77463C5C -:041D5D006470752019 -:041D5E002065746127 -:041D5F003E202020E2 -:041D6000000000007F -:041D61006E776F44E6 -:041D62006D61732D0F -:041D63006E696C70C9 -:041D64000000006714 -:041D650070617753DF -:041D660066656C2022 -:041D670069722F74FA -:041D68000074686734 -:041D69002D65725022 -:041D6A00204344418D -:041D6B006E696167D5 -:041D6C000000000073 -:041D6D006C6C7546DF -:041D6E002058542085 -:041D6F0075746573AF -:041D700000000070FF -:041D71002033564184 -:041D720065746E69BD -:041D730063616C72CA -:041D740078696665BF -:041D7500000000006A -:041D7600203356417F -:041D770020657375FB -:041D78002E746C61F8 -:041D7900424752206B -:041D7A000000000065 -:041D7B0061666544F4 -:041D7C0020746C75EE -:041D7D00494D444840 -:041D7E00434956205F -:041D7F000000000060 -:041D8000616E6150DF -:041D8100696E6F73A5 -:041D82006168206311 -:041D830000006B638E -:041D840069726F48C9 -:041D8500746E6F7A8F -:041D86006D206C61FF -:041D8700006B736119 -:041D880074726556B6 -:041D89006C616369BD -:041D8A0073616D20F4 -:041D8B000000006BE9 -:041D8C006B73614DC7 -:041D8D006C6F6320F4 -:041D8E000000726F70 -:041D8F006B73614DC4 -:041D900069726220F2 -:041D91006E7468679D -:041D92000073736502 -:041D930065766552BA -:041D940020657372E1 -:041D95000046504C68 -:041D96005949443C27 -:041D970074616C20E7 -:041D98006574202E20 -:041D9900003E747321 -:041D9A006E616353C0 -:041D9B00656E696C9C -:041D9C0000000073D0 -:041D9D00202E6C5335 -:041D9E006572747383 -:041D9F006874676E8F -:041DA000000000003F -:041DA100202E6C5331 -:041DA2007262796888 -:041DA30073206469DC -:041DA400002E727427 -:041DA500202E6C532D -:041DA6006874656D8B -:041DA7000000646F65 -:041DA800202E6C532A -:041DA90065746C6190 -:041DAA0074616E7280 -:041DAB0000676E69F6 -:041DAC00202E6C5326 -:041DAD0067696C6195 -:041DAE006E656D6E83 -:041DAF0000000074BC -:041DB000202E6C5322 -:041DB10020746C61CD -:041DB20065746E697D -:041DB3006C61767277 -:041DB400000000002B -:041DB500202E6C531D -:041DB6006570797467 -:041DB7000000000028 -:041DB8004320203C68 -:041DB9006F7473755B -:041DBA006C53206DD9 -:041DBB003E20202E78 -:041DBC000000000023 -:041DBD00703034321C -:041DBE003838322F50 -:041DBF0072702070AE -:041DC0000000636F4D -:041DC100703438330F -:041DC2003030342F5A -:041DC30072702070AA -:041DC4000000636F49 -:041DC5006930383415 -:041DC6003637352F48 -:041DC70072702069AD -:041DC8000000636F45 -:041DC900703038340A -:041DCA003637352F44 -:041DCB0072702070A2 -:041DCC000000636F41 -:041DCD00693036390A -:041DCE003830312F49 -:041DCF0070206930E7 -:041DD00000636F72CB -:041DD100656E694C86 -:041DD2006D207832D6 -:041DD3000065646FD4 -:041DD400656E694C83 -:041DD5006D207833D2 -:041DD6000065646FD1 -:041DD700656E694C80 -:041DD8006D207834CE -:041DD9000065646FCE -:041DDA00656E694C7D -:041DDB006D207835CA -:041DDC000065646FCB -:041DDD00656E694C7A -:041DDE0066207835CE -:041DDF00616D726F51 -:041DE000000000748B -:041DE10078363532E9 -:041DE2002030343247 -:041DE3006570736153 -:041DE4000000746324 -:041DE5006D205854C1 -:041DE6000065646FC1 -:041DE700494D4448D6 -:041DE80043544920F7 -:041DE90000000000F6 -:041DEA006C616E4179 -:041DEB007320676F8B -:041DEC0020636E7989 -:041DED000046504C10 -:041DEE006C616E4175 -:041DEF005320676FA7 -:041DF0004C204354EC -:041DF1000000465058 -:041DF2006C616E4171 -:041DF3007320676F83 -:041DF40020636E7981 -:041DF50000687456B8 -:041DF6006E79734847 -:041DF7006F74206382 -:041DF8006172656C43 -:041DF9000065636EB0 -:041DFA006E79735635 -:041DFB006874206385 -:041DFC006873657231 -:041DFD0000646C6FA3 -:041DFE004C502D48D0 -:041DFF007250204CB2 -:041E00006F432D659A -:041E01000074736195 -:041E02004C502D48CB -:041E03006F50204CB0 -:041E0400432D747383 -:041E05007473616F22 +:041CA8005F3356410F +:041CA90042734752E9 +:041CAA000000000036 +:041CAB005F3356410C +:041CAC0050625059D9 +:041CAD0000000072C1 +:041CAE007473614C9E +:041CAF0065737520C4 +:041CB00000000064CC +:041CB1002D706F54CF +:041CB2007466656C83 +:041CB300000000002D +:041CB400746E6543A2 +:041CB5000000726554 +:041CB60074746F4291 +:041CB700722D6D6FAE +:041CB800746867697C +:041CB9000000000027 +:041CBA0000000031F5 +:041CBB0000000032F3 +:041CBC0000000033F1 +:041CBD0000000034EF +:041CBE0000000036EC +:041CBF0000000037EA +:041CC00000000038E8 +:041CC10000000039E6 +:041CC200554E454DE9 +:041CC300000000001D +:041CC40000004B4F82 +:041CC5004B4341420A +:041CC600000000001A +:041CC7000000505574 +:041CC8004E574F44E0 +:041CC9000000000017 +:041CCA005446454CEB +:041CCB000000000015 +:041CCC0048474952EA +:041CCD0000000054BF +:041CCE004F464E49E6 +:041CCF000000000011 +:041CD0005F44434CDE +:041CD1004B434142FE +:041CD2004847494CEA +:041CD30000000054B9 +:041CD4004E414353E7 +:041CD500454E494CE3 +:041CD600444F4D5FCB +:041CD70000000045C4 +:041CD8004E414353E3 +:041CD900454E494CDF +:041CDA005059545FAA +:041CDB0000000045C0 +:041CDC004E414353DF +:041CDD00454E494CDB +:041CDE00544E495FB8 +:041CDF000000002BD6 +:041CE0004E414353DB +:041CE100454E494CD7 +:041CE200544E495FB4 +:041CE3000000002DD0 +:041CE400454E494CD4 +:041CE500544C554DB9 +:041CE600444F4D5FBB +:041CE70000000045B4 +:041CE80053414850CC +:041CE90000002B4587 +:041CEA0053414850CA +:041CEB0000002D4583 +:041CEC00464F5250BD +:041CED005F454C49BA +:041CEE004B544F48BC +:041CEF000000594553 +:041CF00003030200E8 +:041CF10002000102EA +:041CF20000000003EB +:041CF3000001723C3E +:041CF4000001724C2D +:041CF5000001725820 +:041CF6000001726413 +:041CF7000001727006 +:041CF8000001727CF9 +:041CF90000017288EC +:041CFA0000017294DF +:041CFB00000172A0D2 +:041CFC00000172ACC5 +:041CFD00000172B8B8 +:041CFE0000016C84F1 +:041CFF0000002000C1 +:041D000020002CE5AE +:041D0100E926F4FDDE +:041D020038BC2000C9 +:041D030000000000DC +:041D040000016C90DE +:041D050000002000BA +:041D06002000323E49 +:041D0700F113FA04D6 +:041D08003B6120001B +:041D090000000000D6 +:041D0A0000018C9DAB +:041D0B0000000002D2 +:041D0C00000111962B +:041D0D00000172C49B +:041D0E00000172D08E +:041D0F00000172D885 +:041D10000D806000E2 +:041D1100004020105E +:041D1200080402209F +:041D1300000040226A +:041D140000000000CB +:041D15000DC060009D +:041D160000783C1104 +:041D1700070180E060 +:041D18000000180EA1 +:041D190000000000C6 +:041D1A000D806000D8 +:041D1B000030180478 +:041D1C000201406020 +:041D1D0000001406A8 +:041D1E0000000000C1 +:041D1F0000018CAB88 +:041D20000000000EB1 +:041D21000001225447 +:041D2200000172E862 +:041D2300000172EC5D +:041D2400000172F058 +:041D2500000172F453 +:041D26000001676CE5 +:041D2700000172F84D +:041D2800000172FC48 +:041D29000001730042 +:041D2A00000173043D +:041D2B0000016AE861 +:041D2C000001730837 +:041D2D00000173102E +:041D2E000001731429 +:041D2F000001731C20 +:041D3000000173201B +:041D31000001732812 +:041D32000001733009 +:041D33000001733800 +:041D340000017340F7 +:041D350000017350E6 +:041D360000017360D5 +:041D370000017370C4 +:041D380000017380B3 +:041D390000017390A2 +:041D3A00000173A091 +:041D3B00000173A888 +:041D3C00000173B07F +:041D3D003EA93E2954 +:041D3E003EE93E69D3 +:041D3F003E993E1972 +:041D40003ED93E59F1 +:041D41003EC93E3920 +:041D42003E1D3E4DB7 +:041D43003E2D3EED06 +:041D44003EAD3ECDA5 +:041D45003E653E6D4C +:041D46001C483E01F6 +:041D47001C501C18F8 +:041D48001CC81CD0C7 +:041D49005ED85E58AA +:041D4A0000003EB99E +:041D4B000000000094 +:041D4C000000000192 +:041D4D000000000092 +:041D4E000000000091 +:041D4F000000000090 +:041D5000000001008E +:041D5100010101008B +:041D5200000001008C +:041D5300000000008C +:041D5400010000008A +:041D5500060B000079 +:041D56000000004445 +:041D57000000000187 +:041D58000100000086 +:041D590080000C00FA +:041D5A008080090379 +:041D5B001A1A1A80B6 +:041D5C0000000A0871 +:041D5D0030303631BB +:041D5E003034327873 +:041D5F00C8000000B8 +:041D600007FE00F08A +:041D61000FCA20C0C5 +:041D620022100396B2 +:041D6300004000023A +:041D640030383231B0 +:041D6500303432786C +:041D6600A0000000D9 +:041D6700061800F06A +:041D68000FAA20C0DE +:041D690022100348F9 +:041D6A00000204026D +:041D6B00783036395D +:041D6C0000303432DD +:041D6D0078000000FA +:041D6E00049200F0EB +:041D6F000F8020C001 +:041D70002210033604 +:041D71000000080264 +:041D7200783231355D +:041D730000303432D6 +:041D7400400000002B +:041D750002AA00F0CE +:041D76000E4D20C02E +:041D77002210033201 +:041D780000841010C3 +:041D7900783438334F +:041D7A0000303432CF +:041D7B003000000034 +:041D7C00020000F071 +:041D7D000E3B20C039 +:041D7E002210032507 +:041D7F000108202017 +:041D80007830323352 +:041D810000303432C8 +:041D82002800000035 +:041D830001AA00F0C1 +:041D84000E3120C03C +:041D85002210031F06 +:041D860002104040C7 +:041D87007836353243 +:041D880000303432C1 +:041D89002000000036 +:041D8A00015500F00F +:041D8B000E2720C03F +:041D8C002210031905 +:041D8D00042080802E +:041D8E00703034324B +:041D8F000000000050 +:041D90005A080000ED +:041D9100035A00F001 +:041D92000F3920C025 +:041D93002210033ED9 +:041D94000000000E3D +:041D95003030363183 +:041D9600303432783B +:041D9700C800004C34 +:041D980007FE00F052 +:041D990029CA27002C +:041D9A00221003967A +:041D9B000040000202 +:041D9C003038323178 +:041D9D003838327828 +:041D9E00A0000000A1 +:041D9F000618012001 +:041DA0000FAA27005F +:041DA10022100348C1 +:041DA2000002040235 +:041DA3007830363925 +:041DA4000038383299 +:041DA50078000000C2 +:041DA6000492012082 +:041DA7000F80270082 +:041DA80022100336CC +:041DA900000008022C +:041DAA007832313525 +:041DAB004C30343252 +:041DAC0040000042B1 +:041DAD0002AA00F096 +:041DAE00294D270094 +:041DAF0022100332C9 +:041DB000008410108B +:041DB1007834383317 +:041DB2004C3034324B +:041DB30030000042BA +:041DB400020000F039 +:041DB500293B27009F +:041DB60022100325CF +:041DB70001082020DF +:041DB800783032331A +:041DB9004C30343244 +:041DBA0028000042BB +:041DBB0001AA00F089 +:041DBC0029312700A2 +:041DBD002210031FCE +:041DBE00021040408F +:041DBF00783635320B +:041DC0004C3034323D +:041DC10020000042BC +:041DC200015500F0D7 +:041DC30029272700A5 +:041DC40022100319CD +:041DC50004208080F6 +:041DC6007038383207 +:041DC7000000000018 +:041DC8005A170000A6 +:041DC9000360012092 +:041DCA001345270096 +:041DCB002210033FA0 +:041DCC000000000E05 +:041DCD0078303834FE +:041DCE000030363378 +:041DCF003C000000D4 +:041DD000025801684C +:041DD1000A3F2EE0B7 +:041DD2004410032690 +:041DD3000000000EFE +:041DD40078303432FD +:041DD5000030363371 +:041DD60020000000E9 +:041DD700012C016872 +:041DD8000A182EE0D7 +:041DD900441003129D +:041DDA000001010003 +:041DDB0070343833F5 +:041DDC000000000003 +:041DDD003E000000C4 +:041DDE0002800180FE +:041DDF001D3233A0DE +:041DE0004410033E6A +:041DE1000000000EF0 +:041DE2003030363136 +:041DE30030303478F0 +:041DE400C800000033 +:041DE50007D0019092 +:041DE6002278382007 +:041DE700501002F0A6 +:041DE80000000400F3 +:041DE90078303237E5 +:041DEA000030303461 +:041DEB005A0000009A +:041DEC0003840190DB +:041DED002240382038 +:041DEE00501002602F +:041DEF000000000CE4 +:041DF00078303436DD +:041DF100003030345A +:041DF200500000009D +:041DF3000320019038 +:041DF4002230382041 +:041DF5005010026028 +:041DF6000000000CDD +:041DF70078303436D6 +:041DF8000034383348 +:041DF9005000000096 +:041DFA000320018041 +:041DFB003F303D80B8 +:041DFC005010026021 +:041DFD000000000ED4 +:041DFE0069303834DC +:041DFF0000000000E0 +:041E00005A0600007E +:041E0100035A00F090 +:041E02000F3941A0B3 +:041E03006210033E28 +:041E04000002040FC5 +:041E050070303834CD :041E060000000000D8 -:041E070070303834CB -:041E0800206E6920BF -:041E0900706D617324 -:041E0A000072656C91 -:041E0B0070303034CF -:041E0C00206E6920BB -:041E0D00706D617320 -:041E0E000072656C8D -:041E0F006F6C6C4147 -:041E1000565420778D -:041E110050482050C5 -:041E120078324C4C8A -:041E130000000000CB -:041E14006F6C6C4142 -:041E1500707520774D -:041E1600706D617317 -:041E17007832656C4C -:041E180000000000C6 -:041E19007664413C6E -:041E1A006974202E99 -:041E1B00676E696D18 -:041E1C003E20202024 -:041E1D0000000000C1 -:041E1E006564695638 -:041E1F00504C206F94 -:041E20000000004678 -:041E21005062505962 -:041E22006E69207253 -:041E23006C6F43207D -:041E24000061705396 -:041E250072502F5276 -:041E260066666F205D -:041E2700007465736B -:041E280020592F47C7 -:041E29007366666F07 -:041E2A0000007465DB -:041E2B0062502F4290 -:041E2C0066666F2057 -:041E2D000074657365 -:041E2E0072502F526D -:041E2F00696167205E -:041E30000000006E40 -:041E310020592F47BE -:041E32006E6961670D -:041E330000000000AB -:041E340062502F4287 -:041E35006961672058 -:041E36000000006E3A -:041E37002D65725053 -:041E380020434441BE -:041E39006E69614726 -:041E3A0000000000A4 -:041E3B006D616C4326 -:041E3C004C412F7076 -:041E3D00666F204369 -:041E3E0074657366EE -:041E3F00000000009F -:041E400020434C41AE -:041E41006966205658 -:041E42007265746CE5 -:041E4300000000009B -:041E440020434C41AA -:041E45006966204862 -:041E46007265746CE1 -:041E47000000000097 -:041E48002D6275533F -:041E4900656E696CED -:041E4A0073203120B0 -:041E4B0000007274AD -:041E4C002D6275533B -:041E4D00656E696CE9 -:041E4E0073203220AB -:041E4F0000007274A9 -:041E50002D62755337 -:041E5100656E696CE5 -:041E520073203320A6 -:041E530000007274A5 -:041E54002D62755333 -:041E5500656E696CE1 -:041E560073203420A1 -:041E570000007274A1 -:041E58002D6275532F -:041E5900656E696CDD -:041E5A00732035209C -:041E5B00000072749D -:041E5C002D6275532B -:041E5D00756C6F63CE -:041E5E0031206E6D54 -:041E5F007274732006 -:041E6000000000007E -:041E61002D62755326 -:041E6200756C6F63C9 -:041E630032206E6D4E -:041E64007274732001 -:041E65000000000079 -:041E66002D62755321 -:041E6700756C6F63C4 -:041E680033206E6D48 -:041E690072747320FC -:041E6A000000000074 -:041E6B002D6275531C -:041E6C00756C6F63BF -:041E6D0034206E6D42 -:041E6E0072747320F7 -:041E6F00000000006F -:041E70002D62755317 -:041E7100756C6F63BA -:041E720035206E6D3C -:041E730072747320F2 -:041E7400000000006A -:041E75002D62755312 -:041E7600756C6F63B5 -:041E770036206E6D36 -:041E780072747320ED -:041E79000000000065 -:041E7A0073202E485B -:041E7B006C706D61B9 -:041E7C0074617265B6 -:041E7D0000000065FC -:041E7E0073202E4857 -:041E7F007461722EEA -:041E80007266206501 -:041E81000000636199 -:041E820073202E4853 -:041E83006C636E79A5 -:041E840000006E6587 -:041E850062202E4861 -:041E8600706B6361B9 -:041E87006863726FAB -:041E88000000000056 -:041E890061202E485E -:041E8A00766974639E -:041E8B0000000065EE -:041E8C0073202E563B -:041E8D006C636E799B -:041E8E0000006E657D -:041E8F0062202E5649 -:041E9000706B6361AF -:041E91006863726FA1 -:041E9200000000004C -:041E930061202E5646 -:041E94007669746394 -:041E950000000065E4 -:041E9600706D6153B7 -:041E9700676E696C9D -:041E980061687020ED -:041E9900000065736D -:041E9A000066664F29 -:041E9B00003156417B -:041E9C000032564179 -:041E9D0063616C42CF -:041E9E000000006BD5 -:041E9F0065756C42B7 -:041EA000000000003E -:041EA10065657247BA -:041EA2000000006ECE -:041EA3006E617943B0 -:041EA400000000003A -:041EA500006465521E -:041EA6006567614DBE -:041EA7000061746EF4 -:041EA8006C6C6559A0 -:041EA9000000776F4F -:041EAA007469685798 -:041EAB0000000065CE -:041EAC007272754396 -:041EAD0020746E65CA -:041EAE0075706E6974 -:041EAF0000000074BB -:041EB000206C6C41F5 -:041EB10075706E6971 -:041EB2000000737445 -:041EB3000000733286 -:041EB4000000733582 -:041EB5000073303155 -:041EB6006C6C754695 -:041EB7000000000027 -:041EB800706D69538D -:041EB9000000656C54 -:041EBA00000073337E -:041EBB00007330334D -:041EBC002D706F54C2 -:041EBD007466656C76 -:041EBE000000000020 -:041EBF00746E654395 -:041EC0000000726547 -:041EC10074746F4284 -:041EC200722D6D6FA1 -:041EC300746867696F -:041EC400000000001A -:041EC5002066664FDE -:041EC60020736628F7 -:041EC7003639203D4B -:041EC800297A486BC0 +:041E07005A0200007B +:041E0800035A01E098 +:041E09001E3C41A09A +:041E0A008410063EFC +:041E0B000000000CC7 +:041E0C0078303834BE +:041E0D000032373236 +:041E0E003C03000091 +:041E0F00035A011061 +:041E100086B141A0B6 +:041E11008410063EF5 +:041E120000000204C6 +:041E130078303436B9 +:041E1400003038342E +:041E15005001000078 +:041E1600032001E0C4 +:041E1700213041A095 +:041E180090100260C4 +:041E19000000000CB9 +:041E1A0078303436B2 +:041E1B00003231352B +:041E1C005000000072 +:041E1D00032002009C +:041E1E001C3047002D +:041E1F0090100260BD +:041E20000000000CB2 +:041E210069363735B2 +:041E220000000000BC +:041E23005A1500004C +:041E24000360012036 +:041E250013454E20F3 +:041E26006210033F04 +:041E27000002040FA2 +:041E280070363735A4 +:041E290000000000B5 +:041E2A005A11000049 +:041E2B00036002400E +:041E2C0027444E20D9 +:041E2D0084100540D8 +:041E2E000000000CA4 +:041E2F00783030389F +:041E30000030303618 +:041E31006400000049 +:041E3200042002582E +:041E330017584E806E +:041E34001010048006 +:041E350000000004A5 +:041E3600703032379F +:041E37000030355FE3 +:041E3800A0130000F3 +:041E390007BC02D010 +:041E3A0014DC5DC097 +:041E3B00181005284E +:041E3C00000000049E +:041E3D007030323798 +:041E3E000030365FDB +:041E3F00A0040000FB +:041E4000067202D054 +:041E410014DC5DC090 +:041E42001810052847 +:041E43000000000497 +:041E440034323031D3 +:041E4500383637787C +:041E46008000000018 +:041E4700054003004F +:041E48001DA064C0B5 +:041E490010100688E7 +:041E4A000000000490 +:041E4B0030383231C8 +:041E4C003230317887 +:041E4D00A0000034BD +:041E4E0006980400EE +:041E4F0026F88540AC +:041E500010100370FB +:041E51000000000489 +:041E5200783034367A +:041E53006930363983 +:041E5400500000003A +:041E5500032001E085 +:041E56002130834074 +:041E5700A410026071 +:041E58000000000D79 +:041E590030383031BC +:041E5A0030355F6957 +:041E5B00F01400007F +:041E5C000A50021C0A +:041E5D000F948CA0B2 +:041E5E00B810052C87 +:041E5F000000000D72 +:041E600030383031B5 +:041E610030365F694F +:041E6200F005000087 +:041E63000898021CBD +:041E640010948CA0AA +:041E6500B810052C80 +:041E66000000000D6B +:041E670030383031AE +:041E680030355F7042 +:041E6900F01F000066 +:041E6A000A500438DE +:041E6B0024948CA08F +:041E6C001810052C19 +:041E6D00000000046D +:041E6E0030383031A7 +:041E6F0030365F703A +:041E7000F01000006E +:041E71000898043891 +:041E720024948CA088 +:041E73001810052C12 +:041E74000000000466 +:041E750030303631A2 +:041E7600303231785D +:041E7700C80000306F +:041E7800087004B03A +:041E79002EFF9C405C +:041E7A00101003F150 +:041E7B00000000045F +:041E7C0000018CD401 +:041E7D000000002839 +:041E7E00000111B09E +:041E7F007665642FF1 +:041E80006370652FF7 +:041E81006F635F71BB +:041E82006F72746E99 +:041E830072656C6CAC +:041E8400615F305F0B +:041E85006D5F6C76AB +:041E860000006D6586 +:041E87002B302D23AC +:041E88000000002036 +:041E8900004C6C6835 +:041E8A0045676665DD +:041E8B0000004746C6 +:041E8C00333231308C +:041E8D00373635347B +:041E8E00424139385C +:041E8F00464544433D +:041E9000000000004E +:041E91003332313087 +:041E92003736353476 +:041E93006261393817 +:041E940066656463B8 +:041E95000000000049 +:041E960000015F9A4E +:041E970000015FB037 +:041E980000015F7472 +:041E990000015F7471 +:041E9A0000015F7470 +:041E9B0000015F746F +:041E9C0000015FB032 +:041E9D0000015F746D +:041E9E0000015F746C +:041E9F0000015F746B +:041EA00000015F746A +:041EA10000016114C7 +:041EA20000015FF2EA +:041EA300000160E6F4 +:041EA40000015F7466 +:041EA50000015F7465 +:041EA600000161488E +:041EA70000015F7463 +:041EA80000015FF2E4 +:041EA90000015F7461 +:041EAA0000015F7460 +:041EAB00000160EEE4 +:041EAC00C896554B34 +:041EAD000000000928 +:041EAE0000017CB003 +:041EAF00000000032C +:041EB00000017D644C +:041EB1000000000528 +:041EB20000017DA00E +:041EB3000000000B20 +:041EB40000017E04A7 +:041EB5000000000920 +:041EB60000017EE0C9 +:041EB7000000000D1A +:041EB80000017F9412 +:041EB900000000061F +:041EBA00000180980B +:041EBB00000000051E +:041EBC000001811090 +:041EBD000000000918 +:041EBE00000181742A +:041EBF00000000100F +:041EC0000001822873 +:041EC1000000000716 +:041EC2000001836830 +:041EC3000000000C0F +:041EC400000183F4A2 +:041EC5000000000019 +:041EC6000000000018 +:041EC700000179FCA1 +:041EC8000000000016 :041EC9000000000015 -:041ECA00202078322A -:041ECB0020736628F2 -:041ECC003834203D49 -:041ECD00297A486BBB -:041ECE000000000010 -:041ECF0000706F54DC -:041ED00074746F4275 -:041ED10000006D6F31 -:041ED20069726F487A -:041ED300746E6F7A40 -:041ED40000006C613D -:041ED5007472655668 -:041ED6006C6163696F +:041ECA000001035AB6 +:041ECB0000010412FC +:041ECC00000101CE42 +:041ECD00000101EC23 +:041ECE000001023AD3 +:041ECF00008000008F +:041ED00000200000EE +:041ED100000000000D +:041ED200000000000C +:041ED300000000000B +:041ED400000000000A +:041ED5000000000009 +:041ED6000000000008 :041ED7000000000007 -:041ED80069726F4874 -:041ED9002B202E7A12 -:041EDA0072655620B7 -:041EDB0000002E7461 -:041EDC007473754363 -:041EDD0000006D6F25 -:041EDE00746C754D5E -:041EDF00696C706951 -:041EE000697461635D -:041EE10000006E6F20 -:041EE200746275535E -:041EE3007463617251 -:041EE400006E6F69B4 -:041EE5006F74754160 +:041ED8000000000006 +:041ED9000000000005 +:041EDA000000000004 +:041EDB000000000003 +:041EDC000000000002 +:041EDD000000000001 +:041EDE000000000000 +:041EDF0000000000FF +:041EE00000000000FE +:041EE10000000000FD +:041EE20000000000FC +:041EE30000000000FB +:041EE40000000000FA +:041EE50000000000F9 :041EE60000000000F8 -:041EE70000006E4F3A -:041EE800494D4448D4 -:041EE9004752282014 -:041EEA000000294289 -:041EEB00494D4448D1 -:041EEC00435928200E -:041EED0034724362A6 -:041EEE00002934345F -:041EEF00004956440C -:041EF00000373A3845 -:041EF1007373615056 -:041EF2007572687429 -:041EF30000000000EB -:041EF400656E694C62 -:041EF50028207832F7 -:041EF60029626F628C -:041EF70000000000E7 -:041EF800656E694C5E -:041EF900000078323B -:041EFA00656E694C5C -:041EFB0032207832E7 -:041EFC0033783034D3 -:041EFD00000030367B -:041EFE00656E694C58 -:041EFF0032207833E2 -:041F000033783034CE -:041F01000000303676 -:041F0200656E694C53 -:041F030047207833C8 -:041F040072656E652F -:041F0500000063690C -:041F0600656E694C4F -:041F070028207833E3 -:041F08006563616C40 -:041F09000000296447 -:041F0A00656E694C4B -:041F0B0028207834DE -:041F0C0029626F6275 -:041F0D0000000000D0 -:041F0E00656E694C47 -:041F0F000000783323 -:041F1000656E694C45 -:041F11000000783420 -:041F1200656E694C43 -:041F1300000078351D -:041F140030323931FD -:041F150038303178B7 -:041F16000000003097 -:041F170030303631FF -:041F180030323178BA -:041F19000000003094 -:041F1A0030323931F7 -:041F1B0030323178B7 -:041F1C000000003091 -:041F1D00656E654741 -:041F1E002063697261 -:041F1F0000333A341D -:041F200078323135AD -:041F21002030343206 -:041F22006974706FFF -:041F230000002E6D1F -:041F240078343833A2 -:041F25002030343202 -:041F26006974706FFB -:041F270000002E6D1B -:041F280078303233A8 -:041F290020303432FE -:041F2A006974706FF7 -:041F2B0000002E6D17 -:041F2C00783635329C -:041F2D0020303432FA -:041F2E006974706FF3 -:041F2F0000002E6D13 -:041F3000656E65472E -:041F3100206369724E -:041F3200393A3631D1 -:041F330000000000AA -:041F34004D382E34C2 -:041F350028207A489E -:041F36005654444871 -:041F37002943502FBB -:041F380000000000A5 -:041F39004D352E30C4 -:041F3A0028207A4899 -:041F3B005654445361 -:041F3C000000002978 -:041F3D004D372E31BD -:041F3E0028207A4895 -:041F3F00565444456B -:041F40000000002974 -:041F41004D352E32BA -:041F420028207A4891 -:041F43002978616D2B -:041F44000000000099 -:041F4500484D3031A2 -:041F46006D28207A68 -:041F470000296465A4 -:041F4800484D33339A -:041F49006D28207A65 -:041F4A0000296E6993 -:041F4B002041475694 -:041F4C00783034367F -:041F4D0040303034BC -:041F4E000000303728 -:041F4F002041475690 -:041F5000783032377C -:041F510040303034B8 -:041F52000000303724 -:041F5300205654447C -:041F5400703038347D -:041F55000000000088 -:041F56004153455658 -:041F570030343620CC -:041F58003038347871 -:041F590000303640DE -:041F5A002050535070 -:041F5B00783038346E -:041F5C0000323732E6 -:041F5D002E63655238 -:041F5E0031303620C8 +:041EE70000000000F7 +:041EE80000000000F6 +:041EE90000000000F5 +:041EEA0000000000F4 +:041EEB0000000000F3 +:041EEC0000000000F2 +:041EED0000000000F1 +:041EEE0000000000F0 +:041EEF0000000000EF +:041EF00000000000EE +:041EF10000000000ED +:041EF2000001017476 +:041EF300008000006B +:041EF40000A000004A +:041EF50000020100E6 +:041EF60000200000C8 +:041EF70000000001E6 +:041EF80000000020C6 +:041EF90000010000E4 +:041EFA0000000100E3 +:041EFB0000000000E3 +:041EFC00000169A8D0 +:041EFD00000169C4B3 +:041EFE00000169D4A2 +:041EFF00000169A8CD +:041F000000016A086A +:041F010000016A0C65 +:041F02000001723C2C +:041F03000001724C1B +:041F0400000172580E +:041F05000001726401 +:041F060000017270F4 +:041F07000001727CE7 +:041F080000017288DA +:041F090000017294CD +:041F0A00000172A0C0 +:041F0B00000172ACB3 +:041F0C00000172B8A6 +:041F0D00020E0409B3 +:041F0E003D0E00C8BC +:041F0F003F6E03839B +:041F10003ED03DACD6 +:041F11000000038346 +:041F120000016B0857 +:041F130000016B144A +:041F140000016B2439 +:041F150000016B3428 +:041F160000016B4417 +:041F170000016AF863 +:041F180000016B0851 +:041F190000016B1444 +:041F1A0000016B2433 +:041F1B0000016B3422 +:041F1C0000016B4411 +:041F1D0000016AD481 +:041F1E0000016AE074 +:041F1F0000016AEC67 +:041F2000000169A8AB +:041F2100000169BC96 +:041F2200000169A4AD +:041F2300000169C090 +:041F240000016A103E +:041F250000016A1835 +:041F260000016A202C +:041F270000016A2823 +:041F280000016A301A +:041F290000016A3415 +:041F2A0000016A3C0C +:041F2B0000016A4403 +:041F2C000001691C2B +:041F2D0000000002AE +:041F2E0000018CC45E +:041F2F000AF0012C87 +:041F300000011BF29F +:041F31000001692C16 +:041F320000000002A9 +:041F330000018CC657 +:041F34000013000096 +:041F350000011BF29A +:041F36000001693C01 +:041F370000000002A4 +:041F380000018CC850 +:041F390000FF000A9B +:041F3A0000011BF295 +:041F3B0000016948F0 +:041F3C00000000029F +:041F3D0000018CC251 +:041F3E0000FF00019F +:041F3F0000011BF290 +:041F400000016958DB +:041F4100000000029A +:041F420000018CC04E +:041F4300078000C84B +:041F440000011BF28B +:041F450000016964CA +:041F46000000000295 +:041F470000018CD039 +:041F4800000700018D +:041F490000011BF286 +:041F4A0000016970B9 +:041F4B000000000290 +:041F4C0000018CCE36 +:041F4D0000EC0001A3 +:041F4E0000011BF281 +:041F4F0000016980A4 +:041F5000000000028B +:041F510000018CCC33 +:041F520004B000A037 +:041F530000011BF27C +:041F54000001698C93 +:041F55000000000286 +:041F560000018CCA30 +:041F5700001F000067 +:041F580000011BF277 +:041F590000016DEC2A +:041F5A000000000083 +:041F5B00000187E713 +:041F5C00000100017F +:041F5D000001863CBD +:041F5E0000016DFC15 :041F5F00000000007E -:041F60002E63655235 -:041F610039303720BC -:041F6200000000007B -:041F6300484D353977 -:041F64004828207A6F -:041F6500205654446A -:041F660000294949BC -:041F6700484D353379 -:041F68004828207A6B -:041F69002056544466 -:041F6A000000294901 -:041F6B00484D363176 -:041F6C004528207A6A -:041F6D002956544459 +:041F6000000187E80D +:041F6100000100017A +:041F62000001864CA8 +:041F630000016E0CFF +:041F64000000000178 +:041F6500000187E907 +:041F6600001800005F +:041F670000011A62F9 +:041F680000016E1CEA +:041F69000000000074 +:041F6A00000187E209 +:041F6B000001000170 +:041F6C000001864C9E +:041F6D0000016E2CD5 :041F6E00000000006F -:041F6F007A484D3926 -:041F7000445328208E -:041F71000029565499 -:041F72006D20642555 -:041F73000000005614 -:041F74000001911EB9 -:041F75000000002840 -:041F7600000140889E -:041F7700322E252EB3 -:041F780000000075F0 -:041F79006C696146E8 -:041F7A002820646552 -:041F7B0000296425B0 -:041F7C00636E6143EC -:041F7D00656C6C65BE -:041F7E0000000064FB -:041F7F0064616F4CDE -:041F80002E676E69F1 -:041F810000002E2E00 -:041F8200732064253F -:041F830073746F6C98 -:041F8400616F6C20FD -:041F8500006465642B -:041F86006F706D49C2 -:041F8700203F747211 -:041F88002C593D3162 -:041F89004E3D322077 -:041F8A000000000053 -:041F8B004452535514 -:041F8C00004154417B -:041F8D006573753CC7 -:041F8E0000003E64AD -:041F8F0000017D745C -:041F9000000020002D -:041F910020002CE51B -:041F9200E926F4FD4B -:041F930038BC200036 -:041F94000000000049 -:041F950000017D804A -:041F96000000200027 -:041F97002000323EB6 -:041F9800F113FA0443 -:041F99003B61200088 -:041F9A000000000043 -:041F9B007830323731 -:041F9C0000303034AD -:041F9D00783034362E -:041F9E0000303034AB -:041F9F003030363177 -:041FA000303432782F -:041FA100C800000074 -:041FA20007FE00F046 -:041FA3000FCA20C081 -:041FA400221003966E -:041FA50000400002F6 -:041FA600303832316C -:041FA7003034327828 -:041FA800A000000095 -:041FA900061800F026 -:041FAA000FAA20C09A -:041FAB0022100348B5 -:041FAC000002040229 -:041FAD007830363919 -:041FAE000030343299 -:041FAF0078000000B6 -:041FB000049200F0A7 -:041FB1000F8020C0BD -:041FB20022100336C0 -:041FB3000000080220 -:041FB4007832313519 -:041FB5000030343292 -:041FB60040000000E7 -:041FB70002AA00F08A -:041FB8000E4D20C0EA -:041FB90022100332BD -:041FBA00008410107F -:041FBB00783438330B -:041FBC00003034328B -:041FBD0030000000F0 -:041FBE00020000F02D -:041FBF000E3B20C0F5 -:041FC00022100325C3 -:041FC10001082020D3 -:041FC200783032330E -:041FC3000030343284 -:041FC40028000000F1 -:041FC50001AA00F07D -:041FC6000E3120C0F8 -:041FC7002210031FC2 -:041FC8000210404083 -:041FC90078363532FF -:041FCA00003034327D -:041FCB0020000000F2 -:041FCC00015500F0CB -:041FCD000E2720C0FB -:041FCE0022100319C1 -:041FCF0004208080EA -:041FD0007030343207 -:041FD100000000000C -:041FD2005A080000A9 -:041FD300035A00F0BD -:041FD4000F3920C0E1 -:041FD5002210033E95 -:041FD6000000000EF9 -:041FD700303036313F -:041FD80030343278F7 -:041FD900C800004CF0 -:041FDA0007FE00F00E -:041FDB0029CA2700E8 -:041FDC002210039636 -:041FDD0000400002BE -:041FDE003038323134 -:041FDF0038383278E4 -:041FE000A00000005D -:041FE10006180120BD -:041FE2000FAA27001B -:041FE300221003487D -:041FE40000020402F1 -:041FE50078303639E1 -:041FE6000038383255 -:041FE700780000007E -:041FE800049201203E -:041FE9000F8027003E -:041FEA002210033688 -:041FEB0000000802E8 -:041FEC0078323135E1 -:041FED004C3034320E -:041FEE00400000426D -:041FEF0002AA00F052 -:041FF000294D270050 -:041FF1002210033285 -:041FF2000084101047 -:041FF30078343833D3 -:041FF4004C30343207 -:041FF5003000004276 -:041FF600020000F0F5 -:041FF700293B27005B -:041FF800221003258B -:041FF900010820209B -:041FFA0078303233D6 -:041FFB004C30343200 -:041FFC002800004277 -:041FFD0001AA00F045 -:041FFE00293127005E -:041FFF002210031F8A -:04200000021040404A -:0420010078363532C6 -:042002004C303432F8 -:042003002000004277 -:04200400015500F092 -:042005002927270060 -:042006002210031988 -:0420070004208080B1 -:0420080070383832C2 +:041F6F00000187E303 +:041F7000000100016B +:041F71000001864C99 +:041F720000016E40BC +:041F7300000000006A +:041F7400000187E4FD +:041F75000002000165 +:041F760000017BFCEF +:041F770000016E54A3 +:041F78000000000164 +:041F7900000187EAF2 +:041F7A00001F000044 +:041F7B0000011A3413 +:041F7C0000016E688A +:041F7D000000000060 +:041F7E00000187E5F2 +:041F7F00000100015C +:041F80000001864C8A +:041F8100000168549F +:041F8200000000015A +:041F8300000187B81A +:041F84000010000049 +:041F8500000119E856 +:041F8600000168648A +:041F87000000000155 +:041F8800000187B914 +:041F89000010000044 +:041F8A00000119E851 +:041F8B000001687475 +:041F8C000000000150 +:041F8D00000187BA0E +:041F8E00001000003F +:041F8F00000119E84C +:041F90000001688460 +:041F9100000000014B +:041F9200000187BB08 +:041F9300001000003A +:041F9400000119E847 +:041F9500000168944B +:041F96000000000146 +:041F9700000187BC02 +:041F98000010000035 +:041F9900000119E842 +:041F9A00000168A436 +:041F9B000000000141 +:041F9C00000187BDFC +:041F9D000010000030 +:041F9E00000119E83D +:041F9F00000168B81D +:041FA000000000013C +:041FA100000187BEF6 +:041FA200001000002B +:041FA300000119E838 +:041FA400000168CC04 +:041FA5000000000137 +:041FA600000187BFF0 +:041FA7000010000026 +:041FA800000119E833 +:041FA900000168E0EB +:041FAA000000000132 +:041FAB00000187C0EA +:041FAC000010000021 +:041FAD00000119E82E +:041FAE00000168F4D2 +:041FAF00000000012D +:041FB000000187C1E4 +:041FB100001000001C +:041FB200000119E829 +:041FB30000016908B8 +:041FB4000000000128 +:041FB500000187C2DE +:041FB6000010000017 +:041FB700000119E824 +:041FB800000171882B +:041FB9000000000321 +:041FBA0000017B0C9B +:041FBB000000000022 +:041FBC000000000021 +:041FBD000001719C12 +:041FBE00000000031C +:041FBF0000017AECB7 +:041FC000000000001D +:041FC100000000001C +:041FC200000171B0F9 +:041FC3000000000317 +:041FC40000017B0499 +:041FC5000000000018 +:041FC6000000000017 +:041FC700000171C4E0 +:041FC8000000000312 +:041FC90000017ADCBD +:041FCA000000000013 +:041FCB000000000012 +:041FCC00000171D8C7 +:041FCD00000000030D +:041FCE0000017AF4A0 +:041FCF00000000000E +:041FD000000000000D +:041FD100000171ECAE +:041FD2000000000308 +:041FD30000017AE4AB +:041FD4000000000009 +:041FD5000000000008 +:041FD6000001720094 +:041FD7000000000303 +:041FD80000017AC4C6 +:041FD9000000000004 +:041FDA000000000003 +:041FDB00000172147B +:041FDC0000000003FE +:041FDD0000017ABCC9 +:041FDE0000000000FF +:041FDF0000000000FE +:041FE0000001722862 +:041FE10000000003F9 +:041FE20000017AFC84 +:041FE30000000000FA +:041FE40000000000F9 +:041FE50000016F5C2C +:041FE60000000000F7 +:041FE700000187C9A5 +:041FE80000040001F0 +:041FE9000001851856 +:041FEA0000016F6C17 +:041FEB0000000000F2 +:041FEC00000187CA9F +:041FED0000040001EB +:041FEE000001852C3D +:041FEF0000016F7C02 +:041FF00000000000ED +:041FF100000187CB99 +:041FF20000030001E7 +:041FF3000001854024 +:041FF40000016F8CED +:041FF50000000000E8 +:041FF600000187CC93 +:041FF70000010001E4 +:041FF80000018660FE +:041FF90000016F9CD8 +:041FFA0000000000E3 +:041FFB00000187CD8D +:041FFC0000010001DF +:041FFD000001865801 +:041FFE0000016FACC3 +:041FFF0000000000DE +:04200000000187C490 +:0420010000040001D6 +:0420020000017C4815 +:0420030000016FB8B1 +:0420040000000000D8 +:04200500000187C58A +:0420060000050001D0 +:0420070000017C5CFC +:0420080000016FC4A0 :0420090000000000D3 -:04200A005A17000061 -:04200B00036001204D -:04200C001345270051 -:04200D002210033F5B -:04200E000000000EC0 -:04200F0078303834B9 -:042010000030363333 -:042011003C0000008F -:042012000258016807 -:042013000A3F2EE072 -:04201400441003264B -:042015000000000EB9 -:0420160078303432B8 -:04201700003036332C -:0420180020000000A4 -:04201900012C01682D -:04201A000A182EE092 -:04201B004410031258 -:04201C0000010100BE -:04201D0070343833B0 -:04201E0000000000BE -:04201F003E0000007F -:0420200002800180B9 -:042021001D3233A099 -:042022004410033E25 -:042023000000000EAB -:0420240030303631F1 -:0420250030303478AB -:04202600C8000000EE -:0420270007D001904D -:0420280022783820C2 -:04202900501002F061 -:04202A0000000400AE -:04202B0078303237A0 -:04202C00003030341C -:04202D005A00000055 -:04202E000384019096 -:04202F0022403820F3 -:0420300050100260EA -:042031000000000C9F -:042032007830343698 -:042033000030303415 -:042034005000000058 -:0420350003200190F3 -:0420360022303820FC -:0420370050100260E3 -:042038000000000C98 -:042039007830343691 -:04203A000034383303 -:04203B005000000051 -:04203C0003200180FC -:04203D003F303D8073 -:04203E0050100260DC -:04203F000000000E8F -:042040006930383497 -:04204100000000009B -:042042005A0600003A -:04204300035A00F04C -:042044000F3941A06F -:042045006210033EE4 -:042046000002040F81 -:042047007030383489 -:042048000000000094 -:042049005A02000037 -:04204A00035A01E054 -:04204B001E3C41A056 -:04204C008410063EB8 -:04204D000000000C83 -:04204E00783038347A -:04204F0000323732F2 -:042050003C0300004D -:04205100035A01101D -:0420520086B141A072 -:042053008410063EB1 -:042054000000020482 -:042055007830343675 -:0420560000303834EA -:042057005001000034 -:04205800032001E080 -:04205900213041A051 -:04205A009010026080 -:04205B000000000C75 -:04205C00783034366E -:04205D0000323135E7 -:04205E00500000002E -:04205F000320020058 -:042060001C304700E9 -:042061009010026079 -:042062000000000C6E -:04206300693637356E -:042064000000000078 -:042065005A15000008 -:0420660003600120F2 -:0420670013454E20AF -:042068006210033FC0 -:042069000002040F5E -:04206A007036373560 -:04206B000000000071 -:04206C005A11000005 -:04206D0003600240CA -:04206E0027444E2095 -:04206F008410054094 -:042070000000000C60 -:04207100783030385B -:0420720000303036D4 -:042073006400000005 -:0420740004200258EA -:0420750017584E802A -:0420760010100480C2 -:042077000000000461 -:04207800703032375B -:042079000030355F9F -:04207A00A0130000AF -:04207B0007BC02D0CC -:04207C0014DC5DC053 -:04207D00181005280A -:04207E00000000045A -:04207F007030323754 -:042080000030365F97 -:04208100A0040000B7 -:04208200067202D010 -:0420830014DC5DC04C -:042084001810052803 -:042085000000000453 -:04208600343230318F -:042087003836377838 -:0420880080000000D4 -:04208900054003000B -:04208A001DA064C071 -:04208B0010100688A3 -:04208C00000000044C -:04208D003038323184 -:04208E003230317843 -:04208F00A000003479 -:0420900006980400AA -:0420910026F8854068 -:0420920010100370B7 -:042093000000000445 -:042094007830343636 -:04209500693036393F -:0420960050000000F6 -:04209700032001E041 -:042098002130834030 -:04209900A41002602D -:04209A000000000D35 -:04209B003038303178 -:04209C0030355F6913 -:04209D00F01400003B -:04209E000A50021CC6 -:04209F000F948CA06E -:0420A000B810052C43 -:0420A1000000000D2E -:0420A2003038303171 -:0420A30030365F690B -:0420A400F005000043 -:0420A5000898021C79 -:0420A60010948CA066 -:0420A700B810052C3C -:0420A8000000000D27 -:0420A900303830316A -:0420AA0030355F70FE -:0420AB00F01F000022 -:0420AC000A5004389A -:0420AD0024948CA04B -:0420AE001810052CD5 -:0420AF000000000429 -:0420B0003038303163 -:0420B10030365F70F6 -:0420B200F01000002A -:0420B300089804384D -:0420B40024948CA044 -:0420B5001810052CCE -:0420B6000000000422 -:0420B700303036315E -:0420B8003032317819 -:0420B900C80000302B -:0420BA00087004B0F6 -:0420BB002EFF9C4018 -:0420BC00101003F10C -:0420BD00000000041B -:0420BE007665642FB0 -:0420BF006370652FB6 -:0420C0006F635F717A -:0420C1006F72746E58 -:0420C20072656C6C6B -:0420C300615F305FCA -:0420C4006D5F6C766A -:0420C50000006D6545 -:0420C6002B302D236B -:0420C70000000020F5 -:0420C800004C6C68F4 -:0420C900456766659C -:0420CA000000474685 -:0420CB00333231304B -:0420CC00373635343A -:0420CD00424139381B -:0420CE0046454443FC +:04200A00000187C684 +:04200B0000040001CC +:04200C0000017C480B +:04200D0000016FD08F +:04200E0000000000CE +:04200F00000187C77E +:0420100000040001C7 +:0420110000017C4806 +:0420120000016FDC7E +:0420130000000000C9 +:04201400000187C878 +:0420150000020001C4 +:0420160000017C74D5 +:0420170000016FEC69 +:0420180000000000C4 +:04201900000187CE6D +:04201A0000010001C0 +:04201B000001863406 +:04201C0000016FFC54 +:04201D0000000000BF +:04201E00000187D363 +:04201F0000020001BA +:04202000000185989E +:042021000001700446 +:0420220000000000BA +:04202300000187D45D +:0420240000010001B6 +:042025000001864CE4 +:0420260000016E78CF +:0420270000000001B4 +:04202800000187CF5D +:0420290000FF0000B4 +:04202A0000011A2077 +:04202B0000016E88BA +:04202C0000000001AF +:04202D00000187D057 +:04202E00003F00006F +:04202F0000011A2072 +:0420300000016E98A5 +:0420310000000000AB +:04203200000187D250 +:0420330000070000A2 +:0420340000017C909B +:0420350000016EA494 +:0420360000000001A5 +:04203700000187D14C +:04203800000F000095 +:0420390000011A3454 +:04203A0000016EB47F +:04203B0000000001A0 +:04203C00000187E632 +:04203D00001F000080 +:04203E0000011A344F +:04203F0000016EC06E +:042040000000000498 +:042041000001245A1C +:0420420000017428FD +:042043000000000099 +:0420440000017084A3 +:042045000000000097 +:04204600000187D539 +:042047000003000191 +:0420480000018550BE +:04204900000170948E +:04204A000000000092 +:04204B00000187D633 +:04204C00000100018E +:04204D000001867098 +:04204E00000170A479 +:04204F00000000008D +:04205000000187D72D +:042051000001000189 +:042052000001864CB7 +:04205300000170B860 +:042054000000000088 +:04205500000187D827 +:042056000001000184 +:042057000001864CB2 +:04205800000170CC47 +:042059000000000380 +:04205A0000017AB453 +:04205B00000179F017 +:04205C0000010B0C68 +:04205D0000016ED040 +:04205E00000000007E +:04205F00000187B045 +:042060000002000179 +:042061000001856095 +:0420620000016EDC2F +:042063000000000178 +:04206400000187B63A +:04206500000F000068 +:04206600000119C498 +:0420670000016EEC1A +:042068000000000173 +:04206900000187B239 +:04206A00001C000056 +:04206B0000011A0A4C +:04206C0000016EFC05 +:04206D00000000006F +:04206E00000187B333 +:04206F00000100016B +:042070000001868065 +:0420710000016F08F3 +:04207200000000006A +:04207300000187B42D +:042074000001000166 +:042075000001864C94 +:0420760000016F18DE +:042077000000000065 +:04207800000187B725 +:042079000001000161 +:04207A000001867863 +:04207B0000016F28C9 +:04207C000000000060 +:04207D00000187B522 +:04207E00000100015C +:04207F000001864C8A +:0420800000016F3CB0 +:04208100000000005B +:04208200000187B121 +:042083000003000155 +:042084000001856C66 +:0420850000016F489F +:042086000000000353 +:0420870000017ACC0E +:042088000000000054 +:042089000000000053 +:04208A0000016CD80D +:04208B00000000044D +:04208C00000121F03E +:04208D000001747C5E +:04208E00000000004E +:04208F0000016CE8F8 +:042090000000000448 +:0420910000011F60CB +:042092000001747C59 +:042093000000000049 +:0420940000016CF8E3 +:042095000000000443 +:042096000001192408 +:042097000000000045 +:042098000000000044 +:0420990000016D0CC9 +:04209A000000000141 +:04209B00000187F5C4 +:04209C00000A010134 +:04209D00000111CA63 +:04209E0000016D20B0 +:04209F00000000003D +:0420A00000018CA906 +:0420A1000001000139 +:0420A2000001864C67 +:0420A30000016D3497 +:0420A4000000000038 +:0420A50000018C9416 +:0420A600000A00012B +:0420A70000017C08B0 +:0420A80000016D4482 +:0420A9000000000033 +:0420AA0000018C8B1A +:0420AB00000200012E +:0420AC0000017BF0C4 +:0420AD0000016D5869 +:0420AE00000000002E +:0420AF0000018C8917 +:0420B000000100012A +:0420B100000186683C +:0420B20000016D6854 +:0420B3000000000029 +:0420B400000186445D +:0420B5000001000125 +:0420B6000001866837 +:0420B70000016D783F +:0420B8000000000024 +:0420B90000018C8A0C +:0420BA000001000120 +:0420BB000001866832 +:0420BC0000016D882A +:0420BD00000000001F +:0420BE0000018C9CF5 +:0420BF000003000119 +:0420C00000017C801F +:0420C10000016D9815 +:0420C200000000001A +:0420C300000186543E +:0420C4000002000115 +:0420C500000184FC96 +:0420C60000016D9C0C +:0420C7000000000015 +:0420C8000001865538 +:0420C900000300010F +:0420CA000001850884 +:0420CB0000016DB0F3 +:0420CC00000000040C +:0420CD0000013D1CB5 +:0420CE00000000000E :0420CF00000000000D -:0420D0003332313046 -:0420D1003736353435 -:0420D20062613938D6 -:0420D3006665646377 +:0420D00000016DC4DA +:0420D1000000000407 +:0420D200000136567D +:0420D3000000000009 :0420D4000000000008 -:0420D5001A8080806D -:0420D60000081A1ACA -:0420D7000000000005 +:0420D50000016DD8C1 +:0420D6000000000402 +:0420D7000001393E8D :0420D8000000000004 -:0420D900000182F888 -:0420DA000000000002 +:0420D9000000000003 +:0420DA000001701081 :0420DB000000000001 -:0420DC000001035CA0 -:0420DD000001041CDE -:0420DE00000101CE2E -:0420DF00000101EA11 -:0420E00000010236C3 -:0420E100008000007B -:0420E20000200000DA -:0420E30000000000F9 -:0420E40000000000F8 -:0420E50000000000F7 -:0420E60000000000F6 -:0420E70000000000F5 -:0420E80000000000F4 -:0420E90000000000F3 -:0420EA0000000000F2 -:0420EB0000000000F1 -:0420EC0000000000F0 -:0420ED0000000000EF -:0420EE0000000000EE -:0420EF0000000000ED -:0420F00000000000EC -:0420F10000000000EB -:0420F20000000000EA -:0420F30000000000E9 -:0420F40000000000E8 -:0420F50000000000E7 -:0420F60000000000E6 -:0420F70000000000E5 -:0420F80000000000E4 -:0420F90000000000E3 -:0420FA0000000000E2 -:0420FB0000000000E1 -:0420FC0000000000E0 -:0420FD0000000000DF +:0420DC00000187DD9B +:0420DD0000030001FB +:0420DE0000018588F0 +:0420DF00000170206C +:0420E00000000000FC +:0420E100000187DE95 +:0420E20000020001F7 +:0420E3000001857CF7 +:0420E4000001703057 +:0420E50000000001F6 +:0420E600000187DA94 +:0420E700001F0000D6 +:0420E800000119607A +:0420E9000001704042 +:0420EA0000000001F1 +:0420EB00000187DB8E +:0420EC0000FF0000F1 +:0420ED000001198253 +:0420EE00000170502D +:0420EF0000000001EC +:0420F000000187DC88 +:0420F10000C80A0019 +:0420F200000119824E +:0420F3000001706018 +:0420F40000000001E7 +:0420F500000187E07F +:0420F60000050000E1 +:0420F70000011A0CBE +:0420F8000001707003 +:0420F90000000001E2 +:0420FA00000187E179 +:0420FB0000050000DC +:0420FC0000011A0CB9 +:0420FD00000170E08E :0420FE0000000000DE -:0420FF0000000000DD -:0421000000000000DB -:0421010000000000DA -:0421020000000000D9 +:0420FF00000187DF76 +:0421000000050001D5 +:04210100000185A4B0 +:04210200000170EC7C :0421030000000000D8 -:042104000001017461 -:042105000080000056 -:0421060000A0000035 -:0421070000020100D1 -:0421080000200000B3 -:0421090000000001D1 -:04210A0000000020B1 -:04210B0000010000CF -:04210C0000000100CE -:04210D0000000000CE -:04210E00020E0409B0 -:04210F003D0E00C8B9 -:042110003F6E038398 -:042111003ED03DACD3 -:042112000000038343 -:04211300026404B2AC -:042114003C9300E90F -:042115003F56041617 -:042116003E9F3D4962 -:0421170000000416AA -:04211800017804E561 -:042119003CCE008137 -:04211A003FAE03834E -:04211B003F333D49C8 -:04211C000000038339 -:04211D0001B405B84C -:04211E003C490093A5 -:04211F003F9F0416C4 -:042120003F103CD957 -:0421210000000416A0 -:0421220000017A68D6 -:0421230000017AB08D -:0421240000017AC07C -:0421250000017A68D3 -:0421260000017A6CCE -:0421270000017A70C9 -:0421280000016E4400 -:0421290000016E54EF -:04212A0000016E60E2 -:04212B0000016E6CD5 -:04212C0000016E78C8 -:04212D0000016E84BB -:04212E0000016E90AE -:04212F0000016E9CA1 -:0421300000016EA894 -:0421310000016EB487 -:0421320000016EC07A -:0421330000017C74B7 -:0421340000017C80AA -:0421350000017C9099 -:0421360000017CA088 -:0421370000017CB077 -:0421380000017CC066 -:0421390000017C74B1 -:04213A0000017C80A4 -:04213B0000017C9093 -:04213C0000017CA082 -:04213D0000017CB071 -:04213E0000017C50D0 -:04213F0000017C5CC3 -:0421400000017C68B6 -:0421410000017A68B7 -:0421420000017AE836 -:0421430000017AD449 -:0421440000017AEC30 -:0421450000017A74A7 -:0421460000017A7C9E -:0421470000017A8495 -:0421480000017A8C8C -:0421490000017A9483 -:04214A0000017A987E -:04214B0000017AA075 -:04214C0000017AA86C -:04214D00000179E82C -:04214E00000000028B -:04214F0000019126D4 -:042150000AF0012C64 -:0421510000013D8AC2 -:04215200000179F817 -:042153000000000286 -:042154000001912CC9 -:042155000013000073 -:0421560000013D8ABD -:0421570000017A0801 -:042158000000000281 -:042159000001912EC2 -:04215A0000FF000A78 -:04215B0000013D8AB8 -:04215C0000017A14F0 -:04215D00000000027C -:04215E000001911AD1 -:04215F0000FF00017C -:0421600000013D8AB3 -:0421610000017A24DB -:042162000000000277 -:0421630000019124C2 -:04216400078000C828 -:0421650000013D8AAE -:0421660000017A30CA -:042167000000000272 -:0421680000019120C1 -:04216900000700016A -:04216A0000013D8AA9 -:04216B0000017A3CB9 -:04216C00000000026D -:04216D000001912AB2 -:04216E0000EC000180 -:04216F0000013D8AA4 -:0421700000017A4CA4 -:042171000000000268 -:0421720000019122B5 -:0421730004B000A014 -:0421740000013D8A9F -:0421750000017A5893 -:042176000000000263 -:042177000001911CB6 -:04217800001F000044 -:0421790000013D8A9A -:04217A000001758467 +:04210400000187D976 +:0421050000020001D3 +:04210600000185BC93 +:04210700000170FC67 +:0421080000000001D2 +:04210900000187EE5C +:04210A0000FF0000D2 +:04210B0000011A3481 +:04210C000001710855 +:04210D0000000001CD +:04210E00000187EF56 +:04210F0000FF0000CD +:0421100000011A347C +:042111000001711444 +:0421120000000001C8 +:04211300000187F050 +:0421140000FF0000C8 +:0421150000011A3477 +:042116000001712033 +:0421170000000001C3 +:04211800000187F14A +:0421190000FF0000C3 +:04211A0000011A3472 +:04211B000001712C22 +:04211C0000000001BE +:04211D00000187F244 +:04211E0000FF0000BE +:04211F0000011A346D +:042120000001713811 +:0421210000000001B9 +:04212200000187F33E +:0421230000FF0000B9 +:0421240000011A3468 +:042125000001714400 +:0421260000000001B4 +:04212700000187F438 +:04212800000F0000A4 +:0421290000011A3463 +:04212A0000017154EB +:04212B0000000001AF +:04212C00000187EB3C +:04212D0000E41C00AE +:04212E0000011A484A +:04212F0000017168D2 +:0421300000000001AA +:04213100000187ED35 +:04213200000A00009F +:0421330000011A7C11 +:0421340000017178BD +:0421350000000001A5 +:04213600000187EC31 +:04213700000700009D +:0421380000011A94F4 +:0421390000017AD453 +:04213A0000000000A1 +:04213B0000000000A0 +:04213C00000000009F +:04213D00000000009E +:04213E00000000009D +:04213F00000169A88A +:04214000000169AC85 +:04214100000169B47C +:042142000001699C93 +:04214300000169A08E +:04214400000169A489 +:04214500000169A884 +:0421460000016B54D5 +:0421470000016B70B8 +:0421480000016BC85F +:0421490000016BD056 +:04214A0000016BD84D +:04214B0000016B54D0 +:04214C0000016B70B3 +:04214D0000016B988A +:04214E0000016BA879 +:04214F0000016BB868 +:0421500000016B54CB +:0421510000016B60BE +:0421520000016B78A5 +:0421530000016B8894 +:0421540000016AA478 +:0421550000016C5CBD +:0421560000016C68B0 +:0421570000016C789F +:04215800000169A871 +:0421590000016AA473 +:04215A0000016AAC6A +:04215B0000016A4CC9 +:04215C0000016A58BC +:04215D0000016A64AF +:04215E0000016A749E +:04215F0000016BE030 +:0421600000016BF41B +:0421610000016C0409 +:0421620000016C14F8 +:0421630000016C24E7 +:0421640000016C30DA +:04216500000169A864 +:0421660000016AB05A +:0421670000016ABC4D +:0421680000016ACC3C +:0421690000016AA463 +:04216A00000169A85F +:04216B0000016C9C67 +:04216C0000016CAC56 +:04216D0000016CBC45 +:04216E0000016CCC34 +:04216F0000016C847B +:0421700000016C906E +:0421710000016AA45B +:042172000000000069 +:042173000000000068 +:042174000000000067 +:042175000000000066 +:042176000000000065 +:042177000000000064 +:042178000000000063 +:042179000000000062 +:04217A000000000061 :04217B000000000060 -:04217C00000190BF0F -:04217D00000100015C -:04217E0000018EC40A -:04217F000001759452 +:04217C00000000005F +:04217D00000000005E +:04217E00000000005D +:04217F00000000005C :04218000000000005B -:04218100000190C009 -:042182000001000157 -:0421830000018ECCFD -:04218400000175A43D -:042185000000000155 -:04218600000190C103 -:04218700001800003C -:042188000001406CA6 -:04218900000175B428 -:04218A000000000051 -:04218B00000190BA05 -:04218C00000100014D -:04218D0000018ECCF3 -:04218E00000175C413 -:04218F00000000004C -:04219000000190BBFF -:042191000001000148 -:0421920000018ECCEE -:04219300000175D8FA -:042194000000000047 -:04219500000190BCF9 -:042196000002000142 -:04219700000184942B -:04219800000175ECE1 -:042199000000000141 -:04219A00000190C2EE -:04219B00001F000021 -:04219C0000013F9C63 -:04219D0000017600C7 -:04219E00000000003D -:04219F00000190BDEE -:0421A0000001000139 -:0421A10000018ECCDF -:0421A200000179209F -:0421A3000000000137 -:0421A4000001909016 -:0421A5000010000026 -:0421A60000013F22D3 -:0421A700000179308A -:0421A8000000000132 -:0421A9000001909110 -:0421AA000010000021 -:0421AB0000013F22CE -:0421AC000001794075 -:0421AD00000000012D -:0421AE00000190920A -:0421AF00001000001C -:0421B00000013F22C9 -:0421B1000001795060 -:0421B2000000000128 -:0421B3000001909304 -:0421B4000010000017 -:0421B50000013F22C4 -:0421B600000179604B -:0421B7000000000123 -:0421B80000019094FE -:0421B9000010000012 -:0421BA0000013F22BF -:0421BB000001797036 -:0421BC00000000011E -:0421BD0000019095F8 -:0421BE00001000000D -:0421BF0000013F22BA -:0421C000000179841D -:0421C1000000000119 -:0421C20000019096F2 -:0421C3000010000008 -:0421C40000013F22B5 -:0421C5000001799804 -:0421C6000000000114 -:0421C70000019097EC -:0421C8000010000003 -:0421C90000013F22B0 -:0421CA00000179ACEB -:0421CB00000000010F -:0421CC0000019098E6 -:0421CD0000100000FE -:0421CE0000013F22AB -:0421CF00000179C0D2 -:0421D000000000010A -:0421D10000019099E0 -:0421D20000100000F9 -:0421D30000013F22A6 -:0421D400000179D4B9 -:0421D5000000000105 -:0421D6000001909ADA -:0421D70000100000F4 -:0421D80000013F22A1 -:0421D900000173D0BE -:0421DA0000000003FE -:0421DB0000018F6010 -:0421DC0000000000FF -:0421DD0000000000FE -:0421DE00000173E4A5 -:0421DF0000000003F9 -:0421E00000018F402B -:0421E10000000000FA -:0421E20000000000F9 -:0421E300000173F88C -:0421E40000000003F4 -:0421E50000018F580E -:0421E60000000000F5 -:0421E70000000000F4 -:0421E8000001740C72 -:0421E90000000003EF -:0421EA0000018F3031 -:0421EB0000000000F0 -:0421EC0000000000EF -:0421ED000001742059 -:0421EE0000000003EA -:0421EF0000018F4814 -:0421F00000000000EB -:0421F10000000000EA -:0421F2000001743440 -:0421F30000000003E5 -:0421F40000018F381F -:0421F50000000000E6 -:0421F60000000000E5 -:0421F7000001744827 -:0421F80000000003E0 -:0421F90000018F183A -:0421FA0000000000E1 -:0421FB0000000000E0 -:0421FC000001745C0E -:0421FD0000000003DB -:0421FE0000018F103D -:0421FF0000000000DC -:0422000000000000DA -:0422010000017470F4 -:0422020000000003D5 -:0422030000018F50F7 -:0422040000000000D6 -:0422050000000000D5 -:04220600000176F469 -:0422070000000000D3 -:04220800000190A1A0 -:0422090000040001CC -:04220A0000018D88BA -:04220B000001770453 -:04220C0000000000CE -:04220D00000190A29A -:04220E0000040001C7 -:04220F0000018D9CA1 -:04221000000177143E -:0422110000000000C9 -:04221200000190A394 -:0422130000030001C3 -:0422140000018DB088 -:042215000001772429 -:0422160000000000C4 -:04221700000190A48E -:0422180000010001C0 -:0422190000018EDC56 -:04221A000001773414 -:04221B0000000000BF -:04221C00000190A588 -:04221D0000010001BB -:04221E0000018ED459 -:04221F0000017744FF -:0422200000000000BA -:042221000001909C8C -:0422220000040001B3 -:04222300000184CC66 -:0422240000017750EE -:0422250000000000B5 -:042226000001909D86 -:0422270000050001AD -:04222800000184E04D -:042229000001775CDD -:04222A0000000000B0 -:04222B000001909E80 -:04222C0000040001A9 -:04222D00000184CC5C -:04222E0000017768CC -:04222F0000000000AB -:042230000001909F7A -:0422310000040001A4 -:04223200000184CC57 -:0422330000017774BB -:0422340000000000A6 -:04223500000190A074 -:0422360000020001A1 -:04223700000184F826 -:0422380000017784A6 -:0422390000000000A1 -:04223A00000190A669 -:04223B00000100019D -:04223C0000018EBC53 -:04223D000001779491 -:04223E00000000009C -:04223F00000190AB5F -:042240000002000197 -:0422410000018E0802 -:042242000001779C84 -:042243000000000097 -:04224400000190AC59 -:042245000001000193 -:0422460000018ECC39 -:04224700000176100C -:042248000000000191 -:04224900000190A759 -:04224A0000FF000091 -:04224B0000014056F8 -:04224C0000017620F7 -:04224D00000000018C -:04224E00000190A853 -:04224F00003F00004C -:0422500000014056F3 -:0422510000017630E2 -:042252000000000088 -:04225300000190AA4C -:04225400000700007F -:0422550000018514EB -:042256000001763CD1 -:042257000000000182 -:04225800000190A948 -:04225900000F000072 -:04225A0000013F9CA4 -:04225B000001764CBC -:04225C00000000017D -:04225D00000190BE2E -:04225E00001F00005D -:04225F0000013F9C9F -:0422600000017658AB -:042261000000000475 -:04226200000127F858 -:04226300000173946F -:042264000000000076 -:042265000001781CE0 -:042266000000000074 -:04226700000190AD35 -:04226800000300016E -:0422690000018DC023 -:04226A000001782CCB -:04226B00000000006F -:04226C00000190AE2F -:04226D00000100016B -:04226E0000018EECF1 -:04226F000001783CB6 -:04227000000000006A -:04227100000190AF29 -:042272000001000166 -:0422730000018ECC0C -:04227400000178509D -:042275000000000065 -:04227600000190B023 -:042277000001000161 -:0422780000018ECC07 -:042279000001786484 -:04227A00000000035D -:04227B0000018F08C7 -:04227C0000017DD010 -:04227D0000013D140B -:04227E00000176687D -:04227F00000000005B -:042280000001908841 -:042281000002000156 -:0422820000018DD0FA -:04228300000176746C -:042284000000000155 -:042285000001908E36 -:04228600000F000045 -:0422870000014030E2 -:042288000001768457 -:042289000000000150 -:04228A000001908A35 -:04228B00001C000033 -:04228C0000013F46C8 -:04228D000001769442 -:04228E00000000004C -:04228F000001908B2F -:042290000001000148 -:0422910000018EFCBE -:04229200000176A031 -:042293000000000047 -:042294000001908C29 -:042295000001000143 -:0422960000018ECCE9 -:04229700000176B01C -:042298000000000042 -:042299000001908F21 -:04229A00000100013E -:04229B0000018EF4BC -:04229C00000176C007 -:04229D00000000003D -:04229E000001908D1E -:04229F000001000139 -:0422A00000018ECCDF -:0422A100000176D4EE -:0422A2000000000038 -:0422A300000190891D -:0422A4000003000132 -:0422A50000018DDCCB -:0422A600000176E0DD -:0422A7000000000330 -:0422A80000018F2082 -:0422A9000000000031 -:0422AA000000000030 -:0422AB000001748436 -:0422AC00000000042A -:0422AD0000012560A7 -:0422AE00000173AC0C -:0422AF00000000002B -:0422B0000001749421 -:0422B1000000000425 -:0422B200000125C240 -:0422B300000173AC07 -:0422B4000000000026 -:0422B500000174A40C -:0422B6000000000420 -:0422B70000012F14DF -:0422B8000000000022 -:0422B9000000000021 -:0422BA00000174B8F3 -:0422BB00000000011E -:0422BC00000190CDC0 -:0422BD00000A010111 -:0422BE00000140BC1F -:0422BF00000174CCDA -:0422C000000000001A -:0422C1000001900682 -:0422C2000001000116 -:0422C30000018ECCBC -:0422C400000174E0C1 -:0422C5000000000015 -:0422C60000018FB2D2 -:0422C700000A000108 -:0422C800000184A0ED -:0422C900000174F0AC -:0422CA000000000010 -:0422CB0000019084FA -:0422CC00000200010B -:0422CD000001848800 -:0422CE000001750492 -:0422CF00000000000B -:0422D00000018FE694 -:0422D1000001000107 -:0422D20000018EE495 -:0422D300000175147D -:0422D4000000000006 -:0422D50000018EABCB -:0422D6000001000102 -:0422D70000018EE490 -:0422D8000001752468 -:0422D9000000000001 -:0422DA0000018FB8B8 -:0422DB0000010001FD -:0422DC0000018EE48B -:0422DD000001753453 -:0422DE0000000000FC -:0422DF0000018FF279 -:0422E00000030001F6 -:0422E100000185046F -:0422E200000175443E -:0422E30000000000F7 -:0422E40000018EB0B7 -:0422E50000020001F2 -:0422E60000018D6CFA -:0422E7000001754835 -:0422E80000000000F2 -:0422E90000018EB1B1 -:0422EA0000030001EC -:0422EB0000018D78E9 -:0422EC000001755C1C -:0422ED0000000004E9 -:0422EE0000014A643D -:0422EF0000000000EB -:0422F00000000000EA -:0422F1000001757003 -:0422F20000000004E4 -:0422F3000001395855 -:0422F40000000000E6 -:0422F50000000000E5 -:0422F600000177A8C4 -:0422F70000000000E3 -:0422F800000190B59C -:0422F90000030001DD -:0422FA0000018DF85A -:0422FB00000177B8AF -:0422FC0000000000DE -:0422FD00000190B696 -:0422FE0000020001D9 -:0422FF0000018DEC61 -:04230000000177C899 -:0423010000000001D7 -:04230200000190B294 -:04230300001F0000B7 -:042304000001400C88 -:04230500000177D884 -:0423060000000001D2 -:04230700000190B38E -:0423080000FF0000D2 -:0423090000013FC8C8 -:04230A00000177E86F -:04230B0000000001CD -:04230C00000190B488 -:04230D0000C80A00FA -:04230E0000013FC8C3 -:04230F00000177F85A -:0423100000000001C8 -:04231100000190B87F -:0423120000050000C2 -:0423130000013FB2D4 -:042314000001780844 -:0423150000000001C3 -:04231600000190B979 -:0423170000050000BD -:0423180000013FB2CF -:0423190000017878CF -:04231A0000000000BF -:04231B00000190B776 -:04231C0000050001B7 -:04231D0000018E1419 -:04231E0000017884BE -:04231F0000000000BA -:04232000000190B177 -:0423210000020001B5 -:0423220000018E2CFC -:0423230000017894A9 -:0423240000000001B4 -:04232500000190C65D -:0423260000FF0000B4 -:0423270000013F9CD6 -:04232800000178A098 -:0423290000000001AF -:04232A00000190C757 -:04232B0000FF0000AF -:04232C0000013F9CD1 -:04232D00000178AC87 -:04232E0000000001AA -:04232F00000190C851 -:0423300000FF0000AA -:0423310000013F9CCC -:04233200000178B876 -:0423330000000001A5 -:04233400000190C94B -:0423350000FF0000A5 -:0423360000013F9CC7 -:04233700000178C465 -:0423380000000001A0 -:04233900000190CA45 -:04233A0000FF0000A0 -:04233B0000013F9CC2 -:04233C00000178D054 -:04233D00000000019B -:04233E00000190CB3F -:04233F0000FF00009B -:0423400000013F9CBD -:04234100000178DC43 -:042342000000000196 -:04234300000190CC39 -:04234400000F000086 -:0423450000013F9CB8 -:04234600000178EC2E -:042347000000000191 -:04234800000190C33D -:0423490000E41C0090 -:04234A0000013F80CF -:04234B000001790014 -:04234C00000000018C -:04234D00000190C536 -:04234E00000A000081 -:04234F0000013F66E4 -:0423500000017910FF -:042351000000000187 -:04235200000190C432 -:04235300000700007F -:0423540000013F4AFB -:0423550000018F28CC -:042356000000000083 -:042357000000000082 -:042358000000000081 -:042359000000000080 -:04235A00000000007F -:04235B0000017A689B -:04235C0000017AD82A -:04235D0000017AE021 -:04235E0000017ACC34 -:04235F0000017AD02F -:0423600000017AD42A -:0423610000017A6895 -:0423620000017BC437 -:0423630000017BE01A -:0423640000017C38C0 -:0423650000017C40B7 -:0423660000017C48AE -:0423670000017BC432 -:0423680000017BE015 -:0423690000017BE80C -:04236A0000017BF8FB -:04236B0000017C08E9 -:04236C0000017BC42D -:04236D0000017BD020 -:04236E0000017C18D6 -:04236F0000017C28C5 -:0423700000017B9459 -:0423710000017D4C9E -:0423720000017D5891 -:0423730000017D6880 -:0423740000017A6882 -:0423750000017B9454 -:0423760000017B9C4B -:0423770000017B489E -:0423780000017B5491 -:0423790000017B6084 -:04237A0000017B7073 -:04237B0000017CD011 -:04237C0000017CE4FC -:04237D0000017CF4EB -:04237E0000017D04D9 -:04237F0000017D14C8 -:0423800000017D20BB -:0423810000017A6875 -:0423820000017BA03B -:0423830000017BAC2E -:0423840000017BBC1D -:0423850000017B9444 -:0423860000017A6870 -:0423870000017D8C48 -:0423880000017D9C37 -:0423890000017DAC26 -:04238A0000017DBC15 -:04238B0000017D745C -:04238C0000017D804F -:04238D0000017B943C -:04238E00000000004B -:04238F00000000004A -:042390000000000049 -:042391000000000048 -:042392000000000047 -:042393000000000046 -:042394000000000045 -:042395000000000044 -:042396000000000043 -:042397000000000042 -:042398000000000041 -:042399000000000040 -:04239A00000000003F -:04239B00000000003E -:04239C00000000003D -:04239D00000000003C -:04239E00000000003B -:04239F00000000003A -:0423A0000000000039 -:0423A1000000000038 -:0423A2000000000037 -:0423A3000000000036 -:0423A4000000000035 -:0423A5000000000034 -:0423A60000018E980C -:0423A70000018E980B -:0423A80000016C8242 -:0423A9000010801090 -:0423AA0001008000AE -:0423AB0000024000EC -:0423AC00000001012B -:0423AD0000028000AA -:0423AE000002200009 -:0423AF0000017C7C31 -:0423B00000017BC0ED -:0423B10000017B1498 -:0423B20000017B2883 -:0423B30000017A6843 -:0423B40000017B9C0D -:0423B50000017BC4E4 -:0423B60000017BD0D7 -:0423B70000017BC4E2 -:0423B80000017BE0C5 -:0423B90000016E644D -:0423BA0000016E7040 -:0423BB0000017D2C74 -:0423BC0000017D3C63 -:0423BD0000017B3C64 -:0423BE0000017B405F -:0423BF0000017B7826 -:0423C00000017B8815 -:0423C10000018E3851 -:0423C200000000090E -:0423C300000185345C -:0423C4000000000312 -:0423C500000185E8A6 -:0423C600000000050E -:0423C7000001862467 -:0423C8000000000B06 -:0423C9000001868801 -:0423CA000000000906 -:0423CB000001876422 -:0423CC000000000D00 -:0423CD00000188186B -:0423CE000000000605 -:0423CF000001891C64 -:0423D0000000000504 -:0423D10000018994EA -:0423D20000000009FE -:0423D300000189F884 -:0423D4000000000FF6 -:0423D50000018AACCD -:0423D60000000007FC -:0423D70000018BD89E -:0423D8000000000CF5 -:0423D90000018C640F -:0423DA00C896554B01 +:04218100000000005A +:042182000000000059 +:042183000000000058 +:042184000000000057 +:042185000000000056 +:042186000000000055 +:042187000000000054 +:042188000000000053 +:042189000000000052 +:04218A0000018628A2 +:04218B0000018628A1 +:04218C000001636E7D +:04218D0000016B10D2 +:04218E0000016AD012 +:04218F00000169E002 +:04219000000169F4ED +:042191000000000149 +:0421920000108010A9 +:04219300000169A836 +:0421940000016AAC30 +:042195000000010144 +:0421960000016B5485 +:0421970000016B6078 +:0421980000016B5483 +:0421990000016B7066 +:04219A000001725C72 +:04219B000001726865 +:04219C0000016C3C96 +:04219D0000016C4C85 +:04219E0000016A7C56 +:04219F0000016A8051 +:0421A00000016A8848 +:0421A10000016A9837 +:0421A200000185C8EB :00000001FF diff --git a/software/sys_controller/memory/sdcard.c b/software/sys_controller/memory/sdcard.c index 77b128e..2cf5d51 100644 --- a/software/sys_controller/memory/sdcard.c +++ b/software/sys_controller/memory/sdcard.c @@ -18,6 +18,7 @@ // #include +#include #include "sdcard.h" #include "flash.h" #include "lcd.h" @@ -69,3 +70,33 @@ int copy_sd_to_flash(alt_u32 sd_blknum, alt_u32 flash_pagenum, alt_u32 length, a return 0; } + +int copy_flash_to_sd(alt_u32 flash_pagenum, alt_u32 sd_blknum, alt_u32 length, alt_u8 *tmpbuf) +{ + SDRESULTS res; + int retval; + alt_u32 bytes_to_rw; + + while (length > 0) { + bytes_to_rw = (length < SD_BLK_SIZE) ? length : SD_BLK_SIZE; + retval = alt_epcq_controller_read(epcq_dev, flash_pagenum*PAGESIZE, tmpbuf, bytes_to_rw); + if (retval != 0) + return retval; + + if (bytes_to_rw < SD_BLK_SIZE) + memset(tmpbuf+bytes_to_rw, 0, SD_BLK_SIZE-bytes_to_rw); + + res = SD_Write(&sdcard_dev, tmpbuf, sd_blknum); + if (res != SD_OK) { + printf("Failed to write to SD card\n"); + return -res; + } + + ++sd_blknum; + flash_pagenum += bytes_to_rw/PAGESIZE; + length -= bytes_to_rw; + } + + return 0; + +} diff --git a/software/sys_controller/memory/sdcard.h b/software/sys_controller/memory/sdcard.h index 046df77..21cfc1f 100644 --- a/software/sys_controller/memory/sdcard.h +++ b/software/sys_controller/memory/sdcard.h @@ -26,5 +26,6 @@ int check_sdcard(alt_u8 *databuf); int copy_sd_to_flash(alt_u32 sd_blknum, alt_u32 flash_pagenum, alt_u32 length, alt_u8 *tmpbuf); +int copy_flash_to_sd(alt_u32 flash_pagenum, alt_u32 sd_blknum, alt_u32 length, alt_u8 *tmpbuf); #endif /* SDCARD_H_ */ diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index 4444fc2..59f2dcd 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -238,8 +238,9 @@ MENU(menu_settings, P99_PROTECT({ \ { "OSD", OPT_AVCONFIG_SELECTION, { .sel = { &osd_enable_pre, OPT_WRAP, SETTING_ITEM(osd_enable_desc) } } }, { "OSD status disp.", OPT_AVCONFIG_SELECTION, { .sel = { &osd_status_timeout_pre, OPT_WRAP, SETTING_ITEM(osd_status_desc) } } }, #ifndef DEBUG - { "", OPT_FUNC_CALL, { .fun = { import_userdata, NULL } } }, - { LNG("","<ファームウェアアップデート>"), OPT_FUNC_CALL, { .fun = { fw_update, NULL } } }, + { LNG("","<セッテイヨミコミ >"), OPT_FUNC_CALL, { .fun = { import_userdata, NULL } } }, + { LNG("","<セッテイカキコミ >"), OPT_FUNC_CALL, { .fun = { export_userdata, NULL } } }, + { LNG("","<ファームウェアアップデート>"), OPT_FUNC_CALL, { .fun = { fw_update, NULL } } }, #endif })) diff --git a/software/sys_controller/ossc/userdata.c b/software/sys_controller/ossc/userdata.c index ca0d24e..4a6d4fd 100644 --- a/software/sys_controller/ossc/userdata.c +++ b/software/sys_controller/ossc/userdata.c @@ -17,6 +17,7 @@ // along with this program. If not, see . // +#include #include #include #include "userdata.h" @@ -26,6 +27,7 @@ #include "lcd.h" #include "controls.h" #include "av_controller.h" +#include "menu.h" #include "altera_avalon_pio_regs.h" extern alt_u16 rc_keymap[REMOTE_MAX_KEYS]; @@ -42,7 +44,7 @@ extern alt_u8 auto_input, auto_av1_ypbpr, auto_av2_ypbpr, auto_av3_ypbpr; extern alt_u8 osd_enable_pre, osd_status_timeout_pre; extern SD_DEV sdcard_dev; extern alt_flash_dev *epcq_dev; -extern char menu_row2[LCD_ROW_LEN+1]; +extern char menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; char target_profile_name[PROFILE_NAME_LEN+1]; @@ -315,3 +317,73 @@ sd_disable: return retval; } + +int export_userdata() +{ + int retval; + char *errmsg; + alt_u8 databuf[SD_BLK_SIZE]; + alt_u32 btn_vec; + + retval = check_sdcard(databuf); + SPI_CS_High(); + if (retval != 0) { + retval = -retval; + goto failure; + } + + strncpy(menu_row2, "Export? 1=Y, 2=N", LCD_ROW_LEN+1); + ui_disp_menu(2); + + while (1) { + btn_vec = IORD_ALTERA_AVALON_PIO_DATA(PIO_1_BASE) & RC_MASK; + + if (btn_vec == rc_keymap[RC_BTN1]) { + break; + } else if (btn_vec == rc_keymap[RC_BTN2]) { + retval = UDATA_EXPT_CANCELLED; + goto failure; + } + + usleep(WAITLOOP_SLEEP_US); + } + + strncpy(menu_row2, "Exporting...", LCD_ROW_LEN+1); + ui_disp_menu(2); + + /* This may wear the SD card a bit more than necessary... */ + retval = copy_flash_to_sd(USERDATA_OFFSET/PAGESIZE, 512/SD_BLK_SIZE, (MAX_USERDATA_ENTRY + 1) * SECTORSIZE, databuf); + if (retval != 0) + goto failure; + + SPI_CS_High(); + + strncpy(menu_row2, "Success", LCD_ROW_LEN+1); + + return 1; + +failure: + SPI_CS_High(); + + switch (retval) { + case SD_NOINIT: + errmsg = "No SD card det."; + break; + case -EINVAL: + errmsg = "Invalid params."; + break; + case UDATA_EXPT_CANCELLED: + errmsg = "Cancelled"; + break; + default: + errmsg = "SD/Flash error"; + break; + } + strncpy(menu_row2, errmsg, LCD_ROW_LEN+1); + + /* + * We want the message above to remain on screen, so return a + * positive value which nevertheless stands out when debugging. + */ + return 0x0dead; +} diff --git a/software/sys_controller/ossc/userdata.h b/software/sys_controller/ossc/userdata.h index 1b4b553..9d9db0a 100644 --- a/software/sys_controller/ossc/userdata.h +++ b/software/sys_controller/ossc/userdata.h @@ -34,6 +34,7 @@ #define INIT_CONFIG_SLOT MAX_USERDATA_ENTRY #define UDATA_IMPT_CANCELLED 104 +#define UDATA_EXPT_CANCELLED 105 typedef enum { UDE_INITCFG = 0, @@ -76,5 +77,6 @@ typedef struct { int write_userdata(alt_u8 entry); int read_userdata(alt_u8 entry, int dry_run); int import_userdata(); +int export_userdata(); #endif diff --git a/software/sys_controller/ulibSD/sd_io.c b/software/sys_controller/ulibSD/sd_io.c index da04a49..73faf2f 100644 --- a/software/sys_controller/ulibSD/sd_io.c +++ b/software/sys_controller/ulibSD/sd_io.c @@ -32,7 +32,7 @@ DWORD __SD_Sectors (SD_DEV *dev) return (((DWORD)(ftell(dev->fp)))/((DWORD)512)-1); } } -#else // For use with uControllers +#else // For use with uControllers /****************************************************************************** Private Methods Prototypes - Direct work with SD card ******************************************************************************/ @@ -160,15 +160,15 @@ SDRESULTS __SD_Write_Block(SD_DEV *dev, void *dat, BYTE token) WORD idx; BYTE line; // Send token (single or multiple) - SPI_RW(token); + SPI_WW(token); // Single block write? if(token != 0xFD) { // Send block data - for(idx=0; idx!=SD_BLK_SIZE; idx++) SPI_RW(*((BYTE*)dat + idx)); + for(idx=0; idx!=SD_BLK_SIZE; idx++) SPI_WW(*((BYTE*)dat + idx)); /* Dummy CRC */ - SPI_RW(0xFF); - SPI_RW(0xFF); + SPI_WW(0xFF); + SPI_WW(0xFF); // If not accepted, returns the reject error if((SPI_RW(0xFF) & 0x1F) != 0x05) return(SD_REJECT); } @@ -201,7 +201,7 @@ DWORD __SD_Sectors (SD_DEV *dev) BYTE READ_BL_LEN = 0; int timer_set; - if(__SD_Send_Cmd(CMD9, 0)==0) + if(__SD_Send_Cmd(CMD9, 0)==0) { // Wait for response timer_set = SPI_Timer_On(5); // Wait for data packet (timeout of 5ms) @@ -262,7 +262,7 @@ DWORD __SD_Sectors (SD_DEV *dev) SDRESULTS SD_Init(SD_DEV *dev) { BYTE initdata[] = {0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff}; -#if defined(_M_IX86) // x86 +#if defined(_M_IX86) // x86 dev->fp = fopen(dev->fn, "r+"); if (dev->fp == NULL) return (SD_ERROR); @@ -400,7 +400,7 @@ SDRESULTS SD_Read(SD_DEV *dev, void *dat, DWORD sector, WORD ofs, WORD cnt) } while((tkn==0xFF)&&(SPI_Timer_Status()==TRUE)); SPI_Timer_Off(); // Token of single block? - if(tkn==0xFE) { + if(tkn==0xFE) { // Size block (512 bytes) + CRC (2 bytes) - offset - bytes to count remaining = SD_BLK_SIZE + 2 - ofs - cnt; // Skip offset @@ -447,9 +447,13 @@ SDRESULTS SD_Write(SD_DEV *dev, void *dat, DWORD sector) #else // uControllers // Query ok? if(sector > dev->last_sector) return(SD_PARERR); + // Convert sector number to byte address (sector * SD_BLK_SIZE) for SDC1 + if (!(dev->cardtype & SDCT_BLOCK)) + sector *= SD_BLK_SIZE; + // Single block write (token <- 0xFE) // Convert sector number to bytes address (sector * SD_BLK_SIZE) - if(__SD_Send_Cmd(CMD24, sector * SD_BLK_SIZE)==0) + if(__SD_Send_Cmd(CMD24, sector)==0) return(__SD_Write_Block(dev, dat, 0xFE)); else return(SD_ERROR); diff --git a/software/sys_controller/ulibSD/spi_io.c b/software/sys_controller/ulibSD/spi_io.c index c6f1afd..949bd65 100644 --- a/software/sys_controller/ulibSD/spi_io.c +++ b/software/sys_controller/ulibSD/spi_io.c @@ -15,7 +15,7 @@ void SPI_Init (void) { I2C_init(SD_SPI_BASE,ALT_CPU_FREQ,400000); } -void SPI_W(BYTE *wd, int len) { +void SPI_W(const BYTE *wd, int len) { SPI_write(SD_SPI_BASE, wd, len); } @@ -23,6 +23,10 @@ void SPI_R(BYTE *rd, int len) { SPI_read(SD_SPI_BASE, rd, len); } +void SPI_WW(BYTE d) { + SPI_W(&d, 1); +} + BYTE SPI_RW (BYTE d) { BYTE w; SPI_R(&w, 1); diff --git a/software/sys_controller/ulibSD/spi_io.h b/software/sys_controller/ulibSD/spi_io.h index e2d0615..6dbfe95 100644 --- a/software/sys_controller/ulibSD/spi_io.h +++ b/software/sys_controller/ulibSD/spi_io.h @@ -33,15 +33,21 @@ void SPI_R (BYTE *rd, int len); \param *wd Pointer to array which holds the bytes. \param len Length of the array. */ -void SPI_W (BYTE *wd, int len); +void SPI_W (const BYTE *wd, int len); /** - \brief Read/Write a single byte. - \param d Byte to send. + \brief Read a single byte. + \param d Byte. Ignored. \return Byte that arrived. */ BYTE SPI_RW (BYTE d); +/** + \brief Write a single byte. + \param d Byte to write. + */ +void SPI_WW(BYTE d); + /** \brief Flush of SPI buffer. */ diff --git a/software/sys_controller_bsp/libhal_bsp.a b/software/sys_controller_bsp/libhal_bsp.a index be244e2..9a78b53 100644 Binary files a/software/sys_controller_bsp/libhal_bsp.a and b/software/sys_controller_bsp/libhal_bsp.a differ