From 2aee3294e3d4829a94b3dd18187c2654d2392336 Mon Sep 17 00:00:00 2001 From: marqs Date: Tue, 30 May 2017 21:16:03 +0300 Subject: [PATCH] Fix HDTV mode parameters --- ossc.sdc | 4 +- rtl/scanconverter.v | 101 +- .../mem_init/sys_onchip_memory2_0.hex | 1908 ++++++++--------- software/sys_controller/ossc/av_controller.c | 9 +- software/sys_controller/tvp7002/video_modes.h | 65 +- software/sys_controller_bsp/settings.bsp | 4 +- sys.sopcinfo | 4 +- 7 files changed, 1050 insertions(+), 1045 deletions(-) diff --git a/ossc.sdc b/ossc.sdc index aa72075..2c5564c 100644 --- a/ossc.sdc +++ b/ossc.sdc @@ -29,10 +29,10 @@ set_input_delay -clock pclk_hdtv -max $TVP_dmax $critinputs set_input_delay -clock pclk_sdtv -min $TVP_dmin $critinputs -add_delay set_input_delay -clock pclk_sdtv -max $TVP_dmax $critinputs -add_delay -# output delay constraints (TODO: investigate why adding vsync upsets timing analyzer) +# output delay constraints set IT_Tsu 1.0 set IT_Th -0.5 -set critoutputs_hdmi [get_ports {HDMI_TX_RD* HDMI_TX_GD* HDMI_TX_BD* HDMI_TX_DE HDMI_TX_HS}] +set critoutputs_hdmi [get_ports {HDMI_TX_RD* HDMI_TX_GD* HDMI_TX_BD* HDMI_TX_DE HDMI_TX_HS HDMI_TX_VS}] set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_hdtv -min $IT_Th $critoutputs_hdmi set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_hdtv -max $IT_Tsu $critoutputs_hdmi set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_2x -min $IT_Th $critoutputs_hdmi -add_delay diff --git a/rtl/scanconverter.v b/rtl/scanconverter.v index 5e8677f..147668f 100644 --- a/rtl/scanconverter.v +++ b/rtl/scanconverter.v @@ -134,9 +134,9 @@ reg [2:0] pclk_5x_cnt; //configuration registers reg [10:0] H_ACTIVE; //max. 2047 -reg [8:0] H_BACKPORCH; //max. 511 +reg [9:0] H_AVIDSTART; //max. 1023 reg [10:0] V_ACTIVE; //max. 2047 -reg [5:0] V_BACKPORCH; //max. 63 +reg [6:0] V_AVIDSTART; //max. 127 reg [7:0] H_SYNCLEN; reg [2:0] V_SYNCLEN; reg [1:0] V_SCANLINEMODE; @@ -343,14 +343,17 @@ pll_3x pll_linetriple ( .locked ( pclk_3x_lock ) ); +wire [11:0] linebuf_rdaddr = linebuf_hoffset-H_AVIDSTART; +wire [11:0] linebuf_wraddr = hcnt_1x-H_AVIDSTART; + //TODO: add secondary buffers for interlaced signals with alternative field order linebuf linebuf_rgb ( .data({R_in_L, G_in_L, B_in_L}), - .rdaddress ( linebuf_hoffset + (~line_idx << 11) ), + .rdaddress ( {~line_idx, linebuf_rdaddr[10:0]} ), .rdclock ( linebuf_rdclock ), - .wraddress(hcnt_1x + (line_idx << 11)), + .wraddress( {line_idx, linebuf_wraddr[10:0]} ), .wrclock ( pclk_1x ), - .wren ( 1'b1 ), + .wren ( !linebuf_wraddr[11] ), .q ( {R_lbuf, G_lbuf, B_lbuf} ) ); @@ -362,7 +365,7 @@ always @(posedge pclk_act) begin line_id_pp1 <= line_id_act; col_id_pp1 <= col_id_act; - mask_enable_pp1 <= ((hcnt_act < H_SYNCLEN+H_BACKPORCH+H_MASK) | (hcnt_act >= H_SYNCLEN+H_BACKPORCH+H_ACTIVE-H_MASK) | (vcnt_act < V_SYNCLEN+V_BACKPORCH+V_MASK) | (vcnt_act >= V_SYNCLEN+V_BACKPORCH+V_ACTIVE-V_MASK)); + mask_enable_pp1 <= ((hcnt_act < H_AVIDSTART+H_MASK) | (hcnt_act >= H_AVIDSTART+H_ACTIVE-H_MASK) | (vcnt_act < V_AVIDSTART+V_MASK) | (vcnt_act >= V_AVIDSTART+V_ACTIVE-V_MASK)); HSYNC_pp2 <= HSYNC_act; VSYNC_pp2 <= VSYNC_act; @@ -528,13 +531,13 @@ begin H_MULTMODE <= h_info[31:30]; // Horizontal scaling mode V_MULTMODE <= v_info[31:29]; // Line multiply mode - H_SYNCLEN <= h_info[27:20]; - H_BACKPORCH <= h_info[19:11]; // Horizontal backporch length from by the CPU - 9bits (0...511) - H_ACTIVE <= h_info[10:0]; // Horizontal active length from by the CPU - 11bits (0...2047) + H_SYNCLEN <= h_info[27:20]; // Horizontal sync length (0...255) + H_AVIDSTART <= h_info[19:11] + h_info[27:20]; // Horizontal sync+backporch length (0...1023) + H_ACTIVE <= h_info[10:0]; // Horizontal active length (0...2047) - V_SYNCLEN <= v_info[19:17]; - V_BACKPORCH <= v_info[16:11]; // Vertical backporch length from by the CPU, 6bits (0...64) - V_ACTIVE <= v_info[10:0]; // Vertical active length from by the CPU, 11bits (0...2047) + V_SYNCLEN <= v_info[19:17]; // Vertical sync length (0...7) + V_AVIDSTART <= v_info[16:11] + v_info[19:17]; // Vertical sync+backporch length (0...127) + V_ACTIVE <= v_info[10:0]; // Vertical active length (0...2047) H_MASK <= h_info2[28:19]; V_MASK <= v_info[25:20]; @@ -577,7 +580,7 @@ begin VSYNC_1x <= (vcnt_1x < V_SYNCLEN) ? `VSYNC_POL : ~`VSYNC_POL; else VSYNC_1x <= (((vcnt_1x+1'b1) < V_SYNCLEN) | ((vcnt_1x+1'b1 == V_SYNCLEN) & (hcnt_1x <= (hmax[~line_idx]>>1)))) ? `VSYNC_POL : ~`VSYNC_POL; - DE_1x <= ((hcnt_1x >= H_SYNCLEN+H_BACKPORCH) & (hcnt_1x < H_SYNCLEN+H_BACKPORCH+H_ACTIVE)) & ((vcnt_1x >= V_SYNCLEN+V_BACKPORCH) & (vcnt_1x < V_SYNCLEN+V_BACKPORCH+V_ACTIVE)); + DE_1x <= ((hcnt_1x >= H_AVIDSTART) & (hcnt_1x < H_AVIDSTART+H_ACTIVE)) & ((vcnt_1x >= V_AVIDSTART) & (vcnt_1x < V_AVIDSTART+V_ACTIVE)); FID_1x <= FID_cur; end end @@ -619,7 +622,7 @@ begin HSYNC_2x <= (hcnt_2x < H_SYNCLEN) ? `HSYNC_POL : ~`HSYNC_POL; VSYNC_2x <= (vcnt_2x < V_SYNCLEN) ? `VSYNC_POL : ~`VSYNC_POL; - DE_2x <= ((hcnt_2x >= H_SYNCLEN+H_BACKPORCH) & (hcnt_2x < H_SYNCLEN+H_BACKPORCH+H_ACTIVE)) & ((vcnt_2x >= V_SYNCLEN+V_BACKPORCH) & (vcnt_2x < V_SYNCLEN+V_BACKPORCH+V_ACTIVE)); + DE_2x <= ((hcnt_2x >= H_AVIDSTART) & (hcnt_2x < H_AVIDSTART+H_ACTIVE)) & ((vcnt_2x >= V_AVIDSTART) & (vcnt_2x < V_AVIDSTART+V_ACTIVE)); end end @@ -657,13 +660,13 @@ begin end end - //track pclk_3x alignment to pclk_1x rising edge (pclk_1x=1 @ 120deg & pclk_1x=0 @ 240deg) - if (((pclk_1x_prev3x == 1'b1) & (pclk_1x == 1'b0)) | (pclk_3x_cnt == 2'h2)) - pclk_3x_cnt <= 0; - else - pclk_3x_cnt <= pclk_3x_cnt + 1'b1; + //track pclk_3x alignment to pclk_1x rising edge (pclk_1x=1 @ 120deg & pclk_1x=0 @ 240deg) + if (((pclk_1x_prev3x == 1'b1) & (pclk_1x == 1'b0)) | (pclk_3x_cnt == 2'h2)) + pclk_3x_cnt <= 0; + else + pclk_3x_cnt <= pclk_3x_cnt + 1'b1; - pclk_1x_prev3x <= pclk_1x; + pclk_1x_prev3x <= pclk_1x; HSYNC_3x <= (hcnt_3x < H_SYNCLEN) ? `HSYNC_POL : ~`HSYNC_POL; if (FID_cur == `FID_EVEN) @@ -675,7 +678,7 @@ begin VSYNC_3x <= ~`VSYNC_POL; end - DE_3x <= ((hcnt_3x >= H_SYNCLEN+H_BACKPORCH) & (hcnt_3x < H_SYNCLEN+H_BACKPORCH+H_ACTIVE)) & ((vcnt_3x >= V_SYNCLEN+V_BACKPORCH) & (vcnt_3x < V_SYNCLEN+V_BACKPORCH+V_ACTIVE)); + DE_3x <= ((hcnt_3x >= H_AVIDSTART) & (hcnt_3x < H_AVIDSTART+H_ACTIVE)) & ((vcnt_3x >= V_AVIDSTART) & (vcnt_3x < V_AVIDSTART+V_ACTIVE)); end end @@ -686,15 +689,15 @@ begin vcnt_4x <= 0; line_out_idx_4x <= 0; end else begin - - // TODO: better implementation - if ((DE_3x == 1) & (DE_3x_prev4x == 0)) - hcnt_4x_aspfix <= hcnt_3x - 160; - else - hcnt_4x_aspfix <= hcnt_4x_aspfix + 1'b1; - DE_3x_prev4x <= DE_3x; - + // TODO: better implementation + if ((DE_3x == 1) & (DE_3x_prev4x == 0)) + hcnt_4x_aspfix <= hcnt_3x - 160; + else + hcnt_4x_aspfix <= hcnt_4x_aspfix + 1'b1; + + DE_3x_prev4x <= DE_3x; + if ((pclk_4x_cnt == 0) & (line_change | frame_change)) begin //aligned with posedge of pclk_1x hcnt_4x <= 0; hcnt_4x_opt <= H_OPT_SAMPLE_SEL; @@ -721,18 +724,18 @@ begin hcnt_4x_opt_ctr <= hcnt_4x_opt_ctr + 1'b1; end end - - //track pclk_4x alignment to pclk_1x rising edge (pclk_1x=1 @ 180deg & pclk_1x=0 @ 270deg) - if (((pclk_1x_prev4x == 1'b1) & (pclk_1x == 1'b0)) | (pclk_4x_cnt == 2'h3)) - pclk_4x_cnt <= 0; - else - pclk_4x_cnt <= pclk_4x_cnt + 1'b1; - - pclk_1x_prev4x <= pclk_1x; + + //track pclk_4x alignment to pclk_1x rising edge (pclk_1x=1 @ 180deg & pclk_1x=0 @ 270deg) + if (((pclk_1x_prev4x == 1'b1) & (pclk_1x == 1'b0)) | (pclk_4x_cnt == 2'h3)) + pclk_4x_cnt <= 0; + else + pclk_4x_cnt <= pclk_4x_cnt + 1'b1; + + pclk_1x_prev4x <= pclk_1x; HSYNC_4x <= (hcnt_4x < H_SYNCLEN) ? `HSYNC_POL : ~`HSYNC_POL; VSYNC_4x <= (vcnt_4x < V_SYNCLEN) ? `VSYNC_POL : ~`VSYNC_POL; - DE_4x <= ((hcnt_4x >= H_SYNCLEN+H_BACKPORCH) & (hcnt_4x < H_SYNCLEN+H_BACKPORCH+H_ACTIVE)) & ((vcnt_4x >= V_SYNCLEN+V_BACKPORCH) & (vcnt_4x < V_SYNCLEN+V_BACKPORCH+V_ACTIVE)); + DE_4x <= ((hcnt_4x >= H_AVIDSTART) & (hcnt_4x < H_AVIDSTART+H_ACTIVE)) & ((vcnt_4x >= V_AVIDSTART) & (vcnt_4x < V_AVIDSTART+V_ACTIVE)); end end @@ -768,20 +771,20 @@ begin end end - //track pclk_5x alignment to pclk_1x rising edge (pclk_1x=1 @ 144deg & pclk_1x=0 @ 216deg & pclk_1x=0 @ 288deg) - if (((pclk_1x_prevprev5x == 1'b1) & (pclk_1x_prev5x == 1'b0)) | (pclk_5x_cnt == 3'h4)) - pclk_5x_cnt <= 0; - else - pclk_5x_cnt <= pclk_5x_cnt + 1'b1; - - pclk_1x_prev5x <= pclk_1x; - pclk_1x_prevprev5x <= pclk_1x_prev5x; - - hcnt_5x_hscomp <= hcnt_5x + 11'd121; + //track pclk_5x alignment to pclk_1x rising edge (pclk_1x=1 @ 144deg & pclk_1x=0 @ 216deg & pclk_1x=0 @ 288deg) + if (((pclk_1x_prevprev5x == 1'b1) & (pclk_1x_prev5x == 1'b0)) | (pclk_5x_cnt == 3'h4)) + pclk_5x_cnt <= 0; + else + pclk_5x_cnt <= pclk_5x_cnt + 1'b1; + + pclk_1x_prev5x <= pclk_1x; + pclk_1x_prevprev5x <= pclk_1x_prev5x; + + hcnt_5x_hscomp <= hcnt_5x + 11'd121; HSYNC_5x <= (hcnt_5x < H_SYNCLEN) ? `HSYNC_POL : ~`HSYNC_POL; VSYNC_5x <= (vcnt_5x < V_SYNCLEN) ? `VSYNC_POL : ~`VSYNC_POL; - DE_5x <= ((hcnt_5x >= H_SYNCLEN+H_BACKPORCH-H_L5BORDER) & (hcnt_5x < H_SYNCLEN+H_BACKPORCH+H_ACTIVE+H_L5BORDER)) & ((vcnt_5x >= V_SYNCLEN+V_BACKPORCH) & (vcnt_5x < V_SYNCLEN+V_BACKPORCH+V_ACTIVE)); + DE_5x <= ((hcnt_5x >= H_AVIDSTART-H_L5BORDER) & (hcnt_5x < H_AVIDSTART+H_ACTIVE+H_L5BORDER)) & ((vcnt_5x >= V_AVIDSTART) & (vcnt_5x < V_AVIDSTART+V_ACTIVE)); end end diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 58705fe..64ff788 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex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diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 86a0150..880ca51 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -367,6 +367,7 @@ void set_videoinfo() alt_u8 sl_mode_fpga; alt_u8 h_opt_scale = 1; alt_u16 h_opt_startoffs = 0; + alt_u16 h_synclen = video_modes[cm.id].h_synclen; alt_u16 h_border, h_mask; alt_u16 v_active = video_modes[cm.id].v_active; alt_u16 v_backporch = video_modes[cm.id].v_backporch; @@ -430,15 +431,19 @@ void set_videoinfo() break; } + // CEA-770.3 HDTV modes use tri-level syncs which have twice the width of bi-level syncs of corresponding CEA-861 modes + if (target_type == VIDEO_HDTV) + h_synclen *= 2; + h_border = (((cm.sample_mult-h_opt_scale)*video_modes[cm.id].h_active)/2); h_mask = h_border + h_opt_scale*cm.cc.h_mask; - h_opt_startoffs = h_border + (cm.sample_mult-h_opt_scale)*((alt_u16)video_modes[cm.id].h_synclen+(alt_u16)video_modes[cm.id].h_backporch); + h_opt_startoffs = h_border + (cm.sample_mult-h_opt_scale)*(h_synclen+(alt_u16)video_modes[cm.id].h_backporch); h_opt_startoffs = (h_opt_startoffs/cm.sample_mult)*cm.sample_mult; printf("h_border: %u, h_opt_startoffs: %u\n", h_border, h_opt_startoffs); IOWR_ALTERA_AVALON_PIO_DATA(PIO_3_BASE, (cm.fpga_hmultmode<<30) | ((cm.cc.l5_fmt!=L5FMT_1600x1200)<<29) | - ((((cm.sample_mult*video_modes[cm.id].h_synclen)-cm.hsync_cut)&0xff)<<20) | + ((((cm.sample_mult*h_synclen)-cm.hsync_cut)&0xff)<<20) | (((cm.sample_mult*(alt_u16)video_modes[cm.id].h_backporch)&0x1ff)<<11) | ((cm.sample_mult*video_modes[cm.id].h_active)&0x7ff)); IOWR_ALTERA_AVALON_PIO_DATA(PIO_4_BASE, (h_mask<<19) | diff --git a/software/sys_controller/tvp7002/video_modes.h b/software/sys_controller/tvp7002/video_modes.h index aa0da11..41cbecc 100644 --- a/software/sys_controller/tvp7002/video_modes.h +++ b/software/sys_controller/tvp7002/video_modes.h @@ -26,7 +26,7 @@ #define H_TOTAL_MIN 300 #define H_TOTAL_MAX 2300 #define H_SYNCLEN_MIN 10 -#define H_SYNCLEN_MAX 200 +#define H_SYNCLEN_MAX 255 #define H_BPORCH_MIN 1 #define H_BPORCH_MAX 255 #define H_ACTIVE_MIN 200 @@ -87,7 +87,6 @@ typedef struct { char name[10]; alt_u16 h_active; alt_u16 v_active; - alt_u16 hz_x100; alt_u16 h_total; alt_u16 v_total; alt_u8 h_backporch; @@ -102,47 +101,45 @@ typedef struct { #define VIDEO_MODES_DEF { \ /* 240p modes */ \ - { "1536x240", 1536, 240, 6000, 2046, 262, 234, 15, 150, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L5_GEN_4_3 | MODE_PLLDIVBY2) }, \ - { "1280x240", 1280, 240, 6000, 1560, 262, 170, 15, 72, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3 | MODE_PLLDIVBY2) }, \ - { "960x240", 960, 240, 6000, 1170, 262, 128, 15, 54, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L3_GEN_4_3 | MODE_PLLDIVBY2) }, \ - { "320x240", 320, 240, 6000, 426, 262, 49, 14, 31, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_320_COL | MODE_L3_320_COL | MODE_L4_320_COL | MODE_L5_320_COL) }, \ - { "256x240", 256, 240, 6000, 341, 262, 39, 14, 25, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_256_COL | MODE_L3_256_COL | MODE_L4_256_COL | MODE_L5_256_COL) }, \ - { "240p", 720, 240, 6000, 858, 262, 57, 15, 62, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \ + { "1536x240", 1536, 240, 2046, 262, 234, 15, 150, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L5_GEN_4_3 | MODE_PLLDIVBY2) }, \ + { "1280x240", 1280, 240, 1560, 262, 170, 15, 72, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3 | MODE_PLLDIVBY2) }, \ + { "960x240", 960, 240, 1170, 262, 128, 15, 54, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L3_GEN_4_3 | MODE_PLLDIVBY2) }, \ + { "320x240", 320, 240, 426, 262, 49, 14, 31, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_320_COL | MODE_L3_320_COL | MODE_L4_320_COL | MODE_L5_320_COL) }, \ + { "256x240", 256, 240, 341, 262, 39, 14, 25, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_256_COL | MODE_L3_256_COL | MODE_L4_256_COL | MODE_L5_256_COL) }, \ + { "240p", 720, 240, 858, 262, 57, 15, 62, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \ /* 288p modes */ \ - { "1536x240L", 1536, 240, 5000, 2046, 312, 234, 41, 150, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L5_GEN_4_3 | MODE_PLLDIVBY2) }, \ - { "1280x288", 1280, 288, 5000, 1560, 312, 170, 15, 72, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3 | MODE_PLLDIVBY2) }, \ - { "960x288", 960, 288, 5000, 1170, 312, 128, 15, 54, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L3_GEN_4_3 | MODE_PLLDIVBY2) }, \ - { "320x240LB", 320, 240, 5000, 426, 312, 49, 41, 31, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_320_COL | MODE_L3_320_COL | MODE_L4_320_COL | MODE_L5_320_COL) }, \ - { "256x240LB", 256, 240, 5000, 341, 312, 39, 41, 25, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_256_COL | MODE_L3_256_COL | MODE_L4_256_COL | MODE_L5_256_COL) }, \ - { "288p", 720, 288, 5000, 864, 312, 69, 19, 63, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \ + { "1536x240L", 1536, 240, 2046, 312, 234, 41, 150, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L5_GEN_4_3 | MODE_PLLDIVBY2) }, \ + { "1280x288", 1280, 288, 1560, 312, 170, 15, 72, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3 | MODE_PLLDIVBY2) }, \ + { "960x288", 960, 288, 1170, 312, 128, 15, 54, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L3_GEN_4_3 | MODE_PLLDIVBY2) }, \ + { "320x240LB", 320, 240, 426, 312, 49, 41, 31, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_320_COL | MODE_L3_320_COL | MODE_L4_320_COL | MODE_L5_320_COL) }, \ + { "256x240LB", 256, 240, 341, 312, 39, 41, 25, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_256_COL | MODE_L3_256_COL | MODE_L4_256_COL | MODE_L5_256_COL) }, \ + { "288p", 720, 288, 864, 312, 69, 19, 63, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \ /* 384p: Sega Model 2 */ \ - { "384p", 496, 384, 5766, 640, 423, 50, 29, 62, 3, (VIDEO_EDTV), GROUP_384P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \ + { "384p", 496, 384, 640, 423, 50, 29, 62, 3, (VIDEO_EDTV), GROUP_384P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \ /* 384p: X68k @ 24kHz */ \ - { "640x384", 640, 384, 5500, 800, 492, 48, 63, 96, 2, VIDEO_PC, GROUP_384P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \ + { "640x384", 640, 384, 800, 492, 48, 63, 96, 2, VIDEO_PC, GROUP_384P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \ /* ~525-line modes */ \ - { "480i", 720, 240, 5994, 858, 525, 57, 15, 62, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_480I, (MODE_PT | MODE_L2 | MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3 | MODE_PLLDIVBY2 | MODE_INTERLACED) }, \ - { "480p", 720, 480, 5994, 858, 525, 60, 30, 62, 6, (VIDEO_EDTV | VIDEO_PC), GROUP_DTV480P, (MODE_PT | MODE_L2) }, \ - { "640x480", 640, 480, 6000, 800, 525, 48, 33, 96, 2, (VIDEO_PC | VIDEO_EDTV), GROUP_VGA480P, (MODE_PT | MODE_L2) }, \ + { "480i", 720, 240, 858, 525, 57, 15, 62, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_480I, (MODE_PT | MODE_L2 | MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3 | MODE_PLLDIVBY2 | MODE_INTERLACED) }, \ + { "480p", 720, 480, 858, 525, 60, 30, 62, 6, (VIDEO_EDTV | VIDEO_PC), GROUP_DTV480P, (MODE_PT | MODE_L2) }, \ + { "640x480", 640, 480, 800, 525, 48, 33, 96, 2, (VIDEO_PC | VIDEO_EDTV), GROUP_VGA480P, (MODE_PT | MODE_L2) }, \ /* X68k @ 31kHz */ \ - { "640x512", 640, 512, 6000, 800, 568, 48, 28, 96, 2, VIDEO_PC, GROUP_NONE, MODE_PT }, \ + { "640x512", 640, 512, 800, 568, 48, 28, 96, 2, VIDEO_PC, GROUP_NONE, MODE_PT }, \ /* ~625-line modes */ \ - { "576i", 720, 288, 5000, 864, 625, 69, 19, 63, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_480I, (MODE_PT | MODE_L2 | MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3 | MODE_PLLDIVBY2 | MODE_INTERLACED) }, \ - { "576p", 720, 576, 5000, 864, 625, 68, 39, 64, 5, VIDEO_EDTV, GROUP_DTV480P, (MODE_PT | MODE_L2) }, \ - { "800x600", 800, 600, 6000, 1056, 628, 88, 23, 128, 4, VIDEO_PC, GROUP_NONE, MODE_PT }, \ + { "576i", 720, 288, 864, 625, 69, 19, 63, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_480I, (MODE_PT | MODE_L2 | MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3 | MODE_PLLDIVBY2 | MODE_INTERLACED) }, \ + { "576p", 720, 576, 864, 625, 68, 39, 64, 5, VIDEO_EDTV, GROUP_DTV480P, (MODE_PT | MODE_L2) }, \ + { "800x600", 800, 600, 1056, 628, 88, 23, 128, 4, VIDEO_PC, GROUP_NONE, MODE_PT }, \ /* 720p modes */ \ - { "720p", 1280, 720, 5994, 1650, 750, 255, 20, 40, 5, VIDEO_HDTV, GROUP_NONE, MODE_PT }, \ - { "1280x720", 1280, 720, 6000, 1650, 750, 220, 20, 40, 5, VIDEO_PC, GROUP_NONE, MODE_PT }, \ + { "720p", 1280, 720, 1650, 750, 220, 20, 40, 5, (VIDEO_HDTV | VIDEO_PC), GROUP_NONE, MODE_PT }, \ /* VESA XGA and SXGA modes */ \ - { "1024x768", 1024, 768, 6000, 1344, 806, 160, 29, 136, 6, VIDEO_PC, GROUP_NONE, MODE_PT }, \ - { "1280x1024", 1280, 1024, 6000, 1688, 1066, 248, 38, 112, 3, VIDEO_PC, GROUP_NONE, MODE_PT }, \ + { "1024x768", 1024, 768, 1344, 806, 160, 29, 136, 6, VIDEO_PC, GROUP_NONE, MODE_PT }, \ + { "1280x1024", 1280, 1024, 1688, 1066, 248, 38, 112, 3, VIDEO_PC, GROUP_NONE, MODE_PT }, \ /* PS2 GSM 960i mode */ \ - { "640x960i", 640, 480, 5994, 800, 1050, 48, 33, 96, 2, (VIDEO_EDTV | VIDEO_PC), GROUP_1080I, (MODE_PT | MODE_L2 | MODE_INTERLACED) }, \ - /* 1080i/p HDTV modes */ \ - { "1080i", 1920, 540, 5994, 2200, 1125, 188, 16, 44, 5, (VIDEO_HDTV | VIDEO_PC), GROUP_1080I, (MODE_PT | MODE_L2 | MODE_INTERLACED) }, \ - { "1080p", 1920, 1080, 5994, 2200, 1125, 188, 36, 44, 5, VIDEO_HDTV, GROUP_NONE, MODE_PT }, \ - { "1920x1080", 1920, 1080, 6000, 2200, 1125, 148, 36, 44, 5, VIDEO_PC, GROUP_NONE, MODE_PT }, \ - /* VESA UXGA with reduced h.backporch */ \ - { "1600x1200", 1600, 1200, 6000, 2160, 1250, 255, 46, 192, 3, VIDEO_PC, GROUP_NONE, MODE_PT }, \ + { "640x960i", 640, 480, 800, 1050, 48, 33, 96, 2, (VIDEO_EDTV | VIDEO_PC), GROUP_1080I, (MODE_PT | MODE_L2 | MODE_INTERLACED) }, \ + /* 1080i/p modes */ \ + { "1080i", 1920, 540, 2200, 1125, 148, 16, 44, 5, (VIDEO_HDTV | VIDEO_PC), GROUP_1080I, (MODE_PT | MODE_L2 | MODE_INTERLACED) }, \ + { "1080p", 1920, 1080, 2200, 1125, 148, 36, 44, 5, (VIDEO_HDTV | VIDEO_PC), GROUP_NONE, MODE_PT }, \ + /* VESA UXGA with 49 H.backporch cycles exchanged for H.synclen */ \ + { "1600x1200", 1600, 1200, 2160, 1250, 255, 46, 241, 3, VIDEO_PC, GROUP_NONE, MODE_PT }, \ } #define VIDEO_MODES_SIZE (sizeof((mode_data_t[])VIDEO_MODES_DEF)) diff --git a/software/sys_controller_bsp/settings.bsp b/software/sys_controller_bsp/settings.bsp index b81d8a4..3ddde9b 100644 --- a/software/sys_controller_bsp/settings.bsp +++ b/software/sys_controller_bsp/settings.bsp @@ -2,8 +2,8 @@ hal default - May 27, 2017 1:39:56 AM - 1495838396594 + May 30, 2017 7:39:09 PM + 1496162349817 ./ settings.bsp ../../sys.sopcinfo diff --git a/sys.sopcinfo b/sys.sopcinfo index 0502619..233255b 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1495838232 + 1496162053 false true false