From 2c934c9dd0662cfc928b3ab780f834cc4447ff5e Mon Sep 17 00:00:00 2001 From: marqs Date: Thu, 9 Feb 2017 23:14:24 +0200 Subject: [PATCH] Fix line3x 4:3 mode and VGA interlace detection --- ossc.qsf | 1 + rtl/ossc.v | 7 +- rtl/scanconverter.v | 21 +- .../mem_init/sys_onchip_memory2_0.hex | 1822 ++++++++--------- software/sys_controller/ossc/av_controller.c | 8 +- software/sys_controller_bsp/settings.bsp | 4 +- sys.sopcinfo | 4 +- 7 files changed, 940 insertions(+), 927 deletions(-) diff --git a/ossc.qsf b/ossc.qsf index a814a35..9c635b4 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -234,4 +234,5 @@ set_global_assignment -name CDF_FILE output_files/Chain1.cdf set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS ON set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 8.0 set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 2.0 +set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE SPEED set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/rtl/ossc.v b/rtl/ossc.v index e9111f0..e84d081 100644 --- a/rtl/ossc.v +++ b/rtl/ossc.v @@ -58,7 +58,7 @@ wire h_unstable; wire [1:0] pclk_lock; wire [1:0] pll_lock_lost; wire [31:0] h_info, h_info2, v_info; -wire [10:0] lines_out; +wire [10:0] lines_out, tvp_lines; wire [1:0] fpga_vsyncgen; wire [15:0] ir_code; @@ -199,7 +199,7 @@ sys sys_inst( .pio_1_controls_in_export ({ir_code_cnt, 5'b00000, HDMI_TX_MODE_LL, btn_LL, ir_code}), .pio_2_horizontal_info_out_export (h_info), .pio_3_vertical_info_out_export (v_info), - .pio_4_linecount_in_export ({VSYNC_out, 13'h0000, fpga_vsyncgen, 5'h00, lines_out}), + .pio_4_linecount_in_export ({VSYNC_out, 2'b00, tvp_lines, fpga_vsyncgen, 5'h00, lines_out}), .pio_5_horizontal_info2_out_export (h_info2), ); @@ -226,7 +226,8 @@ scanconverter scanconverter_inst ( .fpga_vsyncgen (fpga_vsyncgen), .pclk_lock (pclk_lock), .pll_lock_lost (pll_lock_lost), - .lines_out (lines_out) + .lines_out (lines_out), + .tvp_lines (tvp_lines) ); ir_rcv ir0 ( diff --git a/rtl/scanconverter.v b/rtl/scanconverter.v index 7ee3e11..c6a5d1b 100644 --- a/rtl/scanconverter.v +++ b/rtl/scanconverter.v @@ -76,7 +76,8 @@ module scanconverter ( output reg [1:0] fpga_vsyncgen, output [1:0] pclk_lock, output [1:0] pll_lock_lost, - output [10:0] lines_out + output [10:0] lines_out, + output reg [10:0] tvp_lines ); wire pclk_1x, pclk_2x, pclk_3x, pclk_4x, pclk_5x; @@ -256,9 +257,6 @@ begin vcnt_act = vcnt_2x_ref; end `V_MULTMODE_3X: begin - R_act = R_3x; - G_act = G_3x; - B_act = B_3x; HSYNC_act = HSYNC_3x; VSYNC_act = VSYNC_1x; DE_act = DE_3x; @@ -267,6 +265,9 @@ begin vcnt_act = vcnt_3x_ref; case (H_MULTMODE) `H_MULTMODE_FULLWIDTH: begin + R_act = R_3x; + G_act = G_3x; + B_act = B_3x; linebuf_rdclock = pclk_3x; linebuf_hoffset = hcnt_3x; pclk_act = pclk_3x; @@ -274,6 +275,9 @@ begin col_id_act = {2'b00, hcnt_3x[0]}; end `H_MULTMODE_ASPECTFIX: begin + R_act = R_4x; + G_act = G_4x; + B_act = B_4x; linebuf_rdclock = pclk_4x; linebuf_hoffset = hcnt_4x_aspfix; pclk_act = pclk_4x; @@ -281,6 +285,9 @@ begin col_id_act = {2'b00, hcnt_4x[0]}; end `H_MULTMODE_OPTIMIZED: begin + R_act = R_3x; + G_act = G_3x; + B_act = B_3x; linebuf_rdclock = pclk_3x; linebuf_hoffset = hcnt_3x_opt; pclk_act = pclk_3x; @@ -288,6 +295,9 @@ begin col_id_act = hcnt_3x_opt_ctr; end default: begin + R_act = R_3x; + G_act = G_3x; + B_act = B_3x; linebuf_rdclock = pclk_3x; linebuf_hoffset = hcnt_3x; pclk_act = pclk_3x; @@ -527,6 +537,7 @@ begin if (`VSYNC_TRAILING_EDGE) //should be checked at every pclk_1x? begin vcnt_1x_tvp <= 0; + tvp_lines <= vcnt_1x_tvp; FID_prev <= FID_in; // detect non-interlaced signal with odd-odd field signaling (TVP7002 detects it as interlaced with analog sync inputs). @@ -671,7 +682,7 @@ begin begin if (fpga_vsyncgen[`VSYNCGEN_GENMID_BIT] == 1'b1) VSYNC_2x <= (vcnt_2x >= lines_1x - `VSYNCGEN_LEN) ? 1'b0 : 1'b1; - else if (vcnt_1x > V_ACTIVE) + else if (vcnt_2x_ref > V_ACTIVE) VSYNC_2x <= VSYNC_in; end diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 8456e5f..df99ee4 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex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diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index c1d8ec8..e7c61f2 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -203,7 +203,7 @@ status_t get_status(tvp_input_t input, video_format format) } sync_active = tvp_check_sync(input, format); - vsyncmode = cm.sync_active ? (IORD_ALTERA_AVALON_PIO_DATA(PIO_4_BASE) >> 16) : 0; + vsyncmode = cm.sync_active ? ((IORD_ALTERA_AVALON_PIO_DATA(PIO_4_BASE) >> 16) & 0x3) : 0; data1 = tvp_readreg(TVP_LINECNT1); data2 = tvp_readreg(TVP_LINECNT2); @@ -211,14 +211,14 @@ status_t get_status(tvp_input_t input, video_format format) progressive = !!(data2 & (1<<5)); cm.macrovis = !!(data2 & (1<<6)); - fpga_totlines = IORD_ALTERA_AVALON_PIO_DATA(PIO_4_BASE) & 0xffff; + fpga_totlines = (IORD_ALTERA_AVALON_PIO_DATA(PIO_4_BASE) >> 17) & 0x7ff; // NOTE: "progressive" may not have correct value if H-PLL is not locked (!cm.sync_active) if ((vsyncmode == 0x2) || (!cm.sync_active && (totlines < MIN_LINES_INTERLACED))) { progressive = 1; - } else if ((vsyncmode == 0x1) && fpga_totlines > ((totlines-1)*2)) { + } else if ((vsyncmode == 0x1) && (fpga_totlines > 2*(totlines-1))) { progressive = 0; - totlines = fpga_totlines; //ugly hack + totlines = fpga_totlines/2; //compensate skipped vsync } valid_linecnt = check_linecnt(progressive, totlines); diff --git a/software/sys_controller_bsp/settings.bsp b/software/sys_controller_bsp/settings.bsp index e0586ef..772b315 100644 --- a/software/sys_controller_bsp/settings.bsp +++ b/software/sys_controller_bsp/settings.bsp @@ -2,8 +2,8 @@ hal default - Feb 7, 2017 10:49:51 PM - 1486500591240 + Feb 9, 2017 9:00:28 PM + 1486666828834 ./ settings.bsp ../../sys.sopcinfo diff --git a/sys.sopcinfo b/sys.sopcinfo index df6e275..99d497f 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1486500460 + 1486670290 false true false