From 2cd36cdd372122f22e51f868907e9d5c105e2bd4 Mon Sep 17 00:00:00 2001 From: marqs Date: Mon, 12 Jun 2017 01:00:34 +0300 Subject: [PATCH] Fix PCM1862 input selection. --- software/ossc_sw.project | 2 +- .../mem_init/sys_onchip_memory2_0.hex | 1872 ++++++++--------- software/sys_controller/ossc/av_controller.c | 14 +- software/sys_controller/pcm1862/pcm1862.c | 4 +- software/sys_controller/pcm1862/pcm1862.h | 10 +- software/sys_controller_bsp/settings.bsp | 6 +- sys.sopcinfo | 4 +- 7 files changed, 963 insertions(+), 949 deletions(-) diff --git a/software/ossc_sw.project b/software/ossc_sw.project index a9a9006..6928688 100644 --- a/software/ossc_sw.project +++ b/software/ossc_sw.project @@ -134,7 +134,7 @@ - make APP_CFLAGS_DEFINED_SYMBOLS="-DDEBUG -DDIY_AUDIO" + make APP_CFLAGS_DEFINED_SYMBOLS="-DDEBUG -DENABLE_AUDIO" make clean make APP_CFLAGS_DEFINED_SYMBOLS="-DDEBUG" diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 569d141..cbe919f 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -1,7 +1,7 @@ :020000020000FC :2000000000402074084008140800683A0000000000000000000000000000000000000000FE -:2000080006C02074DEE80014068020B4D681DA140080207410A4111400C0207418E51E1495 -:2000100010C00326100000151080010410FFFD360816790008168700003FFF06DEFFFB047F +:2000080006C02074DEE80014068020B4D681C0140080207410A3F71400C0207418E50414E4 +:2000100010C00326100000151080010410FFFD3608167A4008168840003FFF06DEFFFB04FD :2000180000BFE084D880000500800084D880004500800344D880008500800484DFC0041543 :20002000D88000C531803FCCD8C005173000022600BFE004000001060080100439C03FCC99 :20002800380E91FAD900018518C000CC39C0021410800214D80B883A01000044D8800105E7 @@ -13,20 +13,20 @@ :200058001085883A1884B03AD8800105D88001C3D80B883A01000044108001CCD80001858C :20006000D88001C508108680DFC00417DEC00504F800283ADEFFFC04DC000015040020B4E3 :2000680084041804DC80021504801304DC400115900B883A2023883A000D883A8009883A18 -:20007000DFC003150816FDC089403FCC8009883A000D883A0817040004400044900B883AE8 -:200078008009883A880D883A0816FDC0880B883A8009883ADFC00317DC800217DC400117E9 -:20008000DC000017DEC0040408170141DEFFFC04DC000015040020B484041804DC800215A9 -:20008800DC4001152025883A2823883A8009883A000D883A01401304DFC003150816FDC00E -:2000900091403FCC8009883A000D883A081704000180004489403FCC8009883ADFC003179A -:20009800DC800217DC400117DC000017DEC0040408170401DEFFFF0421003FCCDFC0001522 +:20007000DFC003150816FF0089403FCC8009883A000D883A0817054004400044900B883A65 +:200078008009883A880D883A0816FF00880B883A8009883ADFC00317DC800217DC400117A7 +:20008000DC000017DEC0040408170281DEFFFC04DC000015040020B484041804DC80021568 +:20008800DC4001152025883A2823883A8009883A000D883A01401304DFC003150816FF00CC +:2000900091403FCC8009883A000D883A081705400180004489403FCC8009883ADFC0031759 +:20009800DC800217DC400117DC000017DEC0040408170541DEFFFF0421003FCCDFC00015E1 :2000A00008101940DFC00017DEC00104F800283ADEFFFF0429403FCC21003FCCDFC00015E8 :2000A800081020C00005883ADFC00017DEC00104F800283ADEFFFB04DCC00315DC800215C3 :2000B000DC400115DC000015DFC004152825883A3027883A2023883A2821883A8485C83A12 :2000B80014C0060E8140000389003FCC84000044081020C08C400044003FF8060005883A14 :2000C000DFC00417DCC00317DC800217DC400117DC000017DEC00504F800283ADEFFFF0433 -:2000C8000140FA04DFC000150815EC401009883ADFC00017DEC00104081689C1DEFFFF0460 +:2000C8000140FA04DFC000150815ED801009883ADFC00017DEC0010408168B01DEFFFF04DD :2000D000000B883A010003C4DFC0001508102900000B883A01001844DFC00017DEC0010403 -:2000D80008102901DEFFFD04DC000015040020B4842426048140008301000144DFC002150D +:2000D80008102901DEFFFD04DC000015040020B484240C048140008301000144DFC0021527 :2000E000DC40011508102900808000838100030300FFFEC41004D1FA20C6703A044001040A :2000E8001085883A1085883A1884B03A01400F448809883A8080030508102900010000444F :2000F000081031C08809883A014007440810290001400C04010018440810290001402C84E7 @@ -35,23 +35,23 @@ :2001080008102900000B883A010032C408102900000B883A0100330408102900000B883A7E :200110000100334408102900000B883A0100338408102900000B883A010033C4081029004A :20011800000B883A01003404081029008140010301003844DFC00217DC400117DC0000175F -:20012000DEC0030408102901DEFFF904DC0000152821883A0140FA04DD400515DCC00315D8 -:20012800DC800215DC400115DFC00615DD000415202B883A3025883A84003FCC0815E08032 -:2001300004C000441023883A84C0021E0506000400000106050C000401400044010003C4D6 -:2001380008102900000B883A01000CC408102900A00AD23A01000D0408102900000B883AB1 -:2001400001000D440810290089403FCC01000C0408102900880AD23A01000C4429403FCC83 -:2001480008102900880AD43A01000C8408102900000B883A010003C408102900000B883A41 -:200150000100314408102900010016040810274010801C4C11400114010016040810290084 -:2001580084C0061E01001644081027401080005411403FCC0100164408102900044020B451 -:200160008C6426048D400215008000448080022604000284000001060400008400C0004478 -:200168008C0001C588C0010594803FCC908002260005883A00000106008003C401403004F6 -:20017000888001450100380408102900010001040810274011403ACC010001040810290080 -:2001780089400103010038440810290001403904010038840810290089400143010038C456 +:20012000DEC0030408102901DEFFF904DCC003152827883A0140FA04DD400515DD000415CD +:20012800DC400115DC000015DFC00615DC800215202B883A3023883A9CC03FCC0815E1C020 +:20013000050000441021883A9D00021E0486000400000106048C000401400044010003C440 +:2001380008102900000B883A01000CC408102900900AD23A01000D04048020B40810290036 +:20014000000B883A01000D440810290081403FCC01000C0408102900800AD23A01000C443A +:2001480094A40C0429403FCC08102900800AD43A01000C8408102900000B883A010003C49B +:2001500008102900000B883A0100314408102900954002159D000226040002840000010688 +:200158000400008400800044940001C5908001058C403FCC050000448D0002260005883A2F +:2001600000000106008003C401003804908001450140300408102900047FFF040100164407 +:200168009D00051E081027408884703A1140005429403FCC00000306081027408884703A2B +:2001700011403FCC0100164408102900010001040810274011403ACC010001040810290054 +:2001780091400103010038440810290001403904010038840810290091400143010038C446 :20018000294003CC0810290001403A04010039040810290001400044010003C4081029005A :20018800000B883A0100244408102900000B883A010024840810290001400044010024C4BB :2001900008102900000B883A0100250408102900017FF004814AB03A29403FCC010026040E :2001980008102900040A303A29403FCC280A913A01002644294002D429403FCC08102900BE -:2001A000000B883A010003C40810290001403044010038040810290000BFF04488800185B5 +:2001A000000B883A010003C40810290001403044010038040810290000BFF04490800185AD :2001A80000800044DFC00617DD400517DD000417DCC00317DC800217DC400117DC00001734 :2001B000DEC00704F800283ADEFFFE04DC000015040001048009883ADFC0011508102740D4 :2001B800108002148009883A11403FCC0810290001400C0401001844DFC00117DC00001741 @@ -76,7 +76,7 @@ :20025000DC40011508102900044030448809883A0810274084003FCC800AC03A10803F8C23 :200258008809883A114AB03A08102900014000C401003184DFC00217DC400117DC00001713 :20026000DEC0030408102901DEFFF904DCC00315DC800215DC4001152025883A2823883A50 -:20026800010001040140074404C020B49CE42604DD000415DC000015DFC006153821883AE6 +:20026800010001040140074404C020B49CE40C04DD000415DC000015DFC006153821883A00 :20027000DD4005153029883A0810290098C0030381C0004C00BFFF441884703A39CF883ADE :2002780011CEB03A99C00305988003171080008C10000226010000440810928001001C0426 :200280009C0000C308102740108000CC8D4000CC00C00044100B883AA8C0052600C00084D3 @@ -95,117 +95,117 @@ :2002E80001000104081029000400190401000384081027401080040C1000321E0100004442 :2002F000081031C080BFFFC41021883A10BFFFCC103FF61E00002B06053FE8048C400C0CAE :2002F800008008048880081E018000C4D16000C401001CC408102B400140207401800484B0 -:20030000295FFE840000090600800C0488800B1E018000C4D16000C401001CC408102B4065 -:200308000140207401800484295FF58401001D8408102B4004000084003FA30600800404D9 -:200310008880081E018000C4D160000401001CC408102B400140207401800484295FF10466 -:20031800003FF206018000C4D160000401001CC408102B400140207401800484295FFA044C +:20030000295FE4840000090600800C0488800B1E018000C4D16000C401001CC408102B407F +:200308000140207401800484295FDB8401001D8408102B4004000084003FA30600800404F3 +:200310008880081E018000C4D160000401001CC408102B400140207401800484295FD70480 +:20031800003FF206018000C4D160000401001CC408102B400140207401800484295FE00466 :20032000003FEA06000B883A01000304081029000140100401000344081029000140004415 :200328000100038408102900000B883A0100038408102900081033C000800044DFC00617CB :20033000DD400517DD000417DCC00317DC800217DC400117DC000017DEC00704F800283A27 :20033800DEFFFD04DC400115DC0000152823883A2021883A000B883A010003C4DFC0021549 :200340000810290001001644081027408C403FCC10800BCC8800051E81403FCC280A91BA50 :2003480029400414114AB03A00000106100B883A29403FCC01001644DFC00217DC40011730 -:20035000DC000017DEC0030408102901008020B410A1A30410C03217D0A2381518000426ED +:20035000DC000017DEC0030408102901008020B410A1890410C03217D0A238151800042607 :2003580010803517108040180085C83AF800283A00BFFFC4F800283ADEFFFD04DC400115F4 -:20036000280F883A2823883A200B883AD1223817DC000015DFC002153021883A0816D3801D +:20036000280F883A2823883A200B883AD1223817DC000015DFC002153021883A0816D4C0DC :2003680010000B1E800D883A8463883A34400626308000031005C2721005D63A318000448E :2003700030BFFFC5003FF9060005883A0000010600BFFFC4DFC00217DC400117DC0000174D :20037800DEC00304F800283ADEFFFA04DC800315DC400215DC000115DFC00515DCC0041584 :2003800030803FCC2023883A2825883A3021883A100003268805883A8C89883A00001706FF -:20038800300A923AD12238170816A2C01027883A103FF82601402074010020B401800444B4 -:20039000295C7D0421242D04081605C001802074010020B4980F883A319C800401400444C1 -:2003980021242D040815F440008020B410A435841000000500FFCE0400001D061100062677 +:20038800300A923AD12238170816A4001027883A103FF82601402074010020B40180044472 +:20039000295C8204212413040816070001802074010020B4980F883A319C8504014004448F +:20039800212413040815F580008020B410A41B841000000500FFCE0400001D06110006266A :2003A00010C000031807C2721807D63A1080004410FFFFC5003FF906800AD23A800C923A15 -:2003A800D1223817280A943ADC800015880F883A0816AC000007883A10000D26014020747E -:2003B000010020B401800444295C830421242D04081605C001402074010020B401800444B7 -:2003B800295C860421243584081605C000FFCDC41805883ADFC00517DCC00417DC800317DE +:2003A800D1223817280A943ADC800015880F883A0816AD400007883A10000D26014020743D +:2003B000010020B401800444295C8804212413040816070001402074010020B4018004448A +:2003B800295C8B0421241B840816070000FFCDC41805883ADFC00517DCC00417DC800317B1 :2003C000DC400217DC000117DEC00604F800283ADEFFF904DD400515DD000415DCC0031537 :2003C800DC800215DC000015DFC00615DC4001152825883A3029883A3827883A0021883A97 :2003D0000007883A0540400484800F2E9423C83AAC40012E04404004980D883A880B883A62 -:2003D8008009883A0810D7801000141E800D003A880B883A9809883A0816EF401007883A64 -:2003E00084004004003FF0060005883A1D000C2601802074010020B4319C8804014004441E -:2003E80021242D040815F440008020B410A435841000000500BFCD440000010600BFCD8471 +:2003D8008009883A0810D7801000141E800D003A880B883A9809883A0816F0801007883A23 +:2003E00084004004003FF0060005883A1D000C2601802074010020B4319C8D040140044419 +:2003E800212413040815F580008020B410A41B841000000500BFCD440000010600BFCD8464 :2003F000DFC00617DD400517DD000417DCC00317DC800217DC400117DC000017DEC0070405 -:2003F800F800283ADEFFFD04DC0001152021883A010020B421242A04DFC0021508158B8092 -:2004000010803FCC10000B2601802074010020B4319C8D040140044421242D040815F44068 -:20040800008020B410A4358410000005008000440000090600808004010020B4D8800015E5 -:20041000000F883A000D883A800B883A21242A040815AD4010803FCCDFC00217DC0001171B -:20041800DEC00304F800283A014020B4010020B42964358421242D0408143901014020B4B4 -:20042000010020B429644B042124314408143901DEFFFB04DFC00415DC000015DCC00315C2 +:2003F800F800283ADEFFFD04DC0001152021883A010020B421241004DFC0021508158CC06B +:2004000010803FCC10000B2601802074010020B4319C920401400444212413040815F5803C +:20040800008020B410A41B8410000005008000440000090600808004010020B4D8800015FF +:20041000000F883A000D883A800B883A212410040815AE8010803FCCDFC00217DC000117F4 +:20041800DEC00304F800283A014020B4010020B429641B842124130408143A41014020B4A7 +:20042000010020B4296431042124174408143A41DEFFFB04DFC00415DC000015DCC00315B5 :200428002021883ADC800215DC40011508107080000B883A0009883A84003FCC08108680BF -:2004300080002B1E040020B484243A048140011701006734213F30040815E0808100028795 -:20043800014006841023883A0815EC4000C020B418E46B0410C5883A1140000B8809883A56 -:20044000044020B40815EC40814004031009883A8C644F440815EC40814003031009883A2A -:20044800294000440815EC40810003838CC008C38C800883100B883A210000440815EC405E +:2004300080002B1E040020B4842420048140011701006734213F30040815E1C0810002876E +:20043800014006841023883A0815ED8000C020B418E4510410C5883A1140000B8809883A2F +:20044000044020B40815ED80814004031009883A8C6435440815ED80814003031009883AC2 +:20044800294000440815ED80810003838CC008C38C800883100B883A210000440815ED80DC :20045000980D883A900B883A1009883A0810488089000883DFC00417DCC00317DC80021714 :20045800DC400117DC000017DEC0050408100F81DFC00417DCC00317DC800217DC400117F5 :20046000DC000017DEC00504F800283ADEFFFB04DC0001152021883A01000044DFC00415BA :20046800DC800315DC4002150810928008106C80000B883A0009883A081073C084003FCC2D -:20047000800F003A000D883A000B883A01000044081098808000121E044020B48C643A049C -:2004780088C003C3008020B410A44F4411C005031025883A18000226888003830000010616 +:20047000800F003A000D883A000B883A01000044081098808000121E044020B48C642004B6 +:2004780088C003C3008020B410A4354411C005031025883A18000226888003830000010630 :200480000005883AD8800015000D883A000B883A0009883A081005C09080050388800AC5F5 :200488008009883A081109000009883ADFC00417DC800317DC400217DC000117DEC005041C :2004900008109281DEFFFD04DFC00215DC400115DC00001521003FCC2000271ED0A23A43EA -:2004980000C0020410C01D2600C0040410C0062600C0010410C01C1E0009883A0814CA40E7 -:2004A0000100004400002E06040020B484243A048140011701006734213F30040815E0807F -:2004A80081000287014006841023883A0815EC4000C020B418E46B0410C5883A1140000B2F -:2004B0008809883A0815EC4000C072B418F0DFC418800236010003C4000001060009883A95 -:2004B8000814CA40000015060009883A0814CA400009883A00001206008020B410A44F4474 -:2004C00010C00743044000841021883A1C4002260009883A00000106010003C40814CA4003 +:2004980000C0020410C01D2600C0040410C0062600C0010410C01C1E0009883A0814CB80A6 +:2004A0000100004400002E06040020B4842420048140011701006734213F30040815E1C058 +:2004A80081000287014006841023883A0815ED8000C020B418E4510410C5883A1140000B08 +:2004B0008809883A0815ED8000C072B418F0DFC418800236010003C4000001060009883A54 +:2004B8000814CB80000015060009883A0814CB800009883A00001206008020B410A435440C +:2004C00010C00743044000841021883A1C4002260009883A00000106010003C40814CB80C2 :2004C8008100074320803FCC8880042E008001441109C83A21003FCC00000106010000C48B -:2004D000DFC00217DC400117DC000017DEC0030408146801DEFFF50400C020B4DFC00A15DB +:2004D000DFC00217DC400117DC000017DEC0030408146941DEFFF50400C020B4DFC00A159A :2004D800DF000915DDC00815DD800715DD400615DD000515DCC00415DC800315DC40021549 :2004E000DC0001150089C40418C4300419800037054020B4AD4430043000020E10BFFFC4C9 -:2004E800103FFA1E29403FCC21003FCC040020B40815174084243A04102D883A808002C3F7 -:2004F00010000426AC4000378823D43A8C4000CC000001060023883A01000DC4081476806E -:2004F80001000E041027883A081476801025883A108003CC1004923A01000E4414E6B03A59 -:200500009004D1BA1080004C808002450814768001000E841029883A08147680A8C0003748 -:20050800010000848C403FCC89000E26010020B421243A04210002C32000021E010063C414 +:2004E800103FFA1E29403FCC21003FCC040020B40815188084242004102D883A808002C3D0 +:2004F00010000426AC4000378823D43A8C4000CC000001060023883A01000DC4081477C02D +:2004F80001000E041027883A081477C01025883A108003CC1004923A01000E4414E6B03A18 +:200500009004D1BA1080004C80800245081477C001000E841029883A081477C0A8C00037C6 +:20050800010000848C403FCC89000E26010020B421242004210002C32000021E010063C42E :2005100024C0082E010000448900041E1827D4BA9CC1FFCC9927883A000005069480080C17 :200518009000032698C03228054000440000020698C06428002B883A814002C3048020B418 -:20052000B1003FCC94A44F442800131E2000212618002026D0E239030140008419003FCC3F -:200528002100201C213FE0042900070E00C020B40100004418E43A04D0223905190002C5B1 +:20052000B1003FCC94A435442800131E2000212618002026D0E239030140008419003FCC59 +:200528002100201C213FE0042900070E00C020B40100004418E42004D0223905190002C5CB :20053000044001040000160618C00044D0E239050023883A000012062000012618000D1EB3 :20053800D1223903017FFF0421803FCC3180201C31BFE0043140040ED0223905800002C58A :200540000440010400000506213FFFC4D122390500000106D02239050023883A1800862613 -:20054800108003CC1004923A80C000171528B03A19000044008020B410A43A0424C0073612 +:20054800108003CC1004923A80C000171528B03A19000044008020B410A4200424C007362C :200550009900004420C0053610C00117A0C0031E10C00203A8803FCC18800226D0223DC56E :2005580000000C06D0E23DC30080004419003FCC208008261887883AD0E23DC518C03FCC11 :200560001880041E88803FCC00C0008410C0012E1823883A9140028380800843010020B4F8 -:2005680000C020B421244F4418E43A042880251E214002C3188008832880221E214003034A +:2005680000C020B42124354418E420042880251E214002C3188008832880221E214003037E :20057000188008C328801F1E214003431880090328801C1E21400383188009432880191E4C :2005780021400143188007032880161E21400183188007432880131E214001C31880078359 :200580002880101E21400203188007C328800D1E210002431880080320800A1E90C005C301 -:2005880080800B831880071E008020B410A44F4410C00843008020B410A43A0410800E036B +:2005880080800B831880071E008020B410A4354410C00843008020B410A4200410800E039F :200590001880042688803FCC00C0008410C0012E1823883A90C0054380800B0318801126C1 -:20059800008020B410A43A0411000287014006840815EC4000C020B418E46D4410C5883A77 +:20059800008020B410A4200411000287014006840815ED8000C020B418E4534410C5883A6A :2005A0001080000300C0004410BFFF0410803FCC1880043688803FCC00C0008410C0012E0F -:2005A8001823883AD0A23EC31000202685C0028701400684058020B4B809883A0815EC40AF -:2005B000B5A4678487000403B085883A1140038BE009883A0815EC40173FFFCC80800B83E0 -:2005B800A0FFFFCC10000A2601400684B809883AD8C000150815EC40B085883A1180060B9C -:2005C000D8C00017300CD07A3180004C00000106000D883A180B883AE009883A08149D804A +:2005A8001823883AD0A23EC31000202685C0028701400684058020B4B809883A0815ED806E +:2005B000B5A44D8487000403B085883A1140038BE009883A0815ED80173FFFCC80800B83B9 +:2005B800A0FFFFCC10000A2601400684B809883AD8C000150815ED80B085883A1180060B5B +:2005C000D8C00017300CD07A3180004C00000106000D883A180B883AE009883A08149EC009 :2005C80088803FCC1000011E0440004484C00015850001158540020591400003810005C36C -:2005D00000C020B4008020B418E44F4410A43A042900151E19400043110006032900121E37 +:2005D00000C020B4008020B418E4354410A420042900151E19400043110006032900121E6B :2005D800194000831100064329000F1E194000C31100068329000C1E19400403110009C331 :2005E0002900091E1940044311000A032900061E1940048311000A432900031E18C003C37A :2005E800108009831880032688803FCC1000011E044000449100058380800B4304C020B44D -:2005F0009CE43A0420800626994004030814D4009880044588803FCC1000011E0440004466 -:2005F8009100064380800C03208001260814E5009100068380800C4320800126081495C091 -:20060000910006C380800C8320800126081496809100078380800D432080071E008020B474 -:2006080010A44F4410C007C3008020B410A43A0410800D8318800226914007C30814928002 -:200610009100060380800BC320800626014006040815EC4001002074211F24042089883A9A -:200618000814B8C09100074380800D0320800126081124409100070380800CC320800126CE -:200620000814CEC0014020B4010020B40180018429644904212458840815EEC01000031E29 -:20062800010020B4212449040814974090C0088380800E431880071E008020B410A44F44D4 -:2006300010C008C3008020B410A43A0410800E8318800226910004C308110900014020B459 -:20063800010020B401800AC429644F4421243FC40815F1C08805883AD0223EC5DFC00A1744 +:2005F0009CE4200420800626994004030814D5409880044588803FCC1000011E044000443F +:2005F8009100064380800C03208001260814E6409100068380800C4320800126081497000E +:20060000910006C380800C8320800126081497C09100078380800D432080071E008020B433 +:2006080010A4354410C007C3008020B410A4200410800D8318800226914007C3081493C0F5 +:200610009100060380800BC320800626014006040815ED8001002074211F0A042089883A73 +:200618000814BA009100074380800D0320800126081124409100070380800CC3208001268C +:200620000814D000014020B4010020B40180018429642F0421243E840815F0001000031ED9 +:20062800010020B421242F040814988090C0088380800E431880071E008020B410A43544C7 +:2006300010C008C3008020B410A4200410800E8318800226910004C308110900014020B473 +:20063800010020B401800AC429643544212425C40815F3008805883AD0223EC5DFC00A1736 :20064000DF000917DDC00817DD800717DD400617DD000517DCC00417DC800317DC400217CF -:20064800DC000117DEC00B04F800283ADEFFEF04DC400815044020B48C643A04DF000F1545 +:20064800DC000117DEC00B04F800283ADEFFEF04DC400815044020B48C642004DF000F155F :200650008F00028701400684DD400C15E009883ADD000B15DCC00A15DFC01015DDC00E1582 -:20065800DD800D15DC800915DC0007150815EC40054020B488C005C3AD646784A885883A34 +:20065800DD800D15DC800915DC0007150815ED80054020B488C005C3AD644D84A885883A0D :2006600001000084120005031500030B14C004C31900031E8C8006039480004400000C0664 :20066800010000441900091E1080060B8C8003031104703A1000032690000426048000C440 -:20067000000003069024C03A000001060025883A88C0050B008020B40100800410A43A04A2 +:20067000000003069024C03A000001060025883A88C0050B008020B40100800410A42004BC :200678001900242620C00D360100040419001A2620C00436010002041900341E14000403D3 :200680000000350601000804190015260080400418802E1E040000C400002F06010800040C :200688001900182620C007360102000419000A26010400041900251E1080098304000144C4 @@ -215,813 +215,813 @@ :2006A800A53FFA049CC0030400000A0610C00983108008030400018480E1C83A1000061EC6 :2006B000A53FFA049CC0030400000306040000440000010604000144D0E23A43008002048F :2006B8001880011E4211883A88C0040381803FCCE009883A1DC03FCCB985C83A014006845D -:2006C000D9800615D8C00315DA000215D88000150815EC40A885883A1280028BD900001751 -:2006C800500B883ADA8004150815EC40102CD7FA890009C381403FCCB085883A102DD07A8D -:2006D0000815EC40DA000217B08F883AE009883A01400684443FFFCCD9C005150815EC400D -:2006D800A885883A12C00483D940001782C9883ADAC001150815EC40B085883AB80B883A08 -:2006E000113FFFCC0815D100D8C00317100B883A19003FCC0815EC4088C00803DAC00117F0 -:2006E800B809883A1A4000584812977A580B883AD8800215DA4001150815EC40DA800417D0 -:2006F0008F000343B809883A500B883A10807FCCE03897BA102C92FA0815EC401081FFCCC4 -:2006F800800B883AB809883A1738B03A0815EC4088C00483DA400117B72CB03A10C5C83AEB +:2006C000D9800615D8C00315DA000215D88000150815ED80A885883A1280028BD900001710 +:2006C800500B883ADA8004150815ED80102CD7FA890009C381403FCCB085883A102DD07A4C +:2006D0000815ED80DA000217B08F883AE009883A01400684443FFFCCD9C005150815ED808B +:2006D800A885883A12C00483D940001782C9883ADAC001150815ED80B085883AB80B883AC7 +:2006E000113FFFCC0815D240D8C00317100B883A19003FCC0815ED8088C00803DAC001176E +:2006E800B809883A1A4000584812977A580B883AD8800215DA4001150815ED80DA8004178F +:2006F0008F000343B809883A500B883A10807FCCE03897BA102C92FA0815ED801081FFCC83 +:2006F800800B883AB809883A1738B03A0815ED8088C00483DA400117B72CB03A10C5C83AAA :2007000010803FCC1004953AB086B03A008020B41A46B03A10842C0410C000358880044385 :20070800D9800617D9C005171006937A88800403300C943A380E94FA100492BADA00021743 :200710001884B03A118CB03A31CCB03A423FFFCC008020B4320CB03A108428041180003587 :2007180088C0030388800683890002871806977A100496BA014006841886B03A88800A03D5 -:200720001004953A18A0B03A0815EC40A885883A108005431004947A8086B03A900496FAEE +:200720001004953A18A0B03A0815ED80A885883A108005431004947A8086B03A900496FAAD :2007280018A4B03AA0BFFFCC90A4B03A98BFFFCC100492FA90A4B03A008020B410842404D3 :200730001480003588800A431006913A888006431884B03A00C020B418C420041880003572 :20073800DFC01017DF000F17DDC00E17DD800D17DD400C17DD000B17DCC00A17DC8009171B -:20074000DC400817DC000717DEC01104F800283ADEFFF304DC000415040020B484243A04D0 +:20074000DC400817DC000717DEC01104F800283ADEFFF304DC000415040020B484242004EA :20074800DC4005158440011700800044DFC00C15DDC00B15DD800A15DD400915DD0008158D :20075000DCC00715DC800615D0A23DC58800162684C000179800142601006734880B883A04 -:20075800213F30040815E0801025883A8080020301283BF4980B883A212EC004100004266A -:200760000815E080880B883A1009883A000003060815E080880B883A1089883A0815E08019 -:200768001023883A000002060445DC04048F550401000EC40814768001000F041027883A6D -:20077000081476808080058300C0207418DCD9041085883A1085883A1885883A11C00017B5 +:20075800213F30040815E1C01025883A8080020301283BF4980B883A212EC0041000042629 +:200760000815E1C0880B883A1009883A000003060815E1C0880B883A1089883A0815E1C056 +:200768001023883A000002060445DC04048F550401000EC4081477C001000F041027883A2C +:20077000081477C08080058300C0207418DCDE041085883A1085883A1885883A11C000176F :200778008080020380C000171000021E00801A440000010600801C0401802074010020B466 -:20078000D8C00015319C91040140044421243144D88001150815F440014019048809883A97 -:200788000815E0800140FA049009883A1029883A0815E080014019048809883A102B883A14 -:200790000815E6C00140FA049009883AD8800215DD0001150815E6C0014002841009883A25 -:200798000815E08001802074010020B4D8800015A80F883A319C93040140044421244B0473 -:2007A0000815F440D0A244831000011E081107C081000017D1E23E8381400203A00D883A5F -:2007A800044020B408151E4011003FCC2100201C213FE00400FFFFC48C643A0420C0011EF2 +:20078000D8C00015319C96040140044421241744D88001150815F580014019048809883A6B +:200788000815E1C00140FA049009883A1029883A0815E1C0014019048809883A102B883A92 +:200790000815E8000140FA049009883AD8800215DD0001150815E800014002841009883AA1 +:200798000815E1C001802074010020B4D8800015A80F883A319C9804014004442124310447 +:2007A0000815F580D0A244831000011E081107C081000017D1E23E8381400203A00D883A1E +:2007A800044020B408151F8011003FCC2100201C213FE00400FFFFC48C64200420C0011ECB :2007B00000800104888002858480028301400684054020B4D4A23A0594803FCC9480201C84 -:2007B80094BFE0049009883A0815EC40AD646784A885883AD0E23E83144005838580040300 -:2007C0008500011788E2703AD4623A4515C0038BB1403FCC9CC03FCCB93FFFCC0815EC40E1 -:2007C8009809883A100B883A0815EC40A00B883A1009883A0815E080B1003FCCB80B883A82 -:2007D0001027883A0815EC4015BFFFCC80800B838C403FCCA53FFFCC10000826014006840B -:2007D8009009883A0815EC40A885883A11C0060B380ED07A39C0004C00000106000F883ADF +:2007B80094BFE0049009883A0815ED80AD644D84A885883AD0E23E831440058385800403D9 +:2007C0008500011788E2703AD4623A4515C0038BB1403FCC9CC03FCCB93FFFCC0815ED80A0 +:2007C8009809883A100B883A0815ED80A00B883A1009883A0815E1C0B1003FCCB80B883A00 +:2007D0001027883A0815ED8015BFFFCC80800B838C403FCCA53FFFCC1000082601400684CA +:2007D8009009883A0815ED80A885883A11C0060B380ED07A39C0004C00000106000F883A9E :2007E00080800C839CC03FCCA00D883AD880031580800D83B00B883A8809883AD880021555 -:2007E80080800D43DCC00015D88001150814F18081000D030811244081000B438140040350 -:2007F0000814D40080800445081192C080800A83810003831000041E008020B410A43A0439 -:2007F800114003C300000106000B883A0810CE0080C00DC3008020B410A43A0481000A83AC +:2007E80080800D43DCC00015D88001150814F2C081000D030811244081000B43814004030F +:2007F0000814D54080800445081192C080800A83810003831000041E008020B410A4200412 +:2007F800114003C300000106000B883A0810CE0080C00DC3008020B410A4200481000A83C6 :2008000018000B26DFC00C17DDC00B17DD800A17DD400917DD000817DCC00717DC80061724 :20080800DC400517DC000417DEC00D04081118C120000B1E10C003C311C00AC31800022643 :2008100010800383000001060005883AD8800015000D883A000B883A0009883A081005C033 :20081800DFC00C17DDC00B17DD800A17DD400917DD000817DCC00717DC800617DC4005171D :20082000DC000417DEC00D04F800283A20803FCC00C003C410C00E2600C0040410C01126B3 -:2008280000C002C4D1223D4310C0271EDEFFFE04DC000015DFC001150813F0C01021883A5F -:2008300010000F2601C0207439DC9B0400000E06D0A23D4310C03FCC1800072610BFFFC4A2 +:2008280000C002C4D1223D4310C0271EDEFFFE04DC000015DFC001150813F2801021883A9D +:2008300010000F2601C0207439DCA00400000E06D0A23D4310C03FCC1800072610BFFFC49D :2008380000000506D0A23D430100020410C03FCC20C0013610800044D0A23D45F800283A88 -:2008400001C0207439DC990401802074010020B4319C9E0401400444212435840815F44060 -:20084800081106808000021E010003C40813D4C00100023421284804DFC00117DC00001764 -:20085000DEC00204081689C1200F883A01802074010020B4319C9F040140044421243584AA -:200858000815F44120803FCC00C003C410C00E2600C0040410C0112600C002C4D1223D4330 -:2008600010C0271EDEFFFE04DC000015DFC001150813D4C01021883A10000F2601C02074A2 -:2008680039DCA30400000E06D0A23D4310C03FCC1800072610BFFFC400000506D0A23D43FF -:200870000100020410C03FCC20C0013610800044D0A23D45F800283A01C0207439DCA1043E -:2008780001802074010020B4319C9E0401400444212435840815F440081106808000021EF0 -:20088000010003C40813D4C00100023421284804DFC00117DC000017DEC00204081689C15F -:20088800200F883A01802074010020B4319C9F0401400444212435840815F44121003FCC00 +:2008400001C0207439DC9E0401802074010020B4319CA3040140044421241B840815F5802F +:20084800081106808000021E010003C40813D6800100023421284804DFC00117DC000017A2 +:20085000DEC0020408168B01200F883A01802074010020B4319CA4040140044421241B847D +:200858000815F58120803FCC00C003C410C00E2600C0040410C0112600C002C4D1223D43EF +:2008600010C0271EDEFFFE04DC000015DFC001150813D6801021883A10000F2601C02074E0 +:2008680039DCA80400000E06D0A23D4310C03FCC1800072610BFFFC400000506D0A23D43FA +:200870000100020410C03FCC20C0013610800044D0A23D45F800283A01C0207439DCA60439 +:2008780001802074010020B4319CA3040140044421241B840815F580081106808000021EC4 +:20088000010003C40813D6800100023421284804DFC00117DC000017DEC0020408168B015B +:20088800200F883A01802074010020B4319CA4040140044421241B840815F58121003FCCD4 :20089000008003C4208028260080040420802B26DEFFFD04DC000015DFC00215040020B43D -:20089800DC400115008002C48424678420802A1ED4623A0301400684D4623D858C403FCC40 -:2008A0008809883A0815EC408085883A10C0038B014006848809883AD0E23C8D10C0050306 +:20089800DC400115008002C484244D8420802A1ED4623A0301400684D4623D858C403FCC5A +:2008A0008809883A0815ED808085883A10C0038B014006848809883AD0E23C8D10C00503C5 :2008A800D0E23F8D10C00483D0E2398D10C0028BD0E23C0D10C00543D0E23A8D108004C3A3 -:2008B000D0A23E0D0815EC408085883A1080030BD0A23B8DDFC00217DC400117DC000017A4 +:2008B000D0A23E0D0815ED808085883A1080030BD0A23B8DDFC00217DC400117DC00001763 :2008B800DEC00304F800283AD0A23A0310C03FCC1800072610BFFFC400000506D0A23A0306 :2008C0000100068410C03FCC20C0013610800044D0A23A05F800283AD1223A0301400684C1 -:2008C8000815EC40010020B401800444808B883A21243584DFC00217DC400117DC0000177F -:2008D000DEC00304081605C1DEFFFA04008020B4DD000415DCC00315DC800215DC40011501 -:2008D800DC000015DFC0051510A43A0410C002C3040020B42029883AD4623D838424678463 -:2008E000D4E2398BD4A23E0B180021261080028714401F1E8809883A014006840815EC404F +:2008C8000815ED80010020B401800444808B883A21241B84DFC00217DC400117DC00001758 +:2008D000DEC0030408160701DEFFFA04008020B4DD000415DCC00315DC800215DC400115BF +:2008D800DC000015DFC0051510A4200410C002C3040020B42029883AD4623D8384244D8497 +:2008E000D4E2398BD4A23E0B180021261080028714401F1E8809883A014006840815ED800E :2008E8008085883A1100038BD0E23C8B20C0161E11000503D0E23F8B20C0131E11000483BF :2008F00098C03FCC20C0101E1100028BD0E23C0B20C00D1E11000543D0E23A8B20C00A1EFD -:2008F80010C004C390803FCC1880071E014006848809883A0815EC408085883A10C0030B65 -:20090000D0A23B8B1880022600800044D0A23EC58809883A014006840815EC40D0E23C8BC6 +:2008F80010C004C390803FCC1880071E014006848809883A0815ED808085883A10C0030B24 +:20090000D0A23B8B1880022600800044D0A23EC58809883A014006840815ED80D0E23C8B85 :200908008085883A8809883A10C0038DD0E23F8B14C004850140068410C00505D0E23C0BDE -:2009100010C0028DD0E23A8B148004C510C005450815EC40D0E23B8B01802074010020B4CF -:200918008085883AA1FFFFCC319DA404014004442124358410C0030DDFC00517DD000417FD -:20092000DCC00317DC800217DC400117DC000017DEC006040815F441DEFFFE04DC0000159B +:2009100010C0028DD0E23A8B148004C510C005450815ED80D0E23B8B01802074010020B48E +:200918008085883AA1FFFFCC319DA9040140044421241B8410C0030DDFC00517DD00041712 +:20092000DCC00317DC800217DC400117DC000017DEC006040815F581DEFFFE04DC0000155A :20092800040020B4DFC0011584043804008004448080003580000035008020B410842C0494 -:2009300010000035008020B410842404100000350109C404081689C000BFF444D0A23B052B -:200938000080344480800035010000F421035004081689C0018001B401406734010020B4B7 -:200940003186A004297F3004210418040816F68008142A8008146080100026260009883AA2 -:200948000814768000C03FC410C024260814DC00010000840810274010803FCC00C004C481 -:2009500010C0201E08103640081422C01000022600800044D0A23F050810D4C01021883A9C -:2009580010001A1E0812AA8001402074010020B401800D84295D468421245A040815F1C076 -:20096000010003C40813F0C0D1223D430813F0C0008020B41084340410800037108000AC83 -:200968001000011E0812AF800009883A081118C000000706043FFF8400000506043FFF44D7 +:2009300010000035008020B410842404100000350109C40408168B0000BFF444D0A23B05E9 +:200938000080344480800035010000F42103500408168B00018001B401406734010020B475 +:200940003186A004297F3004210418040816F7C008142BC0081461C0100026260009883ADF +:20094800081477C000C03FC410C024260814DD40010000840810274010803FCC00C004C4FF +:2009500010C0201E08103640081424001000022600800044D0A23F050810D4C01021883A5A +:2009580010001A1E0812AC4001402074010020B401800D84295D4B84212440040815F30087 +:20096000010003C40813F280D1223D430813F280008020B41084340410800037108000ACFF +:200968001000011E0812B1400009883A081118C000000706043FFF8400000506043FFF4415 :2009700000000306043FFF0400000106043FFFC48005883ADFC00117DC000017DEC0020476 -:20097800F800283ADEFFFF04DFC000150811D10008148DC0008020B410A44F44110004C3AB -:20098000DFC00017DEC00104081118C1DEFFF404DFC00B15DF000A15DDC00915DD80081545 -:20098800DD400715DD000615DCC00515DC800415DC400315DC0002150812498010001B16A8 -:200990000080134401802074010020B4000F883A319CA6040140044421243144D88000158E -:200998000815F44001402074010020B401800444295CB20421244B040815F1C0081107C0FE -:2009A0000100023421284804054020B4081689C0AD644F44A88009030100024410C03FCCF1 -:2009A80020C01136D0A23D0500000F0601802074010020B4100F883A319CAB04014004446F -:2009B000212431440815F44001402074010020B401800444295DB30421244B04081605C0F5 -:2009B800081107C0003FFF06058020B405C02074070020B40029883A0023883A0021883ABB -:2009C0000025883AB5A43A04BDDCD904E7244F4400C020B418C434041880003710FFFFCC34 -:2009C800D0E242150086303A1004D63A18C000ECD0E24315D0A244051000062610FFFF849B -:2009D00018C03FCC010000C420C0022ED0E2410310C0011ED02242150812CA00D0A2448304 -:2009D800100002260009883A08138AC0B0C00583D0A23D0304C020B49CE43A041880011EE0 -:2009E000D0223D05D0E23D030100020418BFFFC410803FCC20803A36100490BA0100207492 -:2009E8002109EC041105883A108000171000683A008127D4008127E4008127FC0081280C43 -:2009F0000081281C00812830008128400081285000812868008003C4D0A23E850023883AF5 -:2009F80000000306008003C4D0A23E8504400084040000440025883A00002306008003C4F3 -:200A0000D0A23E85044000C4003FF906008003C4D0A23E85044000C400000306008003C487 -:200A0800D0A23E85044000840021883A003FF10600800404D0A23E850440004400000D0660 -:200A1000008003C4D0A23E850023883A0000090604400084008003C4D0A23E858821883AA7 -:200A18008825883A00000806008003C4D0A23E85044000C4040000848025883A00000206C6 -:200A200018803FCC1000342699400D0398C00585980002C528803FCC00C0004481003FCC3C -:200A28001880042E00800144114BC83A29403FCC00000106014000C408146EC00814850056 -:200A300008107080D0E23F0390803FCC180004261009883AD880011508141E40D88001171A -:200A38001009883A89403FCC081503C098800583010020B4018004441085883A1085883A23 -:200A4000B885883A114000172124314498000115081605C001402074010020B40180044471 -:200A4800295CAF0421244B04081605C0D0A244831000011E081107C0A5003FCCA00005261C -:200A5000A8C00903008002841880021E010003C40813D4C005000044A90004C398800A837F -:200A580020800426081118C0E08004C3B0000115B0800A85A88004C310000F1EE1C0050342 -:200A600098800AC338800C26B08003C310000226B0800383000001060005883AD880001588 -:200A6800000D883A000B883A0009883A081005C0A880050398800AC5A0803FCC1000322680 -:200A700089403FCC91003FCC0811354010803FCC00C0008410C0252600C0010410C00326B0 -:200A780000C0004410C0281E00002406988002C310000926D0A23B0300C020B418C43804A2 -:200A800010800094D0A23B0510803FCC1880003508125E4000001C06B000011508148500D7 -:200A8800B0800583010020B4018004441085883A1085883AB885883A114000172124314429 -:200A9000081605C001402074010020B401800444295CAF0421244B04081605C0D0A2448308 -:200A98001000091E081107C000000706988002C3100005260811D10000000306988002C332 -:200AA00010000126081192C0D0A2431701004B04D0223D05D0A24015D0A24403D0A241050C -:200AA800081689C0003F1A0601402074010020B4DEFFFF0401800AC4295CE40421244F444A -:200AB000DFC000150815F1C001402074010020B40180B604295F3004212467840815F1C005 -:200AB80000800044D0A23EC50005883ADFC00017DEC00104F800283ADEFFF804DD0004159C -:200AC000DCC00315DC80021505002074048020B404C020B4DD800615DC000015DFC0071542 -:200AC800DD400515DC400115002D883A0021883AA51D5404948434049CE45A0401402074B6 -:200AD000010020B401800444295CEF0421242D04081605C08405883A1085883AA085883A0E -:200AD80011400017010020B40180044421243584081605C0081106800023883A05400084CA -:200AE0009080003710BFFFCCD0A2421590C0003700C6303A18C000ECD0E2431510001A2677 -:200AE800B08019268407883A98C7883A88000A1E01402074010020B401800444295CF10474 -:200AF00021242D041880000D081605C0081106800440004400000C0618C0000B10C00926CD -:200AF80001402074010020B401800444295CF30421242D04081605C0081106800023883A12 -:200B00000000010604400084D0A2401710000F1ED0E243170080007418800C1E8000091E97 -:200B080001402074010020B401800D84295D468421245A040815F1C004400084040006C4BA -:200B100000000206843FFF8404400084D0A24317D5A24217D0A240158D4003260109C40484 -:200B1800081689C0003FC6068400004400800684143FB20E010003C4DFC00717DD80061767 -:200B2000DD400517DD000417DCC00317DC800217DC400117DC000017DEC008040813D4C1D8 -:200B2800DEFFEF04D88009C4D8800115008020B410A451C410C00044D8C003150140207494 -:200B300010C00084D8800215D8C00415018001C410C000C4295D44C410800104D90008044F -:200B3800DFC01015DD400F15DD000E15DCC00D15DC800C15DC400B15DC000A15D80009C580 -:200B4000D8C00515D8C00615D88007150815F1C0D1224217014020B40005883A29645A04DB -:200B4800018006841087883A1947883A18C0000B044020B48C645A041900032611811326B1 -:200B500010800044003FF70600C0068418810F36100490BA00C0207418CB5A0410C5883AC3 -:200B5800108000171000683A00812DD400812DF000812E0000812DDC00812DF800812E086E -:200B600000812DE80081318C00812E1000812E1800812E200081318C0081318C0081318C92 -:200B68000081318C0081318C0081318C00812E4400812EC000812EE000812F0C00812F58FE -:200B700000812F3800812F8400813120008131480081309400800044000001060080008469 -:200B7800D0A23D050000E906008000C4003FFC0600800104003FFA0600800144003FF8066F -:200B800000800184003FF606008001C4003FF40600800204003FF20600800244003FF006DF -:200B8800D0A244831007003AD0E244851000031E0100004408138AC00000D406081107C0B3 -:200B90000000D206008020B410A43A0411000287014006840815EC4001C020B439E46784DC -:200B980001802074010020B4388F883A319CF7040140044421242D040815F440008020B45E -:200BA0001084300411C0003710800037018020741005D43A39C1FFCC010020B4108000CC70 -:200BA80039C00044319CFA040140044421243584D88000150815F440081106800000B30688 -:200BB000D0A23B0300C020B418C438041080041CD0A23B0510803FCC188000350000AB064E -:200BB80000C020B418E44F44188000030140004411003FCC290002361145883A000001063E -:200BC0000005883A188000050000A00600C020B418E44F44188000430140004411003FCC6C -:200BC800290002361145883A000001060005883A1880004500009506008020B410A44F44B3 -:200BD00010C0008319003FCC2000012618FFFFC410C0008500008D0600C020B418E44F4462 -:200BD800188000830140038411003FCC290002361080004400000106008003C4188000855E -:200BE0000000820601402074010020B401800444295CFE0421242D04081605C00140207445 -:200BE800010020B401800444295D020421243584081605C0048020B4050020B4054020B498 -:200BF000081106809484340404C006C4A5246784AD643A04908000370021883A10BFFFCCA1 -:200BF8008407883A1C47883A18C0000B10C002268400004484FFFA1EA90002870140068430 -:200C00000815EC40A085883A108005C300C001841880403600C001041C001916D900080404 -:200C08002087883A18C000031C07D83A18C0004C180007261085883A1085883AD8C001049F -:200C10001885883A10800017140000050000310601802074010020B481C00044319D050428 -:200C180001400444212435840815F440081106800100023421284804081689C000002506E7 -:200C200000800304808023260109C404081689C0003FD00601402074010020B40180044423 -:200C2800295D090421242D04081605C001402074010020B401800444295D0D0421243584B8 -:200C3000081605C0040020B40811068084043404048006C404C002440500030480800037EF -:200C38000009883A10BFFFCC2107883A1C47883A18C0000B10C01E1E990020162100004405 -:200C4000014002840815D940010002C4D0A23D45081208C0081107C0D022448500001B063E -:200C480000C020B418E44F44188005830140078411003FCC290002361080004400000A061C -:200C50000005883A0000080600C020B418E44F441880058311003FCC2000022610BFFFC476 -:200C580000000106008007C418800585000007062100004424BFDC1E00000106253FE52643 -:200C60000109C404081689C0003FD406D0A240171000191ED0E243171880006C10000A26C2 -:200C6800008020B410A43A04108005830100024411403FCC2900022610800044000001063F -:200C700000800044D0A23D0518C000AC18000A2600C020B418E44F441880000301400044DD -:200C780011003FCC290002361145883A000001060005883A18800005DFC01017DD400F1753 -:200C8000DD000E17DCC00D17DC800C17DC400B17DC000A17DEC01104F800283ADEFF6C047E -:200C8800D9000304DC008C15DFC09315DD809215DD409115DD009015DCC08F15DC808E1520 -:200C9000DC408D150810FE401021883A0815C5008000891E01800104D9400304D90083042E -:200C9800081605C00140207401800104295D6F04D90083040815FFC010000B260180207473 -:200CA000010020B4319D71040140044421242D040815F440008020B410A4358410000005F6 -:200CA8000400004400007406D8800403DC808484D9400484D8808405D880044301800204FF -:200CB0009009883AD8808445081605C0D880068BD8008645D8808B0DD880070BD8808B8D6F -:200CB800D9408B17280BC232D880078BD9408715D8808B0DD880080BD8808B8DD8808B17D6 -:200CC0001005C232D8808815D880088BD8808B0DD880090BD8808B8DD8808B171005C2325C -:200CC800D8808915D8808217D8808B151005C232D8808A1500C0788428BFF98418800B2E3C -:200CD00001802074010020B4319D75040140044421242D040815F440008020B410A43584C2 -:200CD80010000005043FFFC40000430601800044D90003040816EF40D8C08A1710C00A266D -:200CE00001802074010020B4319D79040140044421242D040815F440008020B410A43584AE -:200CE800100000050000330601802074010020B4319D7D040140044421242D040815F44015 -:200CF000D9C0881701802074010020B4319D810401400444212435840815F44008110680F8 -:200CF800DCC08817DD4089170021883A0005883A0580800484C0132E9C23C83AB440012EC8 -:200D00000440800485008004A00CD27A010020B4DC400015000F883AD940030421242A04A0 -:200D08000815AD4010803FCC1000121E800D003A880B883AD90003040816EF40A021883A1A -:200D1000003FEC06A880612601802074010020B4319D84040140044421242D040815F44053 -:200D1800008020B410A4358410000005043FFF4400000106043FFF8404C000C40815C50028 -:200D200008110680010003F421109004081689C000BFCE4480804B0E98004A2601802074A9 -:200D2800010020B4319D9A040140044421242D040815F4409CFFFFC401402074010020B412 -:200D300001800444295D920421243584081605C0081106800025883A058080040500400405 -:200D3800DC4088179440202E8CA3C83AB440012E0440800495408004A80DD27A010020B473 -:200D4000DC400015000F883AD940030421242A040815AD4014003FCC803FD81E9025D23A5F -:200D4800880B883AA440012E01404004900D883AD90003040810DE801021883A103FCF1EBA -:200D5000A4400236A825883A003FE50691800044897FC004D90043040810DE801021883A04 -:200D5800103FF826003FC50601402074010020B401800444295D960421242D04081605C018 -:200D600001402074010020B401800444295D920421243584081605C008110680D98089176B -:200D6800D9408817D9C003040009883A0810F1001021883A103FB11E0815C5000005883A85 -:200D70000000430600BFFFC400004106D8808487D9C08403D8C08443100003260080207422 -:200D7800109D38040000020600802074109DB30401802074010020B4D8C00015319D890400 -:200D80000140044421242D04DC800215D88001150815F44001402074010020B401800444AF -:200D8800295D9E04212435840815F1C0044020B4040020B4081106808C44340484245A04BA -:200D90008880003780C0000B10BFFFCC10C00E2680C0008B10C0091E01402074010020B4AF -:200D980001800444295D8C0421242D04081605C004C000C404000084003F80060109C4045C -:200DA000081689C0003FEE0608148500D0A23B0300C020B418C4380410800094D0A23B05C6 -:200DA80010803FCC188000350109C404081689C001402074010020B401800444295D8F04FE -:200DB00021242D04081605C004C000C4003F7A06DFC09317DD809217DD409117DD009017EB -:200DB800DCC08F17DC808E17DC408D17DC008C17DEC09404F800283A21C03FCC0180207408 -:200DC000010020B4319DA30401400444212435840815F441DEFFFF040141194421003FCC45 -:200DC800DFC000150815EC40014019041009883A0815D10001802074010020B4100F883A1C -:200DD000319DA5040140044421243584DFC00017DEC001040815F44121C03FCC0180207459 -:200DD800010020B4319DA70401400444212435840815F441014003F4DEFFFC0429509004B2 -:200DE00021003FCCDFC00315DC400215DC0001150815EC40014018F4294BA8041009883A5A -:200DE8001021883A0815E0808009883A014659041023883A0815E0800140FA041009883A00 -:200DF0000815E6C0014002841009883A0815E08001802074010020B4D8800015880F883A51 -:200DF800319DAA0401400444212435840815F440DFC00317DC400217DC000117DEC00404FF -:200E0000F800283ADEFFFF04014119C421003FCCDFC000150815EC40014019041009883A17 -:200E08000815D10001802074010020B4100F883A319DAD040140044421243584DFC0001755 -:200E1000DEC001040815F44121C03FCC01802074010020B4319DAF040140044421243584EF -:200E18000815F44121003FCCDEFFFF0401409C4421000044DFC000150815EC40014019047B -:200E20001009883A0815D10001802074010020B4100F883A319DB204014004442124358413 -:200E2800DFC00017DEC001040815F441D0A24217DEFFF604018020B4DCC00315DFC0091597 -:200E3000DF000815DDC00715DD800615DD400515DD000415DC800215DC400115DC000015B2 -:200E380004C002C431A45A04014004449CC7883A1987883A18C0000B1880041E21003FCC04 -:200E40009823883A2000051E000003069CC00044997FF61E0000A50610010026D56244435D -:200E4800048020B494A00304AF003FCCE02090FA014005049405883A1500010315C0001709 -:200E5000A5803FCCB009883A0815EC40B8C00117010001041885883A88FFFD0418C03FCC2E -:200E580020C02936180690BA01002074210E5F041907883A18C000171800683A008139C4A3 -:200E600000813990008139A800813ABC00813ABCB000011EBD000003A53FFFC49421883A2B -:200E68008500010500008406B94000039421883AB1000044840001040815D9408080000529 -:200E700000007D06E0000326AD7FFFC4D562444500007906D0224485DFC00917DF00081730 -:200E7800DDC00717DD800617DD400517DD000417DCC00317DC800217DC400117DC000017A2 -:200E8000DEC00A04081107C110C00103014000C4194006261900631E10800217044002C41A -:200E8800103EE83A1021883A000064061080031710000226010002C4103EE83AD4624443A7 -:200E9000014005048C003FCC802690FA94C5883A1540001711000103AD0001170815EC4087 -:200E9800A085883A10C0021718004E2684000044802090FA008020B410A003041405883A06 -:200EA0001100001719000126100001059421883A94E5883A91000103ACC00117014005049F -:200EA8000815EC409885883A108002178C400044D46244458080001500003A0610C0010361 -:200EB000010000841900202619000336010000C419002F26000038061180021789C03FCC7D -:200EB800020003C41100030310C0034331400003108003833A000A1E19C03FCC2A003FCC1F -:200EC0003A00022E28BFFFC40000030621003FCC2000011E1805883A3080000500002606CA -:200EC80011C03FCC2A003FCC41C0022E288000440000030621003FCC200001261805883A81 -:200ED00030800005000010061100021789403FCC018003C420C0000B2980061E1080030B9B -:200ED800197FFFCC1140012E18FFFFC420C0000D000011061080038B197FFFCC2880012EE1 -:200EE00018C0004420C0000D0440040400000A06108003171000082699003FCC103EE83A91 -:200EE80000000506044002C40000030621003FCC200059260023883A0021883AD0A2444340 -:200EF00000C020B418E00304100490FA014005041885883A1100010314C000170815EC40BF -:200EF8001025883A98800117010020B421242D041485883A1140001701800444081605C0F9 -:200F000098800117010001041485883A10C0010320C03536180690BA01002074210F0B04E5 -:200F08001907883A18C000171800683A00813C4000813C7000813C8000813C9000813CA429 -:200F100010C00217110004170180044418C0000318C5883A1085883A2085883A1140001743 -:200F1800010020B421243584081605C000001E0610C0021710800417190000030000070622 -:200F200010C00217108004171900000B0000030610800317100006260009883A103EE83ACF -:200F2800000011068C403FCC00C002C488C00426008020B410A435841000000500000A06DD -:200F30008000021E11C003170000010611C0041701802074010020B4319C9E040140044441 -:200F3800212435840815F440DFC00917DF000817DDC00717DD800617DD400517DD00041727 -:200F4000DCC00317DC800217DC400117DC000017DEC00A0408110681103FA61EDFC0091721 -:200F4800DF000817DDC00717DD800617DD400517DD000417DCC00317DC800217DC400117C6 -:200F5000DC000017DEC00A04F800283A21003FCC008003C411005F36009114B4DEFFB6047F -:200F58001094D544D88000150080107410951044D880011500801344D8800245208002B01C -:200F6000DC004015DFC04915DF004815DDC04715DD804615DD404515DD004415DCC04315B5 -:200F6800DC804215DC404115D8000205D8800285240004041000151E00800E04D88002C566 -:200F7000D0A23D43014020B401800D84D8800345008020B410A43A041080058329645A045F -:200F7800D90003C4D8000305D88003850815F1C0800C923A01401144D809883A0810DE8024 -:200F80001004C03A0085C83A0000340600BFF604014020B4802A923A01800AC4D88003454F -:200F880029644F4400800084D90003C4070020B4D98002C5D8000305D88003850025883AE3 -:200F90000815F1C0002F883A04400E840580B60405004004E7246784893FFFCCA10DC83AEB -:200F9800B0BFFFCCD909883AB97FFFCC94C03FCC11800C16E14B883A0815F1C0A463C83A81 -:200FA0009D4D883A01404004D809883A8DEF883AB5BFC0040810DE80948000440023883A34 -:200FA800003FED06100D883A008020B410A46784114B883A0815F1C0800C923A014040045C -:200FB000D809883A34CD883A0810DE800005883A0000020600BFFFC4F800283ADFC049179B -:200FB800DF004817DDC04717DD804617DD404517DD004417DCC04317DC804217DC40411756 -:200FC000DC004017DEC04A04F800283ADEFFB704DFC04815DDC04715DD804615DD404515DC -:200FC800DD004415DCC04315DC804215DC404115DC00401521003FCC008003C41100022ED5 -:200FD000047FFFC400006306240004048008943AD80D883A014040040810D780103FF81ED0 -:200FD8000140207401800204295F2104D809883A0815FFC01023883A1000531ED88002039E -:200FE0001000531ED8C00243008013441880501ED88002831000032600C0004410C0162690 -:200FE80000004C06D8800303D8C002C31004923A10C4B03A00C00E0410C0461ED88003439A -:200FF00000C0024411003FCC19000136D0A23D45D880038311003FCC19000136D0A23D057D -:200FF800010020B401800D84D94003C421245A040815F1C000003706D8800317D8C002C395 -:2010000011803FCC300C923A30CCB03A00C00AC430C0301E1004D23A00C0B6041025883AE9 -:2010080010BFFFCC10C02B1E010020B48020923AD94003C421244F4405C020B40815F1C0B5 -:201010000027883A002D883A05400E8405004004BDE46784A97FFFCCA14DC83A90BFFFCC3F -:20101800B13FFFCCD94B883A11800F16B909883A0815F1C0990000442027883A21003FCCFD -:201020002409883A2008923AA56BC83AD80D883A01404004ADAD883A94BFC0040810D780ED -:20102800002B883A003FEB06100D883A008020B410A467841109883A0815F1C0008000444B -:20103000D0A23EC5000003060440004400000106044000848805883ADFC04817DDC0471783 -:20103800DD804617DD404517DD004417DCC04317DC804217DC404117DC004017DEC04904F0 -:20104000F800283ADEFFFC04DC000015040020B484041804DC80021504801284DC4001152D -:20104800900B883A2023883A000D883A8009883ADFC003150816FDC089403FCC8009883A5B -:20105000000D883A0817040004400044900B883A8009883A880D883A0816FDC0880B883AD7 -:201058008009883ADFC00317DC800217DC400117DC000017DEC0040408170141DEFFFC04F4 -:20106000DC000015040020B484041804DC800215DC4001152025883A2823883A8009883AFF -:20106800000D883A01401284DFC003150816FDC091403FCC8009883A000D883A0817040017 -:201070000180004489403FCC8009883ADFC00317DC800217DC400117DC000017DEC0040481 -:2010780008170401DEFFFE0421003FCC008000C4DC0000151121C83A008000441420983AF6 -:2010800001000184DFC0011584003FCC800B883A081417C0800B883A010001C4DFC001177C -:20108800DC000017DEC00204081417C1DEFFFF0401000144DFC000150814104000C0218412 -:2010900010C0051E0140240401000804081417C000800044000001060005883ADFC000179C -:20109800DEC00104F800283ADEFFFD04D9000005010020B4DC000115018000442821883AE8 -:2010A00021041804D80B883ADFC0021508170BC0813FFFCC081689C0DFC00217DC0001170C -:2010A800DEC00304F800283AD0E23B03DEFFFC0400BFE7C41884703ADC400115044020B462 -:2010B000D0A23B05DFC00315DC800215DC00001510803FCC8C443804888000350400050462 -:2010B8008009883A081689C0800B883A01000E0408142680800B883A01000E4408142680E2 -:2010C000800B883A8009883A08142680800B883A01001C4408142680800B883A010017845D -:2010C80008142680800B883A01001B4408142680800B883A01000304081426800480C80470 -:2010D000900B883A0100004408142680800B883A0100018408142680900B883A0100008425 -:2010D80008142680D0A23B0310801014D0A23B0510803FCC88800035DFC00317DC8002171A -:2010E000DC400117DC000017DEC00404F800283AD0E23B03DEFFFA0400BFE7C41884703A4E -:2010E800DC000015040020B4DC800215DC400115D0A23B05DFC00515DD000415DCC0031565 -:2010F0002025883A2823883A10803FCC84043804808000350140C804010000440814268024 -:2010F800D0A23B0310800814D0A23B0510803FCC80800035014004049009883A08160B002D -:201100001029883A10803FCC1000091E014020749009883A01800444295F2304081605C077 -:20110800910000030140050408142680000009060027883AA5003FCC94C5883A1100000350 -:20111000014005049CC000440814268098803FCC153FF936D0E23B0300BFF7C41884703ABD -:20111800D0A23B0510803FCC80800035014005040100300408142680D0A23B031080081498 -:20112000D0A23B0510803FCC80800035014004048809883A08160B001027883A10803FCCD4 -:201128001000091E014020748809883A01800444295F2304081605C0890000030140050417 -:2011300008142680000009060025883A9CC03FCC8C85883A110000030140050494800044F7 -:201138000814268090803FCC14FFF936D0A23B0310801014D0A23B0510803FCC8080003592 -:20114000DFC00517DD000417DCC00317DC800217DC400117DC000017DEC00604F800283A88 -:20114800DEFFFB04DC000015040020B484041804DC80021504800B04DCC00315900B883A27 -:201150002027883A000D883A8009883ADFC00415DC4001150816FDC004400044880D883AB8 -:2011580099403FCC8009883A08170400900B883A8009883A880D883A0816FDC0880B883AF6 -:201160008009883ADFC00417DCC00317DC800217DC400117DC000017DEC005040817014110 -:20116800DEFFFC04DC000015040020B484041804DC800215DC4001152025883A2823883A64 -:201170008009883A000D883A01400B04DFC003150816FDC091403FCC8009883A000D883A6D -:20117800081704000180004489403FCC8009883ADFC00317DC800217DC400117DC000017FB -:20118000DEC0040408170401010020B4DEFFFD04000D883A000B883A21041804DFC002153F -:20118800DC400115DC0000150817040001000284081689C00400060404400044800B883A30 -:201190008809883A08145A00800B883A0100008408145A00800B883A010000C408145A00A6 -:201198008809883A081452001405003ADFC00217DC400117DC000017DEC00304F800283A45 -:2011A000DEFFFD04DC400115DC000015044000442021883A84003FCC8809883ADFC002150B -:2011A800802090FA0814520000FFF9C410C4703A80A0B03A84003FCC800B883A8809883A1C -:2011B00008145A00800B883A0100008408145A00800B883A010000C4DFC00217DC40011763 -:2011B800DC000017DEC0030408145A01DEFFFD04DC4001152023883A01000044DC000015BD -:2011C000DFC00215043FF604081452001420703A89003FCC008000842080021E84000054A0 -:2011C800000003062008917A2080011480A0B03A84003FCC800B883A0100004408145A0075 -:2011D000800B883A0100008408145A00800B883A010000C4DFC00217DC400117DC000017C6 -:2011D800DEC0030408145A01DEFFFB04DC000015040020B484041804DC8002150480170480 -:2011E000DCC00315900B883A2027883A000D883A8009883ADFC00415DC4001150816FDC0F6 -:2011E80004400044880D883A99403FCC8009883A08170400900B883A8009883A880D883AB7 -:2011F0000816FDC0880B883A8009883ADFC00417DCC00317DC800217DC400117DC00001758 -:2011F800DEC0050408170141DEFFFC04DC000015040020B484041804DC800215DC400115E0 -:201200002025883A2823883A8009883A000D883A01401704DFC003150816FDC091403FCCD6 -:201208008009883A000D883A081704000180004489403FCC8009883ADFC00317DC80021777 -:20121000DC400117DC000017DEC0040408170401DEFFFC04DC000015040008848009883A24 -:20121800DFC00315DC800215DC40011508147680014004C4010005841023883A0489C4046B -:2012200008147E809009883A081689C0014000C4010005C408147E809009883A081689C027 -:20122800017FE004894AB03A29403FCC8009883A08147E800100FA04081689C089401FCC92 -:201230008009883ADFC00317DC800217DC400117DC000017DEC0040408147E81DEFFFE045E -:20123800DC0000150409C4048009883ADFC00115081689C0014004440100058408147E803C -:201240008009883A081689C001400084010005C408147E808009883ADFC00117DC0000173E -:20124800DEC00204081689C1DEFFFE04DC0000152821883A21403FCC01000484DFC00115F5 -:2012500008147E8081403FCC010004C4DFC00117DC000017DEC0020408147E8121403FCCFA -:2012580001000F4408147E8121403FCC0100044408147E81DEFFFE04DFC00115DC000015B2 -:20126000214000C32021883A0100028408147E80814001030100024408147E80814001437B -:201268000100020408147E80814000030100034408147E80814000430100030408147E80F9 -:2012700081400083010002C4DFC00117DC000017DEC0020408147E81DEFFFB04DC400115DC -:20127800DC0000152023883A2021883A01000104DCC00315DC8002153027883A2825883A08 -:20128000DFC0041508147680017FFE049CC03FCC1144703A9800092680FFFFCC0101FFC4C6 -:2012880020C006361140005429403FCC0100010408147E808423883A0000030611403FCC23 -:201290000100010408147E808C7FFFCC880AD13A0100004429403FCC08147E80880A913A7B -:201298000100008429403C0C08147E8001006734917FFFCC213F30040815E080880B883A09 -:2012A0001009883A0815EC400140FA041009883A0815E08000E327D41880092E00C0007492 -:2012A80018C45BC41880082E00C000B418C3D5C41885403A00C000C41885C83A0000030632 -:2012B0000005883A000001060080004414003FCCD0A022041405883A1100000301400A0499 -:2012B8000815EC408808D07A880B883A1109883A0815D100100B883A00C001C410803FCCD7 -:2012C0001880012E180B883A29403FCC800491BA280A90FA010000C4288AB03A29403FCC8E -:2012C800DFC00417DCC00317DC800217DC400117DC000017DEC0050408147E81DEFFFE0459 -:2012D000DC0000152021883A01000684DFC0011508147680017FFE8484003FCC00C0004423 -:2012D8001144703A80C0021E10800094000001061080029411403FCC01000684DFC00117A8 -:2012E000DC000017DEC0020408147E812140028BDEFFFE04DC000015280AD23A2021883A3D -:2012E800010012C4DFC0011508147E80814002830100128408147E808140030B0100134422 -:2012F000280AD23A08147E80814003030100130408147E808140038B010013C4280AD23A28 -:2012F80008147E80814003830100138408147E808140010B01001444280AD23A08147E8045 -:20130000814001030100140408147E808140018B010014C4280AD23A08147E808140018312 -:201308000100148408147E808140020B01001544280AD23A08147E808140020301001504B2 -:2013100008147E808140040B010015C4280AD23A08147E80814004030100158408147E8025 -:201318008140048B01001644280AD23A08147E80814004830100160408147E808140050B64 -:20132000010016C4280AD23A08147E808140050301001684DFC00117DC000017DEC00204C8 -:2013280008147E81DEFFFD04DC00001504000FC4DC4001152023883A8009883ADFC00215AC -:201330000814768000FFFC0410C4703A888AB03A29403FCC8009883ADFC00217DC40011767 -:20133800DC000017DEC0030408147E81DEFFFD04DC40011504400684DC0000152021883A10 -:201340008809883ADFC002150814768080C03FCC014000C428C7C83A180691BA10800FCC5D -:201348008809883A188AB03A29403FCCDFC00217DC400117DC000017DEC0030408147E8193 -:20135000DEFFFB04DCC0031504C00104DC4001152023883A9809883ADFC00415DC8002155F -:20135800DC0000152825883A08147680900B883A8809883A1021883A0815EC40108007CC14 -:20136000100A90FA840001CC9809883A2C0AB03A08147E8091403FCC89003FCC0815EC4022 -:201368001004D17ADFC00417DCC00317DC800217DC400117DC000017DEC00504F800283AF9 -:20137000DEFFFE04DFC00115DC000015081485000009883A0814B3C004000044800B883A48 -:2013780001000D4408147E8001002074211F24040814B8C0800B883A010004040814D40012 -:201380000009883A0814CA400009883A0814CEC001000184081495C0014000C401000D04D9 -:2013880008147E80010011040814968001402204010006C408147E80014002040100070444 -:20139000DFC00117DC000017DEC0020408147E81DEFFFD04DC0000152021883ADC400115D0 -:2013980084003FCC044004048809883A802090FADFC0021508147680108001CC808AB03AC4 -:2013A00029403FCC8809883ADFC00217DC400117DC000017DEC0030408147E8121003FCC9B -:2013A80020001A26DEFFFD04DC400115010009842823883A01402004DC000015DFC002150E -:2013B0003021883A08147E8089403FCC0080004428800426008002042880041E81401684DB -:2013B8000000030681400244000001068140060429403FCC01000C44DFC00217DC40011782 -:2013C000DC000017DEC0030400000206000B883A0100098408147E81DEFFF704DCC003156B -:2013C8002827883AD9400917DDC00715DD800615DD400515DD000415DC800215DC400115B8 -:2013D000DC000015DFC0081524003FCC008000443029883A382B883ADC400A17DC800B1762 -:2013D800DD800C172DC03FCC808008260080020480800D1E29400C8429403FCC01000144EB -:2013E00008147E800140080400000C062940008429403FCC0100014408147E8001400184ED -:2013E8002809883A000006062940018429403FCC0100014408147E80014004040100018455 -:2013F00008147E80B80D883A800B883A010000440814E9C0B1003FCC08149680008004046F -:2013F8008080021E0140030400000106014002040100088408147E80A17FFFCC993FFFCCEA -:20140000A9803FCC08149D8091403FCC89003FCCDFC00817DDC00717DD800617DD400517C3 -:20140800DD000417DCC00317DC800217DC400117DC000017DEC0090408149281DEFFFD04C2 -:2014100029BFFF84DC400115DC000015DFC0021531803FCC0080004424403FCC2C003FCC52 -:2014180011800436008000848880071E8805883A000008068800061E8005003A00C00084AC -:201420001885C83A000003060005883A0000010600800084880A913A8C47883A10803FCCA5 -:20142800100491BA18C7883A28CAB03A214AB03A288AB03A29403FCC0100064408147E80FE -:20143000008000C48080041E014017440100040408147E80000012060140160401000404FB -:2014380008147E800080008488800D1E0080004414000B368080021E01401484000001062A -:20144000014014C40100038408147E800100FA04081689C0010005040814768000000B063E -:20144800014016C40100038408147E800100FA04081689C00100050408147680008000C401 -:201450008080021E0140040400000106000B883A0100060408147E80000B883A01000D84BB -:20145800DFC00217DC400117DC000017DEC0030408147E81DEFFFD04DC4001152023883AC0 -:2014600001000504DC000015DFC002152821883A081476808C403FCC00C0008488C00A1E13 -:2014680081403FCC00C0004428C0031E1080240C10802420000006062800031E1080220CE4 -:2014700010802220000002061004D07A1080004CDFC00217DC400117DC000017DEC00304C4 -:20147800F800283ADEFFEC04DC000A15040020B484243A0400800104D880070D8080070379 -:2014800002C00204028010045884983A00C100040188000412C4B03AD880078D80800743F8 -:2014880083800B03020020B45084983ADFC01315DF001215D880080D80800783DDC0111530 -:20149000DD8010151884983ADD400F15DD000E15D880088D808007C3DCC00D15DC800C1519 -:201498003084983A2027883ADC400B15D880090DD8800984D880001580800844D8800115EF -:2014A00080800884D8800215808008C4D880031580800904D8800415D880051580800944B8 -:2014A800D8000985D880061542246D040009883A03C0400407C400040500800404800404BE -:2014B000054020040580080405C001040700004440C00043024001842023883A19803FCC5A -:2014B800408000034980C336301290BA030020746314C0044B13883A4A4000174800683A86 -:2014C0000081536400815364008153640081531C008153300081534C0081536402401004C2 -:2014C800DA40080D02410004DA40088D00000D0672403FCC4800021E027FFBC4000006065B -:2014D000030000844B00071E0000AA0672403FCC4800031E027FFEC44884703A000001066F -:2014D8004F00A42611C4703A10803FCC1000A1263185883A1085883AD885883A1080001755 -:2014E0004240008B108000031085883AD885883A1300070B6258703A60BFFFCC1000952698 -:2014E8004A40004C2B403FCC4A40005C6A40911E427FFE8B4A4007844CC08E3603400044A3 -:2014F000024020B480000385800003C583400405800004858300050D4A643A0413C05E26C9 -:2014F80078800E3614804B269080033615C0212612C0272600007F0612804A2615405126BC -:2015000015807C1E008000448080030500800084808003450080018400005A0617C05D26C5 -:20150800F8800A3600C1000410C058260242000412404F2615006F1E00800084808003053B -:20151000808003450080014400004E0603100004130056260360001413405D2600C800049B -:2015180010C0641E00800104808003058000034500005D0618C03F4C18C0006048000305BE -:201520004800034548C0038548C003C500005F06008000448080030580800E03014020B464 -:2015280029643A041000081E18BFFFC410803FCC01C00084388004360080008429C0034501 -:2015300028800405000001068000034501400084008000443140192618FFFF0418C03FCCE5 -:2015380010C0162E008001843080081E014006840815EC4000C020B418E46B0410C5883AFA -:201540001080000B10812C3000000C060005883A00000A0600800044488003050080008482 -:2015480000000F06008000844880030548000345008000C43080351E008000448080038577 -:20155000000032060080008480800305008000448080034500002D06008000844880030524 -:2015580048800345008001044880040500002706008000C480800305008000848080034548 -:20156000008001048080040500002006008000C4003FE506008000C4488003050080008431 -:201568004880034500800144003FEF0600800104488003050080008448800345008001442C -:201570004880040500801E044880048500000F0600800104808003050080008480800345A9 -:20157800008001848080040500801E04808004850000060621000044008007044200068452 -:2015800020BF331E00BFFFC4000001068805883ADFC01317DF001217DDC01117DD80101729 -:20158800DD400F17DD000E17DCC00D17DC800C17DC400B17DC000A17DEC01404F800283A74 -:2015900020803FCCDEFFF9041080201CDC400415DFC00615DC800515DC00031510BFE004DE -:201598002823883A10000A0E2025883A000B883A01001DC408156400D880028510C03FCC0D -:2015A0000100004420C02E3694001FCC000001062021883A0815C500014001040009883A26 -:2015A8000815C1C00815C3408804D63ADC000005DC400105D88000458804D43A84003FCC00 -:2015B000D88000858804D23AD88000C50080100480800426008012048080041E00BFE1C4AF -:2015B8000000030600BFE544000001060080004401400184D809883AD88001450815C080F3 -:2015C000010001440815CAC01021883A0440004401400044D90002840815C1C0D880028740 -:2015C800100003168000051E0815D080000003060815CE80147FF626003FFA06D88002838B -:2015D000DFC00617DC800517DC400417DC000317DEC00704F800283ADEFFF604DC000515CA -:2015D800000B883A2021883A01001244DFC00915DCC00815DC800715DC4006150815640026 -:2015E00010803FCC100002260005883A00004306010001440815CAC01023883A048000445E -:2015E80004C03FC401400044D90004840815C1C0D880048314C003268800051E0815D080A4 -:2015F000000003060815CE8014BFF626003FFA06D8C0048300803F8418BFEB1E014004842E -:2015F800D809883A0815C1C08080010310C0008C18001326D8800183D8C001C3D94001434C -:20160000108000CC1004923A294003CC10C8B03AD88002031006D1BA2105883A1085883A57 -:20160800D900028310C4B03AD8C002432008D1FA18C000CC18C7883A20C8B03A00001006A9 -:201610001080010C10000B26D88001C3D9000203000B883A10800FCC1004923A1104B03ACB -:201618001006923AD8800243010004441884B03A00000306000B883A0009883A0005883AFC -:2016200010BFFFCC10C0004421003FCC28803FCC2085883A10BFFE441884983ADFC0091778 -:20162800DCC00817DC800717DC400617DC000517DEC00A04F800283ADEFFF604014020748A -:20163000DCC00715018002842027883A295FE604D809883ADD000815DC400515DFC00915D0 -:20163800DC800615DC000415050000C40815F1C0044000440815BEC00815C5000815C900A4 -:2016400001400284D809883A0815C080000B883A98000015010010040815640010803FCC18 -:201648001440511E01406A84010012040815640010803FCC1440271E01400104D90002841F -:201650000815C1C0D88003031440471ED8C0034300802A841880441E0100FA040815CAC019 -:201658000815CE80144003260815CE8014400C1E000006060150003401003A440815640010 -:2016600010803FCC103FF61E003FF706000B883A01001E840815640010803FCC100002266C -:201668000021883A0000090601400104D90002840815C1C0D88002831080100C1000021E74 -:201670000400010400000106040003040815D08000002606000B883A01003A4408156400D9 -:2016780010803FCC8880032E0400004404801044000002060400008404BFFA4401003E840A -:201680000815CAC094803FCC0815CE80144004260815CE801000081E0021883A000006060B -:20168800000B883A9009883A0815640010803FCC103FF51E003FF6060815D080000B883A27 -:2016900001001EC40815640010803FCC100001260021883A014080040100140408156400C2 -:2016980010803FCC100001260021883AA0BFFFC41029883A10803FCC84803FCC10000A2676 -:2016A000903F9C26008000449C000105988000159809883A0815758010BFFFC498800215D0 -:2016A8000815C74000000106903FF61E0815C3009005003ADFC00917DD000817DCC00717F0 -:2016B000DC800617DC400517DC000417DEC00A04F800283A20800217DEFFF804DC000115E8 -:2016B800DFC00715DD400615DD000515DCC00415DC800315DC400215DC00081711803136D9 -:2016C00084BFFFCC90002F26208001032827883A3823883A1080020C300B883A1000011E7B -:2016C800300A927A010014440815640010803FCC100002260400008400001F060100190444 -:2016D0000815CAC00500004405403FC401400044D809883A0815C1C0D88000031540052621 -:2016D8000815D080D8C0000300803F8418BFF11E000003060815CE80153FF426003FF806A2 -:2016E000008080841445C83A897FFFCC1421C83A280002260009883A0815C1C0900B883AEB -:2016E8009809883A0815C1C0817FFFCC0009883A0815C1C00021883A0815C3008005883AA3 -:2016F00000000106008000C4DFC00717DD400617DD000517DCC00417DC800317DC4002173D -:2016F800DC000117DEC00804F800283A018001B401406734010020B43186A004297F3004BC -:20170000210410040816F681280D883A200B883A010020B42104100408170BC1280D883A27 -:20170800200B883A010020B421041004081707C1F800283AD0A23B0300C020B418C4380429 -:2017100010801FCCD0A23B0518800035F800283AD0E23B0300BFE0041884B03A00C020B4B8 -:20171800D0A23B0518C4380410803FCC18800035F800283A01800A7401406734010020B475 -:20172000318CB804297F3004210410040816F681018001B401406734010020B43186A00444 -:20172800297F3004210410040816F681D0A2451710000B1EDEFFFF04015A5E04213FFFCC28 -:20173000DFC000150815EC40D0A2451508168E400005883ADFC00017DEC00104F800283A6A -:2017380000800044F800283ADEFFFF04DFC0001508169200D0E2451710C5803ADFC00017DC -:20174000DEC00104F800283AD0224515F800283A20001B16000F883A28001616200D883A81 -:2017480029001A2E0080080400C000440000010610000D26294B883A10BFFFC418C7883ACD -:20175000293FFB360005883A180007260005883A31400236314DC83A10C4B03A1806D07ABE -:20175800280AD07A183FFA1E380001260085C83AF800283A014BC83A39C0005C003FE70677 -:201760000109C83A01C00044003FE30600C00044003FEE0620001716000F883A2005883AF4 -:20176800280012162900162E0180080400C000440000010630000A26294B883A31BFFFC4C3 -:2017700018C7883A293FFB36180005261806D07A114001361145C83A280AD07A183FFB1EA8 -:20177800380001260085C83AF800283A014BC83A003FEC060109C83A01C00044003FE70655 -:2017800000C00044003FF106200D883A2900152E280014160080080400C0004400000206CA -:2017880010000E2628000516294B883A10BFFFC418C7883A293FFA36180008260005883AAC -:2017900031400236314DC83A10C4B03A1806D07A280AD07A183FFA1EF800283A0005883ADE -:20179800F800283A00C00044003FF4062005883A2900122E280011160180080400C000446A -:2017A0000000020630000C2628000516294B883A31BFFFC418C7883A293FFA361800062616 -:2017A8001806D07A114001361145C83A280AD07A183FFB1EF800283AF800283A00C0004435 -:2017B000003FF7060005883A2000072620C0004C2008D07A180001261145883A294B883A9E -:2017B800203FFA1EF800283AF800283A218D883A218008262080000328C0000310C0022621 -:2017C00010C5C83AF800283A2100004429400044003FF7060005883AF800283A2005883A82 -:2017C8002007883A218D883A198005262900000318C0004429400044193FFFC5003FFA0694 -:2017D000F800283ADEFFF504DFC00915DC400815DC000715D9C00A15008020B410A1FD041D -:2017D800144000172800040E008022C48880001500BFFFC400001C0600C08204D8C0000D3A -:2017E000D9000415D90002152800022628FFFFC4000001060007883AD8C00515D8C003159B -:2017E8001100001700FFFFC4D8C0008D00C0207418D99B042821883AD9C00A04D80B883A97 -:2017F000D8C00115D80006150816140000FFFFC410C0020E00C022C488C0001580000226B9 -:2017F800D8C0041718000005DFC00917DC400817DC000717DEC00B04F800283A300015269B -:2018000031BFFFC4218D883A2080000328C0000311C03FCC1A003FCC39C0201C4200201C63 -:2018080039FFE004423FE0043A00061E21800426380003262100004429400044003FF2066C -:201810001007883A18C03FCC10803FCC10C5C83AF800283A0005883AF800283A2005883A28 -:20181800200F883A30000C262A00000338C0004431BFFFC43A00000542003FCC4200201C37 -:20182000423FE00429400044180F883A403FF51E198D883A00000106F800283A30C0032639 -:201828001800000518C00044003FFC06F800283A214B883A2005883A1140021E1105C83A2E -:20183000F800283A10C00007183FFC2610800044003FF906DEFFFB04DC800315DC40021559 -:20183800DC000115DFC004152025883A2823883AD98000053821883A04000A0E888001171D -:2018400001C00044D80D883A880B883A9009883A103EE83A843FFFC4103FF72600BFFFC448 -:20184800000001060005883ADFC00417DC800317DC400217DC000117DEC00504F800283A58 -:20185000DEFFE504D8C00804DDC01815DD801715DD401615DD001515DCC01415DC80131523 -:20185800DC401215DC001115DFC01A15DF0019152029883A2823883A382D883AD9800F1594 -:201860000021883AD8000E15D8000A15002B883A0027883A0025883AD8000C15D8000B15E5 -:20186800002F883AD8C00915D8C00F171900000320803FCC1080201C10BFE00410011E2660 -:2018700000C00044B8C014261DC00216B80006260001150601400084B9401D26014000C4A7 -:20187800B9402B2600011006014009441140FC2688800117D900000501C00044D80D883A44 -:20188000880B883AA009883A103EE83A1000D81E840000440001040601400C041140FA267D -:201888000140094411400A1ED880000588800117B80F883AD80D883A880B883AA009883ACC -:20189000103EE83A1000CA1E840000440000F50625FFF404BDC03FCC00C002441DC0093647 -:2018980000BFFFC490800426014002849009883A0815EC40000001060005883AB8A5883A1C -:2018A0000000E20601400B841140E42605C00084213FF40427003FCC00C002441F000936DE -:2018A80000BFFFC498800426014002849809883A0815EC40000001060005883AE0A7883AD2 -:2018B0000000D90600C01B0410C0D226013FFFC499000226D8000B150000010604C00044C7 -:2018B80001001A441100162620800916010018C41100882601001904110011260100160488 -:2018C0001100C81E00C00044D8C00E150000150601001CC4110098262080041601001BC4ED -:2018C8001100C01E0540020400000F0601001D4411000D2601001E0411000A260000B906E8 -:2018D000D8C00A17B700010418000726DF000D15B5C00017B800080E05EFC83A0240004467 -:2018D8000000060605400404B0C00104D8C00D15B5C00017D8000A150013883AD839883A3D -:2018E000B8001726A80B883AB809883ADA4010150815E080A80B883A1009883A102D883AF0 -:2018E8000815EC40B885C83A00C00244DA4010171880021610800C0400000506D8C00E17F9 -:2018F0001800022610800DC400000106108015C4E0800005B02F883AE7000044003FE80669 -:2018F800E6EFC83A9DC5C83A0080090EE085883A01400C04D8C00917E009883AE0C0032E52 -:20190000E700004421400005E0BFFA1EE6EFC83AD8C00B174DD1883A922DC83A18001626F9 -:2019080048000A2600800B44D88008058880011701C00044D9800804880B883AA009883AC9 -:20191000103EE83A10004A1E840000440580070EB00F883A01800C04880B883AA009883A9B -:2019180008160D401000421E85A1883AE02D883ABF2FC83A000020060580090EB00F883AEA -:2019200001800804880B883AA009883ADA40101508160D40DA4010171000351E85A1883A24 -:20192800483FF22600800B44D88008058880011701C00044D9800804880B883AA009883A82 -:20193000103EE83A10002A1E84000044003FE706B5BFFFC4B080000301C00044D980080407 -:20193800D880080588800117880B883AA009883A103EE83A10001E1E8585C83AB5C9883A42 -:20194000E085883A013FF2161021883ADD800D1700004406008000441480080E95FFFFC495 -:20194800B80F883A01800804880B883AA009883A08160D4010000E1E85E1883AB080001793 -:2019500001C00044D80D883AD880000588800117880B883AA009883AB5C00104103EE83AA4 -:201958001000031E84000044B82D883A00002D0600BFFFC400003106B5C00017B70001049B -:20196000B809883A081677409091C83A102D883A0200090E400F883A01800804880B883AE1 -:20196800A009883ADA00101508160D40DA001017103FEF1E8221883A88800117B00F883A27 -:20197000B80D883A880B883AA009883A103EE83A103FE71E85A1883AE02D883A000011067E -:2019780000C0004404FFFFC4D8000E15D8C00A15054002849825883AD8000C15D8000B1598 -:20198000182F883A00000806DDC00B1505C000840000050600C00044D8C00C1505C000C4D9 -:2019880000000106002F883AD8C00F1718C00044D8C00F15003EDC068005883ADFC01A177A -:20199000DF001917DDC01817DD801717DD401617DD001517DCC01417DC801317DC401217EC -:20199800DC001117DEC01B04F800283A2880000B10C0020C1800202628C0008FDEFFFD04D0 -:2019A000DC000015DFC00215DC4001152821883A1800150E10C0800C180013262C400517D3 -:2019A80089C0030E10C0200C1800032600000E063C40010E3823883A81000417300B883A33 -:2019B000880D883A08167140808005171445C83A80800515808004171463883A844004152F -:2019B8000005883A00000606108010148080000D00BFFFC40000020600BFFFC4F800283A15 -:2019C000DFC00217DC400117DC000017DEC00304F800283A2005883A218F883A290002366F -:2019C8001007883A00000C062987883A20FFFC2E380B883A30CDC83A1989883A20000526A6 -:2019D00018FFFFC419000003297FFFC429000005003FF906F800283A19C0052629000003A0 -:2019D80018C0004429400044193FFFC5003FFA06F800283A2005883A10C000071800022673 -:2019E00010800044003FFC061105C83AF800283ADEFFFF0401002074014020B4DFC0001522 -:2019E800211FF104296201042140061E010020740140207421000804294008042140121EF8 -:2019F00000000B0600C020B418E201041907C83A0005883A10FFF526114F883A39C00017EE -:2019F800110D883A1080010431C00015003FF9060100207401402074211C7D04295C7D04E8 -:201A00002140101E00000B0600C0207418C008041907C83A0005883A10FFF526114F883AB9 -:201A080039C00017110D883A1080010431C00015003FF90608171780DFC00017DEC00104E6 -:201A100008171E8100C0207418DFF1041907C83A0005883A18BFF726114F883A39C00017A9 -:201A1800110D883A1080010431C00015003FF906DEFFFF040009883ADFC0001508168A00EE -:201A200008168C00D1A24617D1624717D1224817DFC00017DEC00104081260C108170F8106 -:201A2800DEFFFF04DFC0001508171EC0008000441001703ADFC00017DEC00104F800283ADB -:201A3000008020B410840004D0A24A15010020B40080673410BF30042121A304D0A249152D -:201A38000816D881D0E24917D0A24A1718000A2610C001040100020419000035013FFFD4AD -:201A4000110002351100033500800104188000350005883AF800283A00BFFFC4F800283AA6 -:201A4800D0A2491710000926D0E24A1718800404100000351080003718C005371806943AAE -:201A500010BFFFCC1884303AF800283A00BFFFC4F800283AD0A24917F800283A20001D2611 -:201A58002804923A20C03017DEFFFD04DC400115DC000015DFC002152823883A2021883A88 -:201A6000108000D41880033580C03017188000371080004C100003260100004408170F80D4 -:201A6800003FF906198000373007D0BA3009D0FA18C001CC2100020C1908B03A3007D07A2C -:201A700018C0040C1906B03A88C0042600BFF4840000020600BFFA84F800283ADFC0021765 -:201A7800DC400117DC000017DEC00304F800283A20000A26280009263000082620800C1760 -:201A80003080001520800C171000062621000D04290000150005883AF800283A00BFFA84B4 -:201A8800F800283A00BFFEC4F800283A2005883A20001D262809883A28001B1610C031175B -:201A900028C0192E1140341728FFFFC41906703A1800151EDEFFFE04DC000015DFC00115E8 -:201A98001021883A0815E0801004923A00C0403418FFC00410C4703A80C0301710800094A6 -:201AA0001880033580C0301718C00404188000371080004C10000626008000441880003577 -:201AA80000BFFEC40000020600BFFA84F800283ADFC00117DC000017DEC00204F800283A56 -:201AB000DEFFF504DC000115DFC00A15DF000915DDC00815DD800715DD400615DD00051531 -:201AB800DCC00415DC800315DC400215DC000B1728003A163023883A300038162027883AA0 -:201AC00020003626382B883A38003426208031173080322E2880312E208034173147C83A6F -:201AC80010C7C83A1C002D3680002C1610BFFFC4114A703A2800291E0029883A05BFFFC46C -:201AD00005C000C40700010480002226DD800015890000CC20000626E105C83A8025883A37 -:201AD8001400012E1025883A8923C83A00000406BC0002368025883A000001060480010411 -:201AE000AD0B883A900D883AD909883A0815F1C098802E17D8C00017A4A9883A84A1C83A59 -:201AE8008885883A10C00035988030171080040410C0003718C0008C1800042600C0008422 -:201AF00010C0003500BFFEC4000005068C400104003FDD060005883A0000010600BFFA8447 -:201AF800DFC00A17DF000917DDC00817DD800717DD400617DD000517DCC00417DC80031778 -:201B0000DC400217DC000117DEC00B04F800283A20001626DEFFF604DD000515DFC00915AE -:201B0800DDC00815DD800715DD400615DCC00415DC800315DC400215DC0001153029883AE9 -:201B100030000C262021883A20802E1780C02F172823883A2885883A382B883A3889883AC1 -:201B180010C0042E20C005360000020600BFFA84F800283A00BFFA8400002406814034177E -:201B20008809883A002D883A0815E080102F883A80803317B8801C2EA8001B2684C03417A1 -:201B280004E7C83A9C66703A8CC002268CE5C83A000001060025883A980B883A8009883A7F -:201B30000816A2C01000111E8080341714A5C83AAC80012EA825883ADC800015A58F883A7F -:201B3800880D883A980B883A8009883A0816AC001000061EACABC83AB4AD883A8CA3883A1B -:201B4000BDC00044003FE2060005883ADFC00917DDC00817DD800717DD400617DD000517AD -:201B4800DCC00417DC800317DC400217DC000117DEC00A04F800283A200008263007883ADA -:201B50003000062620802E17288B883A20802F17394D883A2880012E3080023600BFFA8495 -:201B5800F800283ADEFFFF04380D883A1809883ADFC000150815F1C00005883ADFC000174A -:201B6000DEC00104F800283A20004E262080301710004E2620C03217DEFFFB04DFC00415AC -:201B6800DCC00315DC800215DC400115DC00001518001E1E1480023700C0030494803FCC0C -:201B700090BFFAC418804336100490BA00C0207418DB780410C5883A108000171000683A26 -:201B780000816E4C00816E5C00816E6400816E5400816E1400816ED400816ED400816ED4E5 -:201B800000816ED400816ED400816ED400816E1C00816E24044080040000130604410004B4 -:201B8800000011060442000400000F06148001370080058494803FCC90800A260080060409 -:201B900090800426008005049080221E0440080400000506044040040000030604401004DE -:201B9800000001060440200424C034172021883A8809883A980B883A0815EC4080C0311703 -:201BA00010C0021E80C0331788C0022680000C150000100600C000448480361580C00C15D0 -:201BA80080000D1580800E1584400F1584C01015D16025048009883A081717C00005883AA5 -:201BB0000000050600BFFA84F800283A00BFFB44F800283A00BFFB44DFC00417DCC00317AD -:201BB800DC800217DC400117DC000017DEC00504F800283A300001260005C03200FFFF0420 -:201BC0002005883A28C6703A110DC83A30C0042E11800017300DC0F210800104003FFA06D9 -:201BC800294000CC00C000C428C0041E10C0000B1807C0B2108000830000080600C0008469 -:201BD00028C0031E1080000B1005C0B20000040600C0004428C0021E108000031005C072DA -:201BD8000005C132F800283ADEFFFD04DC400115DC0000152823883A2021883A0140014404 -:201BE0003009883ADFC002150815EC40100B883A8809883A0815E08010BFFFC480C0020466 -:201BE80018000035010000448100043511003FCC810000351004D23A10803FCC80800135CE -:201BF0000080200418800035DFC00217DC400117DC000017DEC00304F800283A3180004C89 -:201BF800294B883A298B883A21400335210004040080240420800035208000371080008CEF -:201C0000103FFD1E208000371004D1FA1080004CF800283A20C004042800022600801A0498 -:201C0800000001060080080418800035188000371080008C103FFD1E20800337F800283AD3 -:201C100029403FCC214003352100040430000226008014040000010600800404208000352A -:201C1800208000371080008C103FFD1E208000371004D1FA1080004CF800283A21C004047A -:201C20002805883A02000B04210003041147C83A1980090E3A00003538C0003718C0008C70 -:201C2800183FFD1E2800022620C0003710C0000510800044003FF506F800283A21C003049E -:201C30002805883A21000404020007041147C83A1980080E10C0000338C00035220000350F -:201C380020C0003718C0008C183FFD1E10800044003FF606F800283A014AAAF4DEFFFE046E -:201C4000296AAA84DC000015DFC001152021883A0815E08010000F260160003401355574C4 -:201C48000007883A297FFFC421155584297FFFC4283FFE1E18C000448121883A18BFFB16E3 -:201C50008405883A1421883A843FFFC4803FFE1E000004068405883A1421883A843FFFC4FF -:201C5800043FFE160005883ADFC00117DC000017DEC00204F800283AF800283A2000022604 -:201C6000208002171000101ED0A0241710000926DEFFFF04DFC00015103EE83A00C0058436 -:201C680010C0001500BFFA84DFC00017DEC00104F800283AD0A24B0400C0058410C0001598 -:201C700000BFFA84F800283A288000172140011520800015288000171100011529000015AE -:201C78000005883AF800283AF800283A000170FAF800283A73616C467265206800657361EE -:201C80006F727265642520720000000073616C4672772068006574696F727265000000720E -:201C880073616C4665762068206669726C6961660000000053206F4E6163204464206472A4 -:201C9000002E74652520732500632575252E75256B75322E25207A482E252E757A487532C0 -:201C98000000000064616F4C0000646564616F4C696166200064656C00007325746F6C53A4 -:201CA00000752520657661530000006465766153696166200064656C4353534F776620200E -:201CA8007525202E322E252E0000617574696E49727265202020726F000064252020202084 -:201CB00053204F4E00434E59343130323130322D6D20203773717261000000007473655458 -:201CB800746170206E726574000000003A31564142475220000000533A3156417347522070 -:201CC000000000423A31564162505920000072503A32564162505920000072503A325641E0 -:201CC80073475220000000423A33564142475220000056483A33564142475220000000539F -:201CD0003A33564173475220000000423A33564162505920000072507473614C6573752090 -:201CD80000000064008172DC008172EC008172F800817304008173100081731C00817328C7 -:201CE00000817334008173400081734C0081735800000000000100000101000000010001F7 -:201CE800000000000110000044060B00000100030001000080808000001A1A1A7365725009 -:201CF00000000073666E6F43006D72696D73694D686374616572202C00797274646F4D5665 -:201CF8007325203A00000000203A434C56207525203A4D5300007525656E694C746C756D03 -:201D0000646F6D2000003A65736572702D312073000000352078752575736E75726F707026 -:201D080000646574666F725020656C6964616F6C0000003A736572702D30207300000039D0 -:201D100000000031000000320000003300000034000000360000003700000038554E454D0F -:201D18000000000000004B4F4B43414200000000000050554E574F44000000005446454CF8 -:201D20000000000048474952000000544F464E49000000005F44434C4B4341424847494C92 -:201D2800000000544E414353454E494C444F4D5F000000454E414353454E494C5059545FCD -:201D3000000000454E414353454E494C544E495F0000002B4E414353454E494C544E495FF5 -:201D38000000002D454E494C544C554D444F4D5F000000455341485000002B4553414850A8 -:201D400000002D45464F52505F454C494B544F4801005945030F031F3E2903033E693EA99D -:201D48003E193EE93E593E993E393ED93E4D3EC93EED3E1D3ECD3E2D3E6D3EAD3E013E65FB -:201D50001C181C481CD01C505E581CC83EB95ED80081744000817444008174480081744CD0 -:201D5800008174100081745000817454008174580081743C00817B9C0081745C0081746418 -:201D60000081746800817470008174740081747C008174840081748C00817494008174A4AB -:201D6800008174B4008174C4008174D4008174E4008174F4008174FC008175044353534F4B -:201D70000000000061766E492064696C67616D690000006561766E492064696C64616568F0 -:201D78000000726561766E492064696C2072646800435243696C6156697461646420676ED0 -:201D80000061746162207525736574790000000061766E492064696C6174616443524320B3 -:201D880000000000252E75252575322E00732573636E6143656C6C65000000646164705544 -:201D9000676E69740057462061656C70772065732E74696100002E2E697265566E6979669F -:201D98006C6620670068736172746552676E6979647075200065746161647055203F6574DD -:201DA0002C593D314E3D322000000000202020200000752564206425000067656C2075253A -:201DA80073656E6900000000252E75252075322E000073756D20642500000056702075250C -:201DB0006C657869000000732525752500000000656469566E69206F6F7270203E202063CA -:201DB80000000000706D6153676E696C74706F203E20202E00000000636E795374706F20A1 -:201DC0002020202E3E202020000000007074754F6F207475202E74703E20202000000000E7 -:201DC80074736F506F72702D20202E633E20202000000000706D6F436269746174696C6987 -:201DD0003E2020790000000069647541706F206F6E6F69743E20207300000000616F4C3C08 -:201DD800727020646C69666F003E20657661533C727020656C69666F003E20657365523C78 -:201DE00073207465697474653E73676E00000000657365526F6420740000656E2E77463C4B -:201DE80064707520206574613E202020000000002D204B4F736C70207365722074726174FF -:201DF000000000006C696166000064656E776F446D61732D6E696C700000006770617753B3 -:201DF80066656C2069722F74007468676C6C75462058542075746573000000702033564119 -:201E000065746E6963616C7278696665000000006E616353656E696C000000736E6163539F -:201E0800656E696C727473200000002E6E616353656E696C70797420000000656E616353D7 -:201E1000656E696C696C61202E6D6E670000000069726F48746E6F7A6D206C61006B73614E -:201E1800747265566C61636973616D200000006B6B73614D697262206E74686700737365BF -:201E2000703034323838322F727020700000636F703438336F72702000000063693038349F -:201E28003637352F727020690000636F703038343637352F727020700000636F6930363963 -:201E30003830312F7020693000636F72656E694C6D2078320065646F656E694C6D207833A6 -:201E38000065646F656E694C6D2078340065646F656E694C6D2078350065646F656E694CD7 -:201E400066207835616D726F00000074783635322030343265707361000074636D205854A8 -:201E48000065646F494D4448435449200000000074696E49206C616975706E690000007406 -:201E50006C616E417320676F20636E790046504C6C616E417320676F20636E7900687456C0 -:201E58006E7973486F7420636172656C0065636E6E797356687420636873657200646C6F88 -:201E60004C502D487250204C6F432D65007473614C502D486F50204C432D74737473616F4D -:201E680000000000706D6153676E696C616870200000657370303834206E6920706D61731A -:201E70000072656C6F6C6C41565420775048205078324C4C000000007664413C6974202E7A -:201E7800676E696D3E2020200000000065646956504C206F00000046506250596E69207244 -:201E80006C6F43200061705372502F5266666F200074657320592F477366666F0000746580 -:201E880062502F4266666F200074657372502F52696167200000006E20592F476E696167E0 -:201E90000000000062502F42696167200000006E73202E486C706D6174617265000000658C -:201E980073202E486C636E7900006E6562202E48706B63616863726F0000000061202E485E -:201EA000766974630000006573202E566C636E7900006E6562202E56706B63616863726F16 -:201EA8000000000061202E5676697463000000652066664F207366283639203D297A486B7C -:201EB0000000000020207832207366283834203D297A486B0000000000706F5474746F421C -:201EB80000006D6F69726F48746E6F7A00006C61747265566C6163690000000065746C4144 -:201EC00074616E7200676E690066664F6F74754100000000756E614D00006C61494D4448DB -:201EC800000000000049564400373A38737361507572687400000000656E694C00007832E2 -:201ED000656E694C2820783229626F6200000000656E694C282078336563616C000029647F -:201ED800656E694C2820783429626F6200000000656E694C00007833656E694C00007834AB -:201EE000656E694C000078353032393138303178000000303030363130323178000000309E -:201EE800303239313032317800000030656E65472063697200333A34783032332030343292 -:201EF0006974706F00002E6D78363532203034326974706F00002E6D656E6547206369727C -:201EF800393A363100000000484D33336D28207A00296E69484D30316D28207A00296465AF -:201F00004D352E3228207A482978616D00000000205654447030383400000000415345561D -:201F08003034362030383478003036402E63655231303620000000002E636552393037203E -:201F100000000000484D35394828207A2056544400294949484D35334828207A20565444C6 -:201F180000002949484D36314528207A29565444000000007A484D39445328200029565423 -:201F200000006E4F44525355004154410000002000817C2C0000200020002CE5E926F4FD36 -:201F280038BC20000000000000817C38000020002000323EF113FA043B61200000000000E2 -:201F300036333531303432780600000007FE00F00FEA010601120396323120023278303876 -:201F38000000303400F005000106061803480FAA04420112783036390030343203C000003E -:201F4000049200F00F8001060112033632330082343278300000003000F00140010601AA11 -:201F4800031F0E3149100112783635320030343201000000015500F00E270106011203194F -:201F500034329220000070300000000000F002D00106035A033E0F39000E0112363335311A -:201F5800303432780600004C07FE00F029EA01380112039632312002327830380000383815 -:201F6000012005000138061803480FAA04420112783036390038383203C000000492012054 -:201F68000F80013801120336323300823432783000424C3000F00140013801AA031F293101 -:201F700049100112783635324C30343201000042015500F029270138011203193832922091 -:201F78000000703800000000012002D001380360033F1345000E0112703438330000000048 -:201F800001F00000028001801D3201A70204033E3436000E3833783000000034018002804D -:201F880001EC032002603F30000E0210693038340000000002D00000035A00F00F39020DBD -:201F90000312033E3834044F000070300000000001E002D0020D035A063E1E3C000C04149B -:201F9800783034360030383402800000032001E02130020D051402603436000C3135783096 -:201FA00000000032020002800238032002601C3000040010693637350000000002D000006F -:201FA80003600120134502710312033F3735044F0000703600000000024002D00271036024 -:201FB00005402744000C04047830303800303036032000000420025817580274001004808D -:201FB80032370004000070300000000002D0050002EE0672052814DC0004001834323031BD -:201FC0003836377804000000054003001DA003260010068832310004317830380034323006 -:201FC80004000500042A0698037026F800040010783034366930363902800000032001E0DF -:201FD0002130041A061402603031000D0069303800000000021C078004650898052C109444 -:201FD800000D061830383031000000700780000008980438249404650018052C363100044D -:201FE000317830300030303204B0064004E2087003F12EFF00040010FFFFFFFFFFFFFFFFC1 -:201FE8000000FFFF7665642F6370652F6F635F716F72746E72656C6C615F305F6D5F6C76F5 -:201FF00000006D6500000000000000000000000000000000000000000000000000000000FF +:20097800F800283ADEFFFF04DFC000150811D10008148F00008020B410A43544110004C383 +:20098000DFC00017DEC00104081118C1DEFFF504DFC00A15DF000915DDC00815DD80071548 +:20098800DD400615DD000515DCC00415DC800315DC400215DC0001150812498010001B16AE +:200990000080134401802074010020B4000F883A319CAB040140044421241744D8800015A3 +:200998000815F58001402074010020B401800444295CB704212431040815F300081107C090 +:2009A0000100023421284804058020B408168B00B5A43544B08009030100024410C03FCC39 +:2009A80020C01136D0A23D0500000F0601802074010020B4100F883A319CB004014004446A +:2009B000212417440815F58001402074010020B401800444295DB6042124310408160700A3 +:2009B800081107C0003FFF0605C020B4070020B4002B883A0021883A0029883A0023883AE7 +:2009C0000025883ABDE42004E724354400C020B418C434041880003710FFFFCCD0E242158D +:2009C8000086303A1004D63A18C000ECD0E24315D0A244051000062610FFFF8418C03FCCC1 +:2009D000010000C420C0022ED0E2410310C0011ED02242150812CBC0D0A2448310000226EE +:2009D8000009883A08138C80B8C00583D0A23D0304C020B49CE420041880011ED0223D0534 +:2009E000D0E23D030100020418BFFFC410803FCC20804136100490BA010020742109EB04A6 +:2009E8001105883A108000171000683A008127D0008127E0008127FC008128100081282494 +:2009F0000081283C00812850008128600081287C008003C4D0A23E850021883A0000030673 +:2009F800008003C4D0A23E8504000084050000C4044000440025883A00002906008003C42D +:200A0000040000C4D0A23E858029883A003FF806008003C4D0A23E85040000C40500008464 +:200A080000000406008003C404000084D0A23E858029883A0023883A003FEE0600800404B5 +:200A100004000044D0A23E858029883A00000F06008003C4D0A23E850021883A00000A065A +:200A180004000084008003C4D0A23E85050000448023883A8025883A00000906008003C44F +:200A2000D0A23E85040000C405000044044000848825883A0000020618803FCC1000332625 +:200A280099400D0398C00585980002C528803FCC00C0004489003FCC1880042E00800144AA +:200A3000114BC83A29403FCC00000106014000C4081470000814864008107080D0A23F039E +:200A380010000226A1003FCC0814200081403FCC91003FCC081505009880058300C0207400 +:200A400018DCDE041085883A1085883A1885883A11400017010020B401800444212417440D +:200A4800980001150816070001402074010020B401800444295CB40421243104081607006C +:200A5000D0A244831000011E081107C0AD403FCCA8000526B0C00903008002841880021E39 +:200A5800010003C40813D68005400044B10004C398800A8320800426081118C0E08004C3BD +:200A6000B8000115B8800A85B08004C310000F1EE1C0050398800AC338800C26B88003C337 +:200A680010000226B8800383000001060005883AD8800015000D883A000B883A0009883AD6 +:200A7000081005C0B080050398800AC5A8803FCC1000342681403FCC91003FCC08113540D7 +:200A780010803FCC00C0008410C0272600C0010410C0032600C0004410C02A1E000026065C +:200A8000988002C310000926D0A23B0300C020B418C4380410800094D0A23B0510803FCC6D +:200A88001880003508125E4000001E06B800011508148640B880058300C0207418DCDE040B +:200A90001085883A1085883A1885883A11400017010020B40180044421241744081607006E +:200A980001402074010020B401800444295CB4042124310408160700D0A244831000091E7F +:200AA000081107C000000706988002C3100005260811D10000000306988002C3100001262A +:200AA800081192C0D0A2431701004B04D0223D05D0A24015D0A24403D0A2410508168B0092 +:200AB000003F120601402074010020B4DEFFFF0401800AC4295CE90421243544DFC0001512 +:200AB8000815F30001402074010020B40180B604295F160421244D840815F300008000449D +:200AC000D0A23EC50005883ADFC00017DEC00104F800283ADEFFF804DD000415DCC00315A4 +:200AC800DC80021505002074048020B404C020B4DD800615DC000015DFC00715DD400515B7 +:200AD000DC400115002D883A0021883AA51D5904948434049CE4400401402074010020B425 +:200AD80001800444295CF40421241304081607008405883A1085883AA085883A1140001746 +:200AE000010020B40180044421241B8408160700081106800023883A0540008490800037BB +:200AE80010BFFFCCD0A2421590C0003700C6303A18C000ECD0E2431510001A26B080192647 +:200AF0008407883A98C7883A88000A1E01402074010020B401800444295CF604212413047A +:200AF8001880000D08160700081106800440004400000C0618C0000B10C009260140207424 +:200B0000010020B401800444295CF8042124130408160700081106800023883A00000106AA +:200B080004400084D0A2401710000F1ED0E243170080007418800C1E8000091E01402074C1 +:200B1000010020B401800D84295D4B84212440040815F30004400084040006C40000020652 +:200B1800843FFF8404400084D0A24317D5A24217D0A240158D4003260109C40408168B00DB +:200B2000003FC6068400004400800684143FB20E010003C4DFC00717DD800617DD4005178D +:200B2800DD000417DCC00317DC800217DC400117DC000017DEC008040813D681DEFFEF0477 +:200B3000D88009C4D8800115008020B410A437C410C00044D8C003150140207410C0008422 +:200B3800D8800215D8C00415018001C410C000C4295D49C410800104D9000804DFC01015D2 +:200B4000DD400F15DD000E15DCC00D15DC800C15DC400B15DC000A15D80009C5D8C005158A +:200B4800D8C00615D88007150815F300D1224217014020B40005883A296440040180068452 +:200B50001087883A1947883A18C0000B044020B48C644004190003261181132610800044FA +:200B5800003FF70600C0068418810F36100490BA00C0207418CB610410C5883A10800017E1 +:200B60001000683A00812DF000812E0C00812E1C00812DF800812E1400812E2400812E04B0 +:200B6800008131A800812E2C00812E3400812E3C008131A8008131A8008131A8008131A802 +:200B7000008131A8008131A800812E6000812EDC00812EFC00812F2800812F7400812F546C +:200B780000812FA00081313C00813164008130B0008000440000010600800084D0A23D0525 +:200B80000000E906008000C4003FFC0600800104003FFA0600800144003FF8060080018416 +:200B8800003FF606008001C4003FF40600800204003FF20600800244003FF006D0A24483A3 +:200B90001007003AD0E244851000031E0100004408138C800000D406081107C00000D2064A +:200B9800008020B410A4200411000287014006840815ED8001C020B439E44D84018020748A +:200BA000010020B4388F883A319CFC0401400444212413040815F580008020B41084300477 +:200BA80011C0003710800037018020741005D43A39C1FFCC010020B4108000CC39C00044F3 +:200BB000319CFF040140044421241B84D88000150815F580081106800000B306D0A23B03E1 +:200BB80000C020B418C438041080041CD0A23B0510803FCC188000350000AB0600C020B462 +:200BC00018E43544188000030140004411003FCC290002361145883A000001060005883A1D +:200BC800188000050000A00600C020B418E43544188000430140004411003FCC29000236E4 +:200BD0001145883A000001060005883A1880004500009506008020B410A4354410C00083D3 +:200BD80019003FCC2000012618FFFFC410C0008500008D0600C020B418E4354418800083AC +:200BE0000140038411003FCC290002361080004400000106008003C41880008500008206E9 +:200BE80001402074010020B401800444295D0304212413040816070001402074010020B4C2 +:200BF00001800444295D070421241B8408160700048020B4050020B4054020B40811068099 +:200BF8009484340404C006C4A5244D84AD642004908000370021883A10BFFFCC8407883A1F +:200C00001C47883A18C0000B10C002268400004484FFFA1EA9000287014006840815ED80EA +:200C0800A085883A108005C300C001841880403600C001041C001916D90008042087883ADC +:200C100018C000031C07D83A18C0004C180007261085883A1085883AD8C001041885883AA1 +:200C180010800017140000050000310601802074010020B481C00044319D0A0401400444F1 +:200C200021241B840815F58008110680010002342128480408168B00000025060080030478 +:200C2800808023260109C40408168B00003FD00601402074010020B401800444295D0E04C8 +:200C3000212413040816070001402074010020B401800444295D120421241B84081607000B +:200C3800040020B40811068084043404048006C404C0024405000304808000370009883AFF +:200C400010BFFFCC2107883A1C47883A18C0000B10C01E1E99002016210000440140028401 +:200C48000815DA80010002C4D0A23D45081208C0081107C0D022448500001B0600C020B428 +:200C500018E43544188005830140078411003FCC290002361080004400000A060005883AFB +:200C58000000080600C020B418E435441880058311003FCC2000022610BFFFC40000010648 +:200C6000008007C418800585000007062100004424BFDC1E00000106253FE5260109C40470 +:200C680008168B00003FD406D0A240171000191ED0E243171880006C10000A26008020B4F6 +:200C700010A42004108005830100024411403FCC29000226108000440000010600800044E1 +:200C7800D0A23D0518C000AC18000A2600C020B418E43544188000030140004411003FCC97 +:200C8000290002361145883A000001060005883A18800005DFC01017DD400F17DD000E1765 +:200C8800DCC00D17DC800C17DC400B17DC000A17DEC01104F800283ADEFF6C04D900030498 +:200C9000DC008C15DFC09315DD809215DD409115DD009015DCC08F15DC808E15DC408D153A +:200C98000810FE401021883A0815C6408000891E01800104D9400304D9008304081607007E +:200CA0000140207401800104295D7404D90083040816010010000B2601802074010020B431 +:200CA800319D760401400444212413040815F580008020B410A41B84100000050400004469 +:200CB00000007406D8800403DC808484D9400484D8808405D8800443018002049009883AE4 +:200CB800D880844508160700D880068BD8008645D8808B0DD880070BD8808B8DD9408B17C5 +:200CC000280BC232D880078BD9408715D8808B0DD880080BD8808B8DD8808B171005C23280 +:200CC800D8808815D880088BD8808B0DD880090BD8808B8DD8808B171005C232D880891567 +:200CD000D8808217D8808B151005C232D8808A1500C0788428BFF98418800B2E0180207415 +:200CD800010020B4319D7A0401400444212413040815F580008020B410A41B8410000005A8 +:200CE000043FFFC40000430601800044D90003040816F080D8C08A1710C00A260180207424 +:200CE800010020B4319D7E0401400444212413040815F580008020B410A41B841000000594 +:200CF0000000330601802074010020B4319D820401400444212413040815F580D9C08817BE +:200CF80001802074010020B4319D86040140044421241B840815F58008110680DCC08817C1 +:200D0000DD4089170021883A0005883A0580800484C0132E9C23C83AB440012E0440800432 +:200D080085008004A00CD27A010020B4DC400015000F883AD9400304212410040815AE802F +:200D100010803FCC1000121E800D003A880B883AD90003040816F080A021883A003FEC06AA +:200D1800A880612601802074010020B4319D890401400444212413040815F580008020B4FC +:200D200010A41B8410000005043FFF4400000106043FFF8404C000C40815C64008110680AE +:200D2800010003F42110900408168B0000BFCE4480804B0E98004A2601802074010020B429 +:200D3000319D9F0401400444212413040815F5809CFFFFC401402074010020B401800444EA +:200D3800295D970421241B8408160700081106800025883A0580800405004004DC408817DE +:200D40009440202E8CA3C83AB440012E0440800495408004A80DD27A010020B4DC400015F5 +:200D4800000F883AD9400304212410040815AE8014003FCC803FD81E9025D23A880B883A0C +:200D5000A440012E01404004900D883AD90003040810DE801021883A103FCF1EA4400236EB +:200D5800A825883A003FE50691800044897FC004D90043040810DE801021883A103FF826AB +:200D6000003FC50601402074010020B401800444295D9B042124130408160700014020747B +:200D6800010020B401800444295D970421241B840816070008110680D9808917D940881753 +:200D7000D9C003040009883A0810F1001021883A103FB11E0815C6400005883A00004306AB +:200D780000BFFFC400004106D8808487D9C08403D8C084431000032600802074109D3D0475 +:200D80000000020600802074109DB60401802074010020B4D8C00015319D8E040140044450 +:200D880021241304DC800215D88001150815F58001402074010020B401800444295DA304DC +:200D900021241B840815F300044020B4040020B4081106808C44340484244004888000378D +:200D980080C0000B10BFFFCC10C00E2680C0008B10C0091E01402074010020B4018004441D +:200DA000295D9104212413040816070004C000C404000084003F80060109C40408168B0047 +:200DA800003FEE0608148640D0A23B0300C020B418C4380410800094D0A23B0510803FCC49 +:200DB000188000350109C40408168B0001402074010020B401800444295D940421241304EE +:200DB8000816070004C000C4003F7A06DFC09317DD809217DD409117DD009017DCC08F17D5 +:200DC000DC808E17DC408D17DC008C17DEC09404F800283A21C03FCC01802074010020B46D +:200DC800319DA8040140044421241B840815F581DEFFFF040141194421003FCCDFC0001532 +:200DD0000815ED80014019041009883A0815D24001802074010020B4100F883A319DAA04CA +:200DD8000140044421241B84DFC00017DEC001040815F58121C03FCC01802074010020B4CC +:200DE000319DAC040140044421241B840815F581014003F4DEFFFC042950900421003FCC27 +:200DE800DFC00315DC400215DC0001150815ED80014018F4294BA8041009883A1021883A4A +:200DF0000815E1C08009883A014659041023883A0815E1C00140FA041009883A0815E80064 +:200DF800014002841009883A0815E1C001802074010020B4D8800015880F883A319DAE044B +:200E00000140044421241B840815F580DFC00317DC400217DC000117DEC00404F800283AF1 +:200E0800DEFFFF04014119C421003FCCDFC000150815ED80014019041009883A0815D240F9 +:200E100001802074010020B4100F883A319DB1040140044421241B84DFC00017DEC00104AE +:200E18000815F58121C03FCC01802074010020B4319DB3040140044421241B840815F581CC +:200E200021003FCCDEFFFF0401409C4421000044DFC000150815ED80014019041009883AA9 +:200E28000815D24001802074010020B4100F883A319DB5040140044421241B84DFC0001706 +:200E3000DEC001040815F581D0A24217DEFFF604018020B4DCC00315DFC00915DF00081508 +:200E3800DDC00715DD800615DD400515DD000415DC800215DC400115DC00001504C002C41C +:200E400031A44004014004449CC7883A1987883A18C0000B1880041E21003FCC9823883A23 +:200E48002000051E000003069CC00044997FF61E0000A50610010026D562444304802074BA +:200E5000949FE904AF003FCCE02090FA014005049405883A1500010315C00017A5803FCC44 +:200E5800B009883A0815ED80B8C00117010001041885883A88FFFD0418C03FCC20C02936D6 +:200E6000180690BA01002074210E66041907883A18C000171800683A008139E0008139AC51 +:200E6800008139C400813AD800813AD8B000011EBD000003A53FFFC49421883A850001058E +:200E700000008406B94000039421883AB1000044840001040815DA808080000500007D06E8 +:200E7800E0000326AD7FFFC4D562444500007906D0224485DFC00917DF000817DDC00717F0 +:200E8000DD800617DD400517DD000417DCC00317DC800217DC400117DC000017DEC00A04A9 +:200E8800081107C110C00103014000C4194006261900631E10800217044002C4103EE83A4E +:200E90001021883A000064061080031710000226010002C4103EE83AD462444301400504C5 +:200E98008C003FCC802690FA94C5883A1540001711000103AD0001170815ED80A085883AA1 +:200EA00010C0021718004E2684000044802090FA00802074109FE9041405883A1100001718 +:200EA80019000126100001059421883A94E5883A91000103ACC00117014005040815ED8035 +:200EB0009885883A108002178C400044D46244458080001500003A0610C00103010000841D +:200EB8001900202619000336010000C419002F26000038061180021789C03FCC020003C431 +:200EC0001100030310C0034331400003108003833A000A1E19C03FCC2A003FCC3A00022E76 +:200EC80028BFFFC40000030621003FCC2000011E1805883A308000050000260611C03FCC50 +:200ED0002A003FCC41C0022E288000440000030621003FCC200001261805883A30800005A0 +:200ED800000010061100021789403FCC018003C420C0000B2980061E1080030B197FFFCCE5 +:200EE0001140012E18FFFFC420C0000D000011061080038B197FFFCC2880012E18C0004420 +:200EE80020C0000D0440040400000A06108003171000082699003FCC103EE83A000005069A +:200EF000044002C40000030621003FCC200059260023883A0021883AD0A2444300C02074EF +:200EF80018DFE904100490FA014005041885883A1100010314C000170815ED801025883A2E +:200F000098800117010020B4212413041485883A114000170180044408160700988001178F +:200F0800010001041485883A10C0010320C03536180690BA01002074210F12041907883A24 +:200F100018C000171800683A00813C5C00813C8C00813C9C00813CAC00813CC010C002178E +:200F1800110004170180044418C0000318C5883A1085883A2085883A11400017010020B44F +:200F200021241B840816070000001E0610C0021710800417190000030000070610C00217DE +:200F2800108004171900000B0000030610800317100006260009883A103EE83A0000110699 +:200F30008C403FCC00C002C488C00426008020B410A41B841000000500000A068000021E66 +:200F380011C003170000010611C0041701802074010020B4319CA3040140044421241B84F0 +:200F40000815F580DFC00917DF000817DDC00717DD800617DD400517DD000417DCC0031726 +:200F4800DC800217DC400117DC000017DEC00A0408110681103FA61EDFC00917DF000817D1 +:200F5000DDC00717DD800617DD400517DD000417DCC00317DC800217DC400117DC000017C9 +:200F5800DEC00A04F800283A21003FCC008003C411005F36009114B4DEFFB6041094D544AD +:200F6000D88000150080107410951044D880011500801344D8800245208002B0DC004015A0 +:200F6800DFC04915DF004815DDC04715DD804615DD404515DD004415DCC04315DC8042152B +:200F7000DC404115D8000205D8800285240004041000151E00800E04D88002C5D0A23D431F +:200F7800014020B401800D84D8800345008020B410A420041080058329644004D90003C4DD +:200F8000D8000305D88003850815F300800C923A01401144D809883A0810DE801004C03A6C +:200F88000085C83A0000340600BFF604014020B4802A923A01800AC4D8800345296435444F +:200F900000800084D90003C4070020B4D98002C5D8000305D88003850025883A0815F300EB +:200F9800002F883A04400E840580B60405004004E7244D84893FFFCCA10DC83AB0BFFFCC91 +:200FA000D909883AB97FFFCC94C03FCC11800C16E14B883A0815F300A463C83A9D4D883AC5 +:200FA80001404004D809883A8DEF883AB5BFC0040810DE80948000440023883A003FED06A6 +:200FB000100D883A008020B410A44D84114B883A0815F300800C923A01404004D809883ABB +:200FB80034CD883A0810DE800005883A0000020600BFFFC4F800283ADFC04917DF004817F8 +:200FC000DDC04717DD804617DD404517DD004417DCC04317DC804217DC404117DC00401759 +:200FC800DEC04A04F800283ADEFFB704DFC04815DDC04715DD804615DD404515DD004415D1 +:200FD000DCC04315DC804215DC404115DC00401521003FCC008003C41100022E047FFFC4BD +:200FD80000006306240004048008943AD80D883A014040040810D780103FF81E0140207439 +:200FE00001800204295F0704D809883A081601001023883A1000531ED88002031000531EC1 +:200FE800D8C00243008013441880501ED88002831000032600C0004410C0162600004C06B7 +:200FF000D8800303D8C002C31004923A10C4B03A00C00E0410C0461ED880034300C00244DE +:200FF80011003FCC19000136D0A23D45D880038311003FCC19000136D0A23D05010020B4A6 +:2010000001800D84D94003C4212440040815F30000003706D8800317D8C002C311803FCC9D +:20100800300C923A30CCB03A00C00AC430C0301E1004D23A00C0B6041025883A10BFFFCCE3 +:2010100010C02B1E010020B48020923AD94003C42124354405C020B40815F3000027883A36 +:20101800002D883A05400E8405004004BDE44D84A97FFFCCA14DC83A90BFFFCCB13FFFCC7F +:20102000D94B883A11800F16B909883A0815F300990000442027883A21003FCC2409883A7F +:201028002008923AA56BC83AD80D883A01404004ADAD883A94BFC0040810D780002B883AE7 +:20103000003FEB06100D883A008020B410A44D841109883A0815F30000800044D0A23EC593 +:20103800000003060440004400000106044000848805883ADFC04817DDC04717DD80461736 +:20104000DD404517DD004417DCC04317DC804217DC404117DC004017DEC04904F800283A48 +:20104800DEFFFC04DC000015040020B484041804DC80021504801284DC400115900B883A22 +:201050002023883A000D883A8009883ADFC003150816FF0089403FCC8009883A000D883A9F +:201058000817054004400044900B883A8009883A880D883A0816FF00880B883A8009883AD0 +:20106000DFC00317DC800217DC400117DC000017DEC0040408170281DEFFFC04DC00001505 +:20106800040020B484041804DC800215DC4001152025883A2823883A8009883A000D883A19 +:2010700001401284DFC003150816FF0091403FCC8009883A000D883A081705400180004496 +:2010780089403FCC8009883ADFC00317DC800217DC400117DC000017DEC0040408170541D9 +:20108000DEFFFE040080004421003FCCDC0000151120983A01000184DFC0011584003FCCC3 +:20108800800B883A08141980800B883A010001C4DFC00117DC000017DEC00204081419812F +:20109000DEFFFF0401000144DFC000150814120000C0218410C0051E01402404010008046A +:201098000814198000800044000001060005883ADFC00017DEC00104F800283ADEFFFD0460 +:2010A000D9000005010020B4DC000115018000442821883A21041804D80B883ADFC002151F +:2010A80008170D00813FFFCC08168B00DFC00217DC000117DEC00304F800283AD0E23B032D +:2010B000DEFFFC0400BFE7C41884703ADC400115044020B4D0A23B05DFC00315DC8002156D +:2010B800DC00001510803FCC8C44380488800035040005048009883A08168B00800B883AF5 +:2010C00001000E04081427C0800B883A01000E44081427C0800B883A8009883A081427C0BC +:2010C800800B883A01001C44081427C0800B883A01001784081427C0800B883A01001B44BE +:2010D000081427C0800B883A01000304081427C00480C804900B883A01000044081427C0B0 +:2010D800800B883A01000184081427C0900B883A01000084081427C0D0A23B0310801014D9 +:2010E000D0A23B0510803FCC88800035DFC00317DC800217DC400117DC000017DEC004046B +:2010E800F800283AD0E23B03DEFFFA0400BFE7C41884703ADC000015040020B4DC800215D7 +:2010F000DC400115D0A23B05DFC00515DD000415DCC003152025883A2823883A10803FCCEA +:2010F80084043804808000350140C80401000044081427C0D0A23B0310800814D0A23B057C +:2011000010803FCC80800035014004049009883A08160C401029883A10803FCC1000091E24 +:20110800014020749009883A01800444295F0904081607009100000301400504081427C033 +:20111000000009060027883AA5003FCC94C5883A11000003014005049CC00044081427C0FB +:2011180098803FCC153FF936D0E23B0300BFF7C41884703AD0A23B0510803FCC80800035DF +:201120000140050401003004081427C0D0A23B0310800814D0A23B0510803FCC808000354F +:20112800014004048809883A08160C401027883A10803FCC1000091E014020748809883AAE +:2011300001800444295F0904081607008900000301400504081427C0000009060025883A4D +:201138009CC03FCC8C85883A110000030140050494800044081427C090803FCC14FFF93647 +:20114000D0A23B0310801014D0A23B0510803FCC80800035DFC00517DD000417DCC0031740 +:20114800DC800217DC400117DC000017DEC00604F800283ADEFFFB04DC000015040020B444 +:2011500084041804DC80021504800B04DCC00315900B883A2027883A000D883A8009883AA1 +:20115800DFC00415DC4001150816FF0004400044880D883A99403FCC8009883A08170540FE +:20116000900B883A8009883A880D883A0816FF00880B883A8009883ADFC00417DCC0031743 +:20116800DC800217DC400117DC000017DEC0050408170281DEFFFC04DC000015040020B4DC +:2011700084041804DC800215DC4001152025883A2823883A8009883A000D883A01400B0498 +:20117800DFC003150816FF0091403FCC8009883A000D883A081705400180004489403FCC90 +:201180008009883ADFC00317DC800217DC400117DC000017DEC0040408170541010020B4CF +:20118800DEFFFD04000D883A000B883A21041804DFC00215DC400115DC000015081705404F +:201190000100028408168B000400060404400044800B883A8809883A08145B40800B883AD5 +:201198000100008408145B40800B883A010000C408145B408809883A081453401405003ADD +:2011A000DFC00217DC400117DC000017DEC00304F800283ADEFFFD04DC400115DC00001550 +:2011A800044000442021883A84003FCC8809883ADFC00215802090FA0814534000FFF9C46F +:2011B00010C4703A80A0B03A84003FCC800B883A8809883A08145B40800B883A01000084DF +:2011B80008145B40800B883A010000C4DFC00217DC400117DC000017DEC0030408145B4112 +:2011C000DEFFFD04DC4001152023883A01000044DC000015DFC00215043FF6040814534022 +:2011C8001420703A89003FCC008000842080021E84000054000003062008917A2080011408 +:2011D00080A0B03A84003FCC800B883A0100004408145B40800B883A0100008408145B4094 +:2011D800800B883A010000C4DFC00217DC400117DC000017DEC0030408145B41DEFFFB04CD +:2011E000DC000015040020B484041804DC80021504801704DCC00315900B883A2027883A56 +:2011E800000D883A8009883ADFC00415DC4001150816FF0004400044880D883A99403FCC03 +:2011F0008009883A08170540900B883A8009883A880D883A0816FF00880B883A8009883A74 +:2011F800DFC00417DCC00317DC800217DC400117DC000017DEC0050408170281DEFFFC04A5 +:20120000DC000015040020B484041804DC800215DC4001152025883A2823883A8009883A5D +:20120800000D883A01401704DFC003150816FF0091403FCC8009883A000D883A081705406D +:201210000180004489403FCC8009883ADFC00317DC800217DC400117DC000017DEC00404DF +:2012180008170541DEFFFC04DC000015040008848009883ADFC00315DC800215DC4001154C +:20122000081477C0014004C4010005841023883A0489C40408147FC09009883A08168B001F +:20122800014000C4010005C408147FC09009883A08168B00017FE004894AB03A29403FCCE3 +:201230008009883A08147FC00100FA0408168B0089401FCC8009883ADFC00317DC80021723 +:20123800DC400117DC000017DEC0040408147FC1DEFFFE04DC0000150409C4048009883A7D +:20124000DFC0011508168B00014004440100058408147FC08009883A08168B000140008409 +:20124800010005C408147FC08009883ADFC00117DC000017DEC0020408168B01DEFFFE043F +:20125000DC0000152821883A21403FCC01000484DFC0011508147FC081403FCC010004C4E8 +:20125800DFC00117DC000017DEC0020408147FC121403FCC01000F4408147FC121403FCC44 +:201260000100044408147FC1DEFFFE04DFC00115DC000015214000C32021883A0100028496 +:2012680008147FC0814001030100024408147FC0814001430100020408147FC08140000379 +:201270000100034408147FC0814000430100030408147FC081400083010002C4DFC0011792 +:20127800DC000017DEC0020408147FC1DEFFFB04DC400115DC0000152023883A2021883A5C +:2012800001000104DCC00315DC8002153027883A2825883ADFC00415081477C0017FFE046C +:201288009CC03FCC1144703A9800092680FFFFCC0101FFC420C006361140005429403FCCD5 +:201290000100010408147FC08423883A0000030611403FCC0100010408147FC08C7FFFCCD8 +:20129800880AD13A0100004429403FCC08147FC0880A913A0100008429403C0C08147FC097 +:2012A00001006734917FFFCC213F30040815E1C0880B883A1009883A0815ED800140FA046C +:2012A8001009883A0815E1C000E327D41880092E00C0007418C45BC41880082E00C000B46F +:2012B00018C3D5C41885403A00C000C41885C83A000003060005883A0000010600800044D5 +:2012B80014003FCCD0A022041405883A1100000301400A040815ED808808D07A880B883A6A +:2012C0001109883A0815D240100B883A00C001C410803FCC1880012E180B883A29403FCCE6 +:2012C800800491BA280A90FA010000C4288AB03A29403FCCDFC00417DCC00317DC800217C1 +:2012D000DC400117DC000017DEC0050408147FC1DEFFFE04DC0000152021883A0100068476 +:2012D800DFC00115081477C0017FFE8484003FCC00C000441144703A80C0021E10800094D6 +:2012E000000001061080029411403FCC01000684DFC00117DC000017DEC0020408147FC130 +:2012E8002140028BDEFFFE04DC000015280AD23A2021883A010012C4DFC0011508147FC000 +:2012F000814002830100128408147FC08140030B01001344280AD23A08147FC0814003031F +:2012F8000100130408147FC08140038B010013C4280AD23A08147FC08140038301001384C4 +:2013000008147FC08140010B01001444280AD23A08147FC0814001030100140408147FC07A +:201308008140018B010014C4280AD23A08147FC0814001830100148408147FC08140020BFF +:2013100001001544280AD23A08147FC0814002030100150408147FC08140040B010015C4E5 +:20131800280AD23A08147FC0814004030100158408147FC08140048B01001644280AD23A76 +:2013200008147FC0814004830100160408147FC08140050B010016C4280AD23A08147FC04F +:201328008140050301001684DFC00117DC000017DEC0020408147FC1DEFFFD04DC000015C8 +:2013300004000FC4DC4001152023883A8009883ADFC00215081477C000FFFC0410C4703ABE +:20133800888AB03A29403FCC8009883ADFC00217DC400117DC000017DEC0030408147FC1FA +:20134000DEFFFD04DC40011504400684DC0000152021883A8809883ADFC00215081477C05F +:2013480080C03FCC014000C428C7C83A180691BA10800FCC8809883A188AB03A29403FCC1D +:20135000DFC00217DC400117DC000017DEC0030408147FC1DEFFFB04DCC0031504C0010444 +:20135800DC4001152023883A9809883ADFC00415DC800215DC0000152825883A081477C05D +:20136000900B883A8809883A1021883A0815ED80108007CC100A90FA840001CC9809883A85 +:201368002C0AB03A08147FC091403FCC89003FCC0815ED801004D17ADFC00417DCC0031721 +:20137000DC800217DC400117DC000017DEC00504F800283ADEFFFE04DFC00115DC0000153B +:20137800081486400009883A0814B50004000044800B883A01000D4408147FC00100207400 +:20138000211F0A040814BA00800B883A010004040814D5400009883A0814CB800009883AA5 +:201388000814D0000100018408149700014000C401000D0408147FC001001104081497C025 +:2013900001402204010006C408147FC00140020401000704DFC00117DC000017DEC002040F +:2013980008147FC1DEFFFD04DC0000152021883ADC40011584003FCC044004048809883AA7 +:2013A000802090FADFC00215081477C0108001CC808AB03A29403FCC8809883ADFC002172A +:2013A800DC400117DC000017DEC0030408147FC121003FCC20001A26DEFFFD04DC40011561 +:2013B000010009842823883A01402004DC000015DFC002153021883A08147FC089403FCC34 +:2013B8000080004428800426008002042880041E81401684000003068140024400000106BD +:2013C0008140060429403FCC01000C44DFC00217DC400117DC000017DEC0030400000206F1 +:2013C800000B883A0100098408147FC1DEFFF704DCC003152827883AD9400917DDC00715BF +:2013D000DD800615DD400515DD000415DC800215DC400115DC000015DFC0081524003FCCD7 +:2013D800008000443029883A382B883ADC400A17DC800B17DD800C172DC03FCC8080082690 +:2013E0000080020480800D1E29400C8429403FCC0100014408147FC00140080400000C06CF +:2013E8002940008429403FCC0100014408147FC0014001842809883A000006062940018430 +:2013F00029403FCC0100014408147FC0014004040100018408147FC0B80D883A800B883ACA +:2013F800010000440814EB00B1003FCC081497C0008004048080021E014003040000010663 +:20140000014002040100088408147FC0A17FFFCC993FFFCCA9803FCC08149EC091403FCC85 +:2014080089003FCCDFC00817DDC00717DD800617DD400517DD000417DCC00317DC800217E1 +:20141000DC400117DC000017DEC00904081493C1DEFFFD0429BFFF84DC400115DC0000150E +:20141800DFC0021531803FCC0080004424403FCC2C003FCC11800436008000848880071EDC +:201420008805883A000008068800061E8005003A00C000841885C83A000003060005883A31 +:201428000000010600800084880A913A8C47883A10803FCC100491BA18C7883A28CAB03A30 +:20143000214AB03A288AB03A29403FCC0100064408147FC0008000C48080041E014017448F +:201438000100040408147FC000001206014016040100040408147FC00080008488800D1E22 +:201440000080004414000B368080021E0140148400000106014014C40100038408147FC077 +:201448000100FA0408168B0001000504081477C000000B06014016C40100038408147FC070 +:201450000100FA0408168B0001000504081477C0008000C48080021E0140040400000106C3 +:20145800000B883A0100060408147FC0000B883A01000D84DFC00217DC400117DC00001703 +:20146000DEC0030408147FC1DEFFFD04DC4001152023883A01000504DC000015DFC00215A5 +:201468002821883A081477C08C403FCC00C0008488C00A1E81403FCC00C0004428C0031EA2 +:201470001080240C10802420000006062800031E1080220C10802220000002061004D07A7D +:201478001080004CDFC00217DC400117DC000017DEC00304F800283ADEFFEC04DC000A15D2 +:20148000040020B48424200400800104D880070D8080070302C00204028010045884983AA1 +:2014880000C100040188000412C4B03AD880078D8080074383800B03020020B45084983A6F +:20149000DFC01315DF001215D880080D80800783DDC01115DD8010151884983ADD400F1584 +:20149800DD000E15D880088D808007C3DCC00D15DC800C153084983A2027883ADC400B1577 +:2014A000D880090DD8800984D880001580800844D880011580800884D8800215808008C4EB +:2014A800D880031580800904D8800415D880051580800944D8000985D880061542245304E1 +:2014B0000009883A03C0400407C400040500800404800404054020040580080405C00104A2 +:2014B8000700004440C00043024001842023883A19803FCC408000034980C336301290BA05 +:2014C000030020746314C5044B13883A4A4000174800683A008153780081537800815378A6 +:2014C8000081533000815344008153600081537802401004DA40080D02410004DA40088DED +:2014D00000000D0672403FCC4800021E027FFBC400000606030000844B00071E0000AA06D1 +:2014D80072403FCC4800031E027FFEC44884703A000001064F00A42611C4703A10803FCCDB +:2014E0001000A1263185883A1085883AD885883A108000174240008B108000031085883A89 +:2014E800D885883A1300070B6258703A60BFFFCC100095264A40004C2B403FCC4A40005C55 +:2014F0006A40911E427FFE8B4A4007844CC08E3603400044024020B480000385800003C567 +:2014F80083400405800004858300050D4A64200413C05E2678800E3614804B2690800336B7 +:2015000015C0212612C0272600007F0612804A261540512615807C1E008000448080030542 +:2015080000800084808003450080018400005A0617C05D26F8800A3600C1000410C05826ED +:201510000242000412404F2615006F1E0080008480800305808003450080014400004E069D +:2015180003100004130056260360001413405D2600C8000410C0641E008001048080030515 +:201520008000034500005D0618C03F4C18C00060480003054800034548C0038548C003C5A5 +:2015280000005F06008000448080030580800E03014020B4296420041000081E18BFFFC4CB +:2015300010803FCC01C00084388004360080008429C0034528800405000001068000034514 +:2015380001400084008000443140192618FFFF0418C03FCC10C0162E008001843080081E6E +:20154000014006840815ED8000C020B418E4510410C5883A1080000B10812C3000000C0620 +:201548000005883A00000A0600800044488003050080008400000F0600800084488003052B +:2015500048000345008000C43080351E008000448080038500003206008000848080030514 +:20155800008000448080034500002D060080008448800305488003450080010448800405FA +:2015600000002706008000C4808003050080008480800345008001048080040500002006F2 +:20156800008000C4003FE506008000C448800305008000844880034500800144003FEF06D4 +:2015700000800104488003050080008448800345008001444880040500801E044880048569 +:2015780000000F0600800104808003050080008480800345008001848080040500801E04B5 +:20158000808004850000060621000044008007044200068420BF331E00BFFFC40000010641 +:201588008805883ADFC01317DF001217DDC01117DD801017DD400F17DD000E17DCC00D17D5 +:20159000DC800C17DC400B17DC000A17DEC01404F800283A20803FCCDEFFF9041080201C20 +:20159800DC400415DFC00615DC800515DC00031510BFE0042823883A10000A0E2025883AEB +:2015A000000B883A01001DC408156540D880028510C03FCC0100004420C02E3694001FCCF8 +:2015A800000001062021883A0815C640014001040009883A0815C3000815C4808804D63A08 +:2015B000DC000005DC400105D88000458804D43A84003FCCD88000858804D23AD88000C5C0 +:2015B8000080100480800426008012048080041E00BFE1C40000030600BFE5440000010641 +:2015C0000080004401400184D809883AD88001450815C1C0010001440815CC001021883A80 +:2015C8000440004401400044D90002840815C300D8800287100003168000051E0815D1C05C +:2015D000000003060815CFC0147FF626003FFA06D8800283DFC00617DC800517DC40041710 +:2015D800DC000317DEC00704F800283ADEFFF604DC000515000B883A2021883A0100124406 +:2015E000DFC00915DCC00815DC800715DC4006150815654010803FCC100002260005883A6A +:2015E80000004306010001440815CC001023883A0480004404C03FC401400044D900048401 +:2015F0000815C300D880048314C003268800051E0815D1C0000003060815CFC014BFF62622 +:2015F800003FFA06D8C0048300803F8418BFEB1E01400484D809883A0815C3008080010302 +:2016000010C0008C18001326D8800183D8C001C3D9400143108000CC1004923A294003CC14 +:2016080010C8B03AD88002031006D1BA2105883A1085883AD900028310C4B03AD8C00243CA +:201610002008D1FA18C000CC18C7883A20C8B03A000010061080010C10000B26D88001C3A0 +:20161800D9000203000B883A10800FCC1004923A1104B03A1006923AD880024301000444F5 +:201620001884B03A00000306000B883A0009883A0005883A10BFFFCC10C0004421003FCCE2 +:2016280028803FCC2085883A10BFFE441884983ADFC00917DCC00817DC800717DC400617DC +:20163000DC000517DEC00A04F800283ADEFFF60401402074DCC00715018002842027883A28 +:20163800295FCC04D809883ADD000815DC400515DFC00915DC800615DC000415050000C475 +:201640000815F300044000440815C0000815C6400815CA4001400284D809883A0815C1C0C3 +:20164800000B883A98000015010010040815654010803FCC1440511E01406A84010012048D +:201650000815654010803FCC1440271E01400104D90002840815C300D88003031440471EE8 +:20165800D8C0034300802A841880441E0100FA040815CC000815CFC0144003260815CFC0AF +:2016600014400C1E000006060150003401003A440815654010803FCC103FF61E003FF706E0 +:20166800000B883A01001E840815654010803FCC100002260021883A000009060140010425 +:20167000D90002840815C300D88002831080100C1000021E04000104000001060400030447 +:201678000815D1C000002606000B883A01003A440815654010803FCC8880032E040000444E +:2016800004801044000002060400008404BFFA4401003E840815CC0094803FCC0815CFC06A +:20168800144004260815CFC01000081E0021883A00000606000B883A9009883A0815654009 +:2016900010803FCC103FF51E003FF6060815D1C0000B883A01001EC40815654010803FCC47 +:20169800100001260021883A01408004010014040815654010803FCC100001260021883AC3 +:2016A000A0BFFFC41029883A10803FCC84803FCC10000A26903F9C26008000449C0001052C +:2016A800988000159809883A081576C010BFFFC4988002150815C88000000106903FF61E2F +:2016B0000815C4409005003ADFC00917DD000817DCC00717DC800617DC400517DC0004170D +:2016B800DEC00A04F800283A20800217DEFFF804DC000115DFC00715DD400615DD0005159E +:2016C000DCC00415DC800315DC400215DC0008171180313684BFFFCC90002F262080010324 +:2016C8002827883A3823883A1080020C300B883A1000011E300A927A0100144408156540A9 +:2016D00010803FCC100002260400008400001F06010019040815CC000500004405403FC4E2 +:2016D80001400044D809883A0815C300D8800003154005260815D1C0D8C0000300803F8483 +:2016E00018BFF11E000003060815CFC0153FF426003FF806008080841445C83A897FFFCCF2 +:2016E8001421C83A280002260009883A0815C300900B883A9809883A0815C300817FFFCC45 +:2016F0000009883A0815C3000021883A0815C4408005883A00000106008000C4DFC00717DC +:2016F800DD400617DD000517DCC00417DC800317DC400217DC000117DEC00804F800283A45 +:20170000018001B401406734010020B43186A004297F3004210410040816F7C1280D883AA5 +:20170800200B883A010020B42104100408170D01280D883A200B883A010020B421041004A7 +:2017100008170901F800283AD0A23B0300C020B418C4380410801FCCD0A23B0518800035E0 +:20171800F800283AD0E23B0300BFE0041884B03A00C020B4D0A23B0518C4380410803FCC45 +:2017200018800035F800283A01800A7401406734010020B4318CB804297F30042104100444 +:201728000816F7C1018001B401406734010020B43186A004297F3004210410040816F7C19E +:20173000D0A2451710000B1EDEFFFF04015A5E04213FFFCCDFC000150815ED80D0A24515C0 +:2017380008168F800005883ADFC00017DEC00104F800283A00800044F800283ADEFFFF04EC +:20174000DFC0001508169340D0E2451710C5803ADFC00017DEC00104F800283AD022451548 +:20174800F800283A20001B16000F883A28001616200D883A29001A2E0080080400C00044C1 +:201750000000010610000D26294B883A10BFFFC418C7883A293FFB360005883A1800072621 +:201758000005883A31400236314DC83A10C4B03A1806D07A280AD07A183FFA1E3800012611 +:201760000085C83AF800283A014BC83A39C0005C003FE7060109C83A01C00044003FE30680 +:2017680000C00044003FEE0620001716000F883A2005883A280012162900162E01800804DB +:2017700000C000440000010630000A26294B883A31BFFFC418C7883A293FFB361800052688 +:201778001806D07A114001361145C83A280AD07A183FFB1E380001260085C83AF800283ADD +:20178000014BC83A003FEC060109C83A01C00044003FE70600C00044003FF106200D883A64 +:201788002900152E280014160080080400C000440000020610000E2628000516294B883A2E +:2017900010BFFFC418C7883A293FFA36180008260005883A31400236314DC83A10C4B03A7A +:201798001806D07A280AD07A183FFA1EF800283A0005883AF800283A00C00044003FF40626 +:2017A0002005883A2900122E280011160180080400C000440000020630000C26280005164C +:2017A800294B883A31BFFFC418C7883A293FFA36180006261806D07A114001361145C83A73 +:2017B000280AD07A183FFB1EF800283AF800283A00C00044003FF7060005883A2000072625 +:2017B80020C0004C2008D07A180001261145883A294B883A203FFA1EF800283AF800283ABB +:2017C000218D883A218008262080000328C0000310C0022610C5C83AF800283A21000044AE +:2017C80029400044003FF7060005883AF800283A2005883A2007883A218D883A19800526F3 +:2017D0002900000318C0004429400044193FFFC5003FFA06F800283ADEFFF504DFC00915BC +:2017D800DC400815DC000715D9C00A15008020B410A1E304144000172800040E008022C411 +:2017E0008880001500BFFFC400001C0600C08204D8C0000DD9000415D9000215280002260B +:2017E80028FFFFC4000001060007883AD8C00515D8C003151100001700FFFFC4D8C0008DB6 +:2017F00000C0207418D9A0042821883AD9C00A04D80B883AD8C00115D80006150816154085 +:2017F80000FFFFC410C0020E00C022C488C0001580000226D8C0041718000005DFC00917F5 +:20180000DC400817DC000717DEC00B04F800283A3000152631BFFFC4218D883A208000035B +:2018080028C0000311C03FCC1A003FCC39C0201C4200201C39FFE004423FE0043A00061E42 +:2018100021800426380003262100004429400044003FF2061007883A18C03FCC10803FCCEC +:2018180010C5C83AF800283A0005883AF800283A2005883A200F883A30000C262A000003F7 +:2018200038C0004431BFFFC43A00000542003FCC4200201C423FE00429400044180F883AB4 +:20182800403FF51E198D883A00000106F800283A30C003261800000518C00044003FFC06B2 +:20183000F800283A214B883A2005883A1140021E1105C83AF800283A10C00007183FFC26F6 +:2018380010800044003FF906DEFFFB04DC800315DC400215DC000115DFC004152025883A4A +:201840002823883AD98000053821883A04000A0E8880011701C00044D80D883A880B883AC5 +:201848009009883A103EE83A843FFFC4103FF72600BFFFC4000001060005883ADFC00417B9 +:20185000DC800317DC400217DC000117DEC00504F800283ADEFFE504D8C00804DDC01815A4 +:20185800DD801715DD401615DD001515DCC01415DC801315DC401215DC001115DFC01A153C +:20186000DF0019152029883A2823883A382D883AD9800F150021883AD8000E15D8000A15CA +:20186800002B883A0027883A0025883AD8000C15D8000B15002F883AD8C00915D8C00F174D +:201870001900000320803FCC1080201C10BFE00410011E2600C00044B8C014261DC0021612 +:20187800B80006260001150601400084B9401D26014000C4B9402B2600011006014009445B +:201880001140FC2688800117D900000501C00044D80D883A880B883AA009883A103EE83AFB +:201888001000D81E840000440001040601400C041140FA260140094411400A1ED880000541 +:2018900088800117B80F883AD80D883A880B883AA009883A103EE83A1000CA1E84000044F8 +:201898000000F50625FFF404BDC03FCC00C002441DC0093600BFFFC49080042601400284EC +:2018A0009009883A0815ED80000001060005883AB8A5883A0000E20601400B841140E42643 +:2018A80005C00084213FF40427003FCC00C002441F00093600BFFFC498800426014002845E +:2018B0009809883A0815ED80000001060005883AE0A7883A0000D90600C01B0410C0D2268E +:2018B800013FFFC499000226D8000B150000010604C0004401001A441100162620800916DA +:2018C000010018C4110088260100190411001126010016041100C81E00C00044D8C00E1535 +:2018C8000000150601001CC4110098262080041601001BC41100C01E0540020400000F064C +:2018D00001001D4411000D2601001E0411000A260000B906D8C00A17B70001041800072675 +:2018D800DF000D15B5C00017B800080E05EFC83A024000440000060605400404B0C001044B +:2018E000D8C00D15B5C00017D8000A150013883AD839883AB8001726A80B883AB809883A16 +:2018E800DA4010150815E1C0A80B883A1009883A102D883A0815ED80B885C83A00C00244C5 +:2018F000DA4010171880021610800C0400000506D8C00E171800022610800DC400000106D7 +:2018F800108015C4E0800005B02F883AE7000044003FE806E6EFC83A9DC5C83A0080090E37 +:20190000E085883A01400C04D8C00917E009883AE0C0032EE700004421400005E0BFFA1ED3 +:20190800E6EFC83AD8C00B174DD1883A922DC83A1800162648000A2600800B44D88008058D +:201910008880011701C00044D9800804880B883AA009883A103EE83A10004A1E84000044BD +:201918000580070EB00F883A01800C04880B883AA009883A08160E801000421E85A1883A3F +:20192000E02D883ABF2FC83A000020060580090EB00F883A01800804880B883AA009883A58 +:20192800DA40101508160E80DA4010171000351E85A1883A483FF22600800B44D880080555 +:201930008880011701C00044D9800804880B883AA009883A103EE83A10002A1E84000044BD +:20193800003FE706B5BFFFC4B080000301C00044D9800804D880080588800117880B883AB5 +:20194000A009883A103EE83A10001E1E8585C83AB5C9883AE085883A013FF2161021883AB2 +:20194800DD800D1700004406008000441480080E95FFFFC4B80F883A01800804880B883A84 +:20195000A009883A08160E8010000E1E85E1883AB080001701C00044D80D883AD8800005AC +:2019580088800117880B883AA009883AB5C00104103EE83A1000031E84000044B82D883A05 +:2019600000002D0600BFFFC400003106B5C00017B7000104B809883A081678809091C83A77 +:20196800102D883A0200090E400F883A01800804880B883AA009883ADA00101508160E803E +:20197000DA001017103FEF1E8221883A88800117B00F883AB80D883A880B883AA009883AAD +:20197800103EE83A103FE71E85A1883AE02D883A0000110600C0004404FFFFC4D8000E15F8 +:20198000D8C00A15054002849825883AD8000C15D8000B15182F883A00000806DDC00B1581 +:2019880005C000840000050600C00044D8C00C1505C000C400000106002F883AD8C00F17EF +:2019900018C00044D8C00F15003EDC068005883ADFC01A17DF001917DDC01817DD801717C2 +:20199800DD401617DD001517DCC01417DC801317DC401217DC001117DEC01B04F800283A2F +:2019A0002880000B10C0020C1800202628C0008FDEFFFD04DC000015DFC00215DC4001150A +:2019A8002821883A1800150E10C0800C180013262C40051789C0030E10C0200C180003260D +:2019B00000000E063C40010E3823883A81000417300B883A880D883A08167280808005173F +:2019B8001445C83A80800515808004171463883A844004150005883A0000060610801014E2 +:2019C0008080000D00BFFFC40000020600BFFFC4F800283ADFC00217DC400117DC000017B5 +:2019C800DEC00304F800283A2005883A218F883A290002361007883A00000C062987883AE9 +:2019D00020FFFC2E380B883A30CDC83A1989883A2000052618FFFFC419000003297FFFC49A +:2019D80029000005003FF906F800283A19C005262900000318C0004429400044193FFFC514 +:2019E000003FFA06F800283A2005883A10C000071800022610800044003FFC061105C83A23 +:2019E800F800283ADEFFFF0401002074014020B4DFC00015211FD7042961E7042140061E32 +:2019F000010020740140207421000804294008042140121E00000B0600C020B418E1E704B1 +:2019F8001907C83A0005883A10FFF526114F883A39C00017110D883A1080010431C000150F +:201A0000003FF9060100207401402074211C8204295C82042140101E00000B0600C020745C +:201A080018C008041907C83A0005883A10FFF526114F883A39C00017110D883A1080010420 +:201A100031C00015003FF906081718C0DFC00017DEC0010408171FC100C0207418DFD704FD +:201A18001907C83A0005883A18BFF726114F883A39C00017110D883A1080010431C0001524 +:201A2000003FF906DEFFFF040009883ADFC0001508168B4008168D40D1A24617D1624717D4 +:201A2800D1224817DFC00017DEC00104081260C1081710C1DEFFFF04DFC0001508172000F5 +:201A3000008000441001703ADFC00017DEC00104F800283A008020B410840004D0A24A15A7 +:201A3800010020B40080673410BF300421218904D0A249150816D9C1D0E24917D0A24A175F +:201A400018000A2610C001040100020419000035013FFFD4110002351100033500800104EB +:201A4800188000350005883AF800283A00BFFFC4F800283AD0A2491710000926D0E24A1790 +:201A500018800404100000351080003718C005371806943A10BFFFCC1884303AF800283AD0 +:201A580000BFFFC4F800283AD0A24917F800283A20001D262804923A20C03017DEFFFD0406 +:201A6000DC400115DC000015DFC002152823883A2021883A108000D41880033580C03017C2 +:201A6800188000371080004C1000032601000044081710C0003FF906198000373007D0BA77 +:201A70003009D0FA18C001CC2100020C1908B03A3007D07A18C0040C1906B03A88C0042690 +:201A780000BFF4840000020600BFFA84F800283ADFC00217DC400117DC000017DEC00304F4 +:201A8000F800283A20000A26280009263000082620800C173080001520800C171000062660 +:201A880021000D04290000150005883AF800283A00BFFA84F800283A00BFFEC4F800283A3B +:201A90002005883A20001D262809883A28001B1610C0311728C0192E1140341728FFFFC4D3 +:201A98001906703A1800151EDEFFFE04DC000015DFC001151021883A0815E1C01004923A04 +:201AA00000C0403418FFC00410C4703A80C03017108000941880033580C0301718C00404B7 +:201AA800188000371080004C10000626008000441880003500BFFEC40000020600BFFA84E0 +:201AB000F800283ADFC00117DC000017DEC00204F800283ADEFFF504DC000115DFC00A158E +:201AB800DF000915DDC00815DD800715DD400615DD000515DCC00415DC800315DC40021553 +:201AC000DC000B1728003A163023883A300038162027883A20003626382B883A38003426C1 +:201AC800208031173080322E2880312E208034173147C83A10C7C83A1C002D3680002C1680 +:201AD00010BFFFC4114A703A2800291E0029883A05BFFFC405C000C4070001048000222621 +:201AD800DD800015890000CC20000626E105C83A8025883A1400012E1025883A8923C83AA4 +:201AE00000000406BC0002368025883A0000010604800104AD0B883A900D883AD909883A74 +:201AE8000815F30098802E17D8C00017A4A9883A84A1C83A8885883A10C000359880301759 +:201AF0001080040410C0003718C0008C1800042600C0008410C0003500BFFEC400000506BC +:201AF8008C400104003FDD060005883A0000010600BFFA84DFC00A17DF000917DDC0081755 +:201B0000DD800717DD400617DD000517DCC00417DC800317DC400217DC000117DEC00B0414 +:201B0800F800283A20001626DEFFF604DD000515DFC00915DDC00815DD800715DD40061511 +:201B1000DCC00415DC800315DC400215DC0001153029883A30000C262021883A20802E1702 +:201B180080C02F172823883A2885883A382B883A3889883A10C0042E20C0053600000206DE +:201B200000BFFA84F800283A00BFFA8400002406814034178809883A002D883A0815E1C09B +:201B2800102F883A80803317B8801C2EA8001B2684C0341704E7C83A9C66703A8CC002264B +:201B30008CE5C83A000001060025883A980B883A8009883A0816A4001000111E8080341738 +:201B380014A5C83AAC80012EA825883ADC800015A58F883A880D883A980B883A8009883A7A +:201B40000816AD401000061EACABC83AB4AD883A8CA3883ABDC00044003FE2060005883A2A +:201B4800DFC00917DDC00817DD800717DD400617DD000517DCC00417DC800317DC400217F2 +:201B5000DC000117DEC00A04F800283A200008263007883A3000062620802E17288B883A7E +:201B580020802F17394D883A2880012E3080023600BFFA84F800283ADEFFFF04380D883A02 +:201B60001809883ADFC000150815F3000005883ADFC00017DEC00104F800283A20004E26B0 +:201B68002080301710004E2620C03217DEFFFB04DFC00415DCC00315DC800215DC400115DC +:201B7000DC00001518001E1E1480023700C0030494803FCC90BFFAC418804336100490BAE1 +:201B780000C0207418DB7D0410C5883A108000171000683A00816E6000816E7000816E7880 +:201B800000816E6800816E2800816EE800816EE800816EE800816EE800816EE800816EE8CD +:201B880000816E3000816E38044080040000130604410004000011060442000400000F0657 +:201B9000148001370080058494803FCC90800A260080060490800426008005049080221E64 +:201B98000440080400000506044040040000030604401004000001060440200424C034174B +:201BA0002021883A8809883A980B883A0815ED8080C0311710C0021E80C0331788C002266E +:201BA80080000C150000100600C000448480361580C00C1580000D1580800E1584400F1505 +:201BB00084C01015D16025048009883A081719000005883A0000050600BFFA84F800283A66 +:201BB80000BFFB44F800283A00BFFB44DFC00417DCC00317DC800217DC400117DC000017AB +:201BC000DEC00504F800283A300001260005C03200FFFF042005883A28C6703A110DC83A15 +:201BC80030C0042E11800017300DC0F210800104003FFA06294000CC00C000C428C0041EAD +:201BD00010C0000B1807C0B2108000830000080600C0008428C0031E1080000B1005C0B2F9 +:201BD8000000040600C0004428C0021E108000031005C0720005C132F800283ADEFFFD04CD +:201BE000DC400115DC0000152823883A2021883A014001443009883ADFC002150815ED80F1 +:201BE800100B883A8809883A0815E1C010BFFFC480C00204180000350100004481000435CB +:201BF00011003FCC810000351004D23A10803FCC808001350080200418800035DFC00217E9 +:201BF800DC400117DC000017DEC00304F800283A3180004C294B883A298B883A2140033565 +:201C0000210004040080240420800035208000371080008C103FFD1E208000371004D1FA0B +:201C08001080004CF800283A20C004042800022600801A0400000106008008041880003550 +:201C1000188000371080008C103FFD1E20800337F800283A29403FCC2140033521000404F5 +:201C18003000022600801404000001060080040420800035208000371080008C103FFD1EFB +:201C2000208000371004D1FA1080004CF800283A21C004042805883A02000B0421000304A7 +:201C28001147C83A1980090E3A00003538C0003718C0008C183FFD1E2800022620C00037B7 +:201C300010C0000510800044003FF506F800283A21C003042805883A21000404020007044A +:201C38001147C83A1980080E10C0000338C000352200003520C0003718C0008C183FFD1E3F +:201C400010800044003FF606F800283A014AAAF4DEFFFE04296AAA84DC000015DFC00115EC +:201C48002021883A0815E1C010000F2601600034013555740007883A297FFFC4211555849F +:201C5000297FFFC4283FFE1E18C000448121883A18BFFB168405883A1421883A843FFFC456 +:201C5800803FFE1E000004068405883A1421883A843FFFC4043FFE160005883ADFC00117EA +:201C6000DC000017DEC00204F800283AF800283A20000226208002171000101ED0A024172F +:201C680010000926DEFFFF04DFC00015103EE83A00C0058410C0001500BFFA84DFC00017F8 +:201C7000DEC00104F800283AD0A24B0400C0058410C0001500BFFA84F800283A2880001712 +:201C780021400115208000152880001711000115290000150005883AF800283AF800283A81 +:201C8000000170FAF800283A73616C4672652068006573616F72726564252072000000008E +:201C880073616C4672772068006574696F7272650000007273616C4665762068206669728F +:201C90006C6961660000000053206F4E6163204464206472002E7465252073250063257505 +:201C9800252E75256B75322E25207A482E252E757A4875320000000064616F4C0000646550 +:201CA00064616F4C696166200064656C00007325746F6C5300752520657661530000006438 +:201CA80065766153696166200064656C4353534F776620207525202E322E252E006A6175D8 +:201CB00074696E49727265202020726F000064252020202053204F4E00434E59343130322C +:201CB8003130322D6D202037737172610000000074736554746170206E72657400000000F3 +:201CC0003A31564142475220000000533A31564173475220000000423A3156416250592017 +:201CC800000072503A32564162505920000072503A32564173475220000000423A335641D5 +:201CD00042475220000056483A33564142475220000000533A335641734752200000004297 +:201CD8003A33564162505920000072507473614C6573752000000064008172F000817300BF +:201CE0000081730C0081731800817324008173300081733C00817348008173540081736094 +:201CE8000081736C00000000000100000101000000010001000000000110000044060B0011 +:201CF000000100030001000080808000001A1A1A7365725000000073666E6F43006D726926 +:201CF8006D73694D686374616572202C00797274646F4D567325203A00000000203A434CC3 +:201D000056207525203A4D5300007525656E694C746C756D646F6D2000003A65736572701C +:201D08002D312073000000352078752575736E75726F707000646574666F725020656C69A9 +:201D100064616F6C0000003A736572702D3020730000003900000031000000320000003360 +:201D180000000034000000360000003700000038554E454D0000000000004B4F4B434142F2 +:201D200000000000000050554E574F44000000005446454C0000000048474952000000541D +:201D28004F464E49000000005F44434C4B4341424847494C000000544E414353454E494C67 +:201D3000444F4D5F000000454E414353454E494C5059545F000000454E414353454E494CD4 +:201D3800544E495F0000002B4E414353454E494C544E495F0000002D454E494C544C554DE8 +:201D4000444F4D5F000000455341485000002B455341485000002D45464F52505F454C4955 +:201D48004B544F4801005945030F031F3E2903033E693EA93E193EE93E593E993E393ED9FD +:201D50003E4D3EC93EED3E1D3ECD3E2D3E6D3EAD3E013E651C181C481CD01C505E581CC8E3 +:201D58003EB95ED800817454008174580081745C0081746000817424008174640081746833 +:201D60000081746C0081745000817B2C00817470008174780081747C00817484008174885C +:201D68000081749000817498008174A0008174A8008174B8008174C8008174D8008174E803 +:201D7000008174F80081750800817510008175184353534F0000000061766E492064696C35 +:201D780067616D690000006561766E492064696C646165680000726561766E492064696C11 +:201D80002072646800435243696C6156697461646420676E0061746162207525736574796F +:201D88000000000061766E492064696C617461644352432000000000252E75252575322EDB +:201D900000732573636E6143656C6C650000006461647055676E69740057462061656C7012 +:201D9800772065732E74696100002E2E697265566E6979666C662067006873617274655276 +:201DA000676E6979647075200065746161647055203F65742C593D314E3D32200000000037 +:201DA8002020202000007525C4206425000000DED72075250000DDB2252E75252075322EB4 +:201DB000000073756D20642500000056C420752500C4AFDE2525752500000000B3B5B2C032 +:201DB800DEBFB2B4202020B3003E2020DFCCDDBBDEB8DDD8BCDFCCB5003EDDAEB7B3DEC4F8 +:201DC000BCDFCCB52020DDAE003E2020D8C2ADBCCCB5B8AEDDAEBCDF003E2020AEBCC4B131 +:201DC800202020D820202020003E2020DDB6DEBA2020B2BE20202020003E2020DEC3B0B5E6 +:201DD000CCB5B5A8DDAEBCDF2020202000003E20DBDFCC3CD9B2A7CCDEC4B0DB20202020A4 +:201DD8000000003EDBDFCC3CD9B2A7CCDECCB0BE202020200000003EC3AFBE3CAEBCB5B2DA +:201DE0002020B6B7003E2020B6B7AEBC0000D0BDB0A7CC3CB1AAB3D1DFCCAFB1003E20208D +:201DE8002D204B4FB7B2BB20BCB3DEC4DEC0B8C30000B2BBDFCAAFBC000000B2DDB3DEC025 +:201DF000DFCCDDBBDEB8DDD800000000D8DEC0CBDEB7D02FCCAFDCBD000000DF5854D9CC2C +:201DF800B1C4AFBE00DFCCAFB2335641DAB0C0DDADBCBDB000B2BEB3DDACB7BD00DDB2D790 +:201E0000DDACB7BDC2DDB2D70000BBD6DDACB7BDD9DDB2D7000000B2DDACB7BDCEDDB2D7ED +:201E0800BCDEBCDF0000DDAEB2CDB2BD00B8BDCFAEC1B2BDB8BDCFB800000000B1B8BDCFF9 +:201E100000BBD9B6703034323838322FD8AEBC70000000007034383300D8AEBC6930383489 +:201E18003637352FD8AEBC6900000000703038343637352FD8AEBC70000000006930363997 +:201E20003830312FAEBC6930000000D8656E694CB0D378320000DEC4656E694CB0D37833F2 +:201E28000000DEC4656E694CB0D378340000DEC4656E694CB0D378350000DEC4656E694CBD +:201E3000B2B978350000B7BC78363532B1303432B8DFCDBD000000C4B0D358540000DEC4F5 +:201E3800494D44484354492000000000C6B7AEBCAED8B3AD000000B8B8DBC5B1B3DEC4DEA7 +:201E4000504C20B700000046B8DBC5B1B3DEC4DE745620B7000000686E797348BBB3BA6357 +:201E4800000000006E797356B2B7BC63000000C14C502D487250204C6F432D6500747361B6 +:201E50004C502D486F50204C432D74737473616F00000000DFCCDDBBDEB8DDD8BDB0AACCB7 +:201E5800000000DEDFCCDDBBDEC3B0D77030383400000000205056544C4C5048AEB77832BC +:201E60000000B3D6BCB8B63CD0B2C0AD3EDEB8DD00000000DEC3DECB504C20B500000046D2 +:201E6800B3B8DBB259C6DDB6725062500000000072502F52BECCB5200000C4AF20592F4738 +:201E7000AFBECCB5000000C462502F42BECCB5200000C4AF72502F52B2DEB920000000DD22 +:201E780020592F47DDB2DEB90000000062502F42B2DEB920000000DDB2CDB2BDDFCCDDBB9B +:201E8000C4B0DAD900000000B2CDB2BDC4DDB2D7BCB7B3DE00DEBADDB2CDB2BDB8AFDECA8D +:201E8800C1B0DFCE00000000B2CDB2BDA8C3B8B10000DECCAEC1B2BDDDB2D7B8B7B3DEC4A8 +:201E9000DEBADDBC00000000AEC1B2BDAFDECAB8B0DFCEB8000000C1AEC1B2BDC3B8B1B87C +:201E980000DECCA82820CCB53D2073666B36392000297A4820207832207366283834203D20 +:201EA000297A486B000000000000B4B30000C0BC0000BAD60000C3C0DEBAB3BA00000000D1 +:201EA8000000CCB500C4B0B5D1C0BDB600000000494D4448000000000049564400373A38BE +:201EB000BDBDDFCA0000B0D9656E694C00007832656E694C2820783229626F62000000005E +:201EB800656E694C282078336563616C00002964656E694C2820783429626F620000000095 +:201EC000656E694C00007833656E694C00007834656E694C00007835303239313830317889 +:201EC80000000030303036313032317800000030303239313032317800000030C8AADEBCB5 +:201ED00020B8AFD800333A347830323320303432B7C3B2BB00002EB6783635322030343299 +:201ED800B7C3B2BB00002EB6C8AADEBC20B8AFD8393A363100000000484D3333BB28207A62 +:201EE000B3AEBCB200000029484D3031C128207A29B2B3AD000000004D352E3228207A484A +:201EE800DEC0B2BB000029B2205654447030383400000000415345563034362030383478DD +:201EF000003036402E63655231303620000000002E6365523930372000000000484D353922 +:201EF8004828207A2056544400294949484D35334828207A2056544400002949484D363174 +:201F00004528207A29565444000000007A484D3944532820002956540000DDB544525355D9 +:201F0800004154410000002000817BC40000200020002CE5E926F4FD38BC2000000000009E +:201F100000817BD0000020002000323EF113FA043B6120000000000036333531303432789A +:201F18000600000007FE00F00FEA01060112039632312002327830380000303400F0050012 +:201F20000106061803480FAA04420112783036390030343203C00000049200F00F80010693 +:201F28000112033632330082343278300000003000F00140010601AA031F0E314910011278 +:201F3000783635320030343201000000015500F00E2701060112031934329220000070307C +:201F38000000000000F002D00106035A033E0F39000E011236333531303432780600004C8A +:201F400007FE00F029EA013801120396323120023278303800003838012005000138061810 +:201F480003480FAA04420112783036390038383203C00000049201200F80013801120336D5 +:201F5000323300823432783000424C3000F00140013801AA031F29314910011278363532AC +:201F58004C30343201000042015500F0292701380112031938329220000070380000000082 +:201F6000012002D001380360033F1345000E0112703438330000000001F000000280018014 +:201F68001D3201A70204033E3436000E38337830000000340180028001EC032002603F3078 +:201F7000000E0210693038340000000002D00000035A00F00F39020D0312033E3834044FA1 +:201F7800000070300000000001E002D0020D035A063E1E3C000C041478303436003038341A +:201F800002800000032001E02130020D051402603436000C313578300000003202000280A6 +:201F88000238032002601C3000040010693637350000000002D000000360012013450271EE +:201F90000312033F3735044F0000703600000000024002D00271036005402744000C0404C7 +:201F980078303038003030360320000004200258175802740010048032370004000070305C +:201FA0000000000002D0050002EE0672052814DC00040018343230313836377804000000C1 +:201FA800054003001DA003260010068832310004317830380034323004000500042A06986A +:201FB000037026F800040010783034366930363902800000032001E02130041A06140260E1 +:201FB8003031000D0069303800000000021C078004650898052C1094000D06183038303153 +:201FC000000000700780000008980438249404650018052C363100043178303000303032BE +:201FC80004B0064004E2087003F12EFF00040010FFFFFFFFFFFFFFFF0000FFFF7665642F08 +:201FD0006370652F6F635F716F72746E72656C6C615F305F6D5F6C7600006D6500000000A7 +:201FD8000000000000000000000000000000000000000000000000000000000000000000E9 +:201FE0000000000000000000000000000000000000000000000000000000000000000000E1 +:201FE8000000000000000000000000000000000000000000000000000000000000000000D9 +:201FF0000000000000000000000000000000000000000000000000000000000000000000D1 :201FF8000000000000000000000000000000000000000000000000000000000000000000C9 :202000000000000000000000000000000000000000000000000000000000000000000000C0 :202008000000000000000000000000000000000000000000000000000000000000000000B8 @@ -1083,77 +1083,77 @@ :2021C8000000000000000000000000000000000000000000000000000000000000000000F7 :2021D0000000000000000000000000000000000000000000000000000000000000000000EF :2021D8000000000000000000000000000000000000000000000000000000000000000000E7 -:2021E0000000000000000000000000000000000000000000000000000000000000000000DF -:2021E8000000000000000000000000000000000000000000000000000000000000000000D7 -:2021F0000000000000000000000000000000000000000000000000000000000000000000CF -:2021F8000000000000000000000000000000000000000000000000000000000000000000C7 -:2022000000000000017804E53CCE00813FAE03833F333D4905B80383009301B404163C493C -:202208003CD93F9F04163F10020E04093D0E00C83F6E03833ED03DAC04B2038300E9026475 -:2022100004163C933D493F5604163E9F00818770000000000000000000000000000000003B -:2022180000000000008176D000000003008187A80000000000000000008176E4000000034E -:20222000008187A00000000000000000008176F80000000300818798000000000000000064 -:202228000081770C000000030081879000000000000000000081772000000003008187884C -:20223000000000000000000000817734000000030081878000000000000000000081774897 -:20223800000000030081877800000000000000000081775C00000003000000000081208C7F -:20224000000000000081776C000000030000000000812164000000000081777C0000000499 -:2022480000812AA800817790008176CC0081779C000000040081321C008177B0008177C40D -:20225000008177CC000000000081915F00010001008187B8008177DC000000000081916031 -:2022580000010001008187E8008177EC000000000081915D00010001008187E8008177FC3B -:20226000000000000081915E00010001008187E800817810000000000081913D00020001A1 -:20226800008184F40081781C000000010081913F000F0000008138640081782C00000000A5 -:202270000081913E00020001008184E80081783C000000000081914000010001008187C0BD -:2022780000817850000000010081914D003F00000081384800817860000000010081914EA3 -:20228000003F00000081384800817870000000010081914F000F0000008136F800817880FC -:202288000000000000819147000400010081851000817890000000000081914800010001DD -:20229000008187D80081789C00000000008191490003000100818500008178AC00000000AF -:202298000081914A00010001008187D8008178BC000000000081914B0003000100818500CC -:2022A000008178CC00000000008191420002000100818530008178D80000000000819143A6 -:2022A800000300010081853C008178E400000000008191440002000100818530008178F07B -:2022B00000000000008191450002000100818530008178FC0000000000819146000200012E -:2022B800008185240081790C000000000081914C00010001008187D00081791C0000000088 -:2022C0000081915000010001008187C800817924000000000081915100010001008187E857 -:2022C800008179300000000000819161000A00010081858000817940000000000081915923 -:2022D000000300010081854C008179500000000100819156001F00000081380400817960AF -:2022D800000000010081915700FF00000081377400817970000000010081915800C80A00AA -:2022E0000081377400817980000000010081915B000500000081375800817990000000012A -:2022E8000081915C0005000000813758008179A40000000100819153001F00010081371463 -:2022F000008179B40000000000819152000200010081855C008179C4000000000081915433 -:2022F80000010001008187E8008179D800000003008187B00081223C00000000008179EC82 -:20230000000000000081915A0005000100818568008179F800000000008191550001000182 -:20230800008187E000817A08000000010081916200FF0000008136F800817A140000000197 -:202310000081916300FF0000008136F800817A20000000010081916400FF0000008136F84A -:2023180000817A2C000000010081916500FF0000008136F800817A380000000100819166AC -:2023200000FF0000008136F800817A44000000010081916700FF0000008136F800817A503D -:20232800000000020081905A08FC012C0081234800817A60000000020081906600FF000A2E -:202330000081234800817A6C000000020081904E00FF00010081234800817A7C0000000274 -:2023380000819058078000C80081234800817A880000000200819052000700010081234805 -:2023400000817A940000000200819060003F00010081234800817AA4000000020081905647 -:2023480004B000C80081234800817AE400817AF000817AFC00817B0800817B0C00817B1430 -:2023500000817B2C00817B4000817B5000817B6000817B2C00817B3800817B7000817B7825 -:2023580000817B8000817B8800817B9400817BA000817BAC00817BB800817BC800817BD845 -:2023600000817BAC00817BB800817BC800817B0800817BE800817BF400817C0000817B0C60 -:2023680000817C1000817C1C00817B0C00817B0800817C4400817C5400817C6400817C74BF -:20237000008172DC008172EC008172F800817304008173100081731C008173280081733464 -:20237800008173400081734C00817358000000000081866C008186740081867C0000000014 +:2021E00000000000000000000000000000000000000000000000000000000000017804E57D +:2021E8003CCE00813FAE03833F333D4905B80383009301B404163C493CD93F9F04163F105B +:2021F000020E04093D0E00C83F6E03833ED03DAC04B2038300E9026404163C933D493F56E6 +:2021F80004163E9F008187080000000000000000000000000000000000000000008176DCED +:2022000000000003008187400000000000000000008176EC0000000300818738000000004D +:2022080000000000008176FC000000030081873000000000000000000081770C0000000381 +:202210000081872800000000000000000081771C000000030081872000000000000000003F +:202218000081772C000000030081871800000000000000000081773C000000030081871010 +:2022200000000000000000000081775000000003000000000081208C0000000000817764CA +:2022280000000003000000000081216400000000008177780000000400812AC4008177882A +:20223000008176D8008177900000000400813238008177A0008177B4008177BC0000000050 +:20223800008190F70001000100818750008177CC00000000008190F80001000100818780CD +:20224000008177DC00000000008190F50001000100818780008177E800000000008190F633 +:202248000001000100818780008177F800000000008190D5000200010081848C0081780089 +:2022500000000001008190D7000F0000008138800081780C00000000008190D6000200014E +:20225800008184800081781800000000008190D80001000100818758008178280000000163 +:20226000008190E5003F0000008138640081783000000001008190E6003F0000008138648F +:202268000081783C00000001008190E7000F0000008137140081784400000000008190DF20 +:2022700000040001008184A80081785400000000008190E000010001008187700081785C8F +:2022780000000000008190E100030001008184980081786C00000000008190E20001000159 +:20228000008187700081787C00000000008190E300030001008184980081788C0000000037 +:20228800008190DA00020001008184C80081789800000000008190DB00030001008184D421 +:20229000008178A400000000008190DC00020001008184C8008178B000000000008190DD3D +:2022980000020001008184C8008178BC00000000008190DE00020001008184BC008178C82D +:2022A00000000000008190E40001000100818768008178D800000000008190E800010001EB +:2022A80000818760008178E000000000008190E90001000100818780008178EC000000006C +:2022B000008190F9000A000100818518008178F800000000008190F100030001008184E4FB +:2022B8000081790800000001008190EE001F0000008138200081791800000001008190EFF9 +:2022C00000FF0000008137900081792400000001008190F000C80A00008137900081793053 +:2022C80000000001008190F300050000008137740081794000000001008190F4000500007B +:2022D000008137740081795400000001008190EB001F000100813730008179640000000011 +:2022D800008190EA00020001008184F40081797400000000008190EC0001000100818780FA +:2022E0000081798400000003008187480081223C000000000081799400000000008190F29D +:2022E8000005000100818500008179A000000000008190ED0001000100818778008179B006 +:2022F00000000001008190FA00FF000000813714008179BC00000001008190FB00FF000035 +:2022F80000813714008179C800000001008190FC00FF000000813714008179D40000000190 +:20230000008190FD00FF000000813714008179E000000001008190FE00FF0000008137142F +:20230800008179EC00000001008190FF00FF000000813714008179F80000000200818FF2FD +:2023100008FC012C0081234800817A080000000200818FFE00FF000A0081234800817A1875 +:202318000000000200818FE600FF00010081234800817A280000000200818FF0078000C84D +:202320000081234800817A340000000200818FEA000700010081234800817A48000000024D +:2023280000818FF8003F00010081234800817A580000000200818FEE04B000C800812348A6 +:2023300000817A9000817A9400817A9800817AA000817AA400817AA800817AC000817AD07D +:2023380000817AE000817AF000817AC000817AC800817B0000817B0800817B1000817B1821 +:2023400000817B2400817B3000817B3C00817B4800817B5800817B6800817B3C00817B4881 +:2023480000817B5800817AA000817B7800817B8800817B9800817AA400817BA800817BB407 +:2023500000817AA400817AA000817BDC00817BEC00817BFC00817C0C008172F0008173009B +:202358000081730C0081731800817324008173300081733C00817348008173540081736015 +:202360000081736C00000000008186040081860C00818614000000000000000000000000C4 +:20236800000000000000000000000000000000000000000000000000000000000000000055 +:2023700000000000000000000000000000000000000000000000000000000000000000004D +:20237800000000000000000000000000000000000000000000000000000000000000000045 :2023800000000000000000000000000000000000000000000000000000000000000000003D :20238800000000000000000000000000000000000000000000000000000000000000000035 -:2023900000000000000000000000000000000000000000000000000000000000000000002D -:20239800000000000000000000000000000000000000000000000000000000000000000025 -:2023A00000000000000000000000000000000000000000000000000000000000000000001D -:2023A80000000000000000000000000000000004000000000001000A000000000002000AFA -:2023B000000000000000000000000000000000000000000000817FA4000000000000000069 -:2023B80000816C1000816D38008169F000816A2C00816AC0000000000080000000000000C6 -:2023C0000000000000000000000000000000000000000000000000000000000000000000FD -:2023C8000000000000000000000000000000000000000000000000000000000000000000F5 -:2023D0000000000000000000000000000000000000000000000000000000000000000000ED -:2023D8000000000000000000000000000000000000000000000000000000000000000000E5 -:2023E0000081695C0000000000800000008210200080000000000001000000800001000063 -:2023E800000001000000000000108010000000800000000B00818024000000020081810080 -:2023F000000000020081812800000007008181500000000E008181DC00000006008182F45F -:2023F800000000040081836C00000008008183BC000000070081845C00817AB000817AC4B7 -:2024000000817AD800817ADC00817B1C00817B2400817BB400817B2800817B2C00817B38AA -:2024080000817C2C00817C3800817B0800817C80C896554B008185AC00000000008187FC21 -:20241000008187FC00000000000000000000000000000000000000000000000000000000A8 +:202390000000000000000004000000000001000A000000000002000A000000000000000012 +:2023980000000000000000000000000000817F3C000000000000000000816C2400816D4C9E +:2023A00000816A0400816A4000816AD40000000000800000000000000000000000000000C4 +:2023A800000000000000000000000000000000000000000000000000000000000000000015 +:2023B00000000000000000000000000000000000000000000000000000000000000000000D +:2023B800000000000000000000000000000000000000000000000000000000000000000005 +:2023C0000000000000000000000000000000000000000000000000000081697000000000A3 +:2023C8000080000000821020008000000000000100000080000100000000010000000000C0 +:2023D00000108010000000800000000B00817FBC000000020081809800000002008180C0A8 +:2023D80000000007008180E80000000E00818174000000060081828C0000000400818304D0 +:2023E000000000080081835400000007008183F400817A6400817A7400817A8800817A8CA6 +:2023E80000817AB000817AB800817B4400817ABC00817AC000817AC800817BC400817BD076 +:2023F00000817AA000817C18C896554B00818544000000000081879400818794000000009D +:2023F8000000000000000000000000000000000000000000000000000000000000000000C5 +:202400000000000000000000000000000000000000000000000000000000000000000000BC +:202408000000000000000000000000000000000000000000000000000000000000000000B4 +:202410000000000000000000000000000000000000000000000000000000000000000000AC :202418000000000000000000000000000000000000000000000000000000000000000000A4 :2024200000000000000000000000000000000000000000000000000000000000000000009C :20242800000000000000000000000000000000000000000000000000000000000000000094 diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 2bbf573..f75ffd3 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -76,9 +76,7 @@ char row1[LCD_ROW_LEN+1], row2[LCD_ROW_LEN+1], menu_row1[LCD_ROW_LEN+1], menu_ro extern alt_u8 menu_active; avinput_t target_mode; -#ifdef ENABLE_AUDIO alt_u8 pcm1862_active; -#endif inline void lcd_write_menu() @@ -734,6 +732,7 @@ int main() { tvp_input_t target_input = 0; ths_input_t target_ths = 0; + pcm_input_t target_pcm = 0; video_format target_format = 0; alt_u8 av_init = 0; @@ -790,54 +789,63 @@ int main() target_format = FORMAT_RGBS; target_typemask = VIDEO_LDTV|VIDEO_SDTV|VIDEO_EDTV|VIDEO_HDTV; target_ths = THS_INPUT_B; + target_pcm = PCM_INPUT4; break; case AV1_RGsB: target_input = TVP_INPUT1; target_format = FORMAT_RGsB; target_typemask = VIDEO_LDTV|VIDEO_SDTV|VIDEO_EDTV|VIDEO_HDTV; target_ths = THS_INPUT_B; + target_pcm = PCM_INPUT4; break; case AV1_YPBPR: target_input = TVP_INPUT1; target_format = FORMAT_YPbPr; target_typemask = VIDEO_LDTV|VIDEO_SDTV|VIDEO_EDTV|VIDEO_HDTV; target_ths = THS_INPUT_B; + target_pcm = PCM_INPUT4; break; case AV2_YPBPR: target_input = TVP_INPUT1; target_format = FORMAT_YPbPr; target_typemask = VIDEO_LDTV|VIDEO_SDTV|VIDEO_EDTV|VIDEO_HDTV; target_ths = THS_INPUT_A; + target_pcm = PCM_INPUT3; break; case AV2_RGsB: target_input = TVP_INPUT1; target_format = FORMAT_RGsB; target_typemask = VIDEO_LDTV|VIDEO_SDTV|VIDEO_EDTV|VIDEO_HDTV; target_ths = THS_INPUT_A; + target_pcm = PCM_INPUT3; break; case AV3_RGBHV: target_input = TVP_INPUT3; target_format = FORMAT_RGBHV; target_typemask = VIDEO_PC; target_ths = THS_STANDBY; + target_pcm = PCM_INPUT2; break; case AV3_RGBs: target_input = TVP_INPUT3; target_format = FORMAT_RGBS; target_typemask = VIDEO_LDTV|VIDEO_SDTV|VIDEO_EDTV|VIDEO_HDTV; target_ths = THS_STANDBY; + target_pcm = PCM_INPUT2; break; case AV3_RGsB: target_input = TVP_INPUT3; target_format = FORMAT_RGsB; target_typemask = VIDEO_LDTV|VIDEO_SDTV|VIDEO_EDTV|VIDEO_HDTV; target_ths = THS_STANDBY; + target_pcm = PCM_INPUT2; break; case AV3_YPBPR: target_input = TVP_INPUT3; target_format = FORMAT_YPbPr; target_typemask = VIDEO_LDTV|VIDEO_SDTV|VIDEO_EDTV|VIDEO_HDTV; target_ths = THS_STANDBY; + target_pcm = PCM_INPUT2; break; default: break; @@ -852,7 +860,7 @@ int main() #ifdef ENABLE_AUDIO DisableAudioOutput(); if (pcm1862_active) - pcm_source_sel(target_input); + pcm_source_sel(target_pcm); #endif tvp_source_sel(target_input, target_format); cm.clkcnt = 0; //TODO: proper invalidate diff --git a/software/sys_controller/pcm1862/pcm1862.c b/software/sys_controller/pcm1862/pcm1862.c index e7b2fb9..bdf8952 100644 --- a/software/sys_controller/pcm1862/pcm1862.c +++ b/software/sys_controller/pcm1862/pcm1862.c @@ -41,8 +41,8 @@ inline void pcm1862_writereg(alt_u8 regaddr, alt_u8 data) I2C_write(I2CA_BASE, data, 1); } -void pcm_source_sel(tvp_input_t input) { - alt_u8 adc_ch = 1<<(3-input); +void pcm_source_sel(pcm_input_t input) { + alt_u8 adc_ch = 1< hal default - May 30, 2017 7:39:09 PM - 1496162349817 - ./ + Jun 11, 2017 10:07:19 PM + 1497208039536 + /home/markus/Code/ossc/software/sys_controller_bsp settings.bsp ../../sys.sopcinfo default diff --git a/sys.sopcinfo b/sys.sopcinfo index c0c6007..4f41fb3 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1496587699 + 1497205150 false true false