diff --git a/ossc.qsf b/ossc.qsf index 03cd273..08c3dd1 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -193,7 +193,7 @@ set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT NORMAL set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC OFF set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION OFF -set_global_assignment -name OPTIMIZATION_MODE BALANCED +set_global_assignment -name OPTIMIZATION_MODE "AGGRESSIVE PERFORMANCE" set_global_assignment -name ALLOW_REGISTER_RETIMING OFF set_global_assignment -name ENABLE_OCT_DONE OFF diff --git a/ossc.sdc b/ossc.sdc index 1b13f18..8942f5e 100644 --- a/ossc.sdc +++ b/ossc.sdc @@ -77,7 +77,10 @@ set_false_path -from pclk_3x_M1 -through $clkmuxnodes -to pclk_4x_M1 set_false_path -from [get_cells {scanconverter_inst|H_* scanconverter_inst|V_* scanconverter:scanconverter_inst|lines_*}] # Ignore paths from registers which are updated only at the end of hsync -set_false_path -from [get_cells {scanconverter:scanconverter_inst|vcnt_* scanconverter:scanconverter_inst|line_idx scanconverter:scanconverter_inst|line_out_idx*}] +set_false_path -from [get_cells {scanconverter:scanconverter_inst|vcnt_* scanconverter:scanconverter_inst|line_idx scanconverter:scanconverter_inst|line_out_idx* scanconverter:scanconverter_inst|HSYNC_start*}] + +# Ignore paths to registers which do not drive critical logic +set_false_path -to [get_cells {scanconverter:scanconverter_inst|line_out_idx*}] # Ignore following clock transfers set_false_path -from [get_clocks pclk_2x] -to [get_clocks pclk_sdtv] diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index f5aca05..2b542b5 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex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diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 373f8c1..72a6057 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -120,11 +120,10 @@ void set_lpf(alt_u8 lpf) break; case VIDEO_SDTV: case VIDEO_LDTV: + default: tvp_set_lpf(0); ths_set_lpf(0); break; - default: - break; } } else { tvp_set_lpf((tc.video_lpf == 2) ? 0x0F : 0); @@ -255,9 +254,6 @@ status_t get_status(tvp_input_t input, video_format format) if (tc.vsync_thold != cm.cc.vsync_thold) tvp_set_ssthold(tc.vsync_thold); - if (tc.sd_sync_win != cm.cc.sd_sync_win) - tvp_setup_glitchstripper(target_type, tc.sd_sync_win); - if ((tc.pre_coast != cm.cc.pre_coast) || (tc.post_coast != cm.cc.post_coast)) tvp_set_hpllcoast(tc.pre_coast, tc.post_coast); @@ -270,8 +266,8 @@ status_t get_status(tvp_input_t input, video_format format) if (tc.sync_lpf != cm.cc.sync_lpf) tvp_set_sync_lpf(tc.sync_lpf); - if (tc.en_alc != cm.cc.en_alc) - tvp_set_alc(tc.en_alc, target_type); + if (!memcmp(&tc.col, &cm.cc.col, sizeof(color_setup_t))) + tvp_set_fine_gain_offset(&cm.cc.col); cm.cc = tc; @@ -370,7 +366,7 @@ void program_mode() printf("Mode %s selected\n", video_modes[cm.id].name); - tvp_source_setup(cm.id, target_type, cm.cc.en_alc, (cm.progressive ? cm.totlines : cm.totlines/2), v_hz_x100/100, cm.cc.pre_coast, cm.cc.post_coast, cm.cc.vsync_thold, cm.cc.sd_sync_win); + tvp_source_setup(cm.id, target_type, (cm.progressive ? cm.totlines : cm.totlines/2), v_hz_x100/100, cm.cc.pre_coast, cm.cc.post_coast, cm.cc.vsync_thold); set_lpf(cm.cc.video_lpf); set_videoinfo(); } diff --git a/software/sys_controller/ossc/avconfig.c b/software/sys_controller/ossc/avconfig.c index aab8ef8..8ca6369 100644 --- a/software/sys_controller/ossc/avconfig.c +++ b/software/sys_controller/ossc/avconfig.c @@ -28,6 +28,8 @@ #define DEFAULT_POST_COAST 0 #define DEFAULT_SAMPLER_PHASE 16 #define DEFAULT_SYNC_VTH 11 +#define DEFAULT_FINE_GAIN 26 +#define DEFAULT_FINE_OFFSET 0x80 extern mode_data_t video_modes[], video_modes_def[]; extern alt_u8 video_mode_cnt; @@ -37,13 +39,19 @@ avconfig_t tc; // Default configuration const avconfig_t tc_default = { - .sampler_phase = DEFAULT_SAMPLER_PHASE, - .sync_vth = DEFAULT_SYNC_VTH, - .vsync_thold = DEFAULT_VSYNC_THOLD, - .sd_sync_win = DEFAULT_SD_SYNC_WIN, - .en_alc = 1, - .pre_coast = DEFAULT_PRE_COAST, - .post_coast = DEFAULT_POST_COAST, + .sampler_phase = DEFAULT_SAMPLER_PHASE, + .sync_vth = DEFAULT_SYNC_VTH, + .vsync_thold = DEFAULT_VSYNC_THOLD, + .pre_coast = DEFAULT_PRE_COAST, + .post_coast = DEFAULT_POST_COAST, + .col = { + .r_f_gain = DEFAULT_FINE_GAIN, + .g_f_gain = DEFAULT_FINE_GAIN, + .b_f_gain = DEFAULT_FINE_GAIN, + .r_f_off = DEFAULT_FINE_OFFSET, + .g_f_off = DEFAULT_FINE_OFFSET, + .b_f_off = DEFAULT_FINE_OFFSET, + }, }; int set_default_avconfig() diff --git a/software/sys_controller/ossc/avconfig.h b/software/sys_controller/ossc/avconfig.h index 059402e..1f2ec14 100644 --- a/software/sys_controller/ossc/avconfig.h +++ b/software/sys_controller/ossc/avconfig.h @@ -21,6 +21,7 @@ #define AVCONFIG_H_ #include "alt_types.h" +#include "tvp7002.h" #define SCANLINESTR_MAX 15 #define HV_MASK_MAX 63 @@ -51,15 +52,14 @@ typedef struct { alt_u8 ypbpr_cs; alt_u8 sync_vth; alt_u8 vsync_thold; - alt_u8 sd_sync_win; alt_u8 sync_lpf; alt_u8 video_lpf; - alt_u8 en_alc; alt_u8 pre_coast; alt_u8 post_coast; alt_u8 edtv_l2x; alt_u8 interlace_pt; alt_u8 def_input; + color_setup_t col; } __attribute__((packed)) avconfig_t; int set_default_avconfig(); diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index 712baa2..e8edb57 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -55,6 +55,7 @@ static void extclks_to_time_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, static void sl_str_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%u%%", ((v+1)*625)/100); } static void lines_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%u lines", v); } static void pixels_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%u pixels", v); } +static void value_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, " %u", v); } MENU(menu_advtiming, P99_PROTECT({ \ { "H. samplerate", OPT_AVCONFIG_NUMVAL_U16,{ .num_u16 = { &tc_h_samplerate, H_TOTAL_MIN, H_TOTAL_MAX, vm_tweak } } }, @@ -67,21 +68,25 @@ MENU(menu_advtiming, P99_PROTECT({ \ MENU(menu_vinputproc, P99_PROTECT({ \ - { "Video LPF", OPT_AVCONFIG_SELECTION, { .sel = { &tc.video_lpf, OPT_WRAP, SETTING_ITEM(video_lpf_desc) } } }, - { "YPbPr in ColSpa", OPT_AVCONFIG_SELECTION, { .sel = { &tc.ypbpr_cs, OPT_WRAP, SETTING_ITEM(ypbpr_cs_desc) } } }, - { "Auto lev. ctrl", OPT_AVCONFIG_SELECTION, { .sel = { &tc.en_alc, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, + { "Video LPF", OPT_AVCONFIG_SELECTION, { .sel = { &tc.video_lpf, OPT_WRAP, SETTING_ITEM(video_lpf_desc) } } }, + { "YPbPr in ColSpa", OPT_AVCONFIG_SELECTION, { .sel = { &tc.ypbpr_cs, OPT_WRAP, SETTING_ITEM(ypbpr_cs_desc) } } }, + { "R. offset", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.col.r_f_off, OPT_NOWRAP, 0, 0xFF, value_disp } } }, + { "G. offset", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.col.g_f_off, OPT_NOWRAP, 0, 0xFF, value_disp } } }, + { "B. offset", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.col.b_f_off, OPT_NOWRAP, 0, 0xFF, value_disp } } }, + { "R. gain", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.col.r_f_gain, OPT_NOWRAP, 0, 0xFF, value_disp } } }, + { "G. gain", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.col.g_f_gain, OPT_NOWRAP, 0, 0xFF, value_disp } } }, + { "B. gain", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.col.b_f_gain, OPT_NOWRAP, 0, 0xFF, value_disp } } }, })) MENU(menu_sampling, P99_PROTECT({ \ { "Sampling phase", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sampler_phase, OPT_NOWRAP, 0, SAMPLER_PHASE_MAX, sampler_phase_disp } } }, { "480p in sampler", OPT_AVCONFIG_SELECTION, { .sel = { &tc.s480p_mode, OPT_WRAP, SETTING_ITEM(s480p_mode_desc) } } }, - { "", OPT_SUBMENU, { .sub = { &menu_advtiming, vm_display } } }, \ + { "", OPT_SUBMENU, { .sub = { &menu_advtiming, vm_display } } }, })) MENU(menu_sync, P99_PROTECT({ \ { "Analog sync LPF", OPT_AVCONFIG_SELECTION, { .sel = { &tc.sync_lpf, OPT_WRAP, SETTING_ITEM(sync_lpf_desc) } } }, { "Analog sync Vth", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sync_vth, OPT_NOWRAP, 0, SYNC_VTH_MAX, sync_vth_disp } } }, - { "Hsync window len", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sd_sync_win, OPT_NOWRAP, 0, SD_SYNC_WIN_MAX, extclks_to_time_disp } } }, { "Vsync threshold", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.vsync_thold, OPT_NOWRAP, VSYNC_THOLD_MIN, VSYNC_THOLD_MAX, intclks_to_time_disp } } }, { "H-PLL Pre-Coast", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.pre_coast, OPT_NOWRAP, 0, PLL_COAST_MAX, lines_disp } } }, { "H-PLL Post-Coast", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.post_coast, OPT_NOWRAP, 0, PLL_COAST_MAX, lines_disp } } }, @@ -107,14 +112,14 @@ MENU(menu_postproc, P99_PROTECT({ \ MENU(menu_main, P99_PROTECT({ \ - { "Video in proc >", OPT_SUBMENU, { .sub = { &menu_vinputproc, NULL } } }, \ - { "Sampling opt. >", OPT_SUBMENU, { .sub = { &menu_sampling, NULL } } }, \ - { "Sync opt. >", OPT_SUBMENU, { .sub = { &menu_sync, NULL } } }, \ - { "Output opt. >", OPT_SUBMENU, { .sub = { &menu_output, NULL } } }, \ - { "Post-proc. >", OPT_SUBMENU, { .sub = { &menu_postproc, NULL } } }, \ - { "", OPT_FUNC_CALL, { .fun = { fw_update, "OK - pls restart" } } }, \ - { "", OPT_FUNC_CALL, { .fun = { set_default_avconfig, "Reset done" } } }, \ - { "", OPT_FUNC_CALL, { .fun = { write_userdata, "Saved" } } }, \ + { "Video in proc >", OPT_SUBMENU, { .sub = { &menu_vinputproc, NULL } } }, + { "Sampling opt. >", OPT_SUBMENU, { .sub = { &menu_sampling, NULL } } }, + { "Sync opt. >", OPT_SUBMENU, { .sub = { &menu_sync, NULL } } }, + { "Output opt. >", OPT_SUBMENU, { .sub = { &menu_output, NULL } } }, + { "Post-proc. >", OPT_SUBMENU, { .sub = { &menu_postproc, NULL } } }, + { "", OPT_FUNC_CALL, { .fun = { fw_update, "OK - pls restart" } } }, + { "", OPT_FUNC_CALL, { .fun = { set_default_avconfig, "Reset done" } } }, + { "", OPT_FUNC_CALL, { .fun = { write_userdata, "Saved" } } }, })) // Max 3 levels currently diff --git a/software/sys_controller/tvp7002/tvp7002.c b/software/sys_controller/tvp7002/tvp7002.c index 61bf5af..a35f5cd 100755 --- a/software/sys_controller/tvp7002/tvp7002.c +++ b/software/sys_controller/tvp7002/tvp7002.c @@ -43,17 +43,16 @@ extern mode_data_t video_modes[]; static void tvp_set_clamp(video_format fmt) { switch (fmt) { - case FORMAT_RGBS: - case FORMAT_RGBHV: - case FORMAT_RGsB: - //select bottom clamp (RGB) - tvp_writereg(TVP_SOGTHOLD, 0x58); - break; case FORMAT_YPbPr: //select mid clamp for Pb & Pr tvp_writereg(TVP_SOGTHOLD, 0x5D); break; + case FORMAT_RGBS: + case FORMAT_RGBHV: + case FORMAT_RGsB: default: + //select bottom clamp (RGB) + tvp_writereg(TVP_SOGTHOLD, 0x58); break; } } @@ -65,17 +64,16 @@ static void tvp_set_clamp_position(video_type type) tvp_writereg(TVP_CLAMPSTART, 0x2); tvp_writereg(TVP_CLAMPWIDTH, 0x6); break; - case VIDEO_SDTV: - case VIDEO_EDTV: - case VIDEO_PC: - tvp_writereg(TVP_CLAMPSTART, 0x6); - tvp_writereg(TVP_CLAMPWIDTH, 0x10); - break; case VIDEO_HDTV: tvp_writereg(TVP_CLAMPSTART, 0x32); tvp_writereg(TVP_CLAMPWIDTH, 0x20); break; + case VIDEO_SDTV: + case VIDEO_EDTV: + case VIDEO_PC: default: + tvp_writereg(TVP_CLAMPSTART, 0x6); + tvp_writereg(TVP_CLAMPWIDTH, 0x10); break; } } @@ -167,6 +165,9 @@ void tvp_init() // Increase line length tolerance tvp_writereg(TVP_LINELENTOL, 0x06); + // Minimize HSYNC window for best sync stability + tvp_writereg(TVP_MVSWIDTH, 0x03); + // Common sync separator threshold // Some arcade games need more that the default 0x40 tvp_set_ssthold(DEFAULT_VSYNC_THOLD); @@ -176,9 +177,15 @@ void tvp_init() tvp_writereg(TVP_R_CGAIN, 0x08); //set rest of the gain digitally (fine) to utilize 100% of the range at the output (0.91*(1+(26/256)) = 1) - tvp_writereg(TVP_R_FGAIN, 26); - tvp_writereg(TVP_G_FGAIN, 26); - tvp_writereg(TVP_B_FGAIN, 26); +} + +void tvp_set_fine_gain_offset(color_setup_t *col) { + tvp_writereg(TVP_R_FGAIN, col->r_f_gain); + tvp_writereg(TVP_G_FGAIN, col->g_f_gain); + tvp_writereg(TVP_B_FGAIN, col->b_f_gain); + tvp_writereg(TVP_R_FOFFSET_MSB, col->r_f_off); + tvp_writereg(TVP_G_FOFFSET_MSB, col->g_f_off); + tvp_writereg(TVP_B_FOFFSET_MSB, col->b_f_off); } // Configure H-PLL (sampling rate, VCO gain and charge pump current) @@ -303,15 +310,14 @@ void tvp_set_alc(alt_u8 en_alc, video_type type) case VIDEO_LDTV: tvp_writereg(TVP_ALCPLACE, 0x9); break; - case VIDEO_SDTV: - case VIDEO_EDTV: - case VIDEO_PC: - tvp_writereg(TVP_ALCPLACE, 0x18); - break; case VIDEO_HDTV: tvp_writereg(TVP_ALCPLACE, 0x5A); break; + case VIDEO_SDTV: + case VIDEO_EDTV: + case VIDEO_PC: default: + tvp_writereg(TVP_ALCPLACE, 0x18); break; } } else { @@ -319,51 +325,33 @@ void tvp_set_alc(alt_u8 en_alc, video_type type) } } -void tvp_setup_glitchstripper(video_type type, alt_u8 sd_winwidth) +void tvp_source_setup(alt_8 modeid, video_type type, alt_u32 vlines, alt_u8 hz, alt_u8 pre_coast, alt_u8 post_coast, alt_u8 vsync_thold) { + // Clamp position and ALC + tvp_set_clamp_position(type); + tvp_set_alc(1, type); + + tvp_set_ssthold(vsync_thold); + // Setup Macrovision stripper and H-PLL coast. // Coast needs to be enabled when HSYNC is missing during VSYNC. Disabled only for RGBHV. // Macrovision stripper filters out glitches and serration pulses that may occur outside of sync window (HSYNC_lead +- TVP_MVSWIDTH*37ns). Enabled for all inputs. switch (type) { case VIDEO_PC: tvp_writereg(TVP_MISCCTRL4, 0x0C); - tvp_writereg(TVP_MVSWIDTH, 0x03); - break; - case VIDEO_HDTV: - tvp_writereg(TVP_MISCCTRL4, 0x08); - tvp_writereg(TVP_MVSWIDTH, 0x0E); - break; - case VIDEO_EDTV: - tvp_writereg(TVP_MISCCTRL4, 0x08); - tvp_writereg(TVP_MVSWIDTH, 0x44); break; case VIDEO_LDTV: case VIDEO_SDTV: - tvp_writereg(TVP_MISCCTRL4, 0x08); - tvp_writereg(TVP_MVSWIDTH, sd_winwidth); - break; + case VIDEO_EDTV: + case VIDEO_HDTV: default: + tvp_writereg(TVP_MISCCTRL4, 0x08); break; } -} - -void tvp_source_setup(alt_8 modeid, video_type type, alt_u8 en_alc, alt_u32 vlines, alt_u8 hz, alt_u8 pre_coast, alt_u8 post_coast, alt_u8 vsync_thold, alt_u8 sd_sync_win) -{ - // Clamp position and ALC - tvp_set_clamp_position(type); - tvp_set_alc(en_alc, type); - - tvp_set_ssthold(vsync_thold); - - tvp_setup_glitchstripper(type, sd_sync_win); tvp_setup_hpll(video_modes[modeid].h_total, vlines, hz, !!(video_modes[modeid].flags & MODE_PLLDIVBY2)); - //Long coast may lead to PLL frequency drift and sync loss (e.g. SNES) - /*if (video_modes[modeid].v_active < 720) - tvp_set_hpllcoast(3, 3); - else - tvp_set_hpllcoast(1, 0);*/ + // Default (3,3) coast may lead to PLL jitter and sync loss (e.g. SNES) tvp_set_hpllcoast(pre_coast, post_coast); // Hsync output width @@ -424,7 +412,6 @@ void tvp_source_sel(tvp_input_t input, video_format fmt) #endif //TODO: - //clamps //TVP_ADCSETUP printf("\n"); diff --git a/software/sys_controller/tvp7002/tvp7002.h b/software/sys_controller/tvp7002/tvp7002.h index 9df77c7..73acf6b 100755 --- a/software/sys_controller/tvp7002/tvp7002.h +++ b/software/sys_controller/tvp7002/tvp7002.h @@ -28,7 +28,6 @@ #define I2CA_BASE I2C_OPENCORES_0_BASE #define DEFAULT_VSYNC_THOLD 0x44 -#define DEFAULT_SD_SYNC_WIN 0x88 typedef enum { TVP_INPUT1 = 0, @@ -57,6 +56,15 @@ typedef struct { alt_u16 B_Pr; } ypbpr_to_rgb_csc_t; +typedef struct { + alt_u8 r_f_off; + alt_u8 g_f_off; + alt_u8 b_f_off; + alt_u8 r_f_gain; + alt_u8 g_f_gain; + alt_u8 b_f_gain; +} __attribute__((packed)) color_setup_t; + static const alt_u32 clkrate[] = {27000000, 6500000}; //in MHz @@ -76,6 +84,8 @@ inline void tvp_set_ssthold(alt_u8 vsdetect_thold); void tvp_init(); +void tvp_set_fine_gain_offset(color_setup_t *col); + void tvp_setup_hpll(alt_u16 h_samplerate, alt_u16 v_lines, alt_u8 hz, alt_u8 plldivby2); void tvp_sel_clk(alt_u8 refclk); @@ -92,9 +102,7 @@ void tvp_set_sog_thold(alt_u8 val); void tvp_set_alc(alt_u8 en_alc, video_type type); -void tvp_setup_glitchstripper(video_type type, alt_u8 sd_winwidth); - -void tvp_source_setup(alt_8 modeid, video_type type, alt_u8 en_alc, alt_u32 vlines, alt_u8 hz, alt_u8 pre_coast, alt_u8 post_coast, alt_u8 vsync_thold, alt_u8 sd_sync_win); +void tvp_source_setup(alt_8 modeid, video_type type, alt_u32 vlines, alt_u8 hz, alt_u8 pre_coast, alt_u8 post_coast, alt_u8 vsync_thold); void tvp_source_sel(tvp_input_t input, video_format fmt); diff --git a/software/sys_controller_bsp/settings.bsp b/software/sys_controller_bsp/settings.bsp index 75ea035..81bb890 100644 --- a/software/sys_controller_bsp/settings.bsp +++ b/software/sys_controller_bsp/settings.bsp @@ -2,8 +2,8 @@ hal default - Aug 16, 2016 7:41:30 PM - 1471365690097 + Aug 18, 2016 11:17:40 PM + 1471551460381 ./ settings.bsp ../../sys.sopcinfo diff --git a/sys.sopcinfo b/sys.sopcinfo index 18e0d47..dcff638 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1471376130 + 1471646943 false true false