diff --git a/ip/sc_config/inc/sc_config_regs.h b/ip/sc_config/inc/sc_config_regs.h index 9521751..fed08e0 100644 --- a/ip/sc_config/inc/sc_config_regs.h +++ b/ip/sc_config/inc/sc_config_regs.h @@ -141,7 +141,8 @@ typedef union { uint32_t sl_c_overlay:10; uint8_t sl_iv_y:3; uint8_t sl_iv_x:4; - uint32_t sl_rsv:7; + uint8_t sl_hybr_str:5; + uint32_t sl_rsv:2; } __attribute__((packed, __may_alias__)); uint32_t data; } sl_config3_reg; diff --git a/ossc.qsf b/ossc.qsf index de00e98..6ed5597 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -243,9 +243,9 @@ set_global_assignment -name QIP_FILE software/sys_controller/mem_init/meminit.qi set_global_assignment -name QIP_FILE rtl/linebuf.qip set_global_assignment -name QIP_FILE rtl/char_rom.qip set_global_assignment -name QIP_FILE rtl/pll_2x.qip -set_global_assignment -name QIP_FILE rtl/lpm_mult_4_hybr_ref_pre.qip -set_global_assignment -name QIP_FILE rtl/lpm_mult_4_hybr_ref.qip -set_global_assignment -name QIP_FILE rtl/lpm_mult_4_sl.qip +set_global_assignment -name QIP_FILE rtl/lpm_mult_hybr_ref_pre.qip +set_global_assignment -name QIP_FILE rtl/lpm_mult_hybr_ref.qip +set_global_assignment -name QIP_FILE rtl/lpm_mult_sl.qip set_global_assignment -name SDC_FILE ossc.sdc set_global_assignment -name CDF_FILE output_files/Chain1.cdf set_global_assignment -name SIGNALTAP_FILE output_files/ossc_la.stp @@ -254,4 +254,5 @@ set_global_assignment -name QIP_FILE rtl/char_array.qip + set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/rtl/lpm_mult_4_sl.qip b/rtl/lpm_mult_hybr_ref.qip similarity index 66% rename from rtl/lpm_mult_4_sl.qip rename to rtl/lpm_mult_hybr_ref.qip index c459082..ea7abdf 100644 --- a/rtl/lpm_mult_4_sl.qip +++ b/rtl/lpm_mult_hybr_ref.qip @@ -1,5 +1,4 @@ set_global_assignment -name IP_TOOL_NAME "LPM_MULT" set_global_assignment -name IP_TOOL_VERSION "21.1" set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" -set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "lpm_mult_4_sl.v"] -set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_mult_4_sl_bb.v"] +set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "lpm_mult_hybr_ref.v"] diff --git a/rtl/lpm_mult_4_hybr_ref.v b/rtl/lpm_mult_hybr_ref.v similarity index 89% rename from rtl/lpm_mult_4_hybr_ref.v rename to rtl/lpm_mult_hybr_ref.v index 5e084ed..ee74bc6 100644 --- a/rtl/lpm_mult_4_hybr_ref.v +++ b/rtl/lpm_mult_hybr_ref.v @@ -4,7 +4,7 @@ // MODULE: lpm_mult // ============================================================ -// File Name: lpm_mult_4_hybr_ref.v +// File Name: lpm_mult_hybr_ref.v // Megafunction Name(s): // lpm_mult // @@ -37,7 +37,7 @@ // synopsys translate_off `timescale 1 ps / 1 ps // synopsys translate_on -module lpm_mult_4_hybr_ref ( +module lpm_mult_hybr_ref ( clock, dataa, datab, @@ -108,10 +108,10 @@ endmodule // Retrieval info: CONNECT: @dataa 0 0 9 0 dataa 0 0 9 0 // Retrieval info: CONNECT: @datab 0 0 8 0 datab 0 0 8 0 // Retrieval info: CONNECT: result 0 0 9 0 @result 0 0 9 0 -// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_4_hybr_ref.v TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_4_hybr_ref.inc FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_4_hybr_ref.cmp FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_4_hybr_ref.bsf FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_4_hybr_ref_inst.v FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_4_hybr_ref_bb.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_hybr_ref.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_hybr_ref.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_hybr_ref.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_hybr_ref.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_hybr_ref_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_hybr_ref_bb.v FALSE // Retrieval info: LIB_FILE: lpm diff --git a/rtl/lpm_mult_4_hybr_ref.qip b/rtl/lpm_mult_hybr_ref_pre.qip similarity index 64% rename from rtl/lpm_mult_4_hybr_ref.qip rename to rtl/lpm_mult_hybr_ref_pre.qip index 3d143f6..ef0cf20 100644 --- a/rtl/lpm_mult_4_hybr_ref.qip +++ b/rtl/lpm_mult_hybr_ref_pre.qip @@ -1,5 +1,4 @@ set_global_assignment -name IP_TOOL_NAME "LPM_MULT" set_global_assignment -name IP_TOOL_VERSION "21.1" set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" -set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "lpm_mult_4_hybr_ref.v"] -set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_mult_4_hybr_ref_bb.v"] +set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "lpm_mult_hybr_ref_pre.v"] diff --git a/rtl/lpm_mult_4_hybr_ref_pre.v b/rtl/lpm_mult_hybr_ref_pre.v similarity index 89% rename from rtl/lpm_mult_4_hybr_ref_pre.v rename to rtl/lpm_mult_hybr_ref_pre.v index 4a6a347..250558f 100644 --- a/rtl/lpm_mult_4_hybr_ref_pre.v +++ b/rtl/lpm_mult_hybr_ref_pre.v @@ -4,7 +4,7 @@ // MODULE: lpm_mult // ============================================================ -// File Name: lpm_mult_4_hybr_ref_pre.v +// File Name: lpm_mult_hybr_ref_pre.v // Megafunction Name(s): // lpm_mult // @@ -37,7 +37,7 @@ // synopsys translate_off `timescale 1 ps / 1 ps // synopsys translate_on -module lpm_mult_4_hybr_ref_pre ( +module lpm_mult_hybr_ref_pre ( clock, dataa, datab, @@ -108,10 +108,10 @@ endmodule // Retrieval info: CONNECT: @dataa 0 0 8 0 dataa 0 0 8 0 // Retrieval info: CONNECT: @datab 0 0 5 0 datab 0 0 5 0 // Retrieval info: CONNECT: result 0 0 9 0 @result 0 0 9 0 -// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_4_hybr_ref_pre.v TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_4_hybr_ref_pre.inc FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_4_hybr_ref_pre.cmp FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_4_hybr_ref_pre.bsf FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_4_hybr_ref_pre_inst.v FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_4_hybr_ref_pre_bb.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_hybr_ref_pre.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_hybr_ref_pre.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_hybr_ref_pre.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_hybr_ref_pre.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_hybr_ref_pre_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_hybr_ref_pre_bb.v FALSE // Retrieval info: LIB_FILE: lpm diff --git a/rtl/lpm_mult_4_hybr_ref_pre.qip b/rtl/lpm_mult_sl.qip similarity index 63% rename from rtl/lpm_mult_4_hybr_ref_pre.qip rename to rtl/lpm_mult_sl.qip index c42c38a..7fd7606 100644 --- a/rtl/lpm_mult_4_hybr_ref_pre.qip +++ b/rtl/lpm_mult_sl.qip @@ -1,5 +1,4 @@ set_global_assignment -name IP_TOOL_NAME "LPM_MULT" set_global_assignment -name IP_TOOL_VERSION "21.1" set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" -set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "lpm_mult_4_hybr_ref_pre.v"] -set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_mult_4_hybr_ref_pre_bb.v"] +set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "lpm_mult_sl.v"] diff --git a/rtl/lpm_mult_4_sl.v b/rtl/lpm_mult_sl.v similarity index 90% rename from rtl/lpm_mult_4_sl.v rename to rtl/lpm_mult_sl.v index 8748bc0..42ff592 100644 --- a/rtl/lpm_mult_4_sl.v +++ b/rtl/lpm_mult_sl.v @@ -4,7 +4,7 @@ // MODULE: lpm_mult // ============================================================ -// File Name: lpm_mult_4_sl.v +// File Name: lpm_mult_sl.v // Megafunction Name(s): // lpm_mult // @@ -37,7 +37,7 @@ // synopsys translate_off `timescale 1 ps / 1 ps // synopsys translate_on -module lpm_mult_4_sl ( +module lpm_mult_sl ( clock, dataa, datab, @@ -108,10 +108,10 @@ endmodule // Retrieval info: CONNECT: @dataa 0 0 8 0 dataa 0 0 8 0 // Retrieval info: CONNECT: @datab 0 0 8 0 datab 0 0 8 0 // Retrieval info: CONNECT: result 0 0 8 0 @result 0 0 8 0 -// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_4_sl.v TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_4_sl.inc FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_4_sl.cmp FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_4_sl.bsf FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_4_sl_inst.v FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_4_sl_bb.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_sl.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_sl.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_sl.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_sl.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_sl_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL lpm_mult_sl_bb.v FALSE // Retrieval info: LIB_FILE: lpm diff --git a/rtl/ossc.v b/rtl/ossc.v index 8a03ded..32f97a4 100644 --- a/rtl/ossc.v +++ b/rtl/ossc.v @@ -193,6 +193,7 @@ tvp7002_frontend u_tvp_frontend ( .hv_in_config(hv_in_config), .hv_in_config2(hv_in_config2), .hv_in_config3(hv_in_config3), + .misc_config(misc_config), .R_o(TVP_R_post), .G_o(TVP_G_post), .B_o(TVP_B_post), diff --git a/rtl/scanconverter.v b/rtl/scanconverter.v index 83ab66c..4d0af86 100644 --- a/rtl/scanconverter.v +++ b/rtl/scanconverter.v @@ -1,5 +1,5 @@ // -// Copyright (C) 2019-2022 Markus Hiienkari +// Copyright (C) 2019-2023 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -89,8 +89,11 @@ localparam PP_LINEBUF_END = PP_LINEBUF_START + PP_LINEBUF_LENGTH; localparam PP_SRCSEL_START = PP_LINEBUF_END; localparam PP_SRCSEL_LENGTH = 1; localparam PP_SRCSEL_END = PP_SRCSEL_START + PP_SRCSEL_LENGTH; -localparam PP_SLGEN_START = PP_SRCSEL_START; -localparam PP_SLGEN_LENGTH = 3; +localparam PP_Y_CALC_START = PP_SRCSEL_END; +localparam PP_Y_CALC_LENGTH = 2; +localparam PP_Y_CALC_END = PP_Y_CALC_START + PP_Y_CALC_LENGTH; +localparam PP_SLGEN_START = PP_Y_CALC_END; +localparam PP_SLGEN_LENGTH = 5; localparam PP_SLGEN_END = PP_SLGEN_START + PP_SLGEN_LENGTH; localparam PP_TP_START = PP_SLGEN_END; localparam PP_TP_LENGTH = 1; @@ -134,11 +137,10 @@ wire SL_BOB_ALTERN = sl_config[31]; wire [9:0] SL_C_OVERLAY = sl_config3[17:8]; wire [2:0] SL_IV_Y = sl_config3[20:18]; wire [3:0] SL_IV_X = sl_config3[24:21]; +wire [4:0] SL_HYBRSTR = sl_config3[29:25]; wire [3:0] MISC_MASK_BR = misc_config[3:0]; wire [2:0] MISC_MASK_COLOR = misc_config[6:4]; -wire [5:0] MISC_REV_LPF_STR = (misc_config[11:7] + 6'd16); -wire MISC_REV_LPF_ENABLE = (misc_config[11:7] != 5'h0); wire MISC_LM_DEINT_MODE = misc_config[12]; wire MISC_NIR_EVEN_OFFSET = misc_config[13]; wire [3:0] MISC_BFI_STR = misc_config[19:16]; @@ -165,17 +167,22 @@ reg [3:0] y_ctr; reg line_id; reg ypos_pp_init; -reg [7:0] sl_str; reg sl_method; +reg [7:0] Y_sl_str, R_sl_str, G_sl_str, B_sl_str; +wire [7:0] R_sl_mult, G_sl_mult, B_sl_mult; wire bfi_frame; -wire [7:0] R_sl_mult, G_sl_mult, B_sl_mult; +reg [8:0] Y_rb_tmp; +reg [9:0] Y; +wire [8:0] Y_sl_hybr_ref_pre, R_sl_hybr_ref_pre, G_sl_hybr_ref_pre, B_sl_hybr_ref_pre; +wire [8:0] Y_sl_hybr_ref, R_sl_hybr_ref, G_sl_hybr_ref, B_sl_hybr_ref; + wire [7:0] R_linebuf, G_linebuf, B_linebuf; // Pipeline registers -reg [7:0] R_pp[PP_LINEBUF_END:PP_PL_END] /* synthesis ramstyle = "logic" */; -reg [7:0] G_pp[PP_LINEBUF_END:PP_PL_END] /* synthesis ramstyle = "logic" */; -reg [7:0] B_pp[PP_LINEBUF_END:PP_PL_END] /* synthesis ramstyle = "logic" */; +reg [7:0] R_pp[PP_SRCSEL_END:PP_PL_END] /* synthesis ramstyle = "logic" */; +reg [7:0] G_pp[PP_SRCSEL_END:PP_PL_END] /* synthesis ramstyle = "logic" */; +reg [7:0] B_pp[PP_SRCSEL_END:PP_PL_END] /* synthesis ramstyle = "logic" */; reg HSYNC_pp[PP_PL_START:PP_PL_END] /* synthesis ramstyle = "logic" */; reg VSYNC_pp[PP_PL_START:PP_PL_END] /* synthesis ramstyle = "logic" */; reg DE_pp[PP_PL_START:PP_PL_END] /* synthesis ramstyle = "logic" */; @@ -183,30 +190,90 @@ reg [11:0] xpos_pp[PP_PL_START:PP_PL_END] /* synthesis ramstyle = "logic" */; reg [10:0] ypos_pp[PP_PL_START:PP_PL_END] /* synthesis ramstyle = "logic" */; reg mask_enable_pp[PP_LINEBUF_START:PP_TP_START] /* synthesis ramstyle = "logic" */; reg draw_sl_pp[(PP_SLGEN_START+1):(PP_SLGEN_END-1)] /* synthesis ramstyle = "logic" */; +reg [7:0] sl_str_pp[(PP_SLGEN_START+1):(PP_SLGEN_START+2)] /* synthesis ramstyle = "logic" */; reg [3:0] x_ctr_sl_pp[PP_PL_START:PP_SLGEN_START] /* synthesis ramstyle = "logic" */; reg [2:0] y_ctr_sl_pp[PP_PL_START:PP_SLGEN_START] /* synthesis ramstyle = "logic" */; assign PCLK_o = PCLK_OUT_i; -lpm_mult_4_sl R_sl_mult_u + +lpm_mult_hybr_ref_pre Y_sl_hybr_ref_pre_u ( .clock(PCLK_OUT_i), - .dataa(R_pp[PP_SLGEN_START+1]), - .datab(~sl_str), + .dataa(Y[9:2]), + .datab(SL_HYBRSTR), + .result(Y_sl_hybr_ref_pre) +); +lpm_mult_hybr_ref_pre R_sl_hybr_ref_pre_u +( + .clock(PCLK_OUT_i), + .dataa(R_pp[PP_SLGEN_START]), + .datab(SL_HYBRSTR), + .result(R_sl_hybr_ref_pre) +); +lpm_mult_hybr_ref_pre G_sl_hybr_ref_pre_u +( + .clock(PCLK_OUT_i), + .dataa(G_pp[PP_SLGEN_START]), + .datab(SL_HYBRSTR), + .result(G_sl_hybr_ref_pre) +); +lpm_mult_hybr_ref_pre B_sl_hybr_ref_pre_u +( + .clock(PCLK_OUT_i), + .dataa(B_pp[PP_SLGEN_START]), + .datab(SL_HYBRSTR), + .result(B_sl_hybr_ref_pre) +); + +lpm_mult_hybr_ref Y_sl_hybr_ref_u +( + .clock(PCLK_OUT_i), + .dataa(Y_sl_hybr_ref_pre), + .datab(sl_str_pp[PP_SLGEN_START+1]), + .result(Y_sl_hybr_ref) +); +lpm_mult_hybr_ref R_sl_hybr_ref_u +( + .clock(PCLK_OUT_i), + .dataa(R_sl_hybr_ref_pre), + .datab(sl_str_pp[PP_SLGEN_START+1]), + .result(R_sl_hybr_ref) +); +lpm_mult_hybr_ref G_sl_hybr_ref_u +( + .clock(PCLK_OUT_i), + .dataa(G_sl_hybr_ref_pre), + .datab(sl_str_pp[PP_SLGEN_START+1]), + .result(G_sl_hybr_ref) +); +lpm_mult_hybr_ref B_sl_hybr_ref_u +( + .clock(PCLK_OUT_i), + .dataa(B_sl_hybr_ref_pre), + .datab(sl_str_pp[PP_SLGEN_START+1]), + .result(B_sl_hybr_ref) +); + +lpm_mult_sl R_sl_mult_u +( + .clock(PCLK_OUT_i), + .dataa(R_pp[PP_SLGEN_START+3]), + .datab(~Y_sl_str), .result(R_sl_mult) ); -lpm_mult_4_sl G_sl_mult_u +lpm_mult_sl G_sl_mult_u ( .clock(PCLK_OUT_i), - .dataa(G_pp[PP_SLGEN_START+1]), - .datab(~sl_str), + .dataa(G_pp[PP_SLGEN_START+3]), + .datab(~Y_sl_str), .result(G_sl_mult) ); -lpm_mult_4_sl B_sl_mult_u +lpm_mult_sl B_sl_mult_u ( .clock(PCLK_OUT_i), - .dataa(B_pp[PP_SLGEN_START+1]), - .datab(~sl_str), + .dataa(B_pp[PP_SLGEN_START+3]), + .datab(~Y_sl_str), .result(B_sl_mult) ); @@ -295,15 +362,16 @@ always @(posedge PCLK_OUT_i) begin end // Postprocess pipeline structure -// 1 2 3 4 5 6 7 -// |----------|----------|---------|---------|---------|---------|--------| -// | SYNC/DE | | | | | | | -// | X/Y POS | | | | | | | -// | | MASK | | | | | | -// | | LB_SETUP | LINEBUF | | | | | -// | | | | SRCSEL | | | | -// | | | | SLGEN | SLGEN | SLGEN | | -// | | | | | | | TP | +// 1 2 3 4 5 6 7 8 9 10 11 12 +// |----------|----------|---------|---------|---------|---------|---------|---------|---------|---------|---------|---------| +// | SYNC/DE | | | | | | | | | | | | +// | X/Y POS | | | | | | | | | | | | +// | | MASK | | | | | | | | | | | +// | | LB_SETUP | LINEBUF | | | | | | | | | | +// | | | | SRCSEL | | | | | | | | | +// | | | | | Y | Y | | | | | | | +// | | | | | | | SLGEN | SLGEN | SLGEN | SLGEN | SLGEN | | +// | | | | | | | | | | | | TP | // Pipeline stage 1 @@ -398,6 +466,12 @@ always @(posedge PCLK_OUT_i) begin x_ctr_sl_pp[pp_idx] <= x_ctr_sl_pp[pp_idx-1]; y_ctr_sl_pp[pp_idx] <= y_ctr_sl_pp[pp_idx-1]; end + // Overridden later where necessary + for (pp_idx = PP_SRCSEL_END+1; pp_idx <= PP_PL_END; pp_idx = pp_idx+1) begin + R_pp[pp_idx] <= R_pp[pp_idx-1]; + G_pp[pp_idx] <= G_pp[pp_idx-1]; + B_pp[pp_idx] <= B_pp[pp_idx-1]; + end if (($signed({1'b0, xpos_pp[PP_LINEBUF_START-1]}) >= X_OFFSET) & ($signed({1'b0, xpos_pp[PP_LINEBUF_START-1]}) < X_OFFSET+X_SIZE) & @@ -412,21 +486,26 @@ always @(posedge PCLK_OUT_i) begin mask_enable_pp[pp_idx] <= mask_enable_pp[pp_idx-1]; end + /* ---------- Source selection (1 cycle) ---------- */ R_pp[PP_SRCSEL_END] <= ext_sync_mode ? ext_R_i : R_linebuf; G_pp[PP_SRCSEL_END] <= ext_sync_mode ? ext_G_i : G_linebuf; B_pp[PP_SRCSEL_END] <= ext_sync_mode ? ext_B_i : B_linebuf; - // Scanlines (3 cycles) + /* ---------- Calculate Y from RGB for hybrid scanlines (2 cycles) ---------- */ + Y_rb_tmp <= {1'b0, R_pp[PP_Y_CALC_START]} + {1'b0, B_pp[PP_Y_CALC_START]}; + Y <= {1'b0, Y_rb_tmp} + {1'b0, G_pp[PP_Y_CALC_START+1], 1'b0}; + + /* ---------- Scanline generation (5 cycles) ---------- */ if (MISC_BFI_ENABLE & bfi_frame) begin - sl_str <= ((MISC_BFI_STR+8'h01)<<4)-1'b1; + sl_str_pp[PP_SLGEN_START+1] <= ((MISC_BFI_STR+8'h01)<<4)-1'b1; sl_method <= 1'b1; draw_sl_pp[PP_SLGEN_START+1] <= 1'b1; end else if (|(SL_L_OVERLAY & (6'h1< sl_str) ? (R_pp[PP_SLGEN_START+1] - sl_str) : 8'h00) : R_pp[PP_SLGEN_START+1]; - G_pp[PP_SLGEN_START+2] <= draw_sl_pp[PP_SLGEN_START+1] ? ((G_pp[PP_SLGEN_START+1] > sl_str) ? (G_pp[PP_SLGEN_START+1] - sl_str) : 8'h00) : G_pp[PP_SLGEN_START+1]; - B_pp[PP_SLGEN_START+2] <= draw_sl_pp[PP_SLGEN_START+1] ? ((B_pp[PP_SLGEN_START+1] > sl_str) ? (B_pp[PP_SLGEN_START+1] - sl_str) : 8'h00) : B_pp[PP_SLGEN_START+1]; + // Cycle 2 + sl_str_pp[PP_SLGEN_START+2] <= sl_str_pp[PP_SLGEN_START+1]; - R_pp[PP_SLGEN_END] <= (draw_sl_pp[PP_SLGEN_START+2] & sl_method) ? R_sl_mult : R_pp[PP_SLGEN_START+2]; - G_pp[PP_SLGEN_END] <= (draw_sl_pp[PP_SLGEN_START+2] & sl_method) ? G_sl_mult : G_pp[PP_SLGEN_START+2]; - B_pp[PP_SLGEN_END] <= (draw_sl_pp[PP_SLGEN_START+2] & sl_method) ? B_sl_mult : B_pp[PP_SLGEN_START+2]; - + // Cycle 3 + Y_sl_str <= {1'b0, sl_str_pp[PP_SLGEN_START+2]} < Y_sl_hybr_ref ? 8'h0 : sl_str_pp[PP_SLGEN_START+2] - Y_sl_hybr_ref[7:0]; + R_sl_str <= {1'b0, sl_str_pp[PP_SLGEN_START+2]} < R_sl_hybr_ref ? 8'h0 : sl_str_pp[PP_SLGEN_START+2] - R_sl_hybr_ref[7:0]; + G_sl_str <= {1'b0, sl_str_pp[PP_SLGEN_START+2]} < G_sl_hybr_ref ? 8'h0 : sl_str_pp[PP_SLGEN_START+2] - G_sl_hybr_ref[7:0]; + B_sl_str <= {1'b0, sl_str_pp[PP_SLGEN_START+2]} < B_sl_hybr_ref ? 8'h0 : sl_str_pp[PP_SLGEN_START+2] - B_sl_hybr_ref[7:0]; + + // Cycle 4 + // store subtraction based scanlined RGB into pipeline registers + R_pp[PP_SLGEN_START+4] <= draw_sl_pp[PP_SLGEN_START+3] ? ((R_pp[PP_SLGEN_START+3] > R_sl_str) ? (R_pp[PP_SLGEN_START+3] - R_sl_str) : 8'h00) : R_pp[PP_SLGEN_START+3]; + G_pp[PP_SLGEN_START+4] <= draw_sl_pp[PP_SLGEN_START+3] ? ((G_pp[PP_SLGEN_START+3] > G_sl_str) ? (G_pp[PP_SLGEN_START+3] - G_sl_str) : 8'h00) : G_pp[PP_SLGEN_START+3]; + B_pp[PP_SLGEN_START+4] <= draw_sl_pp[PP_SLGEN_START+3] ? ((B_pp[PP_SLGEN_START+3] > B_sl_str) ? (B_pp[PP_SLGEN_START+3] - B_sl_str) : 8'h00) : B_pp[PP_SLGEN_START+3]; + + // Cycle 5 + R_pp[PP_SLGEN_END] <= (draw_sl_pp[PP_SLGEN_START+4] & sl_method) ? R_sl_mult : R_pp[PP_SLGEN_START+4]; + G_pp[PP_SLGEN_END] <= (draw_sl_pp[PP_SLGEN_START+4] & sl_method) ? G_sl_mult : G_pp[PP_SLGEN_START+4]; + B_pp[PP_SLGEN_END] <= (draw_sl_pp[PP_SLGEN_START+4] & sl_method) ? B_sl_mult : B_pp[PP_SLGEN_START+4]; + + /* ---------- Testpattern / mask generation ---------- */ R_pp[PP_TP_END] <= testpattern_enable ? (xpos_pp[PP_TP_START] ^ ypos_pp[PP_TP_START]) : (mask_enable_pp[PP_TP_START] ? MASK_R : R_pp[PP_TP_START]); G_pp[PP_TP_END] <= testpattern_enable ? (xpos_pp[PP_TP_START] ^ ypos_pp[PP_TP_START]) : (mask_enable_pp[PP_TP_START] ? MASK_G : G_pp[PP_TP_START]); B_pp[PP_TP_END] <= testpattern_enable ? (xpos_pp[PP_TP_START] ^ ypos_pp[PP_TP_START]) : (mask_enable_pp[PP_TP_START] ? MASK_B : B_pp[PP_TP_START]); diff --git a/rtl/tvp7002_frontend.v b/rtl/tvp7002_frontend.v index 6514e90..312c4d3 100644 --- a/rtl/tvp7002_frontend.v +++ b/rtl/tvp7002_frontend.v @@ -35,6 +35,7 @@ module tvp7002_frontend ( input [31:0] hv_in_config, input [31:0] hv_in_config2, input [31:0] hv_in_config3, + input [31:0] misc_config, output [7:0] R_o, output [7:0] G_o, output [7:0] B_o, @@ -60,8 +61,11 @@ localparam FID_ODD = 1'b1; localparam VSYNC_SEPARATED = 1'b0; localparam VSYNC_RAW = 1'b1; -localparam PP_PL_START = 1; -localparam PP_PL_END = 4; +localparam PP_PL_START = 1; +localparam PP_RLPF_START = PP_PL_START + 1; +localparam PP_RLPF_LENGTH = 3; +localparam PP_RLPF_END = PP_RLPF_START + PP_RLPF_LENGTH; +localparam PP_PL_END = PP_RLPF_END; reg [11:0] h_cnt, h_cnt_sogref; reg [10:0] v_cnt; @@ -83,6 +87,11 @@ reg datavalid_pp[PP_PL_START:PP_PL_END] /* synthesis ramstyle = "logic" */; reg [10:0] xpos_pp[PP_PL_START:PP_PL_END] /* synthesis ramstyle = "logic" */; reg [10:0] ypos_pp[PP_PL_START:PP_PL_END] /* synthesis ramstyle = "logic" */; +// Reverse LPF +wire rlpf_trigger_act; +reg signed [14:0] R_diff_s15_pre, G_diff_s15_pre, B_diff_s15_pre, R_diff_s15, G_diff_s15, B_diff_s15; +reg [7:0] R_pp_prev_rlpf, G_pp_prev_rlpf, B_pp_prev_rlpf; + // Measurement registers reg [20:0] pcnt_frame_ctr; reg [17:0] syncpol_det_ctr, hsync_hpol_ctr, vsync_hpol_ctr; @@ -103,6 +112,9 @@ wire [10:0] V_ACTIVE = hv_in_config2[30:20]; wire [3:0] V_SYNCLEN = hv_in_config3[3:0]; wire [8:0] V_BACKPORCH = hv_in_config3[12:4]; +wire [5:0] MISC_REV_LPF_STR = (misc_config[11:7] + 6'd16); +wire MISC_REV_LPF_ENABLE = (misc_config[11:7] != 5'h0); + wire [11:0] h_cnt_ref = (vsync_i_type == VSYNC_SEPARATED) ? h_cnt_sogref : h_cnt; wire [11:0] even_min_thold = (H_TOTAL / 12'd4); wire [11:0] even_max_thold = (H_TOTAL / 12'd2) + (H_TOTAL / 12'd4); @@ -125,6 +137,17 @@ wire [3:0] H_SAMPLE_SEL = hv_in_config3[31:28]; // SOF position for scaler wire [10:0] V_SOF_LINE = hv_in_config3[23:13]; +function [7:0] apply_reverse_lpf; + input [7:0] data_prev; + input signed [14:0] diff; + reg signed [10:0] result; + + begin + result = {3'b0,data_prev} + ~diff[14:4]; // allow for a small error to reduce adder length + apply_reverse_lpf = result[10] ? 8'h00 : |result[9:8] ? 8'hFF : result[7:0]; + end +endfunction + always @(posedge PCLK_i) begin R_pp[1] <= R_i; @@ -206,19 +229,13 @@ always @(posedge PCLK_i) begin end end -// Pipeline stages 1- +// Pipeline stages 2- integer pp_idx; always @(posedge PCLK_i) begin - for(pp_idx = PP_PL_START+1; pp_idx <= PP_PL_END-1; pp_idx = pp_idx+1) begin + for(pp_idx = PP_PL_START+1; pp_idx <= PP_PL_END; pp_idx = pp_idx+1) begin R_pp[pp_idx] <= R_pp[pp_idx-1]; G_pp[pp_idx] <= G_pp[pp_idx-1]; B_pp[pp_idx] <= B_pp[pp_idx-1]; - end - R_pp[PP_PL_END] <= R_pp[PP_PL_END-1]; - G_pp[PP_PL_END] <= G_pp[PP_PL_END-1]; - B_pp[PP_PL_END] <= B_pp[PP_PL_END-1]; - - for(pp_idx = PP_PL_START+1; pp_idx <= PP_PL_END; pp_idx = pp_idx+1) begin HSYNC_pp[pp_idx] <= HSYNC_pp[pp_idx-1]; VSYNC_pp[pp_idx] <= VSYNC_pp[pp_idx-1]; FID_pp[pp_idx] <= FID_pp[pp_idx-1]; @@ -227,6 +244,36 @@ always @(posedge PCLK_i) begin xpos_pp[pp_idx] <= xpos_pp[pp_idx-1]; ypos_pp[pp_idx] <= ypos_pp[pp_idx-1]; end + + /* ---------- Reverse LPF (3 cycles) ---------- */ + // Store a copy of valid sample data + if (datavalid_pp[PP_RLPF_START]) begin + R_pp_prev_rlpf <= R_pp[PP_RLPF_START]; + G_pp_prev_rlpf <= G_pp[PP_RLPF_START]; + B_pp_prev_rlpf <= B_pp[PP_RLPF_START]; + end + // Push previous valid data into pipeline when RLPF enabled + if (MISC_REV_LPF_ENABLE) begin + R_pp[PP_RLPF_START+1] <= R_pp_prev_rlpf; + G_pp[PP_RLPF_START+1] <= G_pp_prev_rlpf; + B_pp[PP_RLPF_START+1] <= B_pp_prev_rlpf; + end + // Calculate diff to previous valid data + R_diff_s15_pre <= (R_pp_prev_rlpf - R_pp[PP_RLPF_START]); + G_diff_s15_pre <= (G_pp_prev_rlpf - G_pp[PP_RLPF_START]); + B_diff_s15_pre <= (B_pp_prev_rlpf - B_pp[PP_RLPF_START]); + + // Cycle 2 + R_diff_s15 <= (R_diff_s15_pre * MISC_REV_LPF_STR); + G_diff_s15 <= (G_diff_s15_pre * MISC_REV_LPF_STR); + B_diff_s15 <= (B_diff_s15_pre * MISC_REV_LPF_STR); + + // Cycle 3 + if (MISC_REV_LPF_ENABLE) begin + R_pp[PP_RLPF_END] <= apply_reverse_lpf(R_pp[PP_RLPF_START+2], R_diff_s15); + G_pp[PP_RLPF_END] <= apply_reverse_lpf(G_pp[PP_RLPF_START+2], G_diff_s15); + B_pp[PP_RLPF_END] <= apply_reverse_lpf(B_pp[PP_RLPF_START+2], B_diff_s15); + end end // Output diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index d13811a..aa9e283 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -45,9 +45,9 @@ :04002C000000A11718 :04002D00F5010113C5 :04002E000000971720 -:04002F0004070713A8 +:04002F000A070713A2 :040030000000A7978E -:040031009B478793CF +:04003100A1478793C9 :0400320000F7576319 :04003300000720237F :04003400DDE30711F0 @@ -56,41 +56,41 @@ :04003700C4064501B5 :04003800200520057A :04003900A60367E9CA -:04003A0067E9A007CB -:04003B00A047A583B2 +:04003A0067E9A607C5 +:04003B00A647A583AC :04003C0040A267E98E -:04003D00A087A503F0 +:04003D00A687A503EA :04003E00406F0131DD -:04003F00A9856F2000 +:04003F00A98570E03F :0400400067E980826A :04004100000207377B -:04004200A0E7A82368 +:04004200A6E7A82362 :0400430007B7656135 :040044006769019C4B :04004500CC078793CA -:040046005B0505133E -:04004700A0F72623D5 +:040046005E850513BB +:04004700A6F72623CF :040048006769AC6DCB -:04004900A0C7270322 +:04004900A6C727031C :04004A00A78367E938 -:04004B00557DA10737 +:04004B00557DA70731 :04004C004721CB116C :04004D006741C3D86C :04004E00C798177DBB :04004F004711C7D8B6 :040050004501C3D8CB :0400510067E9808259 -:04005200A0C7A78319 +:04005200A6C7A78313 :04005300CF91557D77 :04005400A78367E92E -:04005500A823A10734 +:04005500A823A7072E :040056004B880007CC :0400570005424BDC37 :0400580007C2814119 :0400590045138D5D61 :04005A008082FFF5AC :04005B00A50367E9A9 -:04005C008082A0C737 +:04005C008082A6C731 :04005D002783C90D1F :04005E0011510C052B :04005F00C22205A212 @@ -254,7 +254,7 @@ :0400FD00E963FEB7FE :0400FE0066DD02F6C3 :0400FF008693078A53 -:0401000097B6BA46AE +:0401000097B6BC06EC :040101008782439C12 :04010200200007933F :040103000D0526833D @@ -287,7 +287,7 @@ :04011E00D918DD5CB3 :04011F0002052A2388 :04012000C134DD10F9 -:040121000945859374 +:040121000F4585936E :0401220040A22201D4 :04012300853E47814D :0401240080820131A3 @@ -354,7 +354,7 @@ :04016100BFD507857A :04016200451CC1195E :0401630067E5EF91CC -:0401640009C7A7839D +:040164000FC7A78397 :040165001151C395DC :040166009782C406B2 :0401670047D940A292 @@ -365,7 +365,7 @@ :04016C00C188C3C8BB :04016D008082450146 :04016E0005136569A7 -:04016F0047D9A64581 +:04016F0047D9AC457B :040170005529C11C30 :04017100832A8082DB :040172008383CA09B0 @@ -406,58 +406,58 @@ :04019500C222115120 :04019600646565E156 :040197000420061327 -:04019800D1C58593B5 -:0401990021840513A5 +:04019800D545859331 +:04019900278405139F :04019A003FB1C406A7 :04019B00000217B790 :04019C000D07A78321 :04019D00656565E14E :04019E008B8983C501 -:04019F0021840413A0 +:04019F00278404139A :0401A000738006134F -:0401A100D605859367 -:0401A20025C5051357 +:0401A100D9858593E4 +:0401A2002BC5051351 :0401A30002F40EA3B1 :0401A40040A23F1D19 :0401A50067E94412B0 :0401A6008F23470557 -:0401A7004501A4E783 +:0401A7004501AAE77D :0401A800808201311F :0401A900C78367E9B8 -:0401AA006769A607D4 -:0401AB00A4F70FA303 +:0401AA006769AC07CE +:0401AB00AAF70FA3FD :0401AC0002C0071373 :0401AD0002E787B32B :0401AE000713676567 -:0401AF0097BA25C70F +:0401AF0097BA2BC709 :0401B0000127D683CA :0401B1001723676940 -:0401B200C683A4D785 +:0401B200C683AAD77F :0401B3006769014730 -:0401B400A4D7182391 +:0401B400AAD718238B :0401B50001C7C68335 :0401B6001923676939 -:0401B700D683A4D770 +:0401B700D683AAD76A :0401B80067690187EB -:0401B900A4D715238F +:0401B900AAD7152389 :0401BA0000C7D68321 :0401BB001423676939 -:0401BC00C683A4D77B +:0401BC00C683AAD775 :0401BD00676901D796 -:0401BE00A4D71E2381 +:0401BE00AAD71E237B :0401BF0001A7D6833B :0401C0001C2367692C -:0401C100D683A4D766 +:0401C100D683AAD760 :0401C200676900E782 -:0401C300A4D71B237F +:0401C300AAD71B2379 :0401C4000217C683D5 :0401C500162367692D -:0401C600C683A4D771 +:0401C600C683AAD76B :0401C700676902273B -:0401C800A4D71D2378 +:0401C800AAD71D2372 :0401C9000207C7035F :0401CA009A2367E924 -:0401CB008082A4E7A3 +:0401CB008082AAE79D :0401CC008793678529 :0401CD0007B38027CD :0401CE00273702F5D8 @@ -573,8013 +573,8013 @@ :04023C0002C786333C :04023D0000C51B23BA :04023E0067698082EA -:04023F00A4070713F6 +:04023F00AA070713F0 :04024000E793431CE1 :04024100C31C0807CB :040242000002173768 :040243000EF720236F :0402440067698082E4 -:040245009A8707137A +:04024500A087071374 :0402460000C7578313 -:040247000127560332 -:0402480016FD6685B4 -:040249008FF5715D5F +:0402470001275683B2 +:0402480015FD6585B6 +:040249008FED715D67 :04024A00C4A6C6A2DE -:04024B0007B28E75F3 -:04024C0046038FD105 -:04024D00033701C7AB -:04024E00137D01001B -:04024F00F7B3066299 -:040250008FD10067E3 -:0402510000E7560369 +:04024B0007B28EED7B +:04024C0046838FD581 +:04024D0002B701C72C +:04024E0012FD01009C +:04024F00F7B306E219 +:040250008FD50057EF +:0402510000E75683E9 :0402520001875503C8 -:040253007613D03E10 +:04025300F693D03E10 :0402540075137FF6A9 -:0402550006521FF539 -:0402560045038E4985 +:0402550006D21FF5B9 +:0402560045038EC905 :04025700578301E7E1 -:04025800458301A732 +:04025800460301A7B1 :04025900057E01D746 -:04025A00DE328E49B9 -:04025B0000C786133F -:04025C00F793962E50 -:04025D0089BD1FF741 -:04025E007FF676139E -:04025F008FCD0792A6 -:040260008E5D063673 +:04025A00DE368EC935 +:04025B0000C78693BF +:04025C00F79396B2CC +:04025D008A3D1FF7C0 +:04025E007FF6F6939E +:04025F008FD10792A2 +:040260008EDD06B673 :04026100879367E92F -:04026200C583994770 -:0402630089BD00272A -:040264008E4D05E2D4 -:040265000037C58316 -:040266008E4D05F2C2 -:040267006669D232C0 -:040268009D46061396 -:0402690000C65583F3 -:04026A000126550311 -:04026B000166538352 -:04026C008D758DF50A -:04026D008DC905B280 -:04026E0001C645037D -:04026F000065F5B37E -:040270007FF3F39392 -:040271008DC90562CC -:0402720001865503A9 -:04027300428303A619 +:04026200C6039F47E9 +:040263008A3D0027A9 +:040264008ED10662CF +:040265000037C60395 +:040266008ED10672BD +:0402670066E9D2363C +:04026800A346869390 +:0402690000C6D603F2 +:04026A000126D50391 +:04026B000166D30352 +:04026C008D6D8E6D99 +:04026D008E4906327E +:04026E0001C6C503FD +:04026F00005676338C +:040270007FF3731392 +:040271008E4905624B +:040272000186D50329 +:04027300C383032618 :04027400751301D627 -:04027500E3B31FF5DB -:04027600550300A389 -:04027700D42E00E69B -:0402780001A6558303 -:0402790001E6460351 -:04027A007FF5751384 -:04027B00E533055210 -:04027C00067E00A357 -:04027D00D6328E499E -:04027E0000C7D603DC -:04027F001FF5F593DF -:04028000F29305925E -:04028100761300F2FE -:04028200E2B37FF66E -:0402830006360055E6 -:0402840000C2E2B31F -:040285000062F633EA -:04028600D603C0B229 -:04028700D58300A774 -:040288006365008723 -:040289007FF6761373 -:04028A0006328DF5B6 -:04028B0095838E4D7C -:04028C0005DE006724 -:04028D00D8328E4D88 -:04028E00000785835D -:04028F0000178603CB -:0402900000E7C503BB -:04029100D603C4329A -:04029200052A0047F2 -:040293003FF67613A9 -:04029400C5038E49C7 -:0402950047A200F785 -:0402960003F57513E4 -:040297008D51054A36 -:0402980000F5F61364 -:040299008E49066222 -:04029A00051307F24F -:04029B008FD110836C -:04029C004783DA3E7C -:04029D0046030425EB -:04029E008B9D04151B -:04029F0007928A3DFB -:0402A00046038FD1B1 -:0402A1008A7D043519 -:0402A2008FD1061ED4 -:0402A30047838EFD02 -:0402A40006130495A4 -:0402A500C2321083CE -:0402A60016079E6336 -:0402A7000237478350 -:0402A8008B85878932 -:0402A9008FD507BA2C -:0402AA0047A2DC3E4D -:0402AB000081470384 -:0402AC0000F04363B8 -:0402AD006669470532 -:0402AE00018717931A -:0402AF00A2E60EA312 -:0402B000769387E1D9 -:0402B10054630FF78C -:0402B200F69300B00F -:0402B30067690FF573 -:0402B400A2D70E239C -:0402B50047034712A2 -:0402B600C03A02E761 -:0402B700470D4602A7 -:0402B80000E61863E1 -:0402B900470347129E -:0402BA00739303F740 -:0402BB00E31900F74C -:0402BC0000F6F393C2 -:0402BD00468D460222 -:0402BE00015397133E -:0402BF0010D61E63D4 -:0402C000C683469219 -:0402C1008963040643 -:0402C200F793100698 -:0402C3009693007698 -:0402C4006665012743 -:0402C50000D764B347 -:0402C6001086069305 -:0402C7000326C70340 -:0402C8004502458521 -:0402C9008713C63A97 -:0402CA00870500178D -:0402CB0000E595B302 -:0402CC00078915FD8C -:0402CD000FF5F7131F -:0402CE00C6838785D7 -:0402CF0017B3033628 -:0402D000F79300F7A9 -:0402D100F59303F7A7 -:0402D200CE3E03F524 -:0402D30001000337EC -:0402D40010860793F6 -:0402D5000FD57513B9 -:0402D600CC36CA2E2A -:0402D7000613C83E04 -:0402D8004701108644 -:0402D9004781468192 -:0402DA00137D4581CA -:0402DB004502C2AA6C -:0402DC009293440DA8 -:0402DD001363002582 -:0402DE0047030A8543 -:0402DF0005130346BA -:0402E000893DFFF75E -:0402E10000E03733CF -:0402E200005515337B -:0402E30000B7173316 -:0402E4008ED98FC957 -:0402E5000067F7B304 -:0402E60003F6F69392 -:0402E700058547053D -:0402E80006054519A9 -:0402E900FCA595E3F8 -:0402EA0083A107A243 -:0402EB0006E2C3194B -:0402EC0087138FD510 -:0402ED0046020013B2 -:0402EE0046858705B5 -:0402EF0000E696B3DC -:0402F000167D16FD64 -:0402F1003FF6F713CA -:0402F2000FF676137A -:0402F3005381CA3A2F -:0402F40045814701F8 -:0402F50043014281FE -:0402F60046814501F7 -:0402F7004602CC32BD -:0402F8001763440D37 -:0402F90047420686EC -:0402FA004603441D56 -:0402FB00071303974B -:0402FC008B3DFFF641 -:0402FD0004D446637C -:0402FE00002694132F -:0402FF00008717332A -:0403000036338D59AA -:04030100163300C0EF -:040302008DD100D6C3 -:040303003FF5F5933A -:04030400A881470580 -:04030500B57117FDBA -:04030600BDD58B9D39 -:0403070012B34532B6 -:04030800E7B3005502 -:04030900451500F2A4 -:04030A000067F7B3DE -:04030B00F6A599E3D7 -:04030C00F535451668 -:04030D00C319476267 -:04030E00B78D46F26F -:04030F00BFB946D25A -:040310000077173328 -:0403110000676333EB -:040312000FF373135F -:04031300BF5542850B -:040314004F63461DD0 -:0403150044320AD68E -:040316000026961314 -:0403170000C41633D5 -:0403180046428D517B -:0403190003910685C1 -:04031A00C8320605DA -:04031B0098E34629F4 -:04031C008663F6C638 -:04031D00F693000251 -:04031E00E4B3F00450 -:04031F00CB090066A0 -:04032000FFFC0737A0 -:040321000FF70713B8 -:040322008F6505A23C -:0403230000B764B308 -:0403240046924712A4 -:040325000307470380 -:040326000316C68371 -:04032700069E071A0D -:04032800040777133C -:04032900D6938F5583 -:04032A00F6930187BE -:04032B008F5503F6F1 -:04032C00076207A2BB -:04032D008FD983A140 -:04032E0046854712A7 -:04032F0002D74703A7 -:0403300000D713637C -:04033100EB11472263 -:04033200C1000737C8 -:040333008FF9177DAA -:04033400FFFC07378C -:040335000FF70713A4 -:0403360056828CF966 -:040337000002273762 -:04033800C75444362C -:04033900CB1456F299 -:04033A00CB545692B8 -:04033B00CF1456A2E3 -:04033C00CF5456B292 -:04033D00D314468609 -:04033E00D35456C27C -:04033F00D71456D2A7 -:04034000D75456E256 -:04034100DB48DB1C9E -:0403420044A6DF04EA -:0403430080826161F2 -:040344004285463276 -:0403450000761633F5 -:0403460000666333B7 -:0403470073134625C1 -:0403480090E30FF33C -:040349004662F4C64E -:04034A006CE3440517 -:04034B0045D2F2C4E1 -:04034C001151B5C5D1 -:04034D001437C2227D -:04034E00C0260002C3 -:04034F0084AA460135 -:0403500004C005934D -:040351000404051388 -:04035200F0EFC406FE -:04035300F593F7AF78 -:0403540046010FF45B -:040355000404051384 -:04035600FA6FF0EF5B -:0403570004C0059346 -:040358000404051381 -:04035900F0EF460576 -:04035A000513F5EFA3 -:04035B004412040440 -:04035C00448240A2F5 -:04035D0001314585A0 -:04035E00F6CFF06F77 -:04035F00C422114162 -:04036000000214374C -:04036100C02AC226C6 -:04036200460184AE1E -:0403630004C005933A -:040364000404051375 -:04036500F0EFC606E9 -:040366004782F2EFE9 -:040367000513460133 -:04036800F593040401 -:04036900F0EF0FF7AB -:04036A000513F58FF3 -:04036B004422040420 -:04036C0085A640B270 -:04036D00460544926B -:04036E00F06F0141EA -:04036F001151F44FE5 -:04037000C406451169 -:0403710040A237BDB2 -:04037200004565934A -:040373000FF5F593FA -:0403740001314511FD -:040375001151B76506 -:040376004581C222D9 -:04037700453D842A52 -:040378003F69C4060F -:040379000C1005134C -:04037A00759337A997 -:04037B008DC10FE53C -:04037C000C10051349 -:04037D00441237618E -:04037E00458D40A2C7 -:04037F000C600513F6 -:04038000BFAD0131DB -:04038100C222115132 -:04038200000214372A -:040383004601C02649 -:04038400059384AAAF -:04038500051304A0B8 -:04038600C4060404A1 -:04038700EA8FF0EF1A -:04038800460185A6FF -:040389000404051350 -:04038A00ED6FF0EF34 -:04038B0004A0059332 -:04038C00040405134D -:04038D00F0EF460542 -:04038E000513E8EF7C -:04038F00441204040C -:04039000448240A2C1 -:04039100013145856C -:04039200E9CFF06F50 -:04039300C42211412E -:040394000002143718 -:04039500C02AC22692 -:04039600460184AEEA -:0403970004A0059326 -:040398000404051341 -:04039900F0EFC606B5 -:04039A004782E5EFC2 -:04039B0005134601FF -:04039C0085BE040412 -:04039D00E8AFF0EFE6 +:0402750063331FF5DB +:04027600D50300A309 +:04027700D43200E697 +:0402780001A6D60302 +:040279007FF5751385 +:04027A006533055291 +:04027B00C30300A316 +:04027C00761301E60E +:04027D0006121FF650 +:04027E0066B3037EE2 +:04027F00D63600650A +:0402800000C7D6835A +:0402810000F3F39300 +:04028200007663B3EC +:040283007FF6F69379 +:04028400E3B306B624 +:04028500F6B300D3F9 +:04028600C0B60053AB +:0402870000A7D68373 +:040288000087D60312 +:04028900F693636520 +:04028A008E6D7FF600 +:04028B008ED106B258 +:04028C00006796036E +:04028D008ED1065EAA +:04028E008683D83655 +:04028F0086030007DB +:04029000C50300178B +:04029100C43200E78C +:040292000047D60348 +:040293007613052AAF +:040294008E493FF65A +:0402950000F7C503A6 +:04029600751347A2F3 +:04029700054A03F51C +:04029800F6138D517B +:04029900066200F603 +:04029A0007F28E4990 +:04029B0016830513AE +:04029C00DA3E8FD1E6 +:04029D00042547836A +:04029E0004154603FA +:04029F008A3D8B9D6C +:0402A0008FD1079261 +:0402A10004354603D7 +:0402A200061E8A7D2D +:0402A3008DFD8FD16D +:0402A40004954783F3 +:0402A50016830613A3 +:0402A6009E63C03261 +:0402A700478316076C +:0402A8008789023709 +:0402A90007BA8B8580 +:0402AA00DC3E8FCDDA +:0402AB00470347A21C +:0402AC004363008127 +:0402AD00470500F011 +:0402AE00179365E954 +:0402AF008EA3018792 +:0402B00087E1A8E555 +:0402B1000FF77613BA +:0402B20000D05463C1 +:0402B3000FF6F61339 +:0402B4000E23676945 +:0402B5004702A8C78D +:0402B60002E7470311 +:0402B7004692C23A6F +:0402B8009863470DF3 +:0402B900470200E612 +:0402BA0003F74703FC +:0402BB0000F7739342 +:0402BC007393E3193C +:0402BD00461200F6EF +:0402BE009713468DBF +:0402BF001E63015366 +:0402C000468210D68C +:0402C1000406C683E6 +:0402C2001006896336 +:0402C3000076F79337 +:0402C40001279693E5 +:0402C50064B3666553 +:0402C600069300D7C4 +:0402C700C7031686CD +:0402C800458503263F +:0402C900C63A4512DA +:0402CA00001787137F +:0402CB0095B387055B +:0402CC0015FD00E537 +:0402CD00F713078993 +:0402CE0087850FF51C +:0402CF000336C683A9 +:0402D00000F717B369 +:0402D10003F7F793A5 +:0402D20003F5F593A8 +:0402D3000337CE3EE1 +:0402D400079301008B +:0402D5007513168601 +:0402D600CA2E0FD548 +:0402D700C83ECC361B +:0402D800168606136D +:0402D9004681470112 +:0402DA004581478192 +:0402DB00C2AA137D23 +:0402DC00440D451276 +:0402DD0000259293D3 +:0402DE000A85136317 +:0402DF000346470388 +:0402E000FFF705130C +:0402E1003733893DE9 +:0402E200153300E0F0 +:0402E3001733005578 +:0402E4008FC900B707 +:0402E500F7B38ED904 +:0402E600F693006724 +:0402E700470503F6CE +:0402E800451905852A +:0402E90095E306058E +:0402EA0007A2FCA5C6 +:0402EB00C31983A10F +:0402EC008FD506E2C2 +:0402ED000013871360 +:0402EE008705461228 +:0402EF0096B34685F7 +:0402F00016FD00E611 +:0402F100F713167D6C +:0402F20076133FF64A +:0402F300CA3A0FF6FE +:0402F40047015381EA +:0402F500428145817C +:0402F600450143017A +:0402F700CC3246813E +:0402F800440D461259 +:0402F90006861763FB +:0402FA00441D474216 +:0402FB00039746031C +:0402FC00FFF60713EF +:0402FD0046638B3D8C +:0402FE00941304D47D +:0402FF00173300268B +:040300008D5900878C +:0403010000C03633CF +:0403020000D61633D8 +:04030300F5938DD110 +:0403040047053FF575 +:0403050017FDA881B7 +:040306008B9DB571A5 +:040307004532BDD5E9 +:04030800005512B3D7 +:0403090000F2E7B364 +:04030A00F7B34515EB +:04030B0099E300670B +:04030C004516F6A5F7 +:04030D004762F53519 +:04030E0046F2C319D7 +:04030F0046D2B78D8E +:040310001733BFB927 +:0403110063330077DB +:0403120073130067FA +:0403130042850FF31D +:04031400461DBF556E +:040315000CD64A6355 +:0403160096134432C4 +:040317001633002673 +:040318008D5100C43F +:0403190006854642CD +:04031A000605039140 +:04031B004629C83275 +:04031C00F6C698E3A6 +:04031D0000028663F1 +:04031E00F004F6935E +:04031F000066E4B3DD +:040320000737CB09C7 +:040321000713FFFCC3 +:0403220005A20FF72A +:0403230064B38F65CB +:04032400470200B7D5 +:0403250047034682C2 +:04032600C683030780 +:04032700071A031698 +:040328007713069EA3 +:040329008F550407E1 +:04032A000187D693DE +:04032B0003F6F6934C +:04032C0007A28F5540 +:04032D0083A107623F +:04032E0047028FD91A +:04032F00C20006B74B +:04033000470316FD6C +:040331008EE502F75C +:0403320007668B7D52 +:0403330000E6E4B349 +:0403340046854702B1 +:0403350002D74703A1 +:0403360000D7136376 +:04033700EB1147225D +:04033800C1000737C2 +:040339008FF9177DA4 +:04033A00FFFC073786 +:04033B000FF707139E +:04033C0056828CF960 +:04033D00000227375C +:04033E00C754443626 +:04033F00CB1456F293 +:04034000CB545692B2 +:04034100CF1456A2DD +:04034200CF5456B28C +:04034300D314468603 +:04034400D35456C276 +:04034500D71456D2A1 +:04034600D75456E250 +:04034700DB48DB1C98 +:0403480044A6DF04E4 +:0403490080826161EC +:04034A004285463270 +:04034B0000761633EF +:04034C0000666333B1 +:04034D0073134625BB +:04034E0095E30FF331 +:04034F004662F2C64A +:0403500061E344051C +:0403510045D2F2C4DB +:040352001151B5E9A7 +:040353001437C22277 +:04035400C0260002BD +:0403550084AA46012F +:0403560004C0059347 +:040357000404051382 +:04035800F0EFC406F8 +:04035900F593F62FF3 +:04035A0046010FF455 +:04035B00040405137E +:04035C00F8EFF0EFD7 +:04035D0004C0059340 +:04035E00040405137B +:04035F00F0EF460570 +:040360000513F46F1E +:04036100441204043A +:04036200448240A2EF +:04036300013145859A +:04036400F54FF06FF2 +:04036500C42211415C +:040366000002143746 +:04036700C02AC226C0 +:04036800460184AE18 +:0403690004C0059334 +:04036A00040405136F +:04036B00F0EFC606E3 +:04036C004782F16F64 +:04036D00051346012D +:04036E00F5930404FB +:04036F00F0EF0FF7A5 +:040370000513F40F6E +:04037100442204041A +:0403720085A640B26A +:040373004605449265 +:04037400F06F0141E4 +:040375001151F2CF61 +:04037600C406451163 +:0403770040A237BDAC +:040378000045659344 +:040379000FF5F593F4 +:04037A0001314511F7 +:04037B001151B76500 +:04037C004581C222D3 +:04037D00453D842A4C +:04037E003F69C40609 +:04037F000C10051346 +:04038000759337A991 +:040381008DC10FE536 +:040382000C10051343 +:040383004412376188 +:04038400458D40A2C1 +:040385000C600513F0 +:04038600BFAD0131D5 +:04038700C22211512C +:040388000002143724 +:040389004601C02643 +:04038A00059384AAA9 +:04038B00051304A0B2 +:04038C00C40604049B +:04038D00E90FF0EF95 +:04038E00460185A6F9 +:04038F00040405134A +:04039000EBEFF0EFB0 +:0403910004A005932C +:040392000404051347 +:04039300F0EF46053C +:040394000513E76FF7 +:040395004412040406 +:04039600448240A2BB +:040397000131458566 +:04039800E84FF06FCB +:04039900C422114128 +:04039A000002143712 +:04039B00C02AC2268C +:04039C00460184AEE4 +:04039D0004A0059320 :04039E00040405133B -:04039F0040B2442202 -:0403A000449285A658 -:0403A10001414605CB -:0403A200E76FF06FA2 -:0403A300C42211411E -:0403A4000002143708 -:0403A500C02AC22682 -:0403A600460184AEDA -:0403A70002C00593F8 -:0403A8000404051331 -:0403A900F0EFC606A5 -:0403AA004782E1EFB6 -:0403AB0005134601EF -:0403AC0085BE040402 -:0403AD00E4AFF0EFDA +:04039F00F0EFC606AF +:0403A0004782E46F3D +:0403A10005134601F9 +:0403A20085BE04040C +:0403A300E72FF0EF61 +:0403A4000404051335 +:0403A50040B24422FC +:0403A600449285A652 +:0403A70001414605C5 +:0403A800E5EFF06F1E +:0403A900C422114118 +:0403AA000002143702 +:0403AB00C02AC2267C +:0403AC00460184AED4 +:0403AD0002C00593F2 :0403AE00040405132B -:0403AF0040B24422F2 -:0403B000449285A648 -:0403B10001414605BB -:0403B200E36FF06F96 -:0403B300C222115100 -:0403B40000021437F8 -:0403B5004601C02617 -:0403B600059384AA7D -:0403B700051305C065 -:0403B800C40604046F -:0403B900DE0FF0EF74 -:0403BA000FF4F593B4 -:0403BB0005134605DB -:0403BC00F0EF040456 -:0403BD000593E0CFF5 -:0403BE00051305C05E -:0403BF0046050404E7 -:0403C000DC4FF0EF2F -:0403C1000404051318 -:0403C20040A24412FF -:0403C30045854482A6 -:0403C400F06F0131A4 -:0403C5001141DD2FD6 -:0403C6001437C42202 -:0403C700C226000248 -:0403C80084AEC02A15 -:0403C9000593460151 -:0403CA00051305C052 -:0403CB00C60604045A -:0403CC00D94FF0EF26 -:0403CD00460147821C -:0403CE00040405130B -:0403CF000FF7F5939C -:0403D000DBEFF0EF80 -:0403D1000404051308 -:0403D20040B24422CF -:0403D300449285A625 -:0403D4000141460598 -:0403D500DAAFF06F3C -:0403D60067E9115171 -:0403D700C22266E9EF -:0403D8008423C406B0 -:0403D90087939CA7C3 -:0403DA00C7839946F6 -:0403DB00842A002749 -:0403DC009946869325 -:0403DD008537CB8510 -:0403DE00078500058A -:0403DF00E405051319 -:0403E00002F54733A8 -:0403E1008593658D0E -:0403E2000533BF25FB -:0403E30057B302B456 -:0403E400753302E586 -:0403E500F79302E5A3 -:0403E60005160FF7F2 -:0403E70002E55533A3 -:0403E8000FF5741386 -:0403E90081A3451196 -:0403EA00370D00F6D5 -:0403EB00040E891D56 -:0403EC0075938C4930 -:0403ED0044120FF4B3 -:0403EE00451140A2D3 -:0403EF00BFA1013178 -:0403F000C4061151DD -:0403F1004783C2225A -:0403F200842A0065F4 -:0403F3009593456D2C -:0403F4008DDD004754 -:0403F5000FF5F59378 -:0403F60045833F3DBF -:0403F70045710064E8 -:0403F80045833F1DDD -:0403F900452900345E -:0403FA004583373DC3 -:0403FB004525004450 -:0403FC004583371DE1 -:0403FD004521005442 -:0403FE0045833F39BB -:0403FF00453500047C -:0404000045833F19D8 -:04040100453100146D -:0404020045833739BE -:04040300441200247B -:04040400452D40A2A0 -:04040500B701013109 -:0404060000A5558375 -:04040700C2221151AB -:04040800842A81A120 -:0404090004B0051323 -:04040A0035F5C406FA -:04040B0000A4458381 -:04040C0004A0051330 -:04040D00558335CD11 -:04040E00051300C40E -:04040F0081A104D0F3 -:0404100045833DD90A -:04041100051300C40B -:0404120035F104C0FC -:0404130000E4558329 -:0404140004F00513D8 -:0404150035C181A1CB -:0404160000E4458336 -:0404170004E00513E5 -:0404180055833D5D6E -:040419000513004483 -:04041A0081A10510A7 -:04041B004583356D73 -:04041C000513004480 -:04041D00354505005C -:04041E00006455839E -:04041F00053005138C -:040420003D5181A128 -:0404210000644583AB -:040422000520051399 -:04042300558335695F -:040424000513008438 -:0404250081A105505C -:0404260045833DBD10 -:040427000513008435 -:040428003D950540B9 -:0404290001045583F2 -:04042A000570051341 -:04042B0035A581A1D1 -:04042C0001044583FF -:04042D00056005134E -:04042E0055833DB9FC -:04042F00051301248C -:0404300081A1059011 -:0404310045833D8939 -:040432000513012489 -:0404330035A105806A -:0404340001445583A7 -:0404350005B00513F6 -:040436003D3581A12E -:0404370001444583B4 -:0404380040A2441288 -:0404390005A0051302 -:04043A00B5350131A2 -:04043B00C222115177 -:04043C000513842AF6 -:04043D00C40603F0FE -:04043E0075933BD1A6 -:04043F008DC10F0557 -:0404400040A2441280 -:0404410003F00513AC -:04044200B53101319E -:04044300C22211516F -:040444004569842A58 -:040445003B5DC40651 -:0404460003F5751332 -:040447008C49041ABE -:040448000FF47593A5 -:0404490040A2441277 -:04044A0001314569CE -:04044B001151B3EDAB -:04044C001437C2227D -:04044D004601000262 -:04044E0002C0059350 -:04044F000404051389 -:04045000F0EFC406FF -:040451004605B82F75 -:0404520005134585C4 -:04045300F0EF0404BE -:040454000593BB0F42 -:04045500051302C0C9 -:04045600460504044F -:04045700B68FF0EF7D -:040458000404051380 -:0404590040A2441267 -:04045A0001314585A2 -:04045B00B78FF06FF8 -:04045C00C406115170 -:04045D00842AC22209 -:04045E0075933F5DF6 -:04045F00040EFE7514 -:0404600074138C4D38 -:0404610085A20FF46D -:040462003309450510 -:04046300450985A220 -:0404640085A239F53F -:0404650040A244125B -:040466000131450D0E -:040467001151B9C5B1 -:04046800C02667E55E -:04046900A50384AAB9 -:04046A0067E91147E6 -:04046B00C406C222DF -:04046C009A87879351 -:04046D000237C70388 -:04046E00019C0437B2 -:04046F00CC040413A2 -:0404700054338B0D69 -:04047100051302A4C9 -:04047200E31935A0B5 -:040473000127D50385 -:04047400C78367E9EA -:040475000433A45751 -:04047600E8B902855A -:040477009D63470931 -:04047800C7B700E71B -:04047900879301C99B -:04047A00E36337F70A -:04047B0044BD0087F5 -:04047C003DED8526A7 -:04047D00A805450D7C -:04047E003DCD45012A -:04047F00C68367E9E0 -:040480008793A47743 -:04048100F793FFC628 -:04048200C7990FB750 -:0404830045014709DF -:0404840000E69B6390 -:04048500A801450580 -:0404860004C4B537BE -:040487003FF5051325 -:040488000085353383 -:04048900441205090B -:04048A00448240A2C6 -:04048B00B7890131FB -:04048C009763440925 -:04048D00453D008762 -:04048E00FAF48DE30C -:04048F00BF5545010F -:04049000356D450180 -:0404910070E3450DC2 -:040492004795FE94F8 -:0404930040978533D6 -:040494000FF57513D8 -:040495000593BFC943 -:04049600053302C068 -:0404970065E502B560 -:0404980025C585935E -:0404990095AA464595 -:04049A00051365657C -:04049B00506F188501 -:04049C0047A97C00F0 -:04049D0000F50E63F5 -:04049E00050A67E103 -:04049F006A4787938E -:0404A000410C953E38 -:0404A1004645656502 -:0404A20018850513A1 -:0404A3007A20506FFC -:0404A400859365DDFA -:0404A500B7FDBD855D -:0404A600CA06112150 -:0404A700C626C8227B -:0404A8003E31842A33 -:0404A9000513458171 -:0404AA003CC90CE05D -:0404AB000A63478910 -:0404AC0067E51EF4EE -:0404AD001207A68309 -:0404AE00879367E5E4 -:0404AF00C7032187D7 -:0404B000C6030397E5 -:0404B100041303A786 -:0404B20047853E80BC -:0404B3000286D433B6 -:0404B4001563648DDB -:0404B500648900F75F -:0404B60080048493A7 -:0404B700453D4585F5 -:0404B800C23AC4324E -:0404B9003C59C036B4 -:0404BA000513458160 -:0404BB00347903305D -:0404BC000084D59350 -:0404BD0003400513E0 -:0404BE0045813451EF -:0404BF0003500513CE -:0404C00075933CB53F -:0404C10005130FF41C -:0404C2003C8D03006A -:0404C30000845593C9 -:0404C4000FF5F593A8 -:0404C5000310051308 -:0404C6005593349581 -:0404C700F5930104A4 -:0404C80005130FF514 -:0404C9003C99032037 -:0404CA00453D4581E6 -:0404CB0045813C81AA -:0404CC000C500513B8 -:0404CD00468234A18E -:0404CE00471264E588 -:0404CF000F8487937C -:0404D0004785C79401 -:0404D10084934622A8 -:0404D20044090F8446 -:0404D30000F70363C8 -:0404D40046854429EC -:0404D500008483A379 -:0404D60000D48223A9 -:0404D700036347BDB7 -:0404D800478100D682 -:0404D90000F482A306 -:0404DA000E000513F8 -:0404DB000C00059379 -:0404DC00F0EFC03A43 -:0404DD004702A0BF73 -:0404DE000513478536 -:0404DF001D63059004 -:0404E000F0EF10F732 -:0404E10075939B1F55 -:0404E200E5930FC5CA -:0404E30005130015E8 -:0404E400F0EF0590A0 -:0404E50045119EBF60 -:0404E60099BFF0EFDB -:0404E7000EB5759346 -:0404E800F0EF4511DB -:0404E900C5839DBF6B -:0404EA0005130044B2 -:0404EB00F0EF0E1010 -:0404EC0005939CFFD9 -:0404ED0005130E40A5 -:0404EE00F0EF0E20FD -:0404EF00C5839C3FE6 -:0404F000051300549C -:0404F10089BD0E3083 -:0404F2009B5FF0EF2D -:0404F300051345A107 -:0404F400F0EF0E40D7 -:0404F50045859ABFE0 -:0404F600F0EF453DA1 -:0404F70045A19A3F42 -:0404F80009100513CF -:0404F900999FF0EFE8 -:0404FA000513458120 -:0404FB00F0EF0920F5 -:0404FC00458598FF9B -:0404FD0009300513AA -:0404FE00985FF0EF24 -:0404FF00051345811B -:04050000F0EF0940CF -:0405010085A297BF79 -:040502000980051354 -:04050300971FF0EF5F -:040504000FF4459318 -:04050500E5930592E3 -:04050600F59300B5B4 -:0405070005130FB514 -:04050800F0EF099077 -:04050900458195BFD4 -:04050A00F0EF453D8C -:04050B000593953F80 -:04050C0005130C10B7 -:04050D00F0EF0E00FD -:04050E000793947F3C -:04050F008323FC1036 -:04051000458500F429 -:04051100F0EF453D85 -:040512004585937F09 -:040513000680051346 -:0405140092DFF0EF93 -:040515000513458104 -:04051600F0EF06906C -:040517004581923F49 -:0405180006B0051311 -:04051900919FF0EFCF -:04051A0005134581FF -:04051B00F0EF06C037 -:04051C00059390FFB4 -:04051D0005130700BB -:04051E00F0EF06D024 -:04051F004581903F43 -:04052000F0EF453D76 -:0405210044428FBF02 -:0405220044B240D2CD -:040523000513458DEA -:0405240001610CE085 -:040525008E9FF06F46 -:0405260089BFF0EFAA -:040527000FC57593F4 -:0405280040D2B5FD0B -:0405290044B2444252 -:04052A008082016169 -:04052B00FDC10113FA -:04052C00CE22D00605 -:04052D004709CC2688 -:04052E009C6387AA99 -:04052F00071310E5B9 -:0405300005A305001A -:04053100470300E19B -:04053200141300B1ED -:0405330005930076B6 -:0405340064130480C8 -:04053500F4930084B7 -:040536006713003611 -:040537000623002770 -:04053800741300B187 -:0405390045850FF4F1 -:04053A000723453D11 -:04053B00C03E00F1CD -:04053C0000E105A332 -:04053D0006A3C23A15 -:04053E0007A300818E -:04053F00C80200915D -:04054000F0EFCA020C -:04054100471287BF17 -:040542000580051318 -:04054300F0EF85BA96 -:04054400059386FF96 -:040545000513048016 -:04054600F0EF05903D -:0405470085A2863FC4 -:0405480005A00513F2 -:04054900859FF0EFAB -:04054A0005134782CC -:04054B0085BE05B0B4 -:04054C0084DFF0EF69 -:04054D00051385A667 -:04054E00F0EF05C005 -:04054F004581843F1F -:0405500005E00513AA -:04055100839FF0EFA5 -:0405520005134581C7 -:04055300F0EF05F0D0 -:04055400458182FF5C -:040555000600051384 -:04055600825FF0EFE1 -:0405570005134581C2 -:04055800F0EF0610AA -:04055900458181BF98 -:04055A00062005135F -:04055B00811FF0EF1D -:04055C0005134581BD -:04055D00F0EF063085 -:04055E004581807FD4 -:04055F00064005133A -:04056000FFCFF0EFEA -:0405610005134581B8 -:04056200F0EF065060 -:04056300003CFF2F2A -:040564000151071327 -:04056500C683458183 -:0405660007850037CE -:04056700F5938D95E6 -:040568001AE30FF58E -:040569008593FEF781 -:04056A00F59306F50A -:04056B0005130FF570 -:04056C00F0EF05D0D7 -:04056D004581FCAF19 -:04056E00F0EF453D28 -:04056F004472FC2FA7 -:0405700044E250828F -:040571000513458D9C -:0405720001130CD095 -:04057300F06F0241E2 -:040574004741FAEF12 -:040575001111B5FDAE -:04057600842ACA22E7 -:04057700CC06450564 -:04057800F0EFC826B2 -:040579004511FF4FDA -:04057A00F4AFF0EFFB -:04057B0000856593FF -:04057C000FF5F593EF -:04057D00F0EF451145 -:04057E000593F86F7A -:04057F00051303005D -:04058000F0EF061082 -:040581004581F7AF0A -:040582000CD0051381 -:04058300F70FF0EF8F -:04058400871367E58D -:040585004483108714 -:0405860087930157FF -:040587004709108789 -:0405880034B3C23E88 -:040589004785009012 -:04058A000485C03AEA -:04058B0000F4036312 -:04058C0045F5C0026F -:04058D00F0EF451135 -:04058E0066E5F46FBB -:04058F00FFE40793EB -:040590000F86861339 -:0405910000F037B38C -:0405920000179713A4 -:0405930000C64783D4 -:040594008FD99BF56B -:040595000F86871333 -:0405960000F6062342 -:040597008B89C43A4E -:040598004505C781CD -:04059900F72FF0EF59 -:04059A00051347A25C -:04059B00C78307000B -:04059C00C63E003720 -:04059D00EBEFF0EFA1 -:04059E00759347B258 -:04059F00F713003519 -:0405A000C3190087F4 -:0405A1000205E593D7 -:0405A2000017F71334 -:0405A300E593C31900 -:0405A400F713010543 -:0405A500C31900274F -:0405A6000085E59354 -:0405A700C3998B91D8 -:0405A8000045E59392 -:0405A900070005132F -:0405AA00ED4FF0EF32 -:0405AB0045814782BD -:0405AC0067E5C3B587 -:0405AD000B478793DE -:0405AE000007C583FA -:0405AF0007300513F9 -:0405B000EBCFF0EFAE -:0405B100871367E560 -:0405B20045830B472B -:0405B3000513001715 -:0405B400F0EF07401D -:0405B50067E5EAAF5D -:0405B6000B478793D5 -:0405B7000027C583D1 -:0405B80007500513D0 -:0405B900E98FF0EFE7 -:0405BA0047816761AD -:0405BB006D070713AE -:0405BC0000F706B38B -:0405BD000006C583EC -:0405BE000767851333 -:0405BF00F0EFC03E5B -:0405C0004782E7EF98 -:0405C10046C967615F -:0405C200071307858F -:0405C30091E36D074C -:0405C4004589FED790 -:0405C50007200513F3 -:0405C600F0EFC02E64 -:0405C7004582E18FF9 -:0405C8008DC98971DF -:0405C90007200513EF -:0405CA00E54FF0EF1A -:0405CB00458547A279 -:0405CC0000C7C7831A -:0405CD00E3918B89A2 -:0405CE00051345814B -:0405CF00F0EF0C003D -:0405D00045D5E3EF3B -:0405D100F0EF4511F1 -:0405D20045C1E36FCD -:0405D30006100513F6 -:0405D400E2CFF0EF93 -:0405D5009663478959 -:0405D60005930EF487 -:0405D7000513088080 -:0405D800F0EF06201A -:0405D90045C1E1AF88 -:0405DA0006300513CF -:0405DB00E10FF0EF4D -:0405DC00084005933B -:0405DD0006400513BC -:0405DE00E04FF0EF0B -:0405DF003E80051342 -:0405E00097FFE0EFB2 -:0405E100F0EF4511E1 -:0405E2007593DACF64 -:0405E300451101D5E8 -:0405E400DECFF0EF87 -:0405E5000513656134 -:0405E600E0EF6A05D3 -:0405E7004511965FC5 -:0405E800D92FF0EF28 -:0405E90001557593B0 -:0405EA00F0EF4511D8 -:0405EB000493DD2F69 -:0405EC004539064047 -:0405ED00D7EFF0EF65 -:0405EE00E90989414D -:0405EF0004C214FD31 -:0405F0003E80051331 -:0405F100E0EF80C1F6 -:0405F200F4E5939FFA -:0405F30045314581C8 -:0405F400DACFF0EF7B -:0405F5000400059366 -:0405F600F0EF4535A8 -:0405F7004585DA2F2D -:0405F800F0EF4539A2 -:0405F9004581D9AFB0 -:0405FA00F0EF4539A0 -:0405FB004581D92F2E -:0405FC00F0EF453D9A -:0405FD004581D8AFAD -:0405FE0006100513CB -:0405FF00D80FF0EF32 -:0406000006634789BD -:04060100479202F426 -:04060200849364E594 -:04060300C683218405 -:0406040067E901475A -:040605009DE7C503A5 -:0406060003E4C60340 -:04060700008035B387 -:0406080031690586C9 -:0406090003E4C783BC -:04060A000DA34712E3 -:04060B00852204F749 -:04060C00A69FF0EFC6 -:04060D0040E2445231 -:04060E00450144C29C -:04060F00F06F017116 -:0406100045E1D98F58 -:0406110006200513A7 -:04061200D34FF0EFE3 -:04061300051345C1C5 -:04061400F0EF0630CD -:0406150045B1D2AF6A -:040616006789BF3100 -:040617008793114173 -:04061800C22671077E -:0406190002F554B3DF -:04061A00C42266C1CF -:04061B004401C606CA -:04061C0017B716FDF9 -:04061D00A70300022D -:04061E0067E50D0778 -:04061F0019C78793DD -:0406200086138F7539 -:04062100D5030347B3 -:040622000463000766 -:04062300078902E55C -:04062400FEC79BE38F -:04062500051365094B -:04062600C02E71056C -:04062700863FE0EF3B -:04062800040567C19D -:0406290086934582ED -:04062A0064E3FFF78F -:04062B004501FC94F5 -:04062C00C198A019B8 -:04062D0040B245058D -:04062E00449244228C -:04062F008082014183 -:0406300001A31141D0 -:04063100153700A1D8 -:04063200C4220002DC -:04063300842E4605C6 -:0406340004050513A1 -:0406350000310593F8 -:04063600E0EFC60625 -:040637008522C6FF53 -:0406380081FFE0EF6F -:04063900442240B265 -:04063A008082014178 -:04063B000513115141 -:04063C00C4060220CE -:04063D00F0EFC222F6 -:04063E006593DD6F74 -:04063F007413F80533 -:04064000F5930FF52A -:0406410005130FF599 -:04064200F0EF0220B3 -:040643000513E0CFEC -:04064400E0EF3E8025 -:040645007593FECFDC -:04064600051307F49D -:04064700F0EF0220AE -:040648000513DF8F28 -:04064900E0EF3E8020 -:04064A0045CDFD8F0E -:04064B00F0EF45592E -:04064C004412DE8FE7 -:04064D00459D40A2E5 -:04064E0002B00513DE -:04064F00F06F013116 -:040650004795DD8F5E -:040651000CA7E963A6 -:04065200C22211515E -:04065300C406C026F3 -:04065400000284B765 -:04065500842A409C17 -:040656008BBD83EDE8 -:040657000AA7886303 -:0406580007B347D1CC -:0406590065E102F560 -:04065A00BF45859380 -:04065B00851346516C -:04065C00883D004491 -:04065D0095BE040A38 -:04065E00C4FFE0EF06 -:04065F00F793409C31 -:040660008C5DFC377A -:040661006465C0808C -:040662001084079366 -:0406630001C7C78381 -:0406640010840413E7 -:040665006769CF9161 -:04066600A4070713CB -:040667004529431CC2 -:04066800C31C9BF51F -:04066900000217373D -:04066A000EF7202344 -:04066B00F52FE0EF98 -:04066C00000217B7BA -:04066D000D07A7834B -:04066E000008073742 -:04066F00EB8D8FF987 -:04067000000287B746 -:0406710059634398EE -:0406720043980007A2 -:0406730067134505BF -:04067400C398001710 -:04067500F2AFE0EF11 +:0403AF00F0EFC6069F +:0403B0004782E06F31 +:0403B10005134601E9 +:0403B20085BE0404FC +:0403B300E32FF0EF55 +:0403B4000404051325 +:0403B50040B24422EC +:0403B600449285A642 +:0403B70001414605B5 +:0403B800E1EFF06F12 +:0403B900C2221151FA +:0403BA0000021437F2 +:0403BB004601C02611 +:0403BC00059384AA77 +:0403BD00051305C05F +:0403BE00C406040469 +:0403BF00DC8FF0EFF0 +:0403C0000FF4F593AE +:0403C10005134605D5 +:0403C200F0EF040450 +:0403C3000593DF4F70 +:0403C400051305C058 +:0403C50046050404E1 +:0403C600DACFF0EFAB +:0403C7000404051312 +:0403C80040A24412F9 +:0403C90045854482A0 +:0403CA00F06F01319E +:0403CB001141DBAF52 +:0403CC001437C422FC +:0403CD00C226000242 +:0403CE0084AEC02A0F +:0403CF00059346014B +:0403D000051305C04C +:0403D100C606040454 +:0403D200D7CFF0EFA2 +:0403D3004601478216 +:0403D4000404051305 +:0403D5000FF7F59396 +:0403D600DA6FF0EFFB +:0403D7000404051302 +:0403D80040B24422C9 +:0403D900449285A61F +:0403DA000141460592 +:0403DB00D92FF06FB7 +:0403DC0067E911516B +:0403DD00C22266E9E9 +:0403DE008423C406AA +:0403DF008793A2A7B7 +:0403E000C7839F46EA +:0403E100842A002743 +:0403E2009F46869319 +:0403E3008537CB850A +:0403E4000785000584 +:0403E500E405051313 +:0403E60002F54733A2 +:0403E7008593658D08 +:0403E8000533BF25F5 +:0403E90057B302B450 +:0403EA00753302E580 +:0403EB00F79302E59D +:0403EC0005160FF7EC +:0403ED0002E555339D +:0403EE000FF5741380 +:0403EF0081A3451190 +:0403F000370D00F6CF +:0403F100040E891D50 +:0403F20075938C492A +:0403F30044120FF4AD +:0403F400451140A2CD +:0403F500BFA1013172 +:0403F600C4061151D7 +:0403F7004783C22254 +:0403F800842A0065EE +:0403F9009593456D26 +:0403FA008DDD00474E +:0403FB000FF5F59372 +:0403FC0045833F3DB9 +:0403FD0045710064E2 +:0403FE0045833F1DD7 +:0403FF004529003458 +:040400004583373DBC +:040401004525004449 +:040402004583371DDA +:04040300452100543B +:0404040045833F39B4 +:040405004535000475 +:0404060045833F19D2 +:040407004531001467 +:0404080045833739B8 +:040409004412002475 +:04040A00452D40A29A +:04040B00B701013103 +:04040C0000A555836F +:04040D00C2221151A5 +:04040E00842A81A11A +:04040F0004B005131D +:0404100035F5C406F4 +:0404110000A445837B +:0404120004A005132A +:04041300558335CD0B +:04041400051300C408 +:0404150081A104D0ED +:0404160045833DD904 +:04041700051300C405 +:0404180035F104C0F6 +:0404190000E4558323 +:04041A0004F00513D2 +:04041B0035C181A1C5 +:04041C0000E4458330 +:04041D0004E00513DF +:04041E0055833D5D68 +:04041F00051300447D +:0404200081A10510A1 +:040421004583356D6D +:04042200051300447A +:040423003545050056 +:040424000064558398 +:040425000530051386 +:040426003D5181A122 +:0404270000644583A5 +:040428000520051393 +:040429005583356959 +:04042A000513008432 +:04042B0081A1055056 +:04042C0045833DBD0A +:04042D00051300842F +:04042E003D950540B3 +:04042F0001045583EC +:04043000057005133B +:0404310035A581A1CB +:0404320001044583F9 +:040433000560051348 +:0404340055833DB9F6 +:040435000513012486 +:0404360081A105900B +:0404370045833D8933 +:040438000513012483 +:0404390035A1058064 +:04043A0001445583A1 +:04043B0005B00513F0 +:04043C003D3581A128 +:04043D0001444583AE +:04043E0040A2441282 +:04043F0005A00513FC +:04044000B53501319C +:04044100C222115171 +:040442000513842AF0 +:04044300C40603F0F8 +:0404440075933BD1A0 +:040445008DC10F0551 +:0404460040A244127A +:0404470003F00513A6 +:04044800B531013198 +:04044900C222115169 +:04044A004569842A52 +:04044B003B5DC4064B +:04044C0003F575132C +:04044D008C49041AB8 +:04044E000FF475939F +:04044F0040A2441271 +:0404500001314569C8 +:040451001151B3EDA5 +:040452001437C22277 +:04045300460100025C +:0404540002C005934A +:040455000404051383 +:04045600F0EFC406F9 +:040457004605B6AFF1 +:0404580005134585BE +:04045900F0EF0404B8 +:04045A000593B98FBE +:04045B00051302C0C3 +:04045C004605040449 +:04045D00B50FF0EFF8 +:04045E00040405137A +:04045F0040A2441261 +:04046000013145859C +:04046100B60FF06F73 +:04046200C40611516A +:04046300842AC22203 +:0404640075933F5DF0 +:04046500040EFE750E +:0404660074138C4D32 +:0404670085A20FF467 +:04046800330945050A +:04046900450985A21A +:04046A0085A239F539 +:04046B0040A2441255 +:04046C000131450D08 +:04046D001151B9C5AB +:04046E00C02667E558 +:04046F00A50384AAB3 +:0404700067E91747DA +:04047100C406C222D9 +:04047200A087879345 +:040473000237C70382 +:04047400019C0437AC +:04047500CC0404139C +:0404760054338B0D63 +:04047700051302A4C3 +:04047800E31935A0AF +:040479000127D5037F +:04047A00C78367E9E4 +:04047B000433AA5745 +:04047C00E8B9028554 +:04047D009D6347092B +:04047E00C7B700E715 +:04047F00879301C995 +:04048000E36337F704 +:0404810044BD0087EF +:040482003DED8526A1 +:04048300A805450D76 +:040484003DCD450124 +:04048500C68367E9DA +:040486008793AA7737 +:04048700F793FFC622 +:04048800C7990FB74A +:0404890045014709D9 +:04048A0000E69B638A +:04048B00A80145057A +:04048C0004C4B537B8 +:04048D003FF505131F +:04048E00008535337D +:04048F004412050905 +:04049000448240A2C0 +:04049100B7890131F5 +:04049200976344091F +:04049300453D00875C +:04049400FAF48DE306 +:04049500BF55450109 +:04049600356D45017A +:0404970070E3450DBC +:040498004795FE94F2 +:0404990040978533D0 +:04049A000FF57513D2 +:04049B000593BFC93D +:04049C00053302C062 +:04049D0065E502B55A +:04049E002BC5859352 +:04049F0095AA46458F +:0404A0000513656576 +:0404A100506F1E85F5 +:0404A20047A97C40AA +:0404A30000F50E63EF +:0404A400050A67E1FD +:0404A5006DC7879305 +:0404A600410C953E32 +:0404A70046456565FC +:0404A8001E85051395 +:0404A9007A60506FB6 +:0404AA00859365DDF4 +:0404AB00B7FDBF4595 +:0404AC00CA0611214A +:0404AD00C626C82275 +:0404AE003E31842A2D +:0404AF00051345816B +:0404B0003CC90CE057 +:0404B1000A6347890A +:0404B20067E51EF4E8 +:0404B3001807A683FD +:0404B400879367E5DE +:0404B500C7032787CB +:0404B600C6030397DF +:0404B700041303A780 +:0404B80047853E80B6 +:0404B9000286D433B0 +:0404BA001563648DD5 +:0404BB00648900F759 +:0404BC0080048493A1 +:0404BD00453D4585EF +:0404BE00C23AC43248 +:0404BF003C59C036AE +:0404C000051345815A +:0404C1003479033057 +:0404C2000084D5934A +:0404C30003400513DA +:0404C40045813451E9 +:0404C50003500513C8 +:0404C60075933CB539 +:0404C70005130FF416 +:0404C8003C8D030064 +:0404C90000845593C3 +:0404CA000FF5F593A2 +:0404CB000310051302 +:0404CC00559334957B +:0404CD00F59301049E +:0404CE0005130FF50E +:0404CF003C99032031 +:0404D000453D4581E0 +:0404D10045813C81A4 +:0404D2000C500513B2 +:0404D300468234A188 +:0404D400471264E582 +:0404D5001584879370 +:0404D6004785C794FB +:0404D70084934622A2 +:0404D800440915843A +:0404D90000F70363C2 +:0404DA0046854429E6 +:0404DB00008483A373 +:0404DC0000D48223A3 +:0404DD00036347BDB1 +:0404DE00478100D67C +:0404DF0000F482A300 +:0404E0000E000513F2 +:0404E1000C00059373 +:0404E200F0EFC03A3D +:0404E3004702A0BF6D +:0404E4000513478530 +:0404E5001D630590FE +:0404E600F0EF10F72C +:0404E70075939B1F4F +:0404E800E5930FC5C4 +:0404E90005130015E2 +:0404EA00F0EF05909A +:0404EB0045119EBF5A +:0404EC0099BFF0EFD5 +:0404ED000EB5759340 +:0404EE00F0EF4511D5 +:0404EF00C5839DBF65 +:0404F00005130044AC +:0404F100F0EF0E100A +:0404F20005939CFFD3 +:0404F30005130E409F +:0404F400F0EF0E20F7 +:0404F500C5839C3FE0 +:0404F6000513005496 +:0404F70089BD0E307D +:0404F8009B5FF0EF27 +:0404F900051345A101 +:0404FA00F0EF0E40D1 +:0404FB0045859ABFDA +:0404FC00F0EF453D9B +:0404FD0045A19A3F3C +:0404FE0009100513C9 +:0404FF00999FF0EFE2 +:040500000513458119 +:04050100F0EF0920EE +:04050200458598FF94 +:0405030009300513A3 +:04050400985FF0EF1D +:040505000513458114 +:04050600F0EF0940C9 +:0405070085A297BF73 +:04050800098005134E +:04050900971FF0EF59 +:04050A000FF4459312 +:04050B00E5930592DD +:04050C00F59300B5AE +:04050D0005130FB50E +:04050E00F0EF099071 +:04050F00458195BFCE +:04051000F0EF453D86 +:040511000593953F7A +:0405120005130C10B1 +:04051300F0EF0E00F7 +:040514000793947F36 +:040515008323FC1030 +:04051600458500F423 +:04051700F0EF453D7F +:040518004585937F03 +:040519000680051340 +:04051A0092DFF0EF8D +:04051B0005134581FE +:04051C00F0EF069066 +:04051D004581923F43 +:04051E0006B005130B +:04051F00919FF0EFC9 +:0405200005134581F9 +:04052100F0EF06C031 +:04052200059390FFAE +:0405230005130700B5 +:04052400F0EF06D01E +:040525004581903F3D +:04052600F0EF453D70 +:0405270044428FBFFC +:0405280044B240D2C7 +:040529000513458DE4 +:04052A0001610CE07F +:04052B008E9FF06F40 +:04052C0089BFF0EFA4 +:04052D000FC57593EE +:04052E0040D2B5FD05 +:04052F0044B244424C +:040530008082016163 +:04053100FDC10113F4 +:04053200CE22D006FF +:040533004709CC2682 +:040534009C6387AA93 +:04053500071310E5B3 +:0405360005A3050014 +:04053700470300E195 +:04053800141300B1E7 +:0405390005930076B0 +:04053A0064130480C2 +:04053B00F4930084B1 +:04053C00671300360B +:04053D00062300276A +:04053E00741300B181 +:04053F0045850FF4EB +:040540000723453D0B +:04054100C03E00F1C7 +:0405420000E105A32C +:0405430006A3C23A0F +:0405440007A3008188 +:04054500C802009157 +:04054600F0EFCA0206 +:04054700471287BF11 +:040548000580051312 +:04054900F0EF85BA90 +:04054A00059386FF90 +:04054B000513048010 +:04054C00F0EF059037 +:04054D0085A2863FBE +:04054E0005A00513EC +:04054F00859FF0EFA5 +:0405500005134782C6 +:0405510085BE05B0AE +:0405520084DFF0EF63 +:04055300051385A661 +:04055400F0EF05C0FF +:040555004581843F19 +:0405560005E00513A4 +:04055700839FF0EF9F +:0405580005134581C1 +:04055900F0EF05F0CA +:04055A00458182FF56 +:04055B00060005137E +:04055C00825FF0EFDB +:04055D0005134581BC +:04055E00F0EF0610A4 +:04055F00458181BF92 +:040560000620051359 +:04056100811FF0EF17 +:0405620005134581B7 +:04056300F0EF06307F +:040564004581807FCE +:040565000640051334 +:04056600FFCFF0EFE4 +:0405670005134581B2 +:04056800F0EF06505A +:04056900003CFF2F24 +:04056A000151071321 +:04056B00C68345817D +:04056C0007850037C8 +:04056D00F5938D95E0 +:04056E001AE30FF588 +:04056F008593FEF77B +:04057000F59306F504 +:0405710005130FF56A +:04057200F0EF05D0D1 +:040573004581FCAF13 +:04057400F0EF453D22 +:040575004472FC2FA1 +:0405760044E2508289 +:040577000513458D96 +:0405780001130CD08F +:04057900F06F0241DC +:04057A004741FAEF0C +:04057B001111B5FDA8 +:04057C00842ACA22E1 +:04057D00CC0645055E +:04057E00F0EFC826AC +:04057F004511FF4FD4 +:04058000F4AFF0EFF5 +:0405810000856593F9 +:040582000FF5F593E9 +:04058300F0EF45113F +:040584000593F86F74 +:040585000513030057 +:04058600F0EF06107C +:040587004581F7AF04 +:040588000CD005137B +:04058900F70FF0EF89 +:04058A00871367E587 +:04058B004483168708 +:04058C0087930157F9 +:04058D00470916877D +:04058E0034B3C23E82 +:04058F00478500900C +:040590000485C03AE4 +:0405910000F403630C +:0405920045F5C00269 +:04059300F0EF45112F +:0405940066E5F46FB5 +:04059500FFE40793E5 +:04059600158686132D +:0405970000F037B386 +:04059800001797139E +:0405990000C64783CE +:04059A008FD99BF565 +:04059B001586871327 +:04059C0000F606233C +:04059D008B89C43A48 +:04059E004505C781C7 +:04059F00F72FF0EF53 +:0405A000051347A256 +:0405A100C783070005 +:0405A200C63E00371A +:0405A300EBEFF0EF9B +:0405A400759347B252 +:0405A500F713003513 +:0405A600C3190087EE +:0405A7000205E593D1 +:0405A8000017F7132E +:0405A900E593C319FA +:0405AA00F71301053D +:0405AB00C319002749 +:0405AC000085E5934E +:0405AD00C3998B91D2 +:0405AE000045E5938C +:0405AF000700051329 +:0405B000ED4FF0EF2C +:0405B10045814782B7 +:0405B20067E5C3B581 +:0405B30011478793D2 +:0405B4000007C583F4 +:0405B50007300513F3 +:0405B600EBCFF0EFA8 +:0405B700871367E55A +:0405B800458311471F +:0405B900051300170F +:0405BA00F0EF074017 +:0405BB0067E5EAAF57 +:0405BC0011478793C9 +:0405BD000027C583CB +:0405BE0007500513CA +:0405BF00E98FF0EFE1 +:0405C00047816761A7 +:0405C1007087071325 +:0405C20000F706B385 +:0405C3000006C583E6 +:0405C400076785132D +:0405C500F0EFC03E55 +:0405C6004782E7EF92 +:0405C70046C9676159 +:0405C8000713078589 +:0405C90091E37087C3 +:0405CA004589FED78A +:0405CB0007200513ED +:0405CC00F0EFC02E5E +:0405CD004582E18FF3 +:0405CE008DC98971D9 +:0405CF0007200513E9 +:0405D000E54FF0EF14 +:0405D100458547A273 +:0405D20000C7C78314 +:0405D300E3918B899C +:0405D4000513458145 +:0405D500F0EF0C0037 +:0405D60045D5E3EF35 +:0405D700F0EF4511EB +:0405D80045C1E36FC7 +:0405D90006100513F0 +:0405DA00E2CFF0EF8D +:0405DB009663478953 +:0405DC0005930EF481 +:0405DD00051308807A +:0405DE00F0EF062014 +:0405DF0045C1E1AF82 +:0405E00006300513C9 +:0405E100E10FF0EF47 +:0405E2000840059335 +:0405E30006400513B6 +:0405E400E04FF0EF05 +:0405E5003E8005133C +:0405E600967FE0EF2D +:0405E700F0EF4511DB +:0405E8007593DACF5E +:0405E900451101D5E2 +:0405EA00DECFF0EF81 +:0405EB00051365612E +:0405EC00E0EF6A05CD +:0405ED00451194DF41 +:0405EE00D92FF0EF22 +:0405EF0001557593AA +:0405F000F0EF4511D2 +:0405F1000493DD2F63 +:0405F2004539064041 +:0405F300D7EFF0EF5F +:0405F400E909894147 +:0405F50004C214FD2B +:0405F6003E8005132B +:0405F700E0EF80C1F0 +:0405F800F4E5921F75 +:0405F90045314581C2 +:0405FA00DACFF0EF75 +:0405FB000400059360 +:0405FC00F0EF4535A2 +:0405FD004585DA2F27 +:0405FE00F0EF45399C +:0405FF004581D9AFAA +:04060000F0EF453999 +:040601004581D92F27 +:04060200F0EF453D93 +:040603004581D8AFA6 +:0406040006100513C4 +:04060500D80FF0EF2B +:0406060006634789B7 +:04060700479202F420 +:04060800849364E58E +:04060900C6832784F9 +:04060A0067E9014754 +:04060B00A3E7C50399 +:04060C0003E4C6033A +:04060D00008035B381 +:04060E0031690586C3 +:04060F0003E4C783B6 +:040610000DA34712DD +:04061100852204F743 +:04061200A69FF0EFC0 +:0406130040E244522B +:04061400450144C296 +:04061500F06F017110 +:0406160045E1D98F52 +:0406170006200513A1 +:04061800D34FF0EFDD +:04061900051345C1BF +:04061A00F0EF0630C7 +:04061B0045B1D2AF64 +:04061C006789BF31FA +:04061D00879311416D +:04061E00C226710778 +:04061F0002F554B3D9 +:04062000C42266C1C9 +:040621004401C606C4 +:0406220017B716FDF3 +:04062300A703000227 +:0406240067E50D0772 +:040625001FC78793D1 +:0406260086138F7533 +:04062700D5030347AD +:040628000463000760 +:04062900078902E556 +:04062A00FEC79BE389 +:04062B000513650945 +:04062C00C02E710566 +:04062D0084BFE0EFB7 +:04062E00040567C197 +:04062F0086934582E7 +:0406300064E3FFF789 +:040631004501FC94EF +:04063200C198A019B2 +:0406330040B2450587 +:040634004492442286 +:04063500808201417D +:0406360001A31141CA +:04063700153700A1D2 +:04063800C4220002D6 +:04063900842E4605C0 +:04063A00040505139B +:04063B0000310593F2 +:04063C00E0EFC6061F +:04063D008522C57FCE +:04063E00807FE0EFEA +:04063F00442240B25F +:040640008082014172 +:04064100051311513B +:04064200C4060220C8 +:04064300F0EFC222F0 +:040644006593DD6F6E +:040645007413F8052D +:04064600F5930FF524 +:0406470005130FF593 +:04064800F0EF0220AD +:040649000513E0CFE6 +:04064A00E0EF3E801F +:04064B007593FD4F57 +:04064C00051307F497 +:04064D00F0EF0220A8 +:04064E000513DF8F22 +:04064F00E0EF3E801A +:0406500045CDFC0F89 +:04065100F0EF455928 +:040652004412DE8FE1 +:04065300459D40A2DF +:0406540002B00513D8 +:04065500F06F013110 +:040656004795DD8F58 +:040657000CA7E963A0 +:04065800C222115158 +:04065900C406C026ED +:04065A00000284B75F +:04065B00842A409C11 +:04065C008BBD83EDE2 +:04065D000AA78863FD +:04065E0007B347D1C6 +:04065F0065E102F55A +:04066000C2C58593F7 +:040661008513465166 +:04066200883D00448B +:0406630095BE040A32 +:04066400C37FE0EF81 +:04066500F793409C2B +:040666008C5DFC3774 +:040667006465C08086 +:04066800168407935A +:0406690001C7C7837B +:04066A0016840413DB +:04066B006769CF915B +:04066C00AA070713BF +:04066D004529431CBC +:04066E00C31C9BF519 +:04066F000002173737 +:040670000EF720233E +:04067100F3AFE0EF14 +:04067200000217B7B4 +:040673000D07A78345 +:04067400000807373C +:04067500EB8D8FF981 :04067600000287B740 -:040677004529439836 -:04067800C3989B790F -:040679006713439828 -:04067A00C3980027FA +:0406770059634398E8 +:04067800439800079C +:0406790067134505B9 +:04067A00C39800170A :04067B00F12FE0EF8C -:04067C0001C44783EB -:04067D006769CF8159 -:04067E00A4070713B3 -:04067F00E793431C9E -:04068000C31C002770 -:040681000002173725 -:040682000EF720232C -:04068300441240A23B -:04068400013144827A -:04068500808280826D -:040686004670069321 -:0406870002D5053360 -:04068800064006938F -:040689000613665D91 -:04068A0045C5BE069E -:04068B0002D546B39B -:04068C000513656588 -:04068D00406F18851D -:04068E0046B75F40CC -:04068F008693000F3F -:040690000533240604 -:04069100678902D59E -:04069200964787936D -:040693003E8007138B -:04069400006336B712 -:04069500EA06869358 -:040696000613665D84 -:0406970045C5BE8611 -:0406980002F557B35D -:0406990002E7F7B3CA -:04069A0056B34729E3 -:04069B00656502D5BA -:04069C0018850513A5 -:04069D0002E7D73366 -:04069E005B20406F2E -:04069F002710069387 -:0406A0000533050514 -:0406A100069302D5E5 -:0406A200665D06404B -:0406A300BF46061335 -:0406A40046B345C54F -:0406A500656502D5B0 -:0406A600188505139B -:0406A70058E0406F68 -:0406A800271006937E -:0406A90002D505333E -:0406AA00064006936D -:0406AB000613665D6F -:0406AC0045C5BF463B -:0406AD0002D546B379 -:0406AE000513656566 -:0406AF00406F1885FB -:0406B000ED0156C042 -:0406B100C70367E92B -:0406B20066DDA3C797 -:0406B300070567DDF3 -:0406B400BFC68693A4 -:0406B50047C7879319 -:0406B60067DDA80153 -:0406B700BF878693E0 -:0406B800001507130F -:0406B900BF878793DD -:0406BA006565665DAF -:0406BB00C04606131C -:0406BC00051345C518 -:0406BD00406F1885ED -:0406BE00ED015340B7 -:0406BF00C70367E91D -:0406C00066DDA3D779 -:0406C100070567DDE5 -:0406C200BFC6869396 -:0406C30047C787930B -:0406C40067DDA80145 -:0406C500BF878693D2 -:0406C6000015071301 -:0406C700BF878793CF -:0406C8006565665DA1 -:0406C900C04606130E -:0406CA00051345C50A -:0406CB00406F1885DF -:0406CC0086AA4FC0EB -:0406CD006565665D9C -:0406CE00C0C6061389 -:0406CF00051345C505 -:0406D000406F1885DA -:0406D10086AA4E8027 -:0406D2006565665D97 -:0406D300CC460613F8 -:0406D400051345C500 -:0406D500406F1885D5 -:0406D60006934D40FA -:0406D70006E2F8053A -:0406D8006565665D91 -:0406D900061386E19D -:0406DA0045C5EDC65F -:0406DB001885051366 -:0406DC004BA0406F80 -:0406DD0001851693EA -:0406DE00665D86E1EE -:0406DF0016D1656566 -:0406E000C1860613B6 +:04067C00000287B73A +:04067D004529439830 +:04067E00C3989B7909 +:04067F006713439822 +:04068000C3980027F4 +:04068100EFAFE0EF08 +:0406820001C44783E5 +:040683006769CF8153 +:04068400AA070713A7 +:04068500E793431C98 +:04068600C31C00276A +:04068700000217371F +:040688000EF7202326 +:04068900441240A235 +:04068A000131448274 +:04068B008082808267 +:04068C00467006931B +:04068D0002D505335A +:04068E000640069389 +:04068F000613665D8B +:0406900045C5BFC6D7 +:0406910002D546B395 +:040692000513656582 +:04069300406F1E8511 +:0406940046B75F8086 +:040695008693000F39 +:0406960005332406FE +:04069700678902D598 +:040698009647879367 +:040699003E80071385 +:04069A00006336B70C +:04069B00EA06869352 +:04069C000613665D7E +:04069D0045C5C04649 +:04069E0002F557B357 +:04069F0002E7F7B3C4 +:0406A00056B34729DD +:0406A100656502D5B4 +:0406A2001E85051399 +:0406A30002E7D73360 +:0406A4005B60406FE8 +:0406A5002710069381 +:0406A600053305050E +:0406A700069302D5DF +:0406A800665D064045 +:0406A900C10606136D +:0406AA0046B345C549 +:0406AB00656502D5AA +:0406AC001E8505138F +:0406AD005920406F21 +:0406AE002710069378 +:0406AF0002D5053338 +:0406B0000640069367 +:0406B1000613665D69 +:0406B20045C5C10673 +:0406B30002D546B373 +:0406B4000513656560 +:0406B500406F1E85EF +:0406B600BFF9570031 +:0406B70067E9ED0101 +:0406B800A9C7C70304 +:0406B90067DD66DDB6 +:0406BA008693070517 +:0406BB008793C186DA +:0406BC00A8014987C1 +:0406BD00869367DDDC +:0406BE000713C14716 +:0406BF008793001508 +:0406C000665DC1476B +:0406C1000613656552 +:0406C20045C5C20662 +:0406C3001E85051378 +:0406C4005360406FD0 +:0406C50067E9ED01F3 +:0406C600A9D7C703E6 +:0406C70067DD66DDA8 +:0406C8008693070509 +:0406C9008793C186CC +:0406CA00A8014987B3 +:0406CB00869367DDCE +:0406CC000713C14708 +:0406CD0087930015FA +:0406CE00665DC1475D +:0406CF000613656544 +:0406D00045C5C20654 +:0406D1001E8505136A +:0406D2004FE0406F46 +:0406D300665D86AA30 +:0406D400061365653F +:0406D50045C5C286CF +:0406D6001E85051365 +:0406D7004EA0406F82 +:0406D800665D86AA2B +:0406D900061365653A +:0406DA0045C5CE063E +:0406DB001E85051360 +:0406DC004D60406FBE +:0406DD00F805069383 +:0406DE00665D06E26D +:0406DF0086E16565E6 +:0406E000EF86061388 :0406E100051345C5F3 -:0406E200406F1885C8 -:0406E30046854A00FE -:0406E40000A696B323 +:0406E200406F1E85C2 +:0406E30016934BC05F +:0406E40086E1018525 :0406E5006565665D84 -:0406E600C0C6061371 -:0406E700051345C5ED -:0406E800406F1885C2 -:0406E900050548803B +:0406E600061316D110 +:0406E70045C5C346FC +:0406E8001E85051353 +:0406E9004A20406FF4 :0406EA0096B34685F8 :0406EB00665D00A6A2 :0406EC000613656527 -:0406ED0045C5C20637 -:0406EE001885051353 -:0406EF0046E0406F32 -:0406F00000454783F7 -:0406F10086B2471175 -:0406F20006F769633B -:0406F300078A675DAE -:0406F400C40707131D -:0406F500439C97BAD1 -:0406F600451C878296 -:0406F700C7034645AA -:0406F800491C000792 -:0406F90097BA070A9B -:0406FA006565438C63 -:0406FB001885051346 -:0406FC0063F0406FF8 -:0406FD00491C451837 -:0406FE0000074503A9 -:0406FF00491C878289 -:04070000878245089F -:04070100842367E501 -:0407020080821807D2 -:04070300E611C195A5 -:04070400464565DD24 -:04070500C2C5859351 -:040706005063BFC9B4 -:04070700665D020623 -:04070800061365650A -:0407090045C5C346D9 -:04070A001885051336 -:04070B003FE0406F1C -:04070C00DBE9455C84 -:04070D00479C43982A -:04070E008082B7C16D -:04070F00C78367E94C -:04071000C3E1A29708 -:04071100C70367E5CE -:0407120047850C0704 -:040713000AF71B6363 -:0407140011116765F3 -:04071500F44707138B -:04071600C826CA2205 -:040717004401CC06C7 -:040718004781448150 -:040719006769C23A10 -:04071A00A2A7470348 -:04071B00070E4692ED -:04071C0043109736B9 -:04071D000006470388 -:04071E0000E7CD63C0 -:04071F00000247B7D6 -:040720003C97A2233D -:040721003C87A4234A -:04072200445240E21B -:04072300017144C25A -:040724004751808237 -:0407250002E787332D -:040726009693425014 -:04072700C63E005773 -:04072800000247B7CD -:0407290000F685331E -:04072A009732C0360C -:04072B004641430CF4 -:04072C0040EFC43A9C -:04072D00472257D038 -:04072E00458547B204 -:04072F000047460336 -:0407300000F592B38B -:040731001675C6165D -:040732000FF6761335 -:040733000054E4B3D7 -:0407340002C5F763A0 -:040735004601853ABA -:04073600C43E4581F7 -:0407370047B735D5B6 -:040738008513000223 -:0407390047820107EB -:04073A00464165E5EA -:04073B008593953ECF -:04073C0040EF1885ED -:04073D0042B253D0A1 -:04073E00643347A237 -:04073F0007850054D6 -:040740008082B79D5F -:04074100011366E951 -:040742008693FD811C -:04074300C436A5F61D -:04074400869366E949 -:04074500C036A4E630 -:04074600869366E947 -:04074700C236A5060B -:04074800869366E945 -:04074900C636A526E5 -:04074A00869366E943 -:04074B0067E5A5C6F3 -:04074C0066E9C8365C -:04074D00CE26D022C2 -:04074E00A4C6869324 -:04074F008793D206B4 -:04075000CA3610870E -:040751000127C703B2 -:04075200869366E93B -:0407530064E5A5A60E -:0407540062E963E90A -:0407550065E9636986 -:04075600CC366669CE -:040757008493842AD9 -:04075800839325C49E -:040759008293A4A340 -:04075A000313A4825F -:04075B008593A5835A -:04075C000613A56576 -:04075D00C775A54671 -:04075E0001178703F5 -:04075F00C78347A263 -:04076000106300071B -:0407610007930EF7F5 -:0407620007B302C017 -:04076300468202F7D1 -:040764000006D68332 -:04076500D50397A67B -:0407660012630127F2 -:04076700469208D5D9 -:040768000147C5037D -:040769000006C6833D -:04076A0006D51B6332 -:04076B00C50346B2CA -:04076C00C68301C778 -:04076D00146300060B -:04076E00D50306D5D4 -:04076F00D6830187A5 -:040770001E63000301 -:04077100D50304D5D3 -:04077200D68300C763 -:040773001863000205 -:0407740046C204D5A0 -:0407750001D7C503E0 -:040776000006C68330 -:0407770004D5116331 -:0407780001A7D503FD -:0407790000035683A0 -:04077A0002D51B6326 -:04077B0000E7D6833A -:04077C000005D7831A -:04077D0002F6956388 -:04077E0002C007931B -:04077F0002F707B3C3 -:04078000D68346D204 -:0407810097A6000631 -:040782000217C50392 -:0407830000D5196321 -:040784000227C683FF -:04078500D78347E2ED -:04078600866300077F -:0407870067E900F628 -:040788008F234685F0 -:040789000793A4D757 -:04078A00073302C06F -:04078B00550302F719 -:04078C0097260006A6 -:04078D000207478395 -:04078E0002A78563D6 -:04078F000FF57513DA -:04079000918FF0EF66 -:04079100861367E97B -:0407920067E9A54727 -:04079300A56785933E -:04079400831367E97B -:0407950067E9A587E4 -:04079600A48782931F -:04079700839367E9F8 -:0407980047A2A4A729 -:040799006565470249 -:04079A000007C7830A -:04079B00000756837A -:04079C0002C007137D -:04079D0002E787B335 -:04079E005703473284 -:04079F0094BE0007FD -:0407A00000E48E23C0 -:0407A1000003D70377 -:0407A20099234792BE -:0407A3009C2300D4BF -:0407A400D70300E493 -:0407A500C783000204 -:0407A600962300078F -:0407A700474200E4E1 -:0407A80000F48A23AC -:0407A90000075703EB -:0407AA0000E48EA336 -:0407AB0000035703ED -:0407AC0000E49D23A5 -:0407AD000005D70369 -:0407AE0000E49723A9 -:0407AF005703475253 -:0407B00080A300071B -:0407B100476202E4B5 -:0407B20000075703E2 -:0407B30002E48123B8 -:0407B40000064703F1 -:0407B50002E48023B7 -:0407B60002C41863FE -:0407B7008693668D32 -:0407B8000733BF261E -:0407B900069302D7CA -:0407BA00665D3E80BA -:0407BB00C546061316 -:0407BC0002D746B367 -:0407BD005092540200 -:0407BE0045C544F2F7 -:0407BF001885051381 -:0407C000028101139E -:0407C1001260406F13 -:0407C2000563470282 -:0407C300471200E4F5 -:0407C40002E41263D6 -:0407C500873347151A -:0407C600540202E7F0 -:0407C70044F2509216 -:0407C8000613665D51 -:0407C90045C5C5C697 -:0407CA001885051376 -:0407CB000281011393 -:0407CC000FA0406FCB -:0407CD005683665D8C -:0407CE00061300040A -:0407CF00BF5DCC46F8 -:0407D000879367E9BB -:0407D1004398A3871F -:0407D200671DEF1997 -:0407D30097870713EA -:0407D40002E5053302 -:0407D500C4061151F4 -:0407D600E0EFC38805 -:0407D70040A29C8F11 -:0407D80001314501A5 -:0407D90045058082D0 -:0407DA0011518082B7 -:0407DB00E0EFC40681 -:0407DC0067E99D8F9D -:0407DD00A387A783C4 -:0407DE00353340A2CD -:0407DF00013100F5EF -:0407E000473D80828F -:0407E10024A7646382 -:0407E200445257B76F -:0407E300EDC1011350 -:0407E400355787936B -:0407E50057B7CC3EF8 -:0407E60087930041B4 -:0407E700CE3E4417A7 -:0407E80000F537934E -:0407E90010812E232A -:0407EA0012112023A5 -:0407EB0010912C231A -:0407EC0002F10123F2 -:0407ED001163842AE6 -:0407EE0047850EE548 -:0407EF00102365E589 -:0407F000462902F1A3 -:0407F10004B00793B6 -:0407F2001685859350 -:0407F3000251051397 -:0407F40002F101A36A -:0407F50002010223D8 -:0407F600DEEFE0EF63 -:0407F700C78367E964 -:0407F80065E5A447C8 -:0407F9000360061380 -:0407FA0002F10823DD -:0407FB00C78367E960 -:0407FC008593A20738 -:0407FD00051319C502 -:0407FE0008A303A1A8 -:0407FF0067E902F1B3 -:04080000A2D7C78331 -:04080100188408202F -:0408020002F107A355 -:04080300C78367E957 -:040804000923A2879B -:0408050067E902F1AC -:04080600A167C7839C -:0408070002F109A34E -:04080800C78367E952 -:040809000A23A147D6 -:04080A0067E502F1AB -:04080B000B07C7838D -:04080C0002F10AA348 -:04080D00C78367E94D -:04080E000B23A157C0 -:04080F0067E502F1A6 -:040810000C07C78387 -:0408110002F10BA342 -:04081200C78367E54C -:040813000C230C178F -:0408140067E902F19D -:04081500A2C7C7832C -:0408160002F10CA33C -:04081700D6AFE0EF89 -:040818000004450390 -:04081900E0EF040503 -:04081A000FA3ECAF8D -:04081B0019E3FEA43B -:04081C0067E9FE94F6 -:04081D00A247A50346 -:04081E0005800693B8 -:04081F0005B70830E1 -:04082000E0EF001FE6 -:040821002083A68FFB -:040822002403120198 -:04082300248311C158 -:04082400011311812A -:04082500808212417A -:0408260030100793F4 -:0408270002F11023A7 -:04082800073807B7CF -:040829000427879386 -:04082A00D83E64E56B -:04082B002084C783DB -:04082C00665DEB8991 -:04082D00C6460613A2 -:04082E00851345B534 -:04082F0030EF208402 -:04083000859376D066 -:0408310046352084A4 -:040832000231051377 -:04083300163040EF4C -:04083400061365E55D -:040835008593042083 -:0408360018482185B8 -:04083700CEAFE0EF71 -:04083800061364E55A -:0408390085930A2079 -:04083A00051325C4B9 -:04083B00E0EF076182 -:04083C00083CCD8F18 -:04083D000007C503E8 -:04083E00E0EFC03EE9 -:04083F004782E36F9A -:0408400080230A38CF -:04084100078500A780 -:04084200FEE796E354 -:0408430074130441E5 -:0408440017930FF403 -:04084500C23E0104AA -:04084600A50367E9B6 -:040847000693A2472B -:040848000830100064 -:0408490001041593FE -:04084A009C2FE0EF10 -:04084B00879367E93F -:04084C00C63EA247BB -:04084D008793F9296B -:04084E00044225C477 -:04084F001004071377 -:040850000A2784935C -:040851007A278793E8 -:04085200C43EC03AA6 -:0408530069600413C1 -:0408540010000693F7 -:04085500F46387229F -:0408560007130086FE -:040857000742100044 -:04085800863A834118 -:04085900082885A640 -:04085A00E0EFC83AC9 -:04085B004742C5CF7C -:04085C00C503083494 -:04085D00CA3A00068D -:04085E00E0EFC836C9 -:04085F0046C2DB6F43 -:0408600047520A3CB5 -:0408610000A680234A -:0408620094E3068590 -:0408630047B2FEF6A4 -:040864004592460271 -:040865000834438888 -:04086600888FE0EFA8 -:04086700EE0515E3A2 -:0408680010000693E3 -:04086900746387220B -:04086A00071300D49C -:04086B0007931000DF -:04086C009413F007EA -:04086D0047820107B6 -:04086E00100484935B -:04086F0087938041AA -:04087000C03E10076F -:0408710095E347A222 -:04087200BD75F8F464 -:040873008082557DAD -:04087400C026115138 -:04087500849364E91B -:04087600C503A2F420 -:04087700C222000495 -:040878003345C4063A -:04087900E515842AD3 -:04087A000004C703AC -:04087B00468167E962 -:04087C00A2E7872345 -:04087D00C78367E9DD -:04087E00C781A2D7B5 -:04087F00C68367E5E0 -:0408800067E51247CF -:0408810016878793BC -:04088200802397B682 -:04088300453D00E708 -:0408840040A23B8DC6 -:040885004412852272 -:040886000131448276 -:040887000113808257 -:0408880067E5EDC172 -:04088900200784239D -:04088A002023C43E25 -:04088B002E231211F5 -:04088C002C23108188 -:04088D00C22E1091D6 -:04088E0057FD473D8E -:04088F0006A76363F2 -:04089000054167694E -:040891000FF5779355 -:04089200A247250351 -:040893000107959331 -:0408940010000693B7 -:04089500C03E083029 -:0408960094AFE0EF4C -:040897000824676961 -:04089800A247071359 -:04089900842687AA80 -:04089A004503C63A12 -:04089B00C83E00044F -:04089C00E0EF040580 -:04089D000FA3CBEFEB -:04089E000A38FEA472 -:04089F0016E347C253 -:0408A000E385FE8767 -:0408A100859365DDF9 -:0408A2000828C6C597 -:0408A300B86FE0EF5B -:0408A400136387AAA9 -:0408A50047032005E0 -:0408A600CF1102214B -:0408A700016346851E -:0408A800208310D7C2 -:0408A9002403120111 -:0408AA00248311C1D1 -:0408AB00853E1181F4 -:0408AC0012410113E1 -:0408AD0046038082FC -:0408AE0047050201F7 -:0408AF001EE61063CE -:0408B00002114703E7 -:0408B1001C071C63A1 -:0408B20002414703B5 -:0408B30002314603C5 -:0408B4008F51072237 -:0408B50004B0061372 -:0408B600FCC715E383 -:0408B700F371471280 -:0408B80047816765A8 -:0408B90007134539A3 -:0408BA0045A91687AF -:0408BB0000D4C6039C -:0408BC0000C56663AA -:0408BD0000F703330A -:0408BE0000C3002350 -:0408BF000485078520 -:0408C000FEB796E306 -:0408C1000311478355 -:0408C200002367693F -:0408C3004725A2F72C -:0408C40000F7666370 -:0408C500022367693A -:0408C600A029A4F7CA -:0408C700030147835F -:0408C800FEF77AE3DA -:0408C90003314703AD -:0408CA00656567E910 -:0408CB00A0E78B23F4 -:0408CC00034147039A -:0408CD00061367E9BE -:0408CE008A23036016 -:0408CF004703A0E754 -:0408D00067E5035184 -:0408D10003A10593E7 -:0408D2000AE7882386 -:0408D3000361470373 -:0408D400051367E9B8 -:0408D5008AA319C514 -:0408D6004703A0E74D -:0408D70067E503715D -:0408D8000CE7802386 -:0408D900038147034D -:0408DA0080A367E5AB -:0408DB0047030CE7DC -:0408DC0067E902F1D5 -:0408DD00A2E786A365 -:0408DE00C70367E500 -:0408DF0067E9168728 -:0408E000A2E78723E1 -:0408E10003214703A5 -:0408E200842367E91B -:0408E3004703A2E73E -:0408E40067E903912C -:0408E500A2E78623DD -:0408E600A2EFE0EFAE -:0408E700B71147817D -:0408E8000201468340 -:0408E9000EE69C6318 -:0408EA00021146832E -:0408EB009763470DBB -:0408EC0056830EE63B -:0408ED0007130301E9 -:0408EE0094E304206B -:0408EF005403EEE6DA -:0408F00007130321C6 -:0408F1001EE373800F -:0408F20047A2ECE449 -:0408F3004635C82A94 -:0408F40020878513C1 -:0408F5000231059334 -:0408F600656040EF0A -:0408F70047C247129B -:0408F800EC0711E315 -:0408F9000613656518 -:0408FA00184C042072 -:0408FB00218505133B -:0408FC009D6FE0EF1D -:0408FD006765478262 -:0408FE0025C70713F0 -:0408FF00C23E07A24C -:04090000C00244816C -:0409010005E0079373 -:040902004722C43A8A -:04090300061346820F -:040904008E1D100034 -:0409050000D70533DF -:0409060005B30838F5 -:04090700456300F74D -:04090800C83E06C41B -:040909009A2FE0EF52 -:04090A00470247C297 -:04090B00943E04858D -:04090C0047928F1D62 -:04090D000FF4F4935C -:04090E0010070713B4 -:04090F0000F485B3B8 -:04091000074247B2A1 -:040911004388834153 -:04091200F0040413D6 -:040913001000069337 -:0409140005A2083000 -:040915000442C03A9E -:04091600F4BFD0EF6B -:0409170087AA8041EA -:040918004503083853 -:04091900CA3E0007CB -:04091A00E0EFC83A08 -:04091B004742AC6F34 -:04091C0047D20A3480 -:04091D0000A700230C -:04091E0094E3070552 -:04091F0092E3FEE67B -:040920004781E20722 -:04092100A021F059C8 -:04092200E0EF86225A -:0409230067E993CF1E -:040924008F234705D1 -:04092500B719A4E773 -:04092600B52147852B -:04092700B511478936 -:04092800C026115183 -:04092900849364E966 -:04092A00C503A2F46B -:04092B0045810004FE -:04092C00C406C22219 -:04092D00D6BFF0EF52 -:04092E00E121842A15 -:04092F000004C703F6 -:0409300046A967E984 -:04093100A2E787238F -:04093200C78367E52B -:04093300856325971C -:0409340066E900D799 -:04093500A4F682237F -:04093600C78367E923 -:040937004681A2D77C -:0409380067E9C78123 -:04093900A447C68386 -:04093A00879367E553 -:04093B0097B61687CE -:04093C0000E780232D -:04093D00F0EF453D55 -:04093E0040A2A8DF4C -:04093F0044128522B7 -:0409400001314482BB -:04094100114180825E -:04094200C60645851B -:04094300F0EFC02AE7 -:040944006765D11FF3 -:04094500208747833D -:0409460007134682CB -:04094700E78120879D -:040948000713675DCD -:0409490040B2C747AA -:04094A006565665D1C -:04094B00C7C6061302 -:04094C00051345C585 -:04094D0001411885C7 -:04094E002F30306FA7 -:04094F0067691121A2 -:040950000413C822A2 -:04095100401CA4079B -:0409520016B7CA0604 -:04095300F793000214 -:04095400C01CF9F7D3 -:04095500C02EC626C4 -:040956000EF6A023D6 -:0409570032000593D2 -:04095800450584AA23 -:04095900B5CFF0EF37 -:04095A001900051368 -:04095B00B93FD0EFE1 -:04095C0016B7401C6E -:04095D0045C100028E -:04095E000207E79312 -:04095F00A023C01CF5 -:0409600085260EF6E4 -:040961004CE040EF37 -:040962000FF5779383 -:0409630065E1EB89D6 -:0409640085934645EC -:0409650085264BC5D3 -:04096600496040EFB5 -:040967004701478578 -:0409680000E486B36E -:040969000006C503BC -:04096A00C43E45D171 -:04096B00F0EFC23AAD -:04096C004712B12F4E -:04096D00070547A291 -:04096E000FF7769376 -:04096F00FEF6E2E3CB -:0409700014B7401C5C -:0409710045D100026A -:04097200FDF7F79303 -:04097300A023C01CE1 -:0409740005130EF465 -:04097500F0EF0C0093 -:04097600401CAEAFC4 -:0409770045C145022F -:040978000207E793F8 -:04097900A023C01CDB -:04097A0040EF0EF448 -:04097B0074934680AB -:04097C00E8890FF502 -:04097D0065E14502E9 -:04097E0085934645D2 -:04097F0040EF4BC535 -:040980004485430067 -:040981004702478161 -:04098200C23E45D15B -:040983004503973E53 -:04098400F0EF000789 -:040985004792AAEFFC -:04098600F7130785D7 -:0409870064E30FF71F -:04098800401CFE977A -:04098900173740D20A -:04098A00E7930002ED -:04098B00C01C040781 -:04098C00202344429E -:04098D0044B20EF76B -:04098E008082016101 -:04098F00C42211412C -:04099000C606C226AF -:0409910064E547854D -:04099200086364652D -:04099300676500F59F -:040994000C07468383 -:040995009463470917 -:04099600464104E6EC -:0409970017448593E9 -:0409980000024537DD -:040999003CA040EF4F -:04099A00000247B759 -:04099B0002078513B7 -:04099C000593464138 -:04099D0040EF18848B -:04099E0047B73B809C -:04099F00A623000289 -:0409A000470D3C07BC -:0409A1003CE7A2236A -:0409A2003C07A42347 -:0409A300188405931C -:0409A40040B24422F7 -:0409A500174485135B -:0409A6000141449235 -:0409A70017E3B54558 -:0409A8006769FEE598 -:0409A900A2A74703B7 -:0409AA00059346412A -:0409AB001693188403 -:0409AC006765003744 -:0409AD00F4470713F1 -:0409AE0046839736AF -:0409AF00473700477F -:0409B0009513000299 -:0409B10005410056A6 -:0409B200C036953A7C -:0409B300362040EFBB -:0409B40047374682F9 -:0409B5002603000213 -:0409B60047853C87AE -:0409B70000D797B31B -:0409B80024238FD194 -:0409B900B75D3CF7F3 -:0409BA00C78367E99F -:0409BB00E3B5A29767 -:0409BC00C40611510B -:0409BD00C026C2226C -:0409BE004737C909E5 -:0409BF002783000288 -:0409C000E7933C0776 -:0409C10020230027C8 -:0409C20064E53CF7B5 -:0409C3001D448593B7 -:0409C400453746412C -:0409C50040EF0002FD -:0409C6004437318001 -:0409C70067E50002DE -:0409C8001E8785936E -:0409C900020405130C -:0409CA0040EF464173 -:0409CB00470D304064 -:0409CC003C0426239E -:0409CD003CE42223C1 -:0409CE003C0424239E -:0409CF0040A24412EC -:0409D0001D4485132A -:0409D10067E5448210 -:0409D2001E87859364 -:0409D300F06F01318F -:0409D4008082DEFF40 -:0409D500CD22713589 -:0409D6000613646937 -:0409D700458102C094 -:0409D8009A840513E5 -:0409D900CF06CB2654 -:0409DA00E9BFD0EFB2 -:0409DB00871365E534 -:0409DC00435C1085E3 -:0409DD00A0EEC6B70B -:0409DE00B006869346 -:0409DF0002F6D63313 -:0409E0009A8404935E -:0409E10000070A23DE -:0409E200000709A35E -:0409E30000C4982391 -:0409E40001074603BE -:0409E5009A840713D6 -:0409E6008713C43A75 -:0409E70035931085AF -:0409E800058500166B -:0409E90002B787B317 -:0409EA00064005932B -:0409EB004318C23AB1 -:0409EC0000E49B2365 -:0409ED0002B787B313 -:0409EE0002E7D7B392 -:0409EF0002F6D7B382 -:0409F0004792C83E24 -:0409F100CE3E479C13 -:0409F2000016379321 -:0409F30000F48F235A -:0409F40046F24792EE -:0409F50001C7C783EC -:0409F60000D48E2378 -:0409F70000279693AC -:0409F800879367E199 -:0409F90097B6B9876D -:0409FA000793439488 -:0409FB00C219069087 -:0409FC000700079356 -:0409FD006565665D69 -:0409FE00C8460613CE -:0409FF00051345C5D2 -:040A000030EF1D4571 -:040A010047A2029076 -:040A020006934742CE -:040A0300D6033E8058 -:040A0400079301074C -:040A050075B306407F -:040A0600656502D749 -:040A07001E85051330 -:040A08003E80049395 -:040A090002F6773347 -:040A0A0002F657B3E6 -:040A0B00C03A464265 -:040A0C00D73347296C -:040A0D0045C502E5F4 -:040A0E0002D656B303 -:040A0F000613665D07 -:040A100030EFC906F4 -:040A110045057E8099 -:040A1200479135458E -:040A13004792CABE7E -:040A1400040109A32D -:040A1500C7036309A7 -:040A160047A10227CB -:040A17007103031351 -:040A180000E797B3A9 -:040A19000087E793D8 -:040A1A004792CCBE75 -:040A1B00C703468146 -:040A1C000793023703 -:040A1D0097B320006B -:040A1E00CEBE00E761 -:040A1F00C703479230 -:040A200067C1024761 -:040A210000E797B3A0 -:040A22004792D0BE69 -:040A23000257C703AC -:040A2400002007B7F0 -:040A250000E797B39C -:040A2600D2BE4712E3 -:040A27000775479276 -:040A2800D8BAD6BAA8 -:040A2900C783471226 -:040A2A0007790297AF -:040A2B004712DABADA -:040A2C000793CA3E24 -:040A2D00077D05310B -:040A2E00DEBADCBA96 -:040A2F00D4BE4712D8 -:040A30000713C53EA5 -:040A3100C13A0207BD -:040A32004712C33A6A -:040A3300021707138C -:040A3400C93EC73AB6 -:040A3500C60247921C -:040A36000277C283FE -:040A3700C78347A288 -:040A3800D63E01C7DE -:040A3900D78347A276 -:040A3A00D43E01277E -:040A3B00C783479294 -:040A3C00D03E02871F -:040A3D00C78347A282 -:040A3E00D83E01E7B6 -:040A3F00D78347A270 -:040A4000DA3E016732 -:040A4100D78347A26E -:040A4200DC3E01078E -:040A4300841367E5CC -:040A4400879325C7A8 -:040A4500CC3E25C7B7 -:040A46000FD2F79341 -:040A47004703DE3E45 -:040A48004795024488 -:040A49001AE7E46361 -:040A4A00EC63478D85 -:040A4B000D6324E72C -:040A4C0009501AF73C -:040A4D0000271793D4 -:040A4E00A78397B231 -:040A4F00C783FD4715 -:040A5000078A00070A -:040A5100A58397B230 -:040A5200541CFC072D -:040A530081638FED3F -:040A540047831E07AF -:040A5500564201E420 -:040A56001CC79C63BA -:040A57005783565219 -:040A5800556201647E -:040A590040F607B3A9 -:040A5A0041F7D61377 -:040A5B008F918FB137 -:040A5C000104560338 -:040A5D0040C5063357 -:040A5E0041F65513F5 -:040A5F008E098E2945 -:040A60002297C363B3 -:040A61007663451D56 -:040A6200946300E5B4 -:040A63004163009754 -:040A640047092266B6 -:040A650018974E632D -:040A660018F4DC6341 -:040A6700041347B27B -:040A6800656902C0FA -:040A69000287843349 -:040A6A00462947E2F0 -:040A6B00943E64E968 -:040A6C000793445850 -:040A6D0046839A859D -:040A6E00C7D802449F -:040A6F0001C4470374 -:040A70000124530307 -:040A710000A4428318 -:040A72005703D03A1C -:040A73005383018424 -:040A740085A200C493 -:040A75004C18D43A0B -:040A76009A85051345 -:040A77000067992358 -:040A78005703CF98B9 -:040A7900DA3601C4A4 -:040A7A000057852379 -:040A7B0000E79E23CF -:040A7C0001444703E7 -:040A7D00DC1ADE1E83 -:040A7E0000E78A23E0 -:040A7F000214470313 -:040A8000D63AD81674 -:040A81008223501864 -:040A8200D39802D72C -:040A8300022040EF1E -:040A84000593656908 -:040A850006139A8535 -:040A8600851302C012 -:040A8700D0EF9D44CB -:040A88008793BA9FF7 -:040A890085239D44E0 -:040A8A0067E9000711 -:040A8B0099478713ED -:040A8C005692460533 -:040A8D00000720231B -:040A8E000007222318 -:040A8F000007242315 -:040A90000007262312 -:040A9100000708232F -:040A920000C708A3EE -:040A930065915418FD -:040A940099478413E7 -:040A950003638F75F3 -:040A960056D262B71B -:040A970040E5E563EE -:040A980010000593B2 -:040A99005CB70063E3 -:040A9A0053F253625E -:040A9B0016E5E96310 -:040A9C000E6345C1DF -:040A9D0052C254B736 -:040A9E0012E5EF630B -:040A9F000163459119 -:040AA00045A14AB76B -:040AA1000CB711631A -:040AA20000C400A3E9 -:040AA3008F63470D09 -:040AA400871300E6CE -:040AA5007713FFA61E -:040AA60079630FF76A -:040AA700472500E6F9 -:040AA80052E694631B -:040AA9004AF00713F5 -:040AAA0052676063CC -:040AAB00876347D244 -:040AAC0067E950079F -:040AAD00458946052C -:040AAE009A8785138B -:040AAF00D45FD0EF51 -:040AB00000144603E5 -:040AB10076130605AD -:040AB200A9ED0FF6A5 -:040AB30012E347996A -:040AB4004783E6F797 -:040AB500460900A44A -:040AB6000CC7916375 -:040AB7000A02896343 -:040AB80088E3478503 -:040AB900A0A9E4F21A -:040ABA00200007937E -:040ABB000793CEBE11 -:040ABC00D0BE100098 -:040ABD00D2BE67A19D -:040ABE009CE357A2BC -:040ABF005603E207F1 -:040AC0000793016433 -:040AC10016E31C100C -:040AC20067DDE2F614 -:040AC30085934629A8 -:040AC4008522CA8736 -:040AC500C4B6C69A53 -:040AC600C0BAC2965A -:040AC7006E5030EF4E -:040AC8004296470605 -:040AC900433646A6C4 -:040ACA005782E51D4D -:040ACB00E00793E3CA -:040ACC000793068501 -:040ACD00041302A06C -:040ACE0092E302C4E9 -:040ACF000793DEF6B5 -:040AD000DDE306D08C -:040AD10067E9E49756 -:040AD2009A0782A35A -:040AD300446A40FA37 -:040AD400610D44DA92 -:040AD50067DD8082D7 -:040AD6008593462995 -:040AD7008522CB4762 -:040AD800C4B6C69A40 -:040AD900C0BAC29647 -:040ADA00699030EF00 -:040ADB0042964706F2 -:040ADC00433646A6B1 -:040ADD00DA051FE334 -:040ADE0047855602F0 -:040ADF00DAF61BE345 -:040AE0000793BF4574 -:040AE100CEBE200065 -:040AE200D0BE67C15A -:040AE3005632B35D77 -:040AE400052007934F -:040AE500D8C7FFE38C -:040AE6004605BF61A1 -:040AE700D8C79BE3EE -:040AE800F7D957F2F1 -:040AE900D22EB379DD -:040AEA0084BE833211 -:040AEB00B749C6360B -:040AEC008332D22E51 -:040AED000693BFE5C8 -:040AEE000A63040093 -:040AEF00069300D793 -:040AF0000D6308008A -:040AF100069340D751 -:040AF2001EE30200FD -:040AF3000713F6D718 -:040AF40010231010AB -:040AF500470500E4CD -:040AF60000E40123F4 -:040AF7000593A6DDE0 -:040AF8008C63800784 -:040AF90065854605C4 -:040AFA0080058513DB -:040AFB0006E56C633D -:040AFC00200005933E -:040AFD0044B7026395 -:040AFE00400006931B -:040AFF00F4D715E330 -:040B0000470957B298 -:040B010000E400A369 -:040B0200001797132E -:040B0300873357825B -:040B0400142340E393 -:040B0500470D00E4B4 -:040B060002E7D6B379 -:040B0700861367E901 -:040B080045919D472F -:040B09009D4485136F -:040B0A0000D60E23E0 -:040B0B00D3B356A268 -:040B0C00D6B302E377 -:040B0D00533302E676 -:040B0E001C2302E3BF -:040B0F00871300D672 -:040B100086939D47E4 -:040B1100460D9D47A9 -:040B12009D478793E1 -:040B130000769623AF -:040B140000078A2329 -:040B15000067192339 -:040B1600BA9FD0EFC3 -:040B170008A34711D7 -:040B1800A0A900E4AC -:040B190040B702637C -:040B1A001EE36689E7 -:040B1B000713ECD7F9 -:040B1C001023203052 -:040B1D00460D00E49D -:040B1E004591470DA9 -:040B1F009D44851359 -:040B200000E40123C9 -:040B2100B7DFD0EF7B -:040B220000040703C1 -:040B23000014068331 -:040B24000685070536 -:040B250002D70733B9 -:040B260000244683DE -:040B270047330685C5 -:040B280008A302D745 -:040B2900470900E494 -:040B2A0000E40023C0 -:040B2B0000140703A8 -:040B2C00665D67E9B2 -:040B2D00869307059F -:040B2E0006139A8789 -:040B2F0045A9CC0602 -:040B30009D44851348 -:040B3100366030EF0B -:040B320000845703E1 -:040B330047A2EF19CD -:040B34000217C6835B -:040B350000C7D7031B -:040B36008F1506868B -:040B3700000406832D -:040B380007330685F4 -:040B3900142302D7A8 -:040B3A00568300E4FA -:040B3B0067E900A4C2 -:040B3C009D478793B7 -:040B3D0000E7D703F3 -:040B3E000014050397 -:040B3F0047A2EE8952 -:040B40000015069303 -:040B41000227C603BE -:040B420002C686B3AE -:040B430006B3068669 -:040B4400152340D75E -:040B450067E900D488 -:040B46009D478793AD -:040B470000C7D6838A -:040B480000845603CC -:040B490047A24289F4 -:040B4A00C6B38E910F -:040B4B001223025619 -:040B4C00C68300D488 -:040B4D000723021761 -:040B4E00568300D4F6 -:040B4F0006B300A445 -:040B5000C6B340D711 -:040B51001323025612 -:040B5200469100D4F4 -:040B530008D51E6340 -:040B540046854792F9 -:040B55000267C583EB -:040B560008D58863D3 -:040B5700780003130C -:040B580040C306335D -:040B590002564633C7 -:040B5A00869367E92E -:040B5B00D6839D4759 -:040B5C008493012657 -:040B5D0086939D4797 -:040B5E0012238806D0 -:040B5F00C63300C4D5 -:040B6000C6B302A670 -:040B61008E23025687 -:040B6200861300C432 -:040B63001C239D476B -:040B6400869300D69E -:040B650096239D47EF -:040B6600E5B9006687 -:040B6700071346A981 -:040B68004733BC87CC -:040B6900469502D7D4 -:040B6A008761076236 -:040B6B0000E407A3F8 -:040B6C0002D7073372 -:040B6D009D47869387 -:040B6E0001A6D68383 -:040B6F00869397369C -:040B70009D239D47DD -:040B7100879300E680 -:040B720007139D4781 -:040B73009723438001 -:040B740047A200E7AD -:040B7500C70346A9C3 -:040B760006B3022799 -:040B7700071302D787 -:040B78008F15438012 -:040B790000E415235C -:040B7A00871367E98D -:040B7B0047039D4748 -:040B7C008B110237A0 -:040B7D0034070463D2 -:040B7E0086934741D2 -:040B7F0080A39D476B -:040B8000472502E61D -:040B81009D47879372 -:040B820002E78123E2 -:040B830067E94705D2 -:040B840000E408235E -:040B85009D478713EE -:040B860000A747037A -:040B8700000416232D -:040B88004712EB0124 -:040B89009D4787936A -:040B8A0002B7470364 -:040B8B0000E78523D7 -:040B8C000483479205 -:040B8D00676900C1D3 -:040B8E00017D80B7AE -:040B8F00009788A3A0 -:040B9000460347B21F -:040B910005830024B4 -:040B92000023011427 -:040B930047A2A6F7D8 -:040B94008093430106 -:040B9500D78383F08F -:040B96004289012768 -:040B970047A2CA3E69 -:040B98000147C783C7 -:040B990005B7A601F5 -:040B9A0008630010DC -:040B9B00E06328B734 -:040B9C0005B706E5AE -:040B9D0002630002ED -:040B9E00E86326B72B -:040B9F0065A102E565 -:040BA00024B7016312 -:040BA10010E365C137 -:040BA200470DCCB778 -:040BA30000A316F1A4 -:040BA400F69300E4E0 -:040BA50060630FF684 -:040BA60047D224D638 -:040BA700C0079BE305 -:040BA80000234705DA -:040BA900461100E40D -:040BAA0006B7AA31AF -:040BAB000D630004D2 -:040BAC0006B722D78F -:040BAD0018E3000841 -:040BAE000713C8D78A -:040BAF0010233030AF -:040BB000470D00E409 -:040BB10000E4012338 -:040BB2004591461112 -:040BB30006B7A86574 -:040BB4000363008057 -:040BB500E36324D7FB -:040BB60006B702E696 -:040BB70008630020AF -:040BB80006B722D783 -:040BB90010E3004005 -:040BBA000713C6D780 -:040BBB0010234020A3 -:040BBC00470900E401 -:040BBD0000E401232C -:040BBE00AABD461571 -:040BBF00010006B774 -:040BC00022D70563D0 -:040BC100020006B771 -:040BC200C2D71FE394 -:040BC3004050071384 -:040BC40000E4102316 -:040BC50001234715AC -:040BC600461500E4EC -:040BC700A8C14599E3 -:040BC800871367E93F -:040BC90086939D472B -:040BCA00D6839D47EA -:040BCB000523016697 -:040BCC005703005774 -:040BCD008793010702 -:040BCE00C5039D4777 -:040BCF00073301E700 -:040BD000069302D7AF -:040BD100863706401D -:040BD2004281017DDE -:040BD3000613438141 -:040BD400473383F62A -:040BD500468102D77C -:040BD600026703337C -:040BD700001687136A -:040BD800026705B3F8 -:040BD90040A5D5B3AB -:040BDA0004B670638A -:040BDB00000386632A -:040BDC0000D401231D -:040BDD00005400239D -:040BDE000004458347 -:040BDF00058546053D -:040BE0000FF5F59385 -:040BE1009D44851397 -:040BE200879FD0EF2A -:040BE3000004070300 -:040BE4000014068370 -:040BE5000685070575 -:040BE60002D70733F8 -:040BE700002446831D -:040BE8004733068504 -:040BE900B96502D711 -:040BEA00177D070666 -:040BEB0001871293D9 -:040BEC000FF77693F6 -:040BED004182D293DC -:040BEE00B74D438537 -:040BEF000023470593 -:040BF000460900E4CE -:040BF100BF7D4589F6 -:040BF20045854609E6 -:040BF3000713BF65C0 -:040BF40010231010AA -:040BF500012300E4F4 -:040BF600B7E500C49B -:040BF70010200713B0 -:040BF80000E41023E2 -:040BF9000123470984 -:040BFA00460900E4C4 -:040BFB008513458D8C -:040BFC00D0EF9D4455 -:040BFD00068380FFEC -:040BFE0007030004E5 -:040BFF004792001405 -:040C00000016861341 -:040C010007330705A9 -:040C0200460302C7DC -:040C030006050024BE -:040C040002C74733A9 -:040C050000E408A35C -:040C060002A7C70377 -:040C070000238E999F -:040C0800B16900D4FA -:040C0900104007137D -:040C0A0000E41023CF -:040C0B000123471169 -:040C0C00460900E4B1 -:040C0D00B7B9459599 -:040C0E0016F147098B -:040C0F0000E400A35A -:040C10000FF6F69352 -:040C110000D669633D -:040C120094E347D24E -:040C13000023A6070D -:040C1400460D00C4C5 -:040C1500460DBF8544 -:040C16000713BF8D74 -:040C17001023201076 -:040C1800012300E4D0 -:040C1900B7F500C467 -:040C1A00202007137C -:040C1B0000E41023BE -:040C1C000123470960 -:040C1D00460D00E49C -:040C1E00B729458D20 -:040C1F002040071357 -:040C200000E41023B9 -:040C21004711460D24 -:040C2200851345955C -:040C230001239D44C8 -:040C2400D0EF00E429 -:040C25000703F6EFDC -:040C2600068300043D -:040C270047920014DC -:040C28000685070531 -:040C290002D70733B4 -:040C2A0000244683D9 -:040C2B0047330685C0 -:040C2C0008A302D740 -:040C2D00C70300E415 -:040C2E00371302A7CF -:040C2F00070900179A -:040C30000713B6E50B -:040C3100102320600C -:040C3200471900E47A -:040C330000E40123B5 -:040C3400459D460D87 -:040C35004611BD4562 -:040C36000713BDCD16 -:040C37001023301046 -:040C3800012300E4B0 -:040C3900B3C100C47F -:040C3A00302007134C -:040C3B0000E410239E -:040C3C000123470940 -:040C3D00461100E478 -:040C3E000713B741A0 -:040C3F00102330400E -:040C4000471100E474 -:040C410000E40123A7 -:040C4200459546117D -:040C43004711B5CDD3 -:040C440000E400A325 -:040C4500BD5546153E -:040C46004030071320 -:040C470000E4102392 -:040C48000123470D30 -:040C4900461500E468 -:040C4A000713B34D8C -:040C4B0010234040F2 -:040C4C00471100E468 -:040C4D0000E401239B -:040C4E00BDED46159D -:040C4F00471167E9F9 -:040C50009D478693A3 -:040C510002E680A394 -:040C5200B96D470D24 -:040C53000015F39302 -:040C540004039163A1 -:040C55000255C5B3CC -:040C560000169613DB -:040C57007613167D7D -:040C580043050FF64B -:040C590000160693E8 -:040C5A008733439504 -:040C5B00073302F663 -:040C5C000393027785 -:040C5D000713064033 -:040C5E0047330327EE -:040C5F0043D2027703 -:040C6000027683B3E2 -:040C610043C2971ED5 -:040C620002E383B373 -:040C6300FFE3C61EC7 -:040C64000663FA70B9 -:040C65000123000364 -:040C660008A300C41B -:040C6700E50900B4E7 -:040C68004792C601E8 -:040C690000C78A2313 -:040C6A000114060368 -:040C6B0002C05F6301 -:040C6C0006B347B2D2 -:040C6D00479202F6B2 -:040C6E000693CF9486 -:040C6F0047E202C096 -:040C700002D484B373 -:040C7100C68394BEE4 -:040C720064E90234FB -:040C7300A47484934E -:040C74000046F6132D -:040C750066E9CA0161 -:040C7600A466C603A7 -:040C7700F563468952 -:040C780046A106C6C5 -:040C790000D4802300 -:040C7A00C619A88D62 -:040C7B00468547B2B1 -:040C7C00D6B38E91CC -:040C7D00B7C102D722 -:040C7E00C83A67E920 -:040C7F009D478713F3 -:040C800001675503B0 -:040C8100012756836E -:040C82009D47879370 -:040C830001E7C603BC -:040C840002A686B38B -:040C8500010755030B -:040C860002A6B5B35A -:040C870002A6853309 -:040C8800C0AFD0EF3A -:040C8900810D05F6DE -:040C8A008D4D66899D -:040C8B0086A6869320 -:040C8C00650D96AAB2 -:040C8D000D450513F9 -:040C8E0002A6D6B331 -:040C8F003E8006138A -:040C900086B347429E -:040C9100BF8502C653 -:040C9200469147A29E -:040C930000D48023E6 -:040C940001C7C6834B -:040C95008E2306861E -:040C9600479200D7AA -:040C970015FD65C121 -:040C9800179347D097 -:040C990083C101070B -:040C9A0077B3C83E26 -:040C9B00C43E00B79C -:040C9C0000B677B374 -:040C9D0047A2CA3E62 -:040C9E00555945F966 -:040C9F0002B785B360 -:040CA000C68347D2EE -:040CA100C5B30004D3 -:040CA20047F202F51E -:040CA300C5B305953B -:040CA400F51302A59D -:040CA50047920FF76C -:040CA60002E5073329 -:040CA70002C75733F6 -:040CA800C70395BA2F -:040CA900F59302C7F6 -:040CAA0007130FF528 -:040CAB000762F807DD -:040CAC0095BA87610D -:040CAD00471105C224 -:040CAE00946381C109 -:040CAF00859334E60F -:040CB00005C2032551 -:040CB100452185C193 -:040CB2000200061323 -:040CB30000C5033342 -:040CB4003205CF63D3 -:040CB50000C58733BC -:040CB6000513972A61 -:040CB70056630FF081 -:040CB800059300E5BB -:040CB90085B30FF000 -:040CBA00F713406587 -:040CBB0085BA0FF5F2 -:040CBC00D0364515D4 -:040CBD00CE3AD41A3D -:040CBE00D0EFD2326F -:040CBF005612C1DF29 -:040CC00085B245199B -:040CC100C13FD0EF70 -:040CC200080005938E -:040CC30002600513B3 -:040CC400C07FD0EF2E -:040CC50053224772FD -:040CC60003100513FF -:040CC700006705B30A -:040CC8000FF5F5939C -:040CC900BF3FD0EF6A -:040CCA0047215682E6 -:040CCB00836345B545 -:040CCC0045A500E654 -:040CCD0002200513E9 -:040CCE00BDFFD0EFA7 -:040CCF00D0EF45110C -:040CD0007593B8FF61 -:040CD10045110F8535 -:040CD200BCFFD0EFA4 -:040CD300450547C2CA -:040CD4000047D5936D -:040CD5000FF5F5938F -:040CD600BBFFD0EFA1 -:040CD700450947A2E2 -:040CD80000479593A9 -:040CD9000F05F5937B -:040CDA00BAFFD0EF9E -:040CDB00073747D2BE -:040CDC000713019C5D -:040CDD005733CC07B6 -:040CDE0047A202F730 -:040CDF00022556B7DD -:040CE0000FF68693F2 -:040CE100073345810F -:040CE200F06302F7C2 -:040CE30026B702E648 -:040CE4008693042CC3 -:040CE5004585D7F674 -:040CE60000E6F963C8 -:040CE700080BF5B74A -:040CE800FBF5859300 -:040CE90000E5B5B3BA -:040CEA0067610589B0 -:040CEB0054C70713D0 -:040CEC004703972EF5 -:040CED000693000763 -:040CEE0047C2028077 -:040CEF0002D70733EE -:040CF000D693461D34 -:040CF10047A20017FF -:040CF20047339736B7 -:040CF300771302F77A -:040CF40076930FF7ED -:040CF50073630FF71F -:040CF600469D00E631 -:040CF700059A068EC6 -:040CF800F5938DD50E -:040CF900450D0F8511 -:040CFA00B2FFD0EF86 -:040CFB00C503479254 -:040CFC00D0EF04A78A -:040CFD004792DADF61 -:040CFE00C5034705DE -:040CFF00776304977C -:040D0000C50300A780 -:040D01001571000464 -:040D02000015351390 -:040D0300053347610C -:040D0400676102E53C -:040D0500BC470713CD -:040D0600849364E985 -:040D0700953AA40471 -:040D0800BF9FD0EFCA -:040D09000693479274 -:040D0A00870302C099 -:040D0B0047E20117A3 -:040D0C0002D70733D0 -:040D0D004503973EC5 -:040D0E00D0EF020719 -:040D0F000703B1FF26 -:040D1000863701140D -:040D11004785000210 -:040D120000272693FD -:040D13009B7942186E -:040D1400C2188F551D -:040D150001140503BD -:040D16001CA7D163E2 -:040D17001A6347898B -:040D180047321AF54F -:040D190002FAF7B72C -:040D1A0008078793AC -:040D1B001AE7F3637D -:040D1C00E0EF4515AA -:040D1D00409CCD0F1A -:040D1E00DFF7F79371 -:040D1F004098C09C9C -:040D200017B7646934 -:040D2100A023000209 -:040D220005130EE7C0 -:040D230007939D4451 -:040D2400D5839D4492 -:040D2500C60300E71A -:040D2600450301E799 -:040D2700D783022547 -:040D2800041300C7E9 -:040D290043039D449F -:040D2A0087B3021475 -:040D2B00071302A701 -:040D2C0005132BC0C0 -:040D2D00D733064072 -:040D2E0095B302E592 -:040D2F0087B300C5C1 -:040D3000769302A70D -:040D310085B30FF780 -:040D3200C7B30265DC -:040D3300458102B73D -:040D34000327879377 -:040D350002A7C7B397 -:040D3600F7934505E5 -:040D370062630FF7ED -:040D380096B214F566 -:040D390046B795B66E -:040D3A00851300021B -:040D3B00413C3806F9 -:040D3C00898D7679AE -:040D3D007FF6061324 -:040D3E0005AE8FF17E -:040D3F00C12C8DDD59 -:040D400075E9413CD4 -:040D410015FD8B0D04 -:040D420007368FEDF4 -:040D4300C1388F5DC7 -:040D4400C703479208 -:040D4500CF1901477A -:040D46003C06A7833D -:040D47008705070510 -:040D480097BA83AD26 -:040D49003C06A703BA -:040D4A0007AE8B8DD8 -:040D4B008FD18E793D -:040D4C003CF6A023AE -:040D4D00C7034792FF -:040D4E00C71501378D -:040D4F000705460945 -:040D500002C747335C -:040D5100000246B79F -:040D52003C06A78331 -:040D53000613767994 -:040D540083AD7FF6F6 -:040D5500A7038F99C8 -:040D56008B8D3C063F -:040D57008F7107AEE3 -:040D5800A0238FD96C -:040D5900D0EF3CF6A5 -:040D5A004792BACF33 -:040D5B00448147097F -:040D5C0005A7C6839E -:040D5D000137C40393 -:040D5E0000E68763C1 -:040D5F000147C48301 -:040D6000B4938C813B -:040D610045810014B4 -:040D6200D0EF453D4C -:040D63000513FF2F46 -:040D6400D0EF059037 -:040D65007593FA0F79 -:040D6600E88102F529 -:040D6700006417937A -:040D6800F5938DDD95 -:040D6900E5930FF50A -:040D6A000513010567 +:0406ED0045C5C286B7 +:0406EE001E8505134D +:0406EF0048A0406F70 +:0406F0004685050531 +:0406F10000A696B316 +:0406F2006565665D77 +:0406F300C3C6061361 +:0406F400051345C5E0 +:0406F500406F1E85AF +:0406F60047834700EF +:0406F7004711004562 +:0406F800696386B2FA +:0406F900675D06F73C +:0406FA000713078A51 +:0406FB0097BAC5C71E +:0406FC008782439C12 +:0406FD004645451C0D +:0406FE000007C70327 +:0406FF00070A491C81 +:04070000438C97BAD5 +:040701000513656512 +:04070200406F1E85A1 +:040703004518641021 +:040704004503491C44 +:0407050087820007E0 +:040706004508491C3D +:0407070067E5878299 +:040708001E07842321 +:04070900C195808294 +:04070A0065DDE611B2 +:04070B008593464547 +:04070C00BFC9C48518 +:04070D00020650632D +:04070E006565665D5A +:04070F00C506061302 +:04071000051345C5C3 +:04071100406F1E8592 +:04071200455C400002 +:040713004398DBE943 +:04071400B7C1479C86 +:0407150067E980828E +:04071600A897C78356 +:0407170067E5C3E1EE +:040718001207C703FA +:040719001B63478592 +:04071A0067650AF70E +:04071B00071311119E +:04071C00CA22FA47AC +:04071D00CC06C82618 +:04071E0044814401CD +:04071F00C23A478112 +:0407200047036769BB +:040721004692A8A7AD +:040722009736070EF1 +:040723004703431035 +:04072400CD6300069B +:0407250047B700E7EB +:04072600A223000208 +:04072700A4233C9734 +:0407280040E23C87E8 +:0407290044C2445230 +:04072A008082017157 +:04072B008733475178 +:04072C00425002E74E +:04072D000057969348 +:04072E0047B7C63EC5 +:04072F00853300020C +:04073000C03600F6D9 +:04073100430C9732AC +:04073200C43A46413E +:0407330057F040EF4C +:0407340047B247225F +:0407350046034585AD +:0407360092B3004733 +:04073700C61600F5ED +:0407380076131675A9 +:04073900E4B30FF620 +:04073A00F76300540D +:04073B00853A02C534 +:04073C0045814601AC +:04073D0035D5C43EAC +:04073E00000247B7B7 +:04073F000107851316 +:0407400065E54782A2 +:04074100953E46415A +:040742001E858593F8 +:0407430053F040EF40 +:0407440047A242B2D4 +:0407450000546433C5 +:04074600B79D0785CF +:0407470066E980825D +:04074800FD8101131B +:04074900ABF68693F2 +:04074A0066E9C43662 +:04074B00AAE6869301 +:04074C0066E9C03664 +:04074D00AB068693DE +:04074E0066E9C23660 +:04074F00AB268693BC +:0407500066E9C6365A +:04075100ABC686931A +:04075200C83667E559 +:04075300D02266E961 +:040754008693CE2694 +:04075500D206AAC658 +:0407560016878793E8 +:04075700C703CA36D4 +:0407580066E9012726 +:04075900ABA6869332 +:04075A0063E964E506 +:04075B00636962E983 +:04075C00666965E97C +:04075D00842ACC36E8 +:04075E002BC4849391 +:04075F00AAA3839333 +:04076000AA82829354 +:04076100AB83031350 +:04076200AB6585936B +:04076300AB46061388 +:040764008703C775CB +:0407650047A201178F +:040766000007C7833E +:040767000EF7106316 +:0407680002C0079331 +:0407690002F707B3D9 +:04076A00D68346826A +:04076B0097A6000647 +:04076C000127D50389 +:04076D0008D5126336 +:04076E00C5034692E7 +:04076F00C6830147F5 +:040770001B63000601 +:0407710046B206D5B1 +:0407720001C7C503F3 +:040773000006C68333 +:0407740006D514632F +:040775000187D50320 +:040776000003D68323 +:0407770004D51E6324 +:0407780000C7D503DE +:040779000002D68321 +:04077A0004D5186327 +:04077B00C50346C2AA +:04077C00C68301D758 +:04077D0011630006FE +:04077E00D50304D5C6 +:04077F00568301A7F5 +:040780001B630003F4 +:04078100D68302D544 +:04078200D78300E732 +:040783009563000575 +:04078400079302F6DF +:0407850007B302C0F4 +:0407860046D202F75E +:040787000006D6830F +:04078800C50397A668 +:0407890019630217D7 +:04078A00C68300D54D +:04078B0047E2022718 +:04078C000007D78308 +:04078D0000F6866389 +:04078E00468567E94C +:04078F00AAD78F2333 +:0407900002C0079309 +:0407910002F7073331 +:040792000006550305 +:0407930047839726DB +:040794008563020770 +:04079500751302A72F +:04079600F0EF0FF57C +:0407970067E9916F0E +:04079800AB478613D2 +:04079900859367E9F4 +:04079A0067E9AB67F9 +:04079B00AB87831392 +:04079C00829367E9F4 +:04079D0067E9AA87D7 +:04079E00AAA78393F0 +:04079F00470247A224 +:0407A000C783656541 +:0407A1005683000774 +:0407A2000713000732 +:0407A30087B302C056 +:0407A400473202E7EF +:0407A50000075703EF +:0407A6008E2394BE4C +:0407A700D70300E490 +:0407A8004792000371 +:0407A90000D49923BC +:0407AA0000E49C23A8 +:0407AB000002D7036E +:0407AC000007C783F8 +:0407AD0000E49623AB +:0407AE008A23474211 +:0407AF00570300F4F8 +:0407B0008EA300070D +:0407B100570300E406 +:0407B2009D23000380 +:0407B300D70300E484 +:0407B4009723000582 +:0407B500475200E4C3 +:0407B60000075703DE +:0407B70002E480A335 +:0407B800570347623A +:0407B9008123000791 +:0407BA00470302E40B +:0407BB008023000691 +:0407BC00186302E4D8 +:0407BD00668D02C47F +:0407BE00BF26869339 +:0407BF0002D7073323 +:0407C0003E800693DE +:0407C1000613665D58 +:0407C20046B3C7066D +:0407C300540202D703 +:0407C40044F2509219 +:0407C500051345C50E +:0407C60001131E8578 +:0407C700406F0281FC +:0407C8004702128052 +:0407C90000E40563E0 +:0407CA00126347125D +:0407CB00471502E4E8 +:0407CC0002E7873386 +:0407CD0050925402F0 +:0407CE00665D44F22E +:0407CF00C7860613C0 +:0407D000051345C503 +:0407D10001131E856D +:0407D200406F0281F1 +:0407D300665D0FC090 +:0407D4000004568344 +:0407D500CE06061333 +:0407D60067E9BF5DB3 +:0407D700A9878793D4 +:0407D800EF1943983A +:0407D9000713671D7E +:0407DA0005339787C5 +:0407DB00115102E5D1 +:0407DC00C388C40604 +:0407DD009AEFE0EFC0 +:0407DE00450140A2EF +:0407DF0080820131E2 +:0407E00080824505C9 +:0407E100C4061151E8 +:0407E2009BEFE0EFBA +:0407E300A78367E998 +:0407E40040A2A987FF +:0407E50000F53533B3 +:0407E60080820131DB +:0407E7006463473DC3 +:0407E80057B724A734 +:0407E9000113445262 +:0407EA008793EDC143 +:0407EB00CC3E355774 +:0407EC00004157B7BA +:0407ED004417879393 +:0407EE003793CE3E31 +:0407EF002E2300F5C0 +:0407F0002023108131 +:0407F1002C23121192 +:0407F200012310913E +:0407F300842A02F161 +:0407F4000EE511639A +:0407F50065E54785EA +:0407F60002F11023D9 +:0407F70007934629F5 +:0407F800859304B031 +:0407F90005131C8543 +:0407FA0001A3025104 +:0407FB00022302F1E2 +:0407FC00E0EF020127 +:0407FD0067E9DD4F7C +:0407FE00AA47C783BC +:0407FF00061365E593 +:040800000823036066 +:0408010067E902F1B0 +:04080200A807C783F9 +:040803001FC58593F5 +:0408040003A1051334 +:0408050002F108A351 +:04080600C78367E954 +:040807000820A8D746 +:0408080007A31884A6 +:0408090067E902F1A8 +:04080A00A887C78371 +:04080B0002F10923CA +:04080C00C78367E94E +:04080D0009A3A7672D +:04080E0067E902F1A3 +:04080F00A747C783AD +:0408100002F10A23C4 +:04081100C78367E54D +:040812000AA311071D +:0408130067E902F19E +:04081400A757C78398 +:0408150002F10B23BE +:04081600C78367E548 +:040817000BA3120716 +:0408180067E502F19D +:040819001217C78368 +:04081A0002F10C23B8 +:04081B00C78367E93F +:04081C000CA3A8C7BA +:04081D00E0EF02F115 +:04081E004503D50FAA +:04081F0004050004C8 +:04082000EB0FE0EF0B +:04082100FEA40FA37F +:04082200FE9419E344 +:04082300A50367E9D9 +:040824000693A84748 +:040825000830058012 +:04082600001F05B7F3 +:04082700A4EFE0EF6B +:040828001201208316 +:0408290011C12403D2 +:04082A001181248391 +:04082B001241011362 +:04082C00079380822C +:04082D001023301054 +:04082E0007B702F115 +:04082F00879307386C +:0408300064E5042750 +:04083100C783D83E63 +:04083200EB892684A4 +:040833000613665DE5 +:0408340045B5C806F8 +:04083500268485137D +:0408360076F030EF39 +:0408370026848593FB +:040838000513463529 +:0408390040EF023159 +:04083A0065E516500A +:04083B00042006137C +:04083C0027858593F4 +:04083D00E0EF184888 +:04083E0064E5CD0F91 +:04083F000A20061372 +:040840002BC48593AD +:040841000761051333 +:04084200CBEFE0EF29 +:04084300C503083CA5 +:04084400C03E0007AB +:04084500E1CFE0EF30 +:040846000A384782A3 +:0408470000A7802363 +:0408480096E30785A7 +:040849000441FEE781 +:04084A000FF4741320 +:04084B0001041793FA +:04084C0067E9C23E58 +:04084D00A847A50310 +:04084E0010000693FD +:04084F0015930830C5 +:04085000E0EF0104D0 +:0408510067E99A8F2A +:04085200A847879399 +:04085300F929C63E7B +:040854002BC4879397 +:04085500071304423F +:040856008493100473 +:0408570087930A2752 +:04085800C03A7A2701 +:040859000413C43E82 +:04085A000693696038 +:04085B0087221000E0 +:04085C000086F463BB +:04085D00100007136D +:04085E008341074289 +:04085F0085A6863AAA +:04086000C83A082862 +:04086100C42FE0EFD1 +:0408620008344742CD +:040863000006C503C3 +:04086400C836CA3A8E +:04086500D9CFE0EF18 +:040866000A3C46C240 +:040867008023475251 +:04086800068500A65B +:04086900FEF694E320 +:04086A00460247B249 +:04086B0043884592E7 +:04086C00E0EF08347D +:04086D0015E386EF1A +:04086E000693EE05FA +:04086F0087221000CC +:0408700000D47463D9 +:040871001000071359 +:04087200F0070793F1 +:0408730001079413D2 +:0408740084934782A0 +:0408750080411004AA +:04087600100787934D +:0408770047A2C03E96 +:04087800F8F495E318 +:04087900557DBD7577 +:04087A001151808216 +:04087B0064E9C02646 +:04087C00A8F48493C5 +:04087D000004C503AB +:04087E00C406C222C8 +:04087F00842A33454F +:04088000C703E51DA8 +:0408810067E900041F +:040882008723468101 +:0408830067E9A8E792 +:04088400A8D7C783A7 +:0408850067E5C781DB +:040886001847C683C6 +:04088700879367E507 +:0408880097B61C877C +:0408890000E78023E1 +:04088A00F0EF453D09 +:04088B0040A2D73F71 +:04088C00441285226B +:04088D00013144826F +:04088E000113808250 +:04088F0067E5EDC16B +:040890002607842390 +:040891002023C43E1E +:040892002E231211EE +:040893002C23108181 +:04089400C22E1091CF +:0408950057FD473D87 +:0408960006A76363EB +:040897000541676947 +:040898000FF577934E +:04089900A847250344 +:04089A00010795932A +:04089B0010000693B0 +:04089C00C03E083022 +:04089D0092EFE0EF07 +:04089E00082467695A +:04089F00A84707134C +:0408A000842687AA79 +:0408A1004503C63A0B +:0408A200C83E000448 +:0408A300E0EF040579 +:0408A4000FA3CA2FA5 +:0408A5000A38FEA46B +:0408A60016E347C24C +:0408A700E385FE8760 +:0408A800859365DDF2 +:0408A9000828C885CE +:0408AA00B6AFE0EF16 +:0408AB00136387AAA2 +:0408AC0047032005D9 +:0408AD00CF11022144 +:0408AE000163468517 +:0408AF00208310D7BB +:0408B000240312010A +:0408B100248311C1CA +:0408B200853E1181ED +:0408B30012410113DA +:0408B40046038082F5 +:0408B50047050201F0 +:0408B6001EE61063C7 +:0408B70002114703E0 +:0408B8001C071C639A +:0408B90002414703AE +:0408BA0002314603BE +:0408BB008F51072230 +:0408BC0004B006136B +:0408BD00FCC715E37C +:0408BE00F371471279 +:0408BF0047816765A1 +:0408C000071345399C +:0408C10045A91C87A2 +:0408C20000D4C60395 +:0408C30000C56663A3 +:0408C40000F7033303 +:0408C50000C3002349 +:0408C6000485078519 +:0408C700FEB796E3FF +:0408C800031147834E +:0408C9000023676938 +:0408CA004725A8F71F +:0408CB0000F7666369 +:0408CC000223676933 +:0408CD00A029AAF7BD +:0408CE000301478358 +:0408CF00FEF77AE3D3 +:0408D00003314703A6 +:0408D100656567E909 +:0408D200A6E78B23E7 +:0408D3000341470393 +:0408D400061367E9B7 +:0408D5008A2303600F +:0408D6004703A6E747 +:0408D70067E503517D +:0408D80003A10593E0 +:0408D90010E7882379 +:0408DA00036147036C +:0408DB00051367E9B1 +:0408DC008AA31FC507 +:0408DD004703A6E740 +:0408DE0067E5037156 +:0408DF0012E7802379 +:0408E0000381470346 +:0408E10080A367E5A4 +:0408E200470312E7CF +:0408E30067E902F1CE +:0408E400A8E786A358 +:0408E500C70367E5F9 +:0408E60067E91C871B +:0408E700A8E78723D4 +:0408E800032147039E +:0408E900842367E914 +:0408EA004703A8E731 +:0408EB0067E9039125 +:0408EC00A8E78623D0 +:0408ED00A12FE0EF68 +:0408EE00B711478176 +:0408EF000201468339 +:0408F0000EE69C6311 +:0408F1000211468327 +:0408F2009763470DB4 +:0408F30056830EE634 +:0408F40007130301E2 +:0408F50094E3042064 +:0408F6005403EEE6D3 +:0408F70007130321BF +:0408F8001EE3738008 +:0408F90047A2ECE442 +:0408FA004635C82A8D +:0408FB0026878513B4 +:0408FC00023105932D +:0408FD00656040EF03 +:0408FE0047C2471294 +:0408FF00EC0711E30E +:040900000613656510 +:04090100184C04206A +:04090200278505132D +:040903009BAFE0EFD7 +:04090400676547825A +:040905002BC70713E2 +:04090600C23E07A244 +:04090700C002448165 +:0409080005E007936C +:040909004722C43A83 +:04090A000613468208 +:04090B008E1D10002D +:04090C0000D70533D8 +:04090D0005B30838EE +:04090E00456300F746 +:04090F00C83E06C414 +:04091000986FE0EF0D +:04091100470247C290 +:04091200943E048586 +:0409130047928F1D5B +:040914000FF4F49355 +:0409150010070713AD +:0409160000F485B3B1 +:04091700074247B29A +:04091800438883414C +:04091900F0040413CF +:04091A001000069330 +:04091B0005A20830F9 +:04091C000442C03A97 +:04091D00F2FFD0EF26 +:04091E0087AA8041E3 +:04091F00450308384C +:04092000CA3E0007C4 +:04092100E0EFC83A01 +:040922004742AAAFEF +:0409230047D20A3479 +:0409240000A7002305 +:0409250094E307054B +:0409260092E3FEE674 +:040927004781E2071B +:04092800A021F059C1 +:04092900E0EF862253 +:04092A0067E9920FD8 +:04092B008F234705CA +:04092C00B719AAE766 +:04092D00B521478524 +:04092E00B51147892F +:04092F00C02611517C +:04093000849364E95F +:04093100C503A8F45E +:0409320045810004F7 +:04093300C406C22212 +:04093400D6BFF0EF4B +:04093500E121842A0E +:040936000004C703EF +:0409370046A967E97D +:04093800A8E7872382 +:04093900C78367E524 +:04093A0085632B970F +:04093B0066E900D792 +:04093C00AAF6822372 +:04093D00C78367E91C +:04093E004681A8D76F +:04093F0067E9C7811C +:04094000AA47C68379 +:04094100879367E54C +:0409420097B61C87C1 +:0409430000E7802326 +:04094400F0EF453D4E +:0409450040A2A8BF65 +:0409460044128522B0 +:0409470001314482B4 +:040948001141808257 +:04094900C606458514 +:04094A00F0EFC02AE0 +:04094B006765D11FEC +:04094C002687478330 +:04094D0007134682C4 +:04094E00E781268790 +:04094F000713675DC6 +:0409500040B2C907E1 +:040951006565665D15 +:04095200C986061339 +:04095300051345C57E +:0409540001411E85BA +:040955002F30306FA0 +:04095600676911219B +:040957000413C8229B +:04095800401CAA078E +:0409590016B7CA06FD +:04095A00F79300020D +:04095B00C01CF9F7CC +:04095C00C02EC626BD +:04095D000EF6A023CF +:04095E0032000593CB +:04095F00450584AA1C +:04096000B58FF0EF70 +:040961001900051361 +:04096200B77FD0EF9C +:0409630016B7401C67 +:0409640045C1000287 +:040965000207E7930B +:04096600A023C01CEE +:0409670085260EF6DD +:040968004CE040EF30 +:040969000FF577937C +:04096A0065E1EB89CF +:04096B0085934645E5 +:04096C0085264F4548 +:04096D00496040EFAE +:04096E004701478571 +:04096F0000E486B367 +:040970000006C503B5 +:04097100C43E45D16A +:04097200F0EFC23AA6 +:040973004712B0EF88 +:04097400070547A28A +:040975000FF776936F +:04097600FEF6E2E3C4 +:0409770014B7401C55 +:0409780045D1000263 +:04097900FDF7F793FC +:04097A00A023C01CDA +:04097B0005130EF45E +:04097C00F0EF0C008C +:04097D00401CAE6FFD +:04097E0045C1450228 +:04097F000207E793F1 +:04098000A023C01CD4 +:0409810040EF0EF441 +:0409820074934680A4 +:04098300E8890FF5FB +:0409840065E14502E2 +:0409850085934645CB +:0409860040EF4F45AA +:040987004485430060 +:04098800470247815A +:04098900C23E45D154 +:04098A004503973E4C +:04098B00F0EF000782 +:04098C004792AAAF35 +:04098D00F7130785D0 +:04098E0064E30FF718 +:04098F00401CFE9773 +:04099000173740D203 +:04099100E7930002E6 +:04099200C01C04077A +:040993002023444297 +:0409940044B20EF764 +:0409950080820161FA +:04099600C422114125 +:04099700C606C226A8 +:0409980064E5478546 +:040999000863646526 +:04099A00676500F598 +:04099B001207468376 +:04099C009463470910 +:04099D00464104E6E5 +:04099E001D448593DC +:04099F0000024537D6 +:0409A0003CA040EF48 +:0409A100000247B752 +:0409A20002078513B0 +:0409A3000593464131 +:0409A40040EF1E847E +:0409A50047B73B8095 +:0409A600A623000282 +:0409A700470D3C07B5 +:0409A8003CE7A22363 +:0409A9003C07A42340 +:0409AA001E8405930F +:0409AB0040B24422F0 +:0409AC001D4485134E +:0409AD00014144922E +:0409AE0017E3B54551 +:0409AF006769FEE591 +:0409B000A8A74703AA +:0409B1000593464123 +:0409B20016931E84F6 +:0409B300676500373D +:0409B400FA470713E4 +:0409B50046839736A8 +:0409B6004737004778 +:0409B7009513000292 +:0409B800054100569F +:0409B900C036953A75 +:0409BA00362040EFB4 +:0409BB0047374682F2 +:0409BC00260300020C +:0409BD0047853C87A7 +:0409BE0000D797B314 +:0409BF0024238FD18D +:0409C000B75D3CF7EC +:0409C100C78367E998 +:0409C200E3B5A8975A +:0409C300C406115104 +:0409C400C026C22265 +:0409C5004737C909DE +:0409C6002783000281 +:0409C700E7933C076F +:0409C80020230027C1 +:0409C90064E53CF7AE +:0409CA0023448593AA +:0409CB004537464125 +:0409CC0040EF0002F6 +:0409CD0044373180FA +:0409CE0067E50002D7 +:0409CF002487859361 +:0409D0000204051305 +:0409D10040EF46416C +:0409D200470D30405D +:0409D3003C04262397 +:0409D4003CE42223BA +:0409D5003C04242397 +:0409D60040A24412E5 +:0409D700234485131D +:0409D80067E5448209 +:0409D9002487859357 +:0409DA00F06F013188 +:0409DB008082DEFF39 +:0409DC00CD22713582 +:0409DD000613646930 +:0409DE00458102C08D +:0409DF00A0840513D8 +:0409E000CF06CB264D +:0409E100E7FFD0EF6D +:0409E200871365E52D +:0409E300435C1685D6 +:0409E400A0EEC6B704 +:0409E500B00686933F +:0409E60002F6D6330C +:0409E700A084049351 +:0409E80000070A23D7 +:0409E900000709A357 +:0409EA0000C498238A +:0409EB0001074603B7 +:0409EC00A0840713C9 +:0409ED008713C43A6E +:0409EE0035931685A2 +:0409EF000585001664 +:0409F00002B787B310 +:0409F1000640059324 +:0409F2004318C23AAA +:0409F30000E49B235E +:0409F40002B787B30C +:0409F50002E7D7B38B +:0409F60002F6D7B37B +:0409F7004792C83E1D +:0409F800CE3E479C0C +:0409F900001637931A +:0409FA0000F48F2353 +:0409FB0046F24792E7 +:0409FC0001C7C783E5 +:0409FD0000D48E2371 +:0409FE0000279693A5 +:0409FF00879367E192 +:040A000097B6BD07E1 +:040A01000793439480 +:040A0200C21906907F +:040A0300070007934E +:040A04006565665D61 +:040A0500CA06061304 +:040A0600051345C5CA +:040A070030EF234564 +:040A080047A202906F +:040A090006934742C7 +:040A0A00D6033E8051 +:040A0B000793010745 +:040A0C0075B3064078 +:040A0D00656502D742 +:040A0E002485051323 +:040A0F003E8004938E +:040A100002F6773340 +:040A110002F657B3DF +:040A1200C03A46425E +:040A1300D733472965 +:040A140045C502E5ED +:040A150002D656B3FC +:040A16000613665D00 +:040A170030EFCAC62C +:040A180045057E8092 +:040A19004791354587 +:040A1A004792CABE77 +:040A1B00040109A326 +:040A1C00C7036309A0 +:040A1D0047A10227C4 +:040A1E00710303134A +:040A1F0000E797B3A2 +:040A20000087E793D1 +:040A21004792CCBE6E +:040A2200C70346813F +:040A230007930237FC +:040A240097B3200064 +:040A2500CEBE00E75A +:040A2600C703479229 +:040A270067C102475A +:040A280000E797B399 +:040A29004792D0BE62 +:040A2A000257C703A5 +:040A2B00002007B7E9 +:040A2C0000E797B395 +:040A2D00D2BE4712DC +:040A2E00077547926F +:040A2F00D8BAD6BAA1 +:040A3000C78347121F +:040A310007790297A8 +:040A32004712DABAD3 +:040A33000793CA3E1D +:040A3400077D053104 +:040A3500DEBADCBA8F +:040A3600D4BE4712D1 +:040A37000713C53E9E +:040A3800C13A0207B6 +:040A39004712C33A63 +:040A3A000217071385 +:040A3B00C93EC73AAF +:040A3C00C602479215 +:040A3D000277C283F7 +:040A3E00C78347A281 +:040A3F00D63E01C7D7 +:040A4000D78347A26F +:040A4100D43E012777 +:040A4200C78347928D +:040A4300D03E028718 +:040A4400C78347A27B +:040A4500D83E01E7AF +:040A4600D78347A269 +:040A4700DA3E01672B +:040A4800D78347A267 +:040A4900DC3E010787 +:040A4A00841367E5C5 +:040A4B0087932BC79B +:040A4C00CC3E2BC7AA +:040A4D000FD2F7933A +:040A4E004703DE3E3E +:040A4F004795024481 +:040A50001AE7E4635A +:040A5100EC63478D7E +:040A52000D6324E725 +:040A530009501AF735 +:040A540000271793CD +:040A5500A78397B22A +:040A5600C783FD470E +:040A5700078A000703 +:040A5800A58397B229 +:040A5900541CFC0726 +:040A5A0081638FED38 +:040A5B0047831E07A8 +:040A5C00564201E419 +:040A5D001CC79C63B3 +:040A5E005783565212 +:040A5F005562016477 +:040A600040F607B3A2 +:040A610041F7D61370 +:040A62008F918FB130 +:040A63000104560331 +:040A640040C5063350 +:040A650041F65513EE +:040A66008E098E293E +:040A67002297C363AC +:040A68007663451D4F +:040A6900946300E5AD +:040A6A00416300974D +:040A6B0047092266AF +:040A6C0018974E6326 +:040A6D0018F4DC633A +:040A6E00041347B274 +:040A6F00656902C0F3 +:040A70000287843342 +:040A7100462947E2E9 +:040A7200943E64E961 +:040A73000793445849 +:040A74004683A08590 +:040A7500C7D8024498 +:040A760001C447036D +:040A77000124530300 +:040A780000A4428311 +:040A79005703D03A15 +:040A7A00538301841D +:040A7B0085A200C48C +:040A7C004C18D43A04 +:040A7D00A085051338 +:040A7E000067992351 +:040A7F005703CF98B2 +:040A8000DA3601C49D +:040A81000057852372 +:040A820000E79E23C8 +:040A830001444703E0 +:040A8400DC1ADE1E7C +:040A850000E78A23D9 +:040A8600021447030C +:040A8700D63AD8166D +:040A8800822350185D +:040A8900D39802D725 +:040A8A00022040EF17 +:040A8B000593656901 +:040A8C000613A08528 +:040A8D00851302C00B +:040A8E00D0EFA344BE +:040A8F008793B8DFB2 +:040A90008523A344D3 +:040A910067E900070A +:040A92009F478713E0 +:040A9300569246052C +:040A94000007202314 +:040A95000007222311 +:040A9600000724230E +:040A9700000726230B +:040A98000007082328 +:040A990000C708A3E7 +:040A9A0065915418F6 +:040A9B009F478413DA +:040A9C0003638F75EC +:040A9D0056D262B714 +:040A9E0040E5E563E7 +:040A9F0010000593AB +:040AA0005CB70063DC +:040AA10053F2536257 +:040AA20016E5E96309 +:040AA3000E6345C1D8 +:040AA40052C254B72F +:040AA50012E5EF6304 +:040AA6000163459112 +:040AA70045A14AB764 +:040AA8000CB7116313 +:040AA90000C400A3E2 +:040AAA008F63470D02 +:040AAB00871300E6C7 +:040AAC007713FFA617 +:040AAD0079630FF763 +:040AAE00472500E6F2 +:040AAF0052E6946314 +:040AB0004AF00713EE +:040AB10052676063C5 +:040AB200876347D23D +:040AB30067E9500798 +:040AB4004589460525 +:040AB500A08785137E +:040AB600D29FD0EF0C +:040AB70000144603DE +:040AB80076130605A6 +:040AB900A9ED0FF69E +:040ABA0012E3479963 +:040ABB004783E6F790 +:040ABC00460900A443 +:040ABD000CC791636E +:040ABE000A0289633C +:040ABF0088E34785FC +:040AC000A0A9E4F213 +:040AC1002000079377 +:040AC2000793CEBE0A +:040AC300D0BE100091 +:040AC400D2BE67A196 +:040AC5009CE357A2B5 +:040AC6005603E207EA +:040AC700079301642C +:040AC80016E31C1005 +:040AC90067DDE2F60D +:040ACA0085934629A1 +:040ACB008522CC476D +:040ACC00C4B6C69A4C +:040ACD00C0BAC29653 +:040ACE006E5030EF47 +:040ACF0042964706FE +:040AD000433646A6BD +:040AD1005782E51D46 +:040AD200E00793E3C3 +:040AD30007930685FA +:040AD400041302A065 +:040AD50092E302C4E2 +:040AD6000793DEF6AE +:040AD700DDE306D085 +:040AD80067E9E4974F +:040AD900A00782A34D +:040ADA00446A40FA30 +:040ADB00610D44DA8B +:040ADC0067DD8082D0 +:040ADD00859346298E +:040ADE008522CD0799 +:040ADF00C4B6C69A39 +:040AE000C0BAC29640 +:040AE100699030EFF9 +:040AE20042964706EB +:040AE300433646A6AA +:040AE400DA051FE32D +:040AE50047855602E9 +:040AE600DAF61BE33E +:040AE7000793BF456D +:040AE800CEBE20005E +:040AE900D0BE67C153 +:040AEA005632B35D70 +:040AEB000520079348 +:040AEC00D8C7FFE385 +:040AED004605BF619A +:040AEE00D8C79BE3E7 +:040AEF00F7D957F2EA +:040AF000D22EB379D6 +:040AF10084BE83320A +:040AF200B749C63604 +:040AF3008332D22E4A +:040AF4000693BFE5C1 +:040AF5000A6304008C +:040AF600069300D78C +:040AF7000D63080083 +:040AF800069340D74A +:040AF9001EE30200F6 +:040AFA000713F6D711 +:040AFB0010231010A4 +:040AFC00470500E4C6 +:040AFD0000E40123ED +:040AFE000593A6DDD9 +:040AFF008C6380077D +:040B000065854605BC +:040B010080058513D3 +:040B020006E56C6335 +:040B03002000059336 +:040B040044B702638D +:040B05004000069313 +:040B0600F4D715E328 +:040B0700470957B291 +:040B080000E400A362 +:040B09000017971327 +:040B0A008733578254 +:040B0B00142340E38C +:040B0C00470D00E4AD +:040B0D0002E7D6B372 +:040B0E00861367E9FA +:040B0F004591A34722 +:040B1000A344851362 +:040B110000D60E23D9 +:040B1200D3B356A261 +:040B1300D6B302E370 +:040B1400533302E66F +:040B15001C2302E3B8 +:040B1600871300D66B +:040B17008693A347D7 +:040B1800460DA3479C +:040B1900A3478793D4 +:040B1A0000769623A8 +:040B1B0000078A2322 +:040B1C000067192332 +:040B1D00B8DFD0EF7E +:040B1E0008A34711D0 +:040B1F00A0A900E4A5 +:040B200040B7026375 +:040B21001EE36689E0 +:040B22000713ECD7F2 +:040B2300102320304B +:040B2400460D00E496 +:040B25004591470DA2 +:040B2600A34485134C +:040B270000E40123C2 +:040B2800B61FD0EF35 +:040B290000040703BA +:040B2A00001406832A +:040B2B00068507052F +:040B2C0002D70733B2 +:040B2D0000244683D7 +:040B2E0047330685BE +:040B2F0008A302D73E +:040B3000470900E48D +:040B310000E40023B9 +:040B320000140703A1 +:040B3300665D67E9AB +:040B34008693070598 +:040B35000613A0877C +:040B360045A9CDC63A +:040B3700A34485133B +:040B3800366030EF04 +:040B390000845703DA +:040B3A0047A2EF19C6 +:040B3B000217C68354 +:040B3C0000C7D70314 +:040B3D008F15068684 +:040B3E000004068326 +:040B3F0007330685ED +:040B4000142302D7A1 +:040B4100568300E4F3 +:040B420067E900A4BB +:040B4300A3478793AA +:040B440000E7D703EC +:040B45000014050390 +:040B460047A2EE894B +:040B470000150693FC +:040B48000227C603B7 +:040B490002C686B3A7 +:040B4A0006B3068662 +:040B4B00152340D757 +:040B4C0067E900D481 +:040B4D00A3478793A0 +:040B4E0000C7D68383 +:040B4F0000845603C5 +:040B500047A24289ED +:040B5100C6B38E9108 +:040B52001223025612 +:040B5300C68300D481 +:040B5400072302175A +:040B5500568300D4EF +:040B560006B300A43E +:040B5700C6B340D70A +:040B5800132302560B +:040B5900469100D4ED +:040B5A0008D51E6339 +:040B5B0046854792F2 +:040B5C000267C583E4 +:040B5D0008D58863CC +:040B5E007800031305 +:040B5F0040C3063356 +:040B600002564633C0 +:040B6100869367E927 +:040B6200D683A3474C +:040B63008493012650 +:040B64008693A3478A +:040B650012238806C9 +:040B6600C63300C4CE +:040B6700C6B302A669 +:040B68008E23025680 +:040B6900861300C42B +:040B6A001C23A3475E +:040B6B00869300D697 +:040B6C009623A347E2 +:040B6D00E5B9006680 +:040B6E00071346A97A +:040B6F004733BC87C5 +:040B7000469502D7CD +:040B7100876107622F +:040B720000E407A3F1 +:040B730002D707336B +:040B7400A34786937A +:040B750001A6D6837C +:040B76008693973695 +:040B77009D23A347D0 +:040B7800879300E679 +:040B79000713A34774 +:040B7A0097234380FA +:040B7B0047A200E7A6 +:040B7C00C70346A9BC +:040B7D0006B3022792 +:040B7E00071302D780 +:040B7F008F1543800B +:040B800000E4152355 +:040B8100871367E986 +:040B82004703A3473B +:040B83008B11023799 +:040B840034070463CB +:040B850086934741CB +:040B860080A3A3475E +:040B8700472502E616 +:040B8800A347879365 +:040B890002E78123DB +:040B8A0067E94705CB +:040B8B0000E4082357 +:040B8C00A3478713E1 +:040B8D0000A7470373 +:040B8E000004162326 +:040B8F004712EB011D +:040B9000A34787935D +:040B910002B747035D +:040B920000E78523D0 +:040B930004834792FE +:040B9400676900C1CC +:040B9500017D80B7A7 +:040B9600009788A399 +:040B9700460347B218 +:040B980005830024AD +:040B99000023011420 +:040B9A0047A2ACF7CB +:040B9B0080934301FF +:040B9C00D78383F088 +:040B9D004289012761 +:040B9E0047A2CA3E62 +:040B9F000147C783C0 +:040BA00005B7A601EE +:040BA10008630010D5 +:040BA200E06328B72D +:040BA30005B706E5A7 +:040BA40002630002E6 +:040BA500E86326B724 +:040BA60065A102E55E +:040BA70024B701630B +:040BA80010E365C130 +:040BA900470DCCB771 +:040BAA0000A316F19D +:040BAB00F69300E4D9 +:040BAC0060630FF67D +:040BAD0047D224D631 +:040BAE00C0079BE3FE +:040BAF0000234705D3 +:040BB000461100E406 +:040BB10006B7AA31A8 +:040BB2000D630004CB +:040BB30006B722D788 +:040BB40018E300083A +:040BB5000713C8D783 +:040BB60010233030A8 +:040BB700470D00E402 +:040BB80000E4012331 +:040BB900459146110B +:040BBA0006B7A8656D +:040BBB000363008050 +:040BBC00E36324D7F4 +:040BBD0006B702E68F +:040BBE0008630020A8 +:040BBF0006B722D77C +:040BC00010E30040FE +:040BC1000713C6D779 +:040BC200102340209C +:040BC300470900E4FA +:040BC40000E4012325 +:040BC500AABD46156A +:040BC600010006B76D +:040BC70022D70563C9 +:040BC800020006B76A +:040BC900C2D71FE38D +:040BCA00405007137D +:040BCB0000E410230F +:040BCC0001234715A5 +:040BCD00461500E4E5 +:040BCE00A8C14599DC +:040BCF00871367E938 +:040BD0008693A3471E +:040BD100D683A347DD +:040BD2000523016690 +:040BD300570300576D +:040BD40087930107FB +:040BD500C503A3476A +:040BD600073301E7F9 +:040BD700069302D7A8 +:040BD8008637064016 +:040BD9004281017DD7 +:040BDA00061343813A +:040BDB00473383F623 +:040BDC00468102D775 +:040BDD000267033375 +:040BDE000016871363 +:040BDF00026705B3F1 +:040BE00040A5D5B3A4 +:040BE10004B6706383 +:040BE2000003866323 +:040BE30000D4012316 +:040BE4000054002396 +:040BE5000004458340 +:040BE6000585460536 +:040BE7000FF5F5937E +:040BE800A34485138A +:040BE90085DFD0EFE5 +:040BEA0000040703F9 +:040BEB000014068369 +:040BEC00068507056E +:040BED0002D70733F1 +:040BEE000024468316 +:040BEF0047330685FD +:040BF000B96502D70A +:040BF100177D07065F +:040BF20001871293D2 +:040BF3000FF77693EF +:040BF4004182D293D5 +:040BF500B74D438530 +:040BF600002347058C +:040BF700460900E4C7 +:040BF800BF7D4589EF +:040BF90045854609DF +:040BFA000713BF65B9 +:040BFB0010231010A3 +:040BFC00012300E4ED +:040BFD00B7E500C494 +:040BFE0010200713A9 +:040BFF0000E41023DB +:040C0000012347097C +:040C0100460900E4BC +:040C02008513458D84 +:040C0300D0EFA34447 +:040C04000683FF2F35 +:040C050007030004DD +:040C060047920014FD +:040C0700001686133A +:040C080007330705A2 +:040C0900460302C7D5 +:040C0A0006050024B7 +:040C0B0002C74733A2 +:040C0C0000E408A355 +:040C0D0002A7C70370 +:040C0E0000238E9998 +:040C0F00B16900D4F3 +:040C10001040071376 +:040C110000E41023C8 +:040C12000123471162 +:040C1300460900E4AA +:040C1400B7B9459592 +:040C150016F1470984 +:040C160000E400A353 +:040C17000FF6F6934B +:040C180000D6696336 +:040C190094E347D247 +:040C1A000023A60706 +:040C1B00460D00C4BE +:040C1C00460DBF853D +:040C1D000713BF8D6D +:040C1E00102320106F +:040C1F00012300E4C9 +:040C2000B7F500C460 +:040C21002020071375 +:040C220000E41023B7 +:040C23000123470959 +:040C2400460D00E495 +:040C2500B729458D19 +:040C26002040071350 +:040C270000E41023B2 +:040C28004711460D1D +:040C29008513459555 +:040C2A000123A344BB +:040C2B00D0EF00E422 +:040C2C000703F52F96 +:040C2D000683000436 +:040C2E0047920014D5 +:040C2F00068507052A +:040C300002D70733AD +:040C310000244683D2 +:040C320047330685B9 +:040C330008A302D739 +:040C3400C70300E40E +:040C3500371302A7C8 +:040C36000709001793 +:040C37000713B6E504 +:040C38001023206005 +:040C3900471900E473 +:040C3A0000E40123AE +:040C3B00459D460D80 +:040C3C004611BD455B +:040C3D000713BDCD0F +:040C3E00102330103F +:040C3F00012300E4A9 +:040C4000B3C100C478 +:040C41003020071345 +:040C420000E4102397 +:040C43000123470939 +:040C4400461100E471 +:040C45000713B74199 +:040C46001023304007 +:040C4700471100E46D +:040C480000E40123A0 +:040C49004595461176 +:040C4A004711B5CDCC +:040C4B0000E400A31E +:040C4C00BD55461537 +:040C4D004030071319 +:040C4E0000E410238B +:040C4F000123470D29 +:040C5000461500E461 +:040C51000713B34D85 +:040C520010234040EB +:040C5300471100E461 +:040C540000E4012394 +:040C5500BDED461596 +:040C5600471167E9F2 +:040C5700A347869396 +:040C580002E680A38D +:040C5900B96D470D1D +:040C5A000015F393FB +:040C5B00040391639A +:040C5C000255C5B3C5 +:040C5D0000169613D4 +:040C5E007613167D76 +:040C5F0043050FF644 +:040C600000160693E1 +:040C610087334395FD +:040C6200073302F65C +:040C6300039302777E +:040C6400071306402C +:040C650047330327E7 +:040C660043D20277FC +:040C6700027683B3DB +:040C680043C2971ECE +:040C690002E383B36C +:040C6A00FFE3C61EC0 +:040C6B000663FA70B2 +:040C6C00012300035D +:040C6D0008A300C414 +:040C6E00E50900B4E0 +:040C6F004792C601E1 +:040C700000C78A230C +:040C71000114060361 +:040C720002C05F63FA +:040C730006B347B2CB +:040C7400479202F6AB +:040C75000693CF947F +:040C760047E202C08F +:040C770002D484B36C +:040C7800C68394BEDD +:040C790064E90234F4 +:040C7A00AA74849341 +:040C7B000046F61326 +:040C7C0066E9CA015A +:040C7D00AA66C6039A +:040C7E00F56346894B +:040C7F0046A106C6BE +:040C800000D48023F9 +:040C8100C619A88D5B +:040C8200468547B2AA +:040C8300D6B38E91C5 +:040C8400B7C102D71B +:040C8500C83A67E919 +:040C8600A3478713E6 +:040C870001675503A9 +:040C88000127568367 +:040C8900A347879363 +:040C8A0001E7C603B5 +:040C8B0002A686B384 +:040C8C000107550304 +:040C8D0002A6B5B353 +:040C8E0002A6853302 +:040C8F00BEEFD0EFF5 +:040C9000810D05F6D7 +:040C91008D4D668996 +:040C920086A6869319 +:040C9300650D96AAAB +:040C94000D450513F2 +:040C950002A6D6B32A +:040C96003E80061383 +:040C970086B3474297 +:040C9800BF8502C64C +:040C9900469147A297 +:040C9A0000D48023DF +:040C9B0001C7C68344 +:040C9C008E23068617 +:040C9D00479200D7A3 +:040C9E0015FD65C11A +:040C9F00179347D090 +:040CA00083C1010704 +:040CA10077B3C83E1F +:040CA200C43E00B795 +:040CA30000B677B36D +:040CA40047A2CA3E5B +:040CA500555945F95F +:040CA60002B785B359 +:040CA700C68347D2E7 +:040CA800C5B30004CC +:040CA90047F202F517 +:040CAA00C5B3059534 +:040CAB00F51302A596 +:040CAC0047920FF765 +:040CAD0002E5073322 +:040CAE0002C75733EF +:040CAF00C70395BA28 +:040CB000F59302C7EF +:040CB10007130FF521 +:040CB2000762F807D6 +:040CB30095BA876106 +:040CB400471105C21D +:040CB500946381C102 +:040CB600859334E608 +:040CB70005C203254A +:040CB800452185C18C +:040CB900020006131C +:040CBA0000C503333B +:040CBB003205CF63CC +:040CBC0000C58733B5 +:040CBD000513972A5A +:040CBE0056630FF07A +:040CBF00059300E5B4 +:040CC00085B30FF0F9 +:040CC100F713406580 +:040CC20085BA0FF5EB +:040CC300D0364515CD +:040CC400CE3AD41A36 +:040CC500D0EFD23268 +:040CC6005612C19F62 +:040CC70085B2451994 +:040CC800C0FFD0EFAA +:040CC9000800059387 +:040CCA0002600513AC +:040CCB00C03FD0EF67 +:040CCC0053224772F6 +:040CCD0003100513F8 +:040CCE00006705B303 +:040CCF000FF5F59395 +:040CD000BEFFD0EFA4 +:040CD10047215682DF +:040CD200836345B53E +:040CD30045A500E64D +:040CD40002200513E2 +:040CD500BDBFD0EFE0 +:040CD600D0EF451105 +:040CD7007593B8BF9A +:040CD80045110F852E +:040CD900BCBFD0EFDD +:040CDA00450547C2C3 +:040CDB000047D59366 +:040CDC000FF5F59388 +:040CDD00BBBFD0EFDA +:040CDE00450947A2DB +:040CDF0000479593A2 +:040CE0000F05F59374 +:040CE100BABFD0EFD7 +:040CE200073747D2B7 +:040CE3000713019C56 +:040CE4005733CC07AF +:040CE50047A202F729 +:040CE600022556B7D6 +:040CE7000FF68693EB +:040CE8000733458108 +:040CE900F06302F7BB +:040CEA0026B702E641 +:040CEB008693042CBC +:040CEC004585D7F66D +:040CED0000E6F963C1 +:040CEE00080BF5B743 +:040CEF00FBF58593F9 +:040CF00000E5B5B3B3 +:040CF10067610589A9 +:040CF2005847071345 +:040CF3004703972EEE +:040CF400069300075C +:040CF50047C2028070 +:040CF60002D70733E7 +:040CF700D693461D2D +:040CF80047A20017F8 +:040CF90047339736B0 +:040CFA00771302F773 +:040CFB0076930FF7E6 +:040CFC0073630FF718 +:040CFD00469D00E62A +:040CFE00059A068EBF +:040CFF00F5938DD507 +:040D0000450D0F8509 +:040D0100B2BFD0EFBE +:040D0200C50347924C +:040D0300D0EF04A782 +:040D04004792DA9F99 +:040D0500C5034705D6 +:040D06007763049774 +:040D0700C50300A779 +:040D0800157100045D +:040D09000015351389 +:040D0A000533476105 +:040D0B00676102E535 +:040D0C00BFC7071343 +:040D0D00849364E97E +:040D0E00953AAA0464 +:040D0F00BF5FD0EF03 +:040D1000069347926D +:040D1100870302C092 +:040D120047E201179C +:040D130002D70733C9 +:040D14004503973EBE +:040D1500D0EF020712 +:040D16000703B1BF5F +:040D17008637011406 +:040D18004785000209 +:040D190000272693F6 +:040D1A009B79421867 +:040D1B00C2188F5516 +:040D1C0001140503B6 +:040D1D001CA7D163DB +:040D1E001A63478984 +:040D1F0047321AF548 +:040D200002FAF7B725 +:040D210008078793A5 +:040D22001AE7F36376 +:040D2300E0EF4515A3 +:040D2400409CCCCF54 +:040D2500DFF7F7936A +:040D26004098C09C95 +:040D270017B764692D +:040D2800A023000202 +:040D290005130EE7B9 +:040D2A000793A34444 +:040D2B00D583A34485 +:040D2C00C60300E713 +:040D2D00450301E792 +:040D2E00D783022540 +:040D2F00041300C7E2 +:040D30004303A34492 +:040D310087B302146E +:040D3200071302A7FA +:040D330005132BC0B9 +:040D3400D73306406B +:040D350095B302E58B +:040D360087B300C5BA +:040D3700769302A706 +:040D380085B30FF779 +:040D3900C7B30265D5 +:040D3A00458102B736 +:040D3B000327879370 +:040D3C0002A7C7B390 +:040D3D00F7934505DE +:040D3E0062630FF7E6 +:040D3F0096B214F55F +:040D400046B795B667 +:040D41008513000214 +:040D4200413C3806F2 +:040D4300898D7679A7 +:040D44007FF606131D +:040D450005AE8FF177 +:040D4600C12C8DDD52 +:040D470075E9413CCD +:040D480015FD8B0DFD +:040D490007368FEDED +:040D4A00C1388F5DC0 +:040D4B00C703479201 +:040D4C00CF19014773 +:040D4D003C06A78336 +:040D4E008705070509 +:040D4F0097BA83AD1F +:040D50003C06A703B3 +:040D510007AE8B8DD1 +:040D52008FD18E7936 +:040D53003CF6A023A7 +:040D5400C7034792F8 +:040D5500C715013786 +:040D5600070546093E +:040D570002C7473355 +:040D5800000246B798 +:040D59003C06A7832A +:040D5A00061376798D +:040D5B0083AD7FF6EF +:040D5C00A7038F99C1 +:040D5D008B8D3C0638 +:040D5E008F7107AEDC +:040D5F00A0238FD965 +:040D6000D0EF3CF69E +:040D61004792B90FED +:040D62004481470978 +:040D630005A7C68397 +:040D64000137C4038C +:040D650000E68763BA +:040D66000147C483FA +:040D6700B4938C8134 +:040D680045810014AD +:040D6900D0EF453D45 +:040D6A000513FEEF80 :040D6B00D0EF059030 -:040D6C004792FCEFBF -:040D6D00051107372E -:040D6E00F40707136C -:040D6F0047854F94D1 -:040D700000D76C63D9 -:040D71000478773754 -:040D72008BF70713E1 -:040D730075634781DC -:040D7400479200D7CB -:040D75000157C783D8 -:040D76004583471258 -:040D7700470305A782 -:040D7800E71105C7B3 -:040D790047034712D3 -:040D7A0001630157B9 -:040D7B00471204F720 -:040D7C000AA3852E13 -:040D7D00446A00F7CD -:040D7E0044DA40FA19 -:040D7F00D06F610DC3 -:040D80000599FD9F35 -:040D810085C105C261 -:040D82004641450998 -:040D83004581B1C134 -:040D8400157DB9E937 -:040D85000FF57513DE -:040D8600409CBDA927 -:040D87002007E793C7 -:040D88000585BDB16F -:040D8900F5938385D6 -:040D8A00BD550FF54F -:040D8B008F634789A2 -:040D8C0067E9D0F54E -:040D8D009D47879364 -:040D8E0000A7C503F2 -:040D8F004589C19140 -:040D9000446A67E565 -:040D910010878793AD -:040D920044DA40FA05 -:040D93000147C683CB -:040D940005B7C603D6 -:040D9500D06F610DAD -:040D960067E9E57FA5 -:040D9700A307A70304 -:040D9800879367E5F1 -:040D990046AD19C783 -:040D9A00D583464572 -:040D9B009F630167EA -:040D9C00F69304E5E1 -:040D9D00E1190FF653 -:040D9E0028070A63B5 -:040D9F00C626112132 -:040DA000C50364E93A -:040DA100C822A2A41E -:040DA20007936465EA -:040DA3001613F444EB -:040DA40097B20035CD -:040DA500438CCA06AB -:040DA6000047C783B8 -:040DA700A30347510A -:040DA8008733004548 -:040DA900849302E746 -:040DAA000413A2A4E8 -:040DAB00971AF4445B -:040DAC006363433901 -:040DAD00433102D3F9 -:040DAE0004D36363A4 -:040DAF00806347AD69 -:040DB00086630CF654 -:040DB1004781086608 -:040DB200A0F1470164 -:040DB3000789068521 -:040DB400F8C69DE3FD -:040DB500B745468177 -:040DB6000047478328 -:040DB7009B63460DE7 -:040DB800475016C7C3 -:040DB900421845BDDA -:040DBA000007478364 -:040DBB001EB69C6361 -:040DBC0017FDC781D7 -:040DBD000FF7F793A2 -:040DBE0000F7002317 -:040DBF004503B7E948 -:040DC000470500479C -:040DC100751315751C -:040DC2006E630FF558 -:040DC300453700A709 -:040DC4002303000203 -:040DC50017333C851F -:040DC600471300F7D8 -:040DC7007733FFF788 -:040DC8002423006779 -:040DC90047353CE589 -:040DCA0000E69C6340 -:040DCB00C783E3995E -:040DCC0017FD00050A -:040DCD000FF7F79392 -:040DCE000223962244 -:040DCF00B76100F612 -:040DD0000005C70350 -:040DD10096220785DA -:040DD20002E7E7B39A -:040DD300C519B7FD8A -:040DD4008023157DE6 -:040DD500E0EF00A4A7 -:040DD600B7B5CE6F70 -:040DD70084A367E9A1 -:040DD8004737A207F0 -:040DD900278300026A -:040DDA0045013C078C -:040DDB0020239BED49 -:040DDC0044423CF75A -:040DDD0044B240D20A -:040DDE00E06F016160 -:040DDF004783F6FF51 -:040DE000468D0047F5 -:040DE1000AD7816349 -:040DE2009EE34691B5 -:040DE300471CF2D7E0 -:040DE40087AA9782C1 -:040DE500C683470575 -:040DE60045D10004EF -:040DE700068E6565AA -:040DE800429096A2FD -:040DE9000046C68377 -:040DEA001745051391 -:040DEB0086B3425039 -:040DEC00C43E02B649 -:040DED0096B2C23ABE -:040DEE004645428CA8 -:040DEF0030EFC036EB -:040DF000471227007F -:040DF100468247A24D -:040DF200863E85BAFA -:040DF300E0EF853672 -:040DF400C783BF2FC3 -:040DF50065E50004AC -:040DF600000244B7FC -:040DF700943E078E91 -:040DF800004445036B -:040DF9008593464157 -:040DFA00051618853D -:040DFB0095260541F3 -:040DFC0023E030EFD1 -:040DFD000044460365 -:040DFE0097B34785DB -:040DFF00A62300C760 -:040E000047123CF465 -:040E01004682EB0931 -:040E0200C7034611CB -:040E0300156300462D -:040E040046D80EC7F7 -:040E05004737C76D37 -:040E0600268300023D -:040E07008FD53C87C0 -:040E08003CF724236C -:040E09004B1CA8E9ED -:040E0A00C03AC781A2 -:040E0B004702978281 -:040E0C000004C78394 -:040E0D0007854714FA -:040E0E0000379713FF -:040E0F0000E40633C2 -:040E10008463420CA9 -:040E1100022300D5E3 -:040E1200972200061D -:040E13008023C31461 -:040E1400B71100F41E -:040E150002F6696315 -:040E1600886346099E -:040E1700470C04C7B9 -:040E18004503433D0E -:040E1900460300C7C5 -:040E1A00C78300D7B3 -:040E1B004703000584 -:040E1C00916300E7F7 -:040E1D007C6302668A -:040E1E0017FD00F6C6 -:040E1F000FF7F713BF -:040E200000E5802346 -:040E21004611B58938 -:040E2200E4C78DE3B1 -:040E2300F96DBD2D7B -:040E2400B7FD87325D -:040E250000E7F86387 -:040E2600F613078533 -:040E270080230FF71E -:040E2800B51500C537 -:040E2900863AFD6D9B -:040E2A00470CBFDDD5 -:040E2B005603453DE8 -:040E2C00D78300C7A1 -:040E2D005703000562 -:040E2E009E6300E7D8 -:040E2F00776300A63F -:040E300017FD00F6B4 -:040E3100010797130B -:040E3200A01983413F -:040E33008732C2112F -:040E340000E5902322 -:040E3500F463BBCDDA -:040E3600078500E745 -:040E3700FA6DB7E5B4 -:040E3800B7FD4701BA -:040E390000464683A6 -:040E3A0000D7F56385 -:040E3B00F69307859E -:040E3C0000230FF789 -:040E3D00BBC100D75E -:040E3E000CE3460D6E -:040E3F004442F0C772 -:040E400044B240D2A6 -:040E41000161450105 -:040E4200D35FE06F2B -:040E4300862E8082F5 -:040E4400153785AA2F -:040E4500051300028F -:040E4600C06F020572 -:040E47001111C03F86 -:040E48000185179376 -:040E4900C826CA22CB -:040E4A0087E1CC066A -:040E4B0084AE842AC3 -:040E4C000007DD635B -:040E4D0005134581C3 -:040E4E0037D507701D -:040E4F0000A101A35A -:040E5000E36347858C -:040E510074130AA765 -:040E5200C0EF07F4F2 -:040E53004591FB1FAB -:040E54003F754501A0 -:040E550007136769AF -:040E5600431CA4078E -:040E5700F7F7F7931F -:040E58001737C31C69 -:040E59002023000250 -:040E5A00D7930EF725 -:040E5B0002A3018469 -:040E5C00D79300F137 -:040E5D000323010466 -:040E5E00D79300F135 -:040E5F0003A3008465 -:040E6000022300F178 -:040E610004230081E5 -:040E620007130091E1 -:040E630007930400ED -:040E640009630950C5 -:040E6500071300E48B -:040E66004785048038 -:040E670000E414632C -:040E68000870079374 -:040E69000002153737 -:040E6A00004C4619D9 -:040E6B000205051364 -:040E6C0000F104A3EA -:040E6D00B95FC0EFBA -:040E6E00E0EF451557 -:040E6F00842AD86F8A -:040E7000051345859C -:040E7100F0EF00316D -:040E72000783F49F5F -:040E7300D763003110 -:040E7400E0EF0007A4 -:040E75004785D98F45 -:040E7600FEF504E39E -:040E770067E9E40142 -:040E7800A207AC23FE -:040E790000314503FC -:040E7A00445240E2BC -:040E7B00017144C2FB -:040E7C0067E5808224 -:040E7D001FC7879371 -:040E7E00113147984F -:040E7F00C806C622B9 -:040E8000440DC42633 -:040E81000CB76B63DC -:040E82000047C783DB -:040E83008BA184AA11 -:040E840005A6E3914B -:040E850005800513CC -:040E8600F07FF0EF1A -:040E8700ED4D842A7F -:040E88000002153718 -:040E8900051357F9FD -:040E8A004605020512 -:040E8B00007105935A -:040E8C0000F103A3CB -:040E8D00B15FC0EFA2 -:040E8E000002173710 -:040E8F00051347817F -:040E900086B302071C -:040E9100C68300F420 -:040E9200460500060B -:040E93000071059352 -:040E940003A3C03EB6 -:040E9500C0EF00D1D9 -:040E96004782AF3FA1 -:040E97000002173707 -:040E9800200006939D -:040E990005130785B1 -:040E9A009CE30207CC -:040E9B0054FDFCD72F -:040E9C00059346056F -:040E9D0003A300713A -:040E9E00C0EF009110 -:040E9F001737ACFF56 -:040EA0000513000234 -:040EA10046050207F9 -:040EA2000071059343 -:040EA300009103A314 -:040EA400AB9FC0EF51 -:040EA5000513458567 -:040EA600F0EF0071F8 -:040EA7004783E75F37 -:040EA8004715007179 -:040EA90090638BFDCA -:040EAA00051304E741 -:040EAB00E0EF0FA0C5 -:040EAC004585C92F80 -:040EAD0000710513B8 -:040EAE00E57FF0EFFD -:040EAF000071448307 -:040EB000E0EFE491FA -:040EB1004785CA8F18 -:040EB200FEF505E361 -:040EB300AC2367E91C -:040EB400E481A2072C -:040EB500A011441133 -:040EB60040C24409E9 -:040EB700443285221A -:040EB800015144A2FE -:040EB90044158082DA -:040EBA0067E5BFCD5C -:040EBB001FC7879333 -:040EBC001131479811 -:040EBD00C806C6227B -:040EBE00C02AC4265C -:040EBF006F63440D0C -:040EC000C78300B72D -:040EC10084B20047B0 -:040EC200E3918BA18C -:040EC300051305A668 -:040EC400F0EF051036 -:040EC500842AE0DFBC -:040EC6004409C90111 -:040EC700852240C27E -:040EC80044A24432CA -:040EC90080820151D1 -:040ECA0006400513C6 -:040ECB00C14FE0EF44 -:040ECC000513458540 -:040ECD00F0EF0071D1 -:040ECE004703DD9F5A -:040ECF000793007114 -:040ED00017630FF0A5 -:040ED100E0EF00F757 -:040ED2004785C24F3F -:040ED300FEF502E343 -:040ED400007147035F -:040ED500AC2367E9FA -:040ED6000793A207D5 -:040ED7001EE30FE027 -:040ED8004502FAF7DE -:040ED900F0EF85A60B -:040EDA000593DA9F03 -:040EDB008D852020C1 -:040EDC0081C105C209 -:040EDD00F0EF4501EC -:040EDE00B74DD99F94 -:040EDF0065DD713923 -:040EE0004629C42AB1 -:040EE100CC858593A4 -:040EE200DE060848D8 -:040EE300DA26DC220D -:040EE400A37FC0EF39 -:040EE500C03E478D37 -:040EE600000627B724 -:040EE70000021437BA -:040EE800019C04B7AE -:040EE900A8078613BD -:040EEA00CC0485931C -:040EEB0002040513E5 -:040EEC008EBFC0EF06 -:040EED00D47FC0EFFF -:040EEE00000627B71C -:040EEF00A8078613B7 -:040EF000CC04859316 -:040EF10002040513DF -:040EF2008D3FC0EF81 -:040EF30002040513DD -:040EF400084C462937 -:040EF500975FC0EF54 -:040EF600458164E5E9 -:040EF70004000513DB -:040EF8001E04AE2303 -:040EF900D3BFF0EF84 -:040EFA00842A47857A -:040EFB001FC48493F9 -:040EFC001CF5176367 -:040EFD001AA005939F -:040EFE000480051354 -:040EFF00D23FF0EFFF -:040F00001D63C22A81 -:040F0100459114857D -:040F0200F0EF0808FC -:040F03004703D05F71 -:040F040047920121EE -:040F05001AF715635F -:040F0600013147036B -:040F07000AA00793A2 -:040F080018F71F6354 -:040F09003E8005130E -:040F0A00B18FE0EFD4 -:040F0B00B3EFE0EF71 -:040F0C001963478599 -:040F0D0005B700F52F -:040F0E000513400087 -:040F0F00F0EF0E9061 -:040F1000F56DCE1F8E -:040F1100B26FE0EFEC -:040F120005634785A7 -:040F130044010EF592 -:040F1400AC2367E9BA -:040F15004782A20766 -:040F1600F79317FD39 -:040F1700C03E0FF7D2 -:040F180016078163D4 -:040F19004785D8151B -:040F1A0005134581F5 -:040F1B00C09C0490E2 -:040F1C0000848223A8 -:040F1D00CABFF0EF68 -:040F1E00E5514781D1 -:040F1F00E0EF4515A5 -:040F2000842AAC2F44 -:040F210005134585EA -:040F2200F0EF00F1FB -:040F23004703C85F59 -:040F2400079300F13E -:040F250017630FF04F -:040F2600E0EF00F701 -:040F27004785AD0F3E -:040F2800FEF502E3ED -:040F290067E9E4018F -:040F2A00A207AC234B -:040F2B0000F1468308 -:040F2C000FE00713B8 -:040F2D0098634781FD -:040F2E0045C904E6C7 -:040F2F00F0EF1008C7 -:040F3000C703C51F0F -:040F3100769300446F -:040F320086630027AB -:040F3300470310065A -:040F3400478302618C -:040F350046030271FC -:040F36008B0D02A17C -:040F37008F5D0722A1 -:040F38000281478368 -:040F39004683070ADA -:040F3A008399025144 -:040F3B0047038FD900 -:040F3C00821D02917F -:040F3D0007068ABD5C -:040F3E008F518B192B -:040F3F00078596BAD2 -:040F400097B316E568 -:040F4100363700D768 -:040F420005B70029C6 -:040F43001537019CC1 -:040F440017FD000293 -:040F45002E0606135B -:040F4600CC058593BE -:040F47000205051387 -:040F4800C0EFC49C96 -:040F49004522F78FB7 -:040F4A00200006136A -:040F4B00F0EF4581FD -:040F4C00A859DBDFE6 -:040F4D0005134581C2 -:040F4E00F0EF07A019 -:040F4F0018E3BE5F86 -:040F50004591F005D2 -:040F5100F0EF0808AD -:040F52004783BC9F76 -:040F53004431010123 -:040F54000407F79304 -:040F5500EE079EE322 -:040F5600BDDD4411A8 -:040F570005134581B8 -:040F5800F0EF0E9018 -:040F59000793BBDF60 -:040F5A0065630410B7 -:040F5B00440900A4A1 -:040F5C000E90079359 -:040F5D000FA00513C9 -:040F5E00E0EFC23EC0 -:040F5F00E0EF9C6FB4 -:040F600047859ECF54 -:040F610000F517631D -:040F6200458145126E -:040F6300B93FF0EFB3 -:040F6400E0EFF57D48 -:040F6500E1119D8F6A -:040F660067E94401F2 -:040F670005134581A8 -:040F6800AC2307B0FF -:040F6900F0EFA207FC -:040F6A00C111B79F5B -:040F6B0005934401A5 -:040F6C000513200049 -:040F6D00F0EF05009C -:040F6E000EE3B69F39 -:040F6F004401E8054C -:040F70004505BD591D -:040F7100EA0411E39A -:040F7200546250F283 -:040F7300053354D21C -:040F7400612140A017 -:040F75008B118082DA -:040F760001E34781CB -:040F77004783F207B3 -:040F780047030281A8 -:040F790007A2029138 -:040F7A0047458FD97F -:040F7B000113BF019E -:040F7C000793DD41B9 -:040F7D00CE3E201034 -:040F7E0017B7100889 -:040F7F002023301FDC -:040F80002423229173 -:040F810022232211F4 -:040F8200CC3E2281BE -:040F8300D71FF0EF95 -:040F8400C0EF84AA8C -:040F8500C4B1AE9FA6 -:040F8600409004B3E0 -:040F8700ADFFC0EF0B -:040F88008C6347052A -:040F8900456336E4A2 -:040F8A005729369716 -:040F8B0036E48B635A -:040F8C0036048D6337 -:040F8D00859365DD06 -:040F8E0067E5CE0540 -:040F8F00851346453B -:040F900020EF1887AF -:040F910045053ED004 -:040F92006539C48178 -:040F9300EAD5051383 -:040F94002281208313 -:040F950022412403CE -:040F9600220124838D -:040F970022C101135F -:040F9800656180828D -:040F99006A050513CD -:040F9A00A96FC0EF8C -:040F9B0067DD448149 -:040F9C0006B314186C -:040F9D00C583009771 -:040F9E008613DF8651 -:040F9F0095B2AEC792 -:040FA000806346091B -:040FA100460D06C42F -:040FA20006C482639C -:040FA300000F4437C0 -:040FA400240404130A -:040FA500851367E564 -:040FA600464518871D -:040FA700DFC6C4835A -:040FA80038F020EF0E -:040FA900E0EF450927 -:040FAA00084CF96F87 -:040FAB00D0EF8522DC -:040FAC0067DD9ADF84 -:040FAD006465DD5545 -:040FAE0019C40693C9 -:040FAF00D6034752CC -:040FB0000413000620 -:040FB1000E6319C4EE -:040FB200D60302E67A -:040FB300146300269D -:040FB400C0EF02C7C1 -:040FB5000493A29F60 -:040FB60065DD06905F -:040FB700CD4585930C -:040FB8008437BFA912 -:040FB9000413001EFF -:040FBA00B76D4804C3 -:040FBB000004943763 -:040FBC003E040413D8 -:040FBD00D683B745DB -:040FBE000CE30186B9 -:040FBF00448DFCD78A -:040FC0006561BF8523 -:040FC1006A050513A5 -:040FC2009F6FC0EF6E -:040FC300656565DD1E -:040FC4008593464586 -:040FC5000513D185BA -:040FC60020EF1745BC -:040FC70067E5315059 -:040FC800859365DDCB -:040FC9004645D24582 -:040FCA0018878513EC -:040FCB00303020EFB3 -:040FCC00E0EF450904 -:040FCD005537F0AFF5 -:040FCE00084C004C7F -:040FCF00B40505134D -:040FD00091BFD0EF0E -:040FD10047D2D559D5 -:040FD20000045703BD -:040FD3000CF70063B4 -:040FD400002457039B -:040FD500F6E79FE3B9 -:040FD60067E5C00209 -:040FD700851365DD3C -:040FD80046451887EB -:040FD900D3458593E4 -:040FDA002C7020EF68 -:040FDB00E0EF4509F5 -:040FDC005703ECEFDC -:040FDD0047D20024D3 -:040FDE0008F71E638F -:040FDF0020000613D5 -:040FE000100845812F -:040FE100E7EFC0EF87 -:040FE200100845822C -:040FE300A67FF0EF06 -:040FE40015E384AAE3 -:040FE5004782E80552 -:040FE60000100437BC -:040FE700C03E07857C -:040FE800C23E678519 -:040FE900200007934A -:040FEA00F46386A284 -:040FEB0006930087E2 -:040FEC004792200008 -:040FED00C4361010E6 -:040FEE000087959350 -:040FEF00A50367E906 -:040FF000C0EFA24765 -:040FF10046A2BE0F47 -:040FF20084AA1018A5 -:040FF30000D70633EA -:040FF40018E6166382 -:040FF500E40494E399 -:040FF6001FF00713CE -:040FF70000876D639F -:040FF8000613101CB0 -:040FF9008E15200031 -:040FFA0000D7853364 -:040FFB00C436458132 -:040FFC00E12FC0EF32 -:040FFD00458246A241 -:040FFE00C4361008DD -:040FFF009F7FF0EFF1 -:041000000B6346A296 -:0410010004B3160519 -:04100200BD0940A044 -:04100300140007933B -:04100400B7A1C03E92 -:0410050020000613AE -:041006001008458108 -:04100700DE6FC0EFE9 -:0410080085A2440178 -:04100900F0EF1008EC -:04100A0084AA9CDF39 -:04100B00DE0518E303 -:04100C00079304053D -:04100D0016E31400D2 -:04100E00645DFEF42B -:04100F00AEC40793D1 -:0410100004078593B9 -:041011001008466D10 -:04101200D7EFC0EF65 -:04101300AEC40793CD -:0410140005C78593F4 -:0410150000C8466960 -:04101600D6EFC0EF62 -:04101700879377ED57 -:041018004581A55712 -:041019001F23100879 -:04101A00F0EF20F1E2 -:04101B0084AA989F6C -:04101C00DA0516E3F8 -:04101D0046814401C3 -:04101E002000061395 -:04101F0010084581EF -:04102000C0EFC23625 -:041021004692D80F0C -:041022002010061381 -:041023001000059321 -:0410240087938E150B -:041025000642003649 -:04102600824107C23A -:041027000016B513E7 -:04102800050683C175 -:04102900F4638732B3 -:04102A00071300C5E3 -:04102B00973E1000DC -:04102C0015938F0980 -:04102D0081C1010775 -:04102E0057E1E6811F -:04102F00478DD03EDB -:041030008D1D66C1EB -:041031002030031355 -:04103200873316FDED -:04103300074200A7C9 -:04103400E8638341A9 -:04103500869306B7E1 -:0410360006C2FFD51A -:04103700059382C1DA -:041038001008080490 -:04103900F0EFC236DC -:04103A0084AA90DF15 -:04103B00D20518E3DF -:04103C000A0405930A -:04103D00F0EF1008B8 -:04103E0084AA8FDF12 -:04103F00D20510E3E3 -:0410400004054692CB -:0410410007930442CB -:0410420080411FF0DA -:04104300F6D7F6E303 -:04104400200006136F -:0410450010084581C9 -:04104600CEAFC0EF7A -:04104700879367DD47 -:041048008593AEC717 -:0410490006130787FC -:04104A001008020088 -:04104B00C9AFC0EF7A -:04104C000C000593FC -:04104D00F0EF1008A8 -:04104E0084AA8BDF06 -:04104F00E40500E3D1 -:041050001010B9F1D2 -:0410510097320706C5 -:041052009363863EE0 -:041053008636006776 -:0410540007C2078543 -:0410550000C710239D -:04105600BF8583C10E -:041057000007450346 -:04105800C636C8329E -:04105900C0EFC43AE6 -:04105A004722DCAF9E -:04105B0046B2464211 -:04105C0000A70023C6 -:04105D00BDA907051D -:04105E00D7134782DB -:04105F008C15008666 -:04106000C03E078502 -:0410610097BA479261 -:041062001DE3C23E8A -:04106300B179E0047B -:0410640006900713D8 -:04106500D4E483E369 -:0410660065DDB9711A -:04106700D005859398 -:0410680065DDB96920 -:04106900CF05859397 -:04106A0065DDB9493E -:04106B00D105859393 -:04106C000113B16952 -:04106D00CC26FDC1CF -:04106E00CE22D006B8 -:04106F0084AEC42A5D -:04107000C236C03292 -:04107100E399478236 -:04107200A01545017F -:041073000793440299 -:04107400F463200001 -:0410750004130087D9 -:0410760045A220006F -:0410770016134512F5 -:0410780082410104AC -:04107900907FF0EF85 -:04107A000533C90968 -:04107B00508240A0BF -:04107C0044E2447294 -:04107D000241011318 -:04107E00F7938082E2 -:04107F0096130FF4C1 -:04108000CB9D008480 -:0410810086B3479259 -:0410820091630087EF -:0410830067E904D73E -:04108400A5034692E8 -:04108500D593A24716 -:041086008722008439 -:04108700C0EF05C2EF -:04108800F571802F4F -:04108900078547A2EE -:04108A005793C43E76 -:04108B0094BE00848B -:04108C008F81478287 -:04108D00B779C03E31 -:04108E00A50367E966 -:04108F0085B2A2473D -:04109000B0EFC632C5 -:041091004632F87F6C -:04109200B755DD551C -:041093000007C5038A -:04109400C832CA365E -:04109500C0EFC63EA4 -:0410960047B2CDAFE1 -:04109700464246D2B5 -:0410980000A780230A -:04109900B7550785BB -:04109A00DB01011362 -:04109B00262300C840 -:04109C0024232411D4 -:04109D002223248165 -:04109E00F0EF2491BA -:04109F00842A903FD0 -:0410A000E7AFC0EF07 -:0410A100408007B3D1 -:0410A2001C041A63AD -:0410A30000CC461126 -:0410A40020EF1048E1 -:0410A50065DD79C0CC -:0410A60085934611D7 -:0410A7001048DB050D -:0410A800760020EFBF -:0410A900440DC91D0C -:0410AA000640079362 -:0410AB00C0EFC23E92 -:0410AC004792E4CFB4 -:0410AD00068007139F -:0410AE0000F74D6397 -:0410AF0006300713ED -:0410B00030F7426370 -:0410B100F3400713EE -:0410B20036E7896331 -:0410B3008A63470500 -:0410B40065DD36E7D9 -:0410B500CE0585934C -:0410B6005783A6298D -:0410B7000593048118 -:0410B800461D04A12C -:0410B90002A1051378 -:0410BA0002F1142308 -:0410BB00742020EF8E -:0410BC000521578330 -:0410BD00020108A381 -:0410BE0002F1102308 -:0410BF00054157830D -:0410C00002F1112305 -:0410C100C0EF550225 -:0410C2005783C66F1B -:0410C300DA2A0561BF -:0410C4001023C22A09 -:0410C500578302F15A -:0410C600112305816C -:0410C700550202F1DB -:0410C800C4CFC0EFE2 -:0410C90005A15783A3 -:0410CA001023DC2AE9 -:0410CB00578302F154 -:0410CC00112305C126 -:0410CD00550202F1D5 -:0410CE00C34FC0EF5D -:0410CF002503DE2AED -:0410D000C0EF240148 -:0410D1004592C2AFD3 -:0410D2000793C0AA16 -:0410D30087131E2041 -:0410D400E763FE656B -:0410D50046050CE7D9 -:0410D600C0EF00C89F -:0410D7004786C36F16 -:0410D80000F50663B6 -:0410D9000793440D28 -:0410DA00B78906606C -:0410DB00665D646585 -:0410DC00DB86061396 -:0410DD00051345C5ED -:0410DE0010EF1744B4 -:0410DF0056E24B107A -:0410E000665D67E5FD -:0410E10018878513D4 -:0410E200DC8606138F -:0410E30010EF45C500 -:0410E400450549D0A5 -:0410E500AA8FE0EFFF -:0410E600470157E285 -:0410E700C23E4501BF -:0410E800C43E57F2B9 -:0410E9006163479266 -:0410EA0047A208F71A -:0410EB0020A7946343 -:0410EC0002A1478393 -:0410ED0002814683B3 -:0410EE000291470321 -:0410EF0067E1CBD515 -:0410F000B5878793A6 -:0410F10002A106133F -:0410F200665DC03245 -:0410F300DD460613BD -:0410F400051345C5D6 -:0410F50010EF17449D -:0410F60067E5455015 -:0410F700464565DD28 -:0410F800E2C5859335 -:0410F90018878513BC -:0410FA009DEFC0EFB7 -:0410FB0064E545055E -:0410FC00A4CFE0EFAE -:0410FD0019C48493FB -:0410FE00000216B71F -:0410FF000D06A783B0 -:041100000004D7030D -:0411010083C107C2DD -:0411020006F7086381 -:041103000024D703EA -:041104001AF706636D -:041105000513650960 -:04110600B0EF7105D0 -:04110700BFE9CE5F0F -:041108000793440DF8 -:04110900B55906507E -:04110A0086B34792CF -:04110B00079340E71F -:04110C00F463200068 -:04110D00069300D76E -:04110E000493200026 -:04110F00961320070C -:041110008241010611 -:041111000094D593DE -:04111200C83A00C80F -:04111300F0EFC636FD -:0411140046B2E9CF27 -:04111500C50947427F -:0411160040A007B33B -:04111700B5B9440D15 -:041118000017361373 -:0411190000C885B6CF -:04111A00B28FC0EFE1 -:04111B00BF1D872647 -:04111C00879367DD71 -:04111D00B7B9BF8718 -:04111E00C74FD0EFF8 -:04111F0007136769E2 -:04112000431CA407C1 -:04112100000216B7FB -:04112200E7936509E1 -:04112300C31C0027C2 -:041124000EF6A02300 -:041125007105051338 -:04112600C67FB0EFE1 -:04112700051365DD6A -:0411280046451744DD -:04112900DE058593C7 -:04112A00586020EFFA -:04112B0067E5440D23 -:04112C00851364DDE6 -:04112D004645188794 -:04112E00DEC4859303 -:04112F00572020EF36 -:04113000E0EF4505A2 -:04113100566297AFBC -:04113200458100D41F -:04113300F0EF45058F -:0411340087AACE5F59 -:04113500DC051CE3D6 -:0411360065DD67E527 -:041137008593464511 -:041138008513DFC577 -:0411390020EF174745 -:04113A0067E5548091 -:04113B00DEC48593F6 -:04113C001887851378 -:04113D0020EF464514 -:04113E004505538090 -:04113F00940FE0EF3A -:04114000448157E2AD -:04114100C23E450164 -:04114200C43E57F25E -:04114300C63E67E558 -:04114400EF6347927C -:04114500472202F447 -:04114600F3400793D8 -:04114700D8A718E32A -:04114800BDAFC0EF88 -:0411490065DD47B267 -:04114A0085934645FE -:04114B008513E4051F -:04114C00C0EF174792 -:04114D0067E5894F7A -:04114E00464565DDD0 -:04114F00E0C58593DF -:041150001887851364 -:041151004EA020EF9D -:04115200E0EF450580 -:04115300A0018F2F39 -:0411540086B3479285 -:041155000793409725 -:04115600F46310002E -:04115700069300D724 -:0411580067E9100033 -:04115900A247A50301 -:04115A0085A600D096 -:04115B00B0EFC836F3 -:04115C0046C2E35F45 -:04115D0087AA00D08D -:04115E0000D605B3FF -:04115F00000645033E -:04116000CC2ECE368D -:04116100C832CA3E88 -:041162009A8FC0EFB1 -:0411630045E24642D9 -:04116400002347D24B -:04116500060500A6D5 -:0411660091E346F2D9 -:0411670097E3FEC547 -:04116800B613D007E3 -:0411690085B6001433 -:04116A00C0EF00C80A -:04116B0084939E6F5C -:04116C00BFB91004F3 -:04116D000793440D93 -:04116E00B9CD067081 -:04116F000793440D91 -:04117000B1ED068057 -:04117100F9B7871330 -:04117200E063468D63 -:0411730066DD08E647 -:041174008693070A4D -:041175009736E5467E -:041176008702431891 -:04117700859365DD1A -:04117800C23EDA0594 -:04117900851367E58E -:04117A004645188747 -:04117B00442020EFFD -:04117C00E0EF450556 -:04117D00453784AFBF -:04117E000513000F46 -:04117F00B0EF2405A4 -:041180004792B01FC3 -:041181000407D76325 -:04118200048055632D -:041183006565665DDB -:04118400E1C60613A7 -:04118500051345C544 -:0411860010EF17450A -:04118700147D2110A2 -:0411880065DDB579F3 -:04118900D5858593F0 -:04118A0065DDBF6DF3 -:04118B00D6858593ED -:04118C0065DDBF4D11 -:04118D00D7C58593AA -:04118E0065DDB76DF7 -:04118F00D44585932B -:0411900065DDB74D15 -:04119100D00585936D -:0411920065DDBF69EF -:04119300D905859362 -:04119400D0EFBF4990 -:041195002083DEAF26 -:04119600240324C149 -:041197002483248108 -:04119800557D24411C -:041199002501011318 -:04119A00011380823B -:04119B000828DDC182 -:04119C0022112023D9 -:04119D0020812E235C -:04119E0020912C234D -:04119F00D00FF0EF8E -:0411A000C0EFC02AB2 -:0411A1004502A78FCD -:0411A2006465E93166 -:0411A300464565DD7B -:0411A400E805859342 -:0411A5001884051392 -:0411A600F2FFB0EFB5 -:0411A700D0EF450937 -:0411A800C222F9FF67 -:0411A9000413646562 -:0411AA0017B719C496 -:0411AB00A783000214 -:0411AC0057030D07D1 -:0411AD0007C2000471 -:0411AE00046383C192 -:0411AF00570304F7E7 -:0411B0001A6300249A -:0411B100479202F768 -:0411B200464565DD6C -:0411B300CD4585930E -:0411B4001887851300 -:0411B50035A020EF52 -:0411B6000680051397 -:0411B700C0EFC02A9B -:0411B8002083A1CF20 -:0411B90024032201E8 -:0411BA00450221C108 -:0411BB0021812483E7 -:0411BC0022410113B8 -:0411BD0065098082BE -:0411BE00710505139F -:0411BF00A03FB0EFAE -:0411C0004792B76D2E -:0411C100464565DD5D -:0411C20018878513F2 -:0411C300E6458593E5 -:0411C40031E020EF07 -:0411C500D0EF450919 -:0411C6000737F27F76 -:0411C7000793FFF09B -:0411C800C00220073A -:0411C900C43E648537 -:0411CA00941347A291 -:0411CB00462D008429 -:0411CC008425943EA4 -:0411CD00006885A28F -:0411CE00BB2FF0EF54 -:0411CF000533C5011E -:0411D000BF6940A013 -:0411D100859365DDC0 -:0411D2000068C6C526 -:0411D300EC7FB0EF0E -:0411D4004703E10DDF -:0411D50046850161E9 -:0411D60006D71563C0 -:0411D7000141468309 -:0411D80000E6996331 -:0411D90001514683F7 -:0411DA000613470DA4 -:0411DB008463796050 -:0411DC00849306E60C -:0411DD00670910048A -:0411DE00FAE498E3B4 -:0411DF003E80051336 -:0411E00097FFB0EFD6 -:0411E100453D4581C2 -:0411E200A97FD0EF22 -:0411E300C70367E9EE -:0411E40067E5A447D0 -:0411E500168787934F -:0411E600C50397BAEC -:0411E7004581000737 -:0411E800872367E909 -:0411E900D0EFA2A7FA -:0411EA004792A79FE2 -:0411EB00665D468275 -:0411EC00E7060613F9 -:0411ED00851345C55C -:0411EE0010EF18875F -:0411EF00450507109B -:0411F000FB45BF31CB -:0411F100014147036E -:0411F200FAD715E330 -:0411F300015147035C -:0411F4000613F34D9E -:0411F5000834058035 -:0411F600852285A623 -:0411F7009D7FF0EFF9 -:0411F800EE051EE3FF -:0411F900078547829D -:0411FA00B761C03EDB -:0411FB00F5C1011326 -:0411FC00CF22D10627 -:0411FD001437CD26B0 -:0411FE00B0EF00024C -:0411FF00C22A973F2A -:041200000804049347 -:04120100D0BC47C551 -:041202000E04202393 -:04120300000227B707 -:041204000007A62316 -:041205000007A82313 -:041206000007AA2310 -:04120700851367895B -:04120800B0EF7107CB -:0412090063698DDFA9 -:04120A00A40307939F -:04120B001D10069319 -:04120C00D0B4C39403 -:04120D00D0EF4501D8 -:04120E00153790CF31 -:04120F0005130003C0 -:04121000B0EFD40562 -:0412110026378BDF12 -:0412120005B7000616 -:041213000613019C21 -:041214008593A80610 -:041215000513CC05EC -:04121600B0EF04042D -:0412170067E9C41FA0 -:04121800A40787138D -:0412190045514314E4 -:04121A00F9F6F69358 -:04121B00D0B4C31474 -:04121C0088FFB0EFA8 -:04121D00051345D19F -:04121E00D0EF03808A -:04121F0045D1846FC2 -:04122000039005131F -:0412210083CFD0EFB8 -:04122200455145D11C -:04122300834FD0EF36 +:040D6C007593F9CFB3 +:040D6D00E88102F522 +:040D6E000064179373 +:040D6F00F5938DDD8E +:040D7000E5930FF503 +:040D71000513010560 +:040D7200D0EF059029 +:040D73004792FCAFF8 +:040D74000511073727 +:040D7500F407071365 +:040D760047854F94CA +:040D770000D76C63D2 +:040D7800047877374D +:040D79008BF70713DA +:040D7A0075634781D5 +:040D7B00479200D7C4 +:040D7C000157C783D1 +:040D7D004583471251 +:040D7E00470305A77B +:040D7F00E71105C7AC +:040D800047034712CC +:040D810001630157B2 +:040D8200471204F719 +:040D83000AA3852E0C +:040D8400446A00F7C6 +:040D850044DA40FA12 +:040D8600D06F610DBC +:040D87000599FD5F6E +:040D880085C105C25A +:040D89004641450991 +:040D8A004581B1C12D +:040D8B00157DB9E930 +:040D8C000FF57513D7 +:040D8D00409CBDA920 +:040D8E002007E793C0 +:040D8F000585BDB168 +:040D9000F5938385CF +:040D9100BD550FF548 +:040D92008F6347899B +:040D930067E9D0F547 +:040D9400A347879357 +:040D950000A7C503EB +:040D96004589C19139 +:040D9700446A67E55E +:040D980016878793A0 +:040D990044DA40FAFE +:040D9A000147C683C4 +:040D9B0005B7C603CF +:040D9C00D06F610DA6 +:040D9D0067E9E53FDE +:040D9E00A907A703F7 +:040D9F00879367E5EA +:040DA00046AD1FC776 +:040DA100D58346456B +:040DA2009F630167E3 +:040DA300F69304E5DA +:040DA400E1190FF64C +:040DA50028070A63AE +:040DA600C62611212B +:040DA700C50364E933 +:040DA800C822A8A411 +:040DA90007936465E3 +:040DAA001613FA44DE +:040DAB0097B20035C6 +:040DAC00438CCA06A4 +:040DAD000047C783B1 +:040DAE00A303475103 +:040DAF008733004541 +:040DB000849302E73F +:040DB1000413A8A4DB +:040DB200971AFA444E +:040DB30063634339FA +:040DB400433102D3F2 +:040DB50004D363639D +:040DB600806347AD62 +:040DB70086630CF64D +:040DB8004781086601 +:040DB900A0F147015D +:040DBA00078906851A +:040DBB00F8C69DE3F6 +:040DBC00B745468170 +:040DBD000047478321 +:040DBE009B63460DE0 +:040DBF00475016C7BC +:040DC000421845BDD3 +:040DC100000747835D +:040DC2001EB69C635A +:040DC30017FDC781D0 +:040DC4000FF7F7939B +:040DC50000F7002310 +:040DC6004503B7E941 +:040DC7004705004795 +:040DC8007513157515 +:040DC9006E630FF551 +:040DCA00453700A702 +:040DCB0023030002FC +:040DCC0017333C8518 +:040DCD00471300F7D1 +:040DCE007733FFF781 +:040DCF002423006772 +:040DD00047353CE582 +:040DD10000E69C6339 +:040DD200C783E39957 +:040DD30017FD000503 +:040DD4000FF7F7938B +:040DD500022396223D +:040DD600B76100F60B +:040DD7000005C70349 +:040DD80096220785D3 +:040DD90002E7E7B393 +:040DDA00C519B7FD83 +:040DDB008023157DDF +:040DDC00E0EF00A4A0 +:040DDD00B7B5CE4F89 +:040DDE0084A367E99A +:040DDF004737A807E3 +:040DE0002783000263 +:040DE10045013C0785 +:040DE20020239BED42 +:040DE30044423CF753 +:040DE40044B240D203 +:040DE500E06F016159 +:040DE6004783F6FF4A +:040DE700468D0047EE +:040DE8000AD7816342 +:040DE9009EE34691AE +:040DEA00471CF2D7D9 +:040DEB0087AA9782BA +:040DEC00C68347056E +:040DED0045D10004E8 +:040DEE00068E6565A3 +:040DEF00429096A2F6 +:040DF0000046C68370 +:040DF1001D45051384 +:040DF20086B3425032 +:040DF300C43E02B642 +:040DF40096B2C23AB7 +:040DF5004645428CA1 +:040DF60030EFC036E4 +:040DF7004712270078 +:040DF800468247A246 +:040DF900863E85BAF3 +:040DFA00E0EF85366B +:040DFB00C783BF0FDC +:040DFC0065E50004A5 +:040DFD00000244B7F5 +:040DFE00943E078E8A +:040DFF000044450364 +:040E0000859346414F +:040E010005161E852F +:040E020095260541EB +:040E030023E030EFC9 +:040E0400004446035D +:040E050097B34785D3 +:040E0600A62300C758 +:040E070047123CF45E +:040E08004682EB092A +:040E0900C7034611C4 +:040E0A001563004626 +:040E0B0046D80EC7F0 +:040E0C004737C76D30 +:040E0D002683000236 +:040E0E008FD53C87B9 +:040E0F003CF7242365 +:040E10004B1CA8E9E6 +:040E1100C03AC7819B +:040E1200470297827A +:040E13000004C7838D +:040E140007854714F3 +:040E150000379713F8 +:040E160000E40633BB +:040E17008463420CA2 +:040E1800022300D5DC +:040E19009722000616 +:040E1A008023C3145A +:040E1B00B71100F417 +:040E1C0002F669630E +:040E1D008863460997 +:040E1E00470C04C7B2 +:040E1F004503433D07 +:040E2000460300C7BE +:040E2100C78300D7AC +:040E2200470300057D +:040E2300916300E7F0 +:040E24007C63026683 +:040E250017FD00F6BF +:040E26000FF7F713B8 +:040E270000E580233F +:040E28004611B58931 +:040E2900E4C78DE3AA +:040E2A00F96DBD2D74 +:040E2B00B7FD873256 +:040E2C0000E7F86380 +:040E2D00F61307852C +:040E2E0080230FF717 +:040E2F00B51500C530 +:040E3000863AFD6D94 +:040E3100470CBFDDCE +:040E32005603453DE1 +:040E3300D78300C79A +:040E3400570300055B +:040E35009E6300E7D1 +:040E3600776300A638 +:040E370017FD00F6AD +:040E38000107971304 +:040E3900A019834138 +:040E3A008732C21128 +:040E3B0000E590231B +:040E3C00F463BBCDD3 +:040E3D00078500E73E +:040E3E00FA6DB7E5AD +:040E3F00B7FD4701B3 +:040E4000004646839F +:040E410000D7F5637E +:040E4200F693078597 +:040E430000230FF782 +:040E4400BBC100D757 +:040E45000CE3460D67 +:040E46004442F0C76B +:040E470044B240D29F +:040E480001614501FE +:040E4900D35FE06F24 +:040E4A00862E8082EE +:040E4B00153785AA28 +:040E4C000513000288 +:040E4D00C06F02056B +:040E4E001111BE7F41 +:040E4F00018517936F +:040E5000C826CA22C4 +:040E510087E1CC0663 +:040E520084AE842ABC +:040E53000007DD6354 +:040E540005134581BC +:040E550037D5077016 +:040E560000A101A353 +:040E5700E363478585 +:040E580074130AA75E +:040E5900C0EF07F4EB +:040E5A004591F95F66 +:040E5B003F75450199 +:040E5C0007136769A8 +:040E5D00431CAA0781 +:040E5E00F7F7F79318 +:040E5F001737C31C62 +:040E60002023000249 +:040E6100D7930EF71E +:040E620002A3018462 +:040E6300D79300F130 +:040E6400032301045F +:040E6500D79300F12E +:040E660003A300845E +:040E6700022300F171 +:040E680004230081DE +:040E690007130091DA +:040E6A0007930400E6 +:040E6B0009630950BE +:040E6C00071300E484 +:040E6D004785048031 +:040E6E0000E4146325 +:040E6F00087007936D +:040E70000002153730 +:040E7100004C4619D2 +:040E7200020505135D +:040E730000F104A3E3 +:040E7400B79FC0EF75 +:040E7500E0EF451550 +:040E7600842AD84FA3 +:040E77000513458595 +:040E7800F0EF003166 +:040E79000783F49F58 +:040E7A00D763003109 +:040E7B00E0EF00079D +:040E7C004785D96F5E +:040E7D00FEF504E397 +:040E7E0067E9E4013B +:040E7F00A807AC23F1 +:040E800000314503F5 +:040E8100445240E2B5 +:040E8200017144C2F4 +:040E830067E580821D +:040E840025C7879364 +:040E85001131479848 +:040E8600C806C622B2 +:040E8700440DC4262C +:040E88000CB76B63D5 +:040E89000047C783D4 +:040E8A008BA184AA0A +:040E8B0005A6E39144 +:040E8C0005800513C5 +:040E8D00F07FF0EF13 +:040E8E00ED4D842A78 +:040E8F000002153711 +:040E9000051357F9F6 +:040E9100460502050B +:040E92000071059353 +:040E930000F103A3C4 +:040E9400AF9FC0EF5D +:040E95000002173709 +:040E96000513478178 +:040E970086B3020715 +:040E9800C68300F419 +:040E99004605000604 +:040E9A00007105934B +:040E9B0003A3C03EAF +:040E9C00C0EF00D1D2 +:040E9D004782AD7F5C +:040E9E000002173700 +:040E9F002000069396 +:040EA00005130785AA +:040EA1009CE30207C5 +:040EA20054FDFCD728 +:040EA3000593460568 +:040EA40003A3007133 +:040EA500C0EF009109 +:040EA6001737AB3F10 +:040EA700051300022D +:040EA80046050207F2 +:040EA900007105933C +:040EAA00009103A30D +:040EAB00A9DFC0EF0C +:040EAC000513458560 +:040EAD00F0EF0071F1 +:040EAE004783E75F30 +:040EAF004715007172 +:040EB00090638BFDC3 +:040EB100051304E73A +:040EB200E0EF0FA0BE +:040EB3004585C90F99 +:040EB40000710513B1 +:040EB500E57FF0EFF6 +:040EB6000071448300 +:040EB700E0EFE491F3 +:040EB8004785CA6F31 +:040EB900FEF505E35A +:040EBA00AC2367E915 +:040EBB00E481A8071F +:040EBC00A01144112C +:040EBD0040C24409E2 +:040EBE004432852213 +:040EBF00015144A2F7 +:040EC00044158082D3 +:040EC10067E5BFCD55 +:040EC20025C7879326 +:040EC300113147980A +:040EC400C806C62274 +:040EC500C02AC42655 +:040EC6006F63440D05 +:040EC700C78300B726 +:040EC80084B20047A9 +:040EC900E3918BA185 +:040ECA00051305A661 +:040ECB00F0EF05102F +:040ECC00842AE0DFB5 +:040ECD004409C9010A +:040ECE00852240C277 +:040ECF0044A24432C3 +:040ED00080820151CA +:040ED10006400513BF +:040ED200C12FE0EF5D +:040ED3000513458539 +:040ED400F0EF0071CA +:040ED5004703DD9F53 +:040ED600079300710D +:040ED70017630FF09E +:040ED800E0EF00F750 +:040ED9004785C22F58 +:040EDA00FEF502E33C +:040EDB000071470358 +:040EDC00AC2367E9F3 +:040EDD000793A807C8 +:040EDE001EE30FE020 +:040EDF004502FAF7D7 +:040EE000F0EF85A604 +:040EE1000593DA9FFC +:040EE2008D852020BA +:040EE30081C105C202 +:040EE400F0EF4501E5 +:040EE500B74DD99F8D +:040EE60065DD71391C +:040EE7004629C42AAA +:040EE800CE458593DB +:040EE900DE060848D1 +:040EEA00DA26DC2206 +:040EEB00A1BFC0EFF4 +:040EEC00C03E478D30 +:040EED00000627B71D +:040EEE0000021437B3 +:040EEF00019C04B7A7 +:040EF000A8078613B6 +:040EF100CC04859315 +:040EF20002040513DE +:040EF3008CFFC0EFC1 +:040EF400D2BFC0EFBA +:040EF500000627B715 +:040EF600A8078613B0 +:040EF700CC0485930F +:040EF80002040513D8 +:040EF9008B7FC0EF3C +:040EFA0002040513D6 +:040EFB00084C462930 +:040EFC00959FC0EF0F +:040EFD00458164E5E2 +:040EFE0004000513D4 +:040EFF002404AE23F6 +:040F0000D3BFF0EF7C +:040F0100842A478572 +:040F020025C48493EB +:040F03001CF517635F +:040F04001AA0059397 +:040F0500048005134C +:040F0600D23FF0EFF7 +:040F07001D63C22A7A +:040F08004591148576 +:040F0900F0EF0808F5 +:040F0A004703D05F6A +:040F0B0047920121E7 +:040F0C001AF7156358 +:040F0D000131470364 +:040F0E000AA007939B +:040F0F0018F71F634D +:040F10003E80051307 +:040F1100B16FE0EFED +:040F1200B3CFE0EF8A +:040F13001963478592 +:040F140005B700F528 +:040F15000513400080 +:040F1600F0EF0E905A +:040F1700F56DCE1F87 +:040F1800B24FE0EF05 +:040F190005634785A0 +:040F1A0044010EF58B +:040F1B00AC2367E9B3 +:040F1C004782A80759 +:040F1D00F79317FD32 +:040F1E00C03E0FF7CB +:040F1F0016078163CD +:040F20004785D81514 +:040F210005134581EE +:040F2200C09C0490DB +:040F230000848223A1 +:040F2400CABFF0EF61 +:040F2500E5514781CA +:040F2600E0EF45159E +:040F2700842AAC0F5D +:040F280005134585E3 +:040F2900F0EF00F1F4 +:040F2A004703C85F52 +:040F2B00079300F137 +:040F2C0017630FF048 +:040F2D00E0EF00F7FA +:040F2E004785ACEF58 +:040F2F00FEF502E3E6 +:040F300067E9E40188 +:040F3100A807AC233E +:040F320000F1468301 +:040F33000FE00713B1 +:040F340098634781F6 +:040F350045C904E6C0 +:040F3600F0EF1008C0 +:040F3700C703C51F08 +:040F38007693004468 +:040F390086630027A4 +:040F3A004703100653 +:040F3B004783026185 +:040F3C0046030271F5 +:040F3D008B0D02A175 +:040F3E008F5D07229A +:040F3F000281478361 +:040F40004683070AD3 +:040F4100839902513D +:040F420047038FD9F9 +:040F4300821D029178 +:040F440007068ABD55 +:040F45008F518B1924 +:040F4600078596BACB +:040F470097B316E561 +:040F4800363700D761 +:040F490005B70029BF +:040F4A001537019CBA +:040F4B0017FD00028C +:040F4C002E06061354 +:040F4D00CC058593B7 +:040F4E000205051380 +:040F4F00C0EFC49C8F +:040F50004522F5CF72 +:040F51002000061363 +:040F5200F0EF4581F6 +:040F5300A859DBDFDF +:040F540005134581BB +:040F5500F0EF07A012 +:040F560018E3BE5F7F +:040F57004591F005CB +:040F5800F0EF0808A6 +:040F59004783BC9F6F +:040F5A00443101011C +:040F5B000407F793FD +:040F5C00EE079EE31B +:040F5D00BDDD4411A1 +:040F5E0005134581B1 +:040F5F00F0EF0E9011 +:040F60000793BBDF59 +:040F610065630410B0 +:040F6200440900A49A +:040F63000E90079352 +:040F64000FA00513C2 +:040F6500E0EFC23EB9 +:040F6600E0EF9C4FCD +:040F670047859EAF6D +:040F680000F5176316 +:040F69004581451267 +:040F6A00B93FF0EFAC +:040F6B00E0EFF57D41 +:040F6C00E1119D6F83 +:040F6D0067E94401EB +:040F6E0005134581A1 +:040F6F00AC2307B0F8 +:040F7000F0EFA807EF +:040F7100C111B79F54 +:040F7200059344019E +:040F73000513200042 +:040F7400F0EF050095 +:040F75000EE3B69F32 +:040F76004401E80545 +:040F77004505BD5916 +:040F7800EA0411E393 +:040F7900546250F27C +:040F7A00053354D215 +:040F7B00612140A010 +:040F7C008B118082D3 +:040F7D0001E34781C4 +:040F7E004783F207AC +:040F7F0047030281A1 +:040F800007A2029131 +:040F810047458FD978 +:040F82000113BF0197 +:040F83000793DD41B2 +:040F8400CE3E20102D +:040F850017B7100882 +:040F86002023301FD5 +:040F8700242322916C +:040F880022232211ED +:040F8900CC3E2281B7 +:040F8A00D71FF0EF8E +:040F8B00C0EF84AA85 +:040F8C00C4B1ACDF61 +:040F8D00409004B3D9 +:040F8E00AC3FC0EFC5 +:040F8F008C63470523 +:040F9000456336E49B +:040F9100572936970F +:040F920036E48B6353 +:040F930036048D6330 +:040F9400859365DDFF +:040F950067E5CFC578 +:040F96008513464534 +:040F970020EF1E87A2 +:040F980045053ED0FD +:040F99006539C48171 +:040F9A00EAD505137C +:040F9B00228120830C +:040F9C0022412403C7 +:040F9D002201248386 +:040F9E0022C1011358 +:040F9F006561808286 +:040FA0006A050513C6 +:040FA100A7AFC0EF47 +:040FA20067DD448142 +:040FA30006B3141865 +:040FA400C58300976A +:040FA5008613DF864A +:040FA60095B2B087C9 +:040FA7008063460914 +:040FA800460D06C428 +:040FA90006C4826395 +:040FAA00000F4437B9 +:040FAB002404041303 +:040FAC00851367E55D +:040FAD0046451E8710 +:040FAE00DFC6C48353 +:040FAF0038F020EF07 +:040FB000E0EF450920 +:040FB100084CF96F80 +:040FB200D0EF8522D5 +:040FB30067DD9A9FBD +:040FB4006465DD553E +:040FB5001FC40693BC +:040FB600D6034752C5 +:040FB7000413000619 +:040FB8000E631FC4E1 +:040FB900D60302E673 +:040FBA001463002696 +:040FBB00C0EF02C7BA +:040FBC000493A0DF1B +:040FBD0065DD069058 +:040FBE00CF05859343 +:040FBF008437BFA90B +:040FC0000413001EF8 +:040FC100B76D4804BC +:040FC200000494375C +:040FC3003E040413D1 +:040FC400D683B745D4 +:040FC5000CE30186B2 +:040FC600448DFCD783 +:040FC7006561BF851C +:040FC8006A0505139E +:040FC9009DAFC0EF29 +:040FCA00656565DD17 +:040FCB00859346457F +:040FCC000513D345F1 +:040FCD0020EF1D45AF +:040FCE0067E5315052 +:040FCF00859365DDC4 +:040FD0004645D405B9 +:040FD1001E878513DF +:040FD200303020EFAC +:040FD300E0EF4509FD +:040FD4005537F0AFEE +:040FD500084C004C78 +:040FD600B405051346 +:040FD700917FD0EF47 +:040FD80047D2D559CE +:040FD90000045703B6 +:040FDA000CF70063AD +:040FDB000024570394 +:040FDC00F6E79FE3B2 +:040FDD0067E5C00202 +:040FDE00851365DD35 +:040FDF0046451E87DE +:040FE000D50585931B +:040FE1002C7020EF61 +:040FE200E0EF4509EE +:040FE3005703ECEFD5 +:040FE40047D20024CC +:040FE50008F71E6388 +:040FE60020000613CE +:040FE7001008458128 +:040FE800E62FC0EF41 +:040FE9001008458225 +:040FEA00A67FF0EFFF +:040FEB0015E384AADC +:040FEC004782E8054B +:040FED0000100437B5 +:040FEE00C03E078575 +:040FEF00C23E678512 +:040FF0002000079343 +:040FF100F46386A27D +:040FF20006930087DB +:040FF3004792200001 +:040FF400C4361010DF +:040FF5000087959349 +:040FF600A50367E9FF +:040FF700C0EFA84758 +:040FF80046A2BC4F02 +:040FF90084AA10189E +:040FFA0000D70633E3 +:040FFB0018E616637B +:040FFC00E40494E392 +:040FFD001FF00713C7 +:040FFE0000876D6398 +:040FFF000613101CA9 +:041000008E15200029 +:0410010000D785335C +:04100200C43645812A +:04100300DF6FC0EFEC +:04100400458246A239 +:04100500C4361008D5 +:041006009F7FF0EFE9 +:041007000B6346A28F +:0410080004B3160512 +:04100900BD0940A03D +:04100A001400079334 +:04100B00B7A1C03E8B +:04100C0020000613A7 +:04100D001008458101 +:04100E00DCAFC0EFA4 +:04100F0085A2440171 +:04101000F0EF1008E5 +:0410110084AA9CDF32 +:04101200DE0518E3FC +:041013000793040536 +:0410140016E31400CB +:04101500645DFEF424 +:04101600B084079308 +:0410170004078593B2 +:041018001008466D09 +:04101900D62FC0EF1F +:04101A00B084079304 +:04101B0005C78593ED +:04101C0000C8466959 +:04101D00D52FC0EF1C +:04101E00879377ED50 +:04101F004581A5570B +:041020001F23100872 +:04102100F0EF20F1DB +:0410220084AA989F65 +:04102300DA0516E3F1 +:0410240046814401BC +:04102500200006138E +:0410260010084581E8 +:04102700C0EFC2361E +:041028004692D64FC7 +:04102900201006137A +:04102A00100005931A +:04102B0087938E1504 +:04102C000642003642 +:04102D00824107C233 +:04102E000016B513E0 +:04102F00050683C16E +:04103000F4638732AC +:04103100071300C5DC +:04103200973E1000D5 +:0410330015938F0979 +:0410340081C101076E +:0410350057E1E68118 +:04103600478DD03ED4 +:041037008D1D66C1E4 +:04103800203003134E +:04103900873316FDE6 +:04103A00074200A7C2 +:04103B00E8638341A2 +:04103C00869306B7DA +:04103D0006C2FFD513 +:04103E00059382C1D3 +:04103F001008080489 +:04104000F0EFC236D5 +:0410410084AA90DF0E +:04104200D20518E3D8 +:041043000A04059303 +:04104400F0EF1008B1 +:0410450084AA8FDF0B +:04104600D20510E3DC +:0410470004054692C4 +:0410480007930442C4 +:0410490080411FF0D3 +:04104A00F6D7F6E3FC +:04104B002000061368 +:04104C0010084581C2 +:04104D00CCEFC0EF35 +:04104E00879367DD40 +:04104F008593B0874E +:0410500006130787F5 +:041051001008020081 +:04105200C7EFC0EF35 +:041053000C000593F5 +:04105400F0EF1008A1 +:0410550084AA8BDFFF +:04105600E40500E3CA +:041057001010B9F1CB +:0410580097320706BE +:041059009363863ED9 +:04105A00863600676F +:04105B0007C207853C +:04105C0000C7102396 +:04105D00BF8583C107 +:04105E00000745033F +:04105F00C636C83297 +:04106000C0EFC43ADF +:041061004722DAEF59 +:0410620046B246420A +:0410630000A70023BF +:04106400BDA9070516 +:04106500D7134782D4 +:041066008C1500865F +:04106700C03E0785FB +:0410680097BA47925A +:041069001DE3C23E83 +:04106A00B179E00474 +:04106B0006900713D1 +:04106C00D4E483E362 +:04106D0065DDB97113 +:04106E00D1C58593D0 +:04106F0065DDB96919 +:04107000D0C58593CF +:0410710065DDB94937 +:04107200D2C58593CB +:041073000113B1694B +:04107400CC26FDC1C8 +:04107500CE22D006B1 +:0410760084AEC42A56 +:04107700C236C0328B +:04107800E39947822F +:04107900A015450178 +:04107A000793440292 +:04107B00F4632000FA +:04107C0004130087D2 +:04107D0045A2200068 +:04107E0016134512EE +:04107F0082410104A5 +:04108000907FF0EF7E +:041081000533C90961 +:04108200508240A0B8 +:0410830044E244728D +:041084000241011311 +:04108500F7938082DB +:0410860096130FF4BA +:04108700CB9D008479 +:0410880086B3479252 +:0410890091630087E8 +:04108A0067E904D737 +:04108B00A5034692E1 +:04108C00D593A84709 +:04108D008722008432 +:04108E00B0EF05C2F8 +:04108F00F571FE7F7A +:04109000078547A2E7 +:041091005793C43E6F +:0410920094BE008484 +:041093008F81478280 +:04109400B779C03E2A +:04109500A50367E95F +:0410960085B2A84730 +:04109700B0EFC632BE +:041098004632F6BF27 +:04109900B755DD5515 +:04109A000007C50383 +:04109B00C832CA3657 +:04109C00C0EFC63E9D +:04109D0047B2CBEF9C +:04109E00464246D2AE +:04109F0000A7802303 +:0410A000B7550785B4 +:0410A100DB0101135B +:0410A200262300C839 +:0410A30024232411CD +:0410A400222324815E +:0410A500F0EF2491B3 +:0410A600842A903FC9 +:0410A700E5EFC0EFC2 +:0410A800408007B3CA +:0410A9001C041A63A6 +:0410AA0000CC46111F +:0410AB0020EF1048DA +:0410AC0065DD79C0C5 +:0410AD0085934611D0 +:0410AE001048DCC545 +:0410AF00760020EFB8 +:0410B000440DC91D05 +:0410B100064007935B +:0410B200C0EFC23E8B +:0410B3004792E30F6E +:0410B4000680071398 +:0410B50000F74D6390 +:0410B60006300713E6 +:0410B70030F7426369 +:0410B800F3400713E7 +:0410B90036E789632A +:0410BA008A634705F9 +:0410BB0065DD36E7D2 +:0410BC00CFC5859384 +:0410BD005783A62986 +:0410BE000593048111 +:0410BF00461D04A125 +:0410C00002A1051371 +:0410C10002F1142301 +:0410C200742020EF87 +:0410C3000521578329 +:0410C400020108A37A +:0410C50002F1102301 +:0410C6000541578306 +:0410C70002F11123FE +:0410C800C0EF55021E +:0410C9005783C4AFD6 +:0410CA00DA2A0561B8 +:0410CB001023C22A02 +:0410CC00578302F153 +:0410CD001123058165 +:0410CE00550202F1D4 +:0410CF00C30FC0EF9C +:0410D00005A157839C +:0410D1001023DC2AE2 +:0410D200578302F14D +:0410D300112305C11F +:0410D400550202F1CE +:0410D500C18FC0EF18 +:0410D6002503DE2AE6 +:0410D700C0EF240141 +:0410D8004592C0EF8E +:0410D9000793C0AA0F +:0410DA0087131E203A +:0410DB00E763FE6564 +:0410DC0046050CE7D2 +:0410DD00C0EF00C898 +:0410DE004786C1AFD1 +:0410DF0000F50663AF +:0410E0000793440D21 +:0410E100B789066065 +:0410E200665D64657E +:0410E300DD460613CD +:0410E400051345C5E6 +:0410E50010EF1D44A7 +:0410E60056E24B1073 +:0410E700665D67E5F6 +:0410E8001E878513C7 +:0410E900DE460613C6 +:0410EA0010EF45C5F9 +:0410EB00450549D09E +:0410EC00AA8FE0EFF8 +:0410ED00470157E27E +:0410EE00C23E4501B8 +:0410EF00C43E57F2B2 +:0410F000616347925F +:0410F10047A208F713 +:0410F20020A794633C +:0410F30002A147838C +:0410F40002814683AC +:0410F500029147031A +:0410F60067E1CBD50E +:0410F700B90787931B +:0410F80002A1061338 +:0410F900665DC0323E +:0410FA00DF060613F4 +:0410FB00051345C5CF +:0410FC0010EF1D4490 +:0410FD0067E545500E +:0410FE00464565DD21 +:0410FF00E48585936C +:041100001E878513AE +:041101009C2FC0EF70 +:0411020064E5450556 +:04110300A4CFE0EFA6 +:041104001FC48493ED +:04110500000216B717 +:041106000D06A783A8 +:041107000004D70306 +:0411080083C107C2D6 +:0411090006F708637A +:04110A000024D703E3 +:04110B001AF7066366 +:04110C000513650959 +:04110D00B0EF7105C9 +:04110E00BFE9CC9FCA +:04110F000793440DF1 +:04111000B559065077 +:0411110086B34792C8 +:04111200079340E718 +:04111300F463200061 +:04111400069300D767 +:04111500049320001F +:041116009613200705 +:04111700824101060A +:041118000094D593D7 +:04111900C83A00C808 +:04111A00F0EFC636F6 +:04111B0046B2E9CF20 +:04111C00C509474278 +:04111D0040A007B334 +:04111E00B5B9440D0E +:04111F00001736136C +:0411200000C885B6C8 +:04112100B0CFC0EF9C +:04112200BF1D872640 +:04112300879367DD6A +:04112400B7B9C1474F +:04112500C70FD0EF31 +:0411260007136769DB +:04112700431CAA07B4 +:04112800000216B7F4 +:04112900E7936509DA +:04112A00C31C0027BB +:04112B000EF6A023F9 +:04112C007105051331 +:04112D00C4BFB0EF9C +:04112E00051365DD63 +:04112F0046451D44D0 +:04113000DFC58593FF +:04113100586020EFF3 +:0411320067E5440D1C +:04113300851364DDDF +:0411340046451E8787 +:04113500E08485933A +:04113600572020EF2F +:04113700E0EF45059B +:04113800566297AFB5 +:04113900458100D418 +:04113A00F0EF450588 +:04113B0087AACE5F52 +:04113C00DC051CE3CF +:04113D0065DD67E520 +:04113E00859346450A +:04113F008513E185AE +:0411400020EF1D4738 +:0411410067E554808A +:04114200E08485932D +:041143001E8785136B +:0411440020EF46450D +:041145004505538089 +:04114600940FE0EF33 +:04114700448157E2A6 +:04114800C23E45015D +:04114900C43E57F257 +:04114A00C63E67E551 +:04114B00EF63479275 +:04114C00472202F440 +:04114D00F3400793D1 +:04114E00D8A718E323 +:04114F00BBEFC0EF43 +:0411500065DD47B260 +:0411510085934645F7 +:041152008513E5C557 +:04115300C0EF1D4785 +:0411540067E5878F35 +:04115500464565DDC9 +:04115600E285859316 +:041157001E87851357 +:041158004EA020EF96 +:04115900E0EF450579 +:04115A00A0018F2F32 +:04115B0086B347927E +:04115C00079340971E +:04115D00F463100027 +:04115E00069300D71D +:04115F0067E910002C +:04116000A847A503F4 +:0411610085A600D08F +:04116200B0EFC836EC +:0411630046C2E19F00 +:0411640087AA00D086 +:0411650000D605B3F8 +:041166000006450337 +:04116700CC2ECE3686 +:04116800C832CA3E81 +:0411690098CFC0EF6C +:04116A0045E24642D2 +:04116B00002347D244 +:04116C00060500A6CE +:04116D0091E346F2D2 +:04116E0097E3FEC540 +:04116F00B613D007DC +:0411700085B600142C +:04117100C0EF00C803 +:0411720084939CAF17 +:04117300BFB91004EC +:041174000793440D8C +:04117500B9CD06707A +:041176000793440D8A +:04117700B1ED068050 +:04117800F9B7871329 +:04117900E063468D5C +:04117A0066DD08E640 +:04117B008693070A46 +:04117C009736E706B5 +:04117D00870243188A +:04117E00859365DD13 +:04117F00C23EDBC5CC +:04118000851367E587 +:0411810046451E873A +:04118200442020EFF6 +:04118300E0EF45054F +:04118400453784AFB8 +:041185000513000F3F +:04118600B0EF24059D +:041187004792AE5F7E +:041188000407D7631E +:041189000480556326 +:04118A006565665DD4 +:04118B00E3860613DE +:04118C00051345C53D +:04118D0010EF1D45FD +:04118E00147D21109B +:04118F0065DDB579EC +:04119000D745859327 +:0411910065DDBF6DEC +:04119200D845859324 +:0411930065DDBF4D0A +:04119400D9858593E1 +:0411950065DDB76DF0 +:04119600D605859362 +:0411970065DDB74D0E +:04119800D1C58593A5 +:0411990065DDBF69E8 +:04119A00DAC585939A +:04119B00D0EFBF4989 +:04119C002083DE8F3F +:04119D00240324C142 +:04119E002483248101 +:04119F00557D244115 +:0411A0002501011311 +:0411A1000113808234 +:0411A2000828DDC17B +:0411A30022112023D2 +:0411A40020812E2355 +:0411A50020912C2346 +:0411A600D00FF0EF87 +:0411A700C0EFC02AAB +:0411A8004502A5CF88 +:0411A9006465E9315F +:0411AA00464565DD74 +:0411AB00E9C585937A +:0411AC001E84051385 +:0411AD00F13FB0EF6F +:0411AE00D0EF450930 +:0411AF00C222F9FF60 +:0411B000041364655B +:0411B10017B71FC489 +:0411B200A78300020D +:0411B30057030D07CA +:0411B40007C200046A +:0411B500046383C18B +:0411B600570304F7E0 +:0411B7001A63002493 +:0411B800479202F761 +:0411B900464565DD65 +:0411BA00CF05859345 +:0411BB001E878513F3 +:0411BC0035A020EF4B +:0411BD000680051390 +:0411BE00C0EFC02A94 +:0411BF002083A00FDA +:0411C00024032201E1 +:0411C100450221C101 +:0411C20021812483E0 +:0411C30022410113B1 +:0411C40065098082B7 +:0411C5007105051398 +:0411C6009E7FB0EF69 +:0411C7004792B76D27 +:0411C800464565DD56 +:0411C9001E878513E5 +:0411CA00E80585931C +:0411CB0031E020EF00 +:0411CC00D0EF450912 +:0411CD000737F27F6F +:0411CE000793FFF094 +:0411CF00C002200733 +:0411D000C43E648530 +:0411D100941347A28A +:0411D200462D008422 +:0411D3008425943E9D +:0411D400006885A288 +:0411D500BB2FF0EF4D +:0411D6000533C50117 +:0411D700BF6940A00C +:0411D800859365DDB9 +:0411D9000068C8855D +:0411DA00EABFB0EFC9 +:0411DB004703E10DD8 +:0411DC0046850161E2 +:0411DD0006D71563B9 +:0411DE000141468302 +:0411DF0000E699632A +:0411E00001514683F0 +:0411E1000613470D9D +:0411E2008463796049 +:0411E300849306E605 +:0411E4006709100483 +:0411E500FAE498E3AD +:0411E6003E8005132F +:0411E700963FB0EF90 +:0411E800453D4581BB +:0411E900A97FD0EF1B +:0411EA00C70367E9E7 +:0411EB0067E5AA47C3 +:0411EC001C87879342 +:0411ED00C50397BAE5 +:0411EE004581000730 +:0411EF00872367E902 +:0411F000D0EFA8A7ED +:0411F1004792A79FDB +:0411F200665D46826E +:0411F300E8C6061331 +:0411F400851345C555 +:0411F50010EF1E8752 +:0411F6004505071094 +:0411F700FB45BF31C4 +:0411F8000141470367 +:0411F900FAD715E329 +:0411FA000151470355 +:0411FB000613F34D97 +:0411FC00083405802E +:0411FD00852285A61C +:0411FE009D7FF0EFF2 +:0411FF00EE051EE3F8 +:041200000785478295 +:04120100B761C03ED3 +:04120200F5C101131E +:04120300CF22D1061F +:041204001437CD26A8 +:04120500B0EF000244 +:04120600C22A957FE4 +:041207000804049340 +:04120800D0BC47C54A +:041209000E0420238C +:04120A00000227B700 +:04120B000007A6230F +:04120C000007A8230C +:04120D000007AA2309 +:04120E008513678954 +:04120F00B0EF7107C4 +:0412100063698C1F63 +:04121100AA03079392 +:041212001D10069312 +:04121300D0B4C394FC +:04121400D0EF4501D1 +:041215001537908F6A +:0412160005130003B9 +:04121700B0EFD4055B +:0412180026378A1FCC +:0412190005B700060F +:04121A000613019C1A +:04121B008593A80609 +:04121C000513CC05E5 +:04121D00B0EF040426 +:04121E0067E9C25F5B +:04121F00AA07871380 +:0412200045514314DD +:04122100F9F6F69351 +:04122200D0B4C3146D +:04122300873FB0EF62 :04122400051345D198 -:04122500D0EF0710EF -:0412260045D182AF7D -:0412270005E00513C6 -:04122800820FD0EF72 -:04122900051345D193 -:04122A00D0EF06D02B -:04122B0045D1816FB9 -:04122C00D0EF453189 -:04122D00059380EFB6 -:04122E004505320040 -:04122F00804FD0EF2D -:04123000451945D146 -:04123100FFDFC0EF2C -:0412320032000593EE -:04123300C0EF4509BA -:0412340067E9FF3F28 -:04123500A4078793F0 -:041236004601439496 -:04123700E693458174 -:04123800C394040651 -:041239000513D0B415 -:04123A00B0EF040409 -:04123B004529C15F21 -:04123C0080FFB0EF90 -:04123D00450545E13D -:04123E00D94FC0EFD5 -:04123F00450945E137 -:04124000D8CFC0EF54 -:04124100450D45E131 -:04124200D84FC0EFD2 -:04124300823FC0EF37 -:0412440007136369C0 -:0412450047E1A403D6 -:041246001863C43A2B -:0412470045015AF50E -:04124800DACFC0EF4A -:041249000FF0079308 -:04124A005CF50863E4 -:04124B001A8087B7C7 -:04124C000807879375 -:04124D006789D6BE19 -:04124E00A1A78413BD -:04124F0047A1456905 -:0412500006F1092377 -:0412510006811823D7 -:04125200D84FC0EFC2 -:041253000F5575932B -:0412540000A5E59379 -:04125500C0EF456938 -:041256004585DC0FDF -:041257000350051328 -:04125800DB6FC0EF99 -:04125900051345A58F -:04125A00C0EF0220BF -:04125B006561DACF20 -:04125C00BC45051375 -:04125D00EA4FC0EFA5 -:04125E00C0EF450197 -:04125F004599F92F85 -:0412600003D005139F -:04126100D92FC0EFD2 -:0412620004400593AC -:04126300C0EF45454E -:041264004585D88F55 -:04126500C0EF454948 -:041266004581D80FD7 -:04126700C0EF454D42 -:0412680010E8D78F24 -:04126900E1CFC0EF22 -:04126A00455D458118 -:04126B00D6AFC0EF4B -:04126C00F3DFC0EFFD -:04126D00C0EF450980 -:04126E007513B7CF6E -:04126F0047CD0FF563 -:0412700052F51E63B2 -:041271000413646599 -:0412720045830F841D -:0412730045150024F9 -:04127400BACFC0EF3E -:041275000024478387 -:0412760003D0059309 -:04127700839D4511FD -:0412780000279713A1 -:0412790000C44783E3 -:04127A008FD99BED80 -:04127B0000F4062352 -:04127C00B8CFC0EF38 -:04127D003E80051397 -:04127E00F06FB0EF6E -:04127F00451145F5DB -:04128000B7CFC0EF35 -:0412810003000593CE -:04128200061005133A -:04128300B70FC0EFF2 -:041284000B200593A3 -:04128500C0EF45254C -:041286000593B66FA7 -:0412870045290F8066 -:04128800B5CFC0EF2F -:041289000370059356 -:04128A00C0EF452D3F -:04128B004581B52FB5 -:04128C00C0EF453D2D -:04128D004581B4AF34 -:04128E000C900513A8 -:04128F00B40FC0EFE9 -:04129000051345817C -:04129100C0EF0CA0FE -:041292004581B36F70 -:041293000CB0051383 -:04129400B2CFC0EF26 -:041295000513458177 -:04129600C0EF0CC0D9 -:041297004581B22FAC -:041298000CD005135E -:04129900B18FC0EF62 -:04129A000513458172 -:04129B00C0EF0CE0B4 -:04129C004581B0EFE9 -:04129D000CF0051339 -:04129E00B04FC0EF9E -:04129F00051345816D -:0412A000C0EF0D008E -:0412A1004583AFAF23 -:0412A20005130044EC -:0412A300C0EF0E107A -:0412A4004515AEEF4F -:0412A500B70FC0EFD0 -:0412A6000860079342 -:0412A70006F51263D3 -:0412A8000750059353 -:0412A9000700051322 -:0412AA00BA4FC0EF88 -:0412AB00090005939E -:0412AC000200051324 -:0412AD00B98FC0EF46 -:0412AE00051345815E -:0412AF00C0EF02107A -:0412B0004581B8EFCD -:0412B10002200513FF -:0412B200B84FC0EF82 -:0412B3000513458D4D -:0412B400C0EF023055 -:0412B5004581B7AF09 -:0412B600028005139A -:0412B700B70FC0EFBE -:0412B8000B0005938F -:0412B9000710051302 -:0412BA00B64FC0EF7C -:0412BB000700059390 -:0412BC00070005130F -:0412BD00B58FC0EF3A -:0412BE00470567E990 -:0412BF00A2E785A37A -:0412C000A78367E5B4 -:0412C1006769094709 -:0412C200A2F722234A -:0412C3003E078A63F5 -:0412C400B45FB0EF74 -:0412C500851362E546 -:0412C60065E110824C -:0412C70004200613E6 -:0412C800D1C5859374 -:0412C900B0EF057508 -:0412CA006365AA1F8F -:0412CB00859366E1C0 -:0412CC000513CE46F2 -:0412CD00061319C328 -:0412CE00B0EF03601A -:0412CF0067E5A8DF48 -:0412D000029007136E -:0412D10002E780238D -:0412D200000247B718 -:0412D30038078793BE -:0412D400767943B82C -:0412D5007FF6061387 -:0412D600C3B88F7199 -:0412D700766943B839 -:0412D8008F71167D7F -:0412D90043B8C3B89B -:0412DA00167D7621E6 -:0412DB00F1F777139D -:0412DC000607671387 -:0412DD0043B8C3B897 -:0412DE00453D4581C4 -:0412DF008FF77713FB -:0412E0003007671359 -:0412E10043B8C3B893 -:0412E200646964E9EE -:0412E3000017671376 +:04122500D0EF038083 +:0412260045D1842FFB +:041227000390051318 +:04122800838FD0EFF1 +:04122900455145D115 +:04122A00830FD0EF6F +:04122B00051345D191 +:04122C00D0EF0710E8 +:04122D0045D1826FB6 +:04122E0005E00513BF +:04122F0081CFD0EFAC +:04123000051345D18C +:04123100D0EF06D024 +:0412320045D1812FF2 +:04123300D0EF453182 +:04123400059380AFEF +:041235004505320039 +:04123600800FD0EF66 +:04123700451945D13F +:04123800FF9FC0EF65 +:0412390032000593E7 +:04123A00C0EF4509B3 +:04123B0067E9FEFF62 +:04123C00AA078793E3 +:04123D00460143948F +:04123E00E69345816D +:04123F00C39404064A +:041240000513D0B40E +:04124100B0EF040402 +:041242004529BF9FDC +:04124300FF2FB0EFDA +:04124400450545E136 +:04124500D90FC0EF0E +:04124600450945E130 +:04124700D88FC0EF8D +:04124800450D45E12A +:04124900D80FC0EF0B +:04124A0081FFC0EF71 +:04124B0007136369B9 +:04124C0047E1AA03C9 +:04124D001863C43A24 +:04124E0045015AF507 +:04124F00DA8FC0EF83 +:041250000FF0079301 +:041251005CF50863DD +:041252001A8087B7C0 +:04125300080787936E +:041254006789D6BE12 +:04125500A1A78413B6 +:0412560047A14569FE +:0412570006F1092370 +:0412580006811823D0 +:04125900D80FC0EFFB +:04125A000F55759324 +:04125B0000A5E59372 +:04125C00C0EF456931 +:04125D004585DBCF19 +:04125E000350051321 +:04125F00DB2FC0EFD2 +:04126000051345A588 +:04126100C0EF0220B8 +:041262006561DA8F59 +:04126300BFC50513EB +:04126400EA0FC0EFDE +:04126500C0EF450190 +:041266004599F8EFBF +:0412670003D0051398 +:04126800D8EFC0EF0C +:0412690004400593A5 +:04126A00C0EF454547 +:04126B004585D84F8E +:04126C00C0EF454941 +:04126D004581D7CF11 +:04126E00C0EF454D3B +:04126F0010E8D74F5D +:04127000E18FC0EF5B +:04127100455D458111 +:04127200D66FC0EF84 +:04127300F39FC0EF36 +:04127400C0EF450979 +:041275007513B78FA7 +:0412760047CD0FF55C +:0412770052F51E63AB +:041278000413646592 +:041279004583158410 +:04127A0045150024F2 +:04127B00BA8FC0EF77 +:04127C000024478380 +:04127D0003D0059302 +:04127E00839D4511F6 +:04127F00002797139A +:0412800000C44783DC +:041281008FD99BED79 +:0412820000F406234B +:04128300B88FC0EF71 +:041284003E80051390 +:04128500EEAFB0EF29 +:04128600451145F5D4 +:04128700B78FC0EF6E +:0412880003000593C7 +:041289000610051333 +:04128A00B6CFC0EF2C +:04128B000B2005939C +:04128C00C0EF452545 +:04128D000593B62FE0 +:04128E0045290F805F +:04128F00B58FC0EF68 +:04129000037005934F +:04129100C0EF452D38 +:041292004581B4EFEF +:04129300C0EF453D26 +:041294004581B46F6D +:041295000C900513A1 +:04129600B3CFC0EF23 +:041297000513458175 +:04129800C0EF0CA0F7 +:041299004581B32FA9 +:04129A000CB005137C +:04129B00B28FC0EF5F +:04129C000513458170 +:04129D00C0EF0CC0D2 +:04129E004581B1EFE6 +:04129F000CD0051357 +:0412A000B14FC0EF9B +:0412A100051345816B +:0412A200C0EF0CE0AD +:0412A3004581B0AF22 +:0412A4000CF0051332 +:0412A500B00FC0EFD7 +:0412A6000513458166 +:0412A700C0EF0D0087 +:0412A8004583AF6F5C +:0412A90005130044E5 +:0412AA00C0EF0E1073 +:0412AB004515AEAF88 +:0412AC00B6CFC0EF0A +:0412AD00086007933B +:0412AE0006F51263CC +:0412AF00075005934C +:0412B000070005131B +:0412B100BA0FC0EFC1 +:0412B2000900059397 +:0412B300020005131D +:0412B400B94FC0EF7F +:0412B5000513458157 +:0412B600C0EF021073 +:0412B7004581B8AF06 +:0412B80002200513F8 +:0412B900B80FC0EFBB +:0412BA000513458D46 +:0412BB00C0EF02304E +:0412BC004581B76F42 +:0412BD000280051393 +:0412BE00B6CFC0EFF8 +:0412BF000B00059388 +:0412C00007100513FB +:0412C100B60FC0EFB5 +:0412C2000700059389 +:0412C3000700051308 +:0412C400B54FC0EF73 +:0412C500470567E989 +:0412C600A8E785A36D +:0412C700A78367E5AD +:0412C80067690F47FC +:0412C900A8F722233D +:0412CA003E078A63EE +:0412CB00B29FB0EF2F +:0412CC00851362E53F +:0412CD0065E116823F +:0412CE0004200613DF +:0412CF00D5458593E9 +:0412D000B0EF057501 +:0412D1006365A85F4A +:0412D200859366E1B9 +:0412D3000513D1C668 +:0412D40006131FC31B +:0412D500B0EF036013 +:0412D60067E5A71F02 +:0412D7000290071367 +:0412D80008E7802380 +:0412D900000247B711 +:0412DA0038078793B7 +:0412DB00767943B825 +:0412DC007FF6061380 +:0412DD00C3B88F7192 +:0412DE00766943B832 +:0412DF008F71167D78 +:0412E00043B8C3B894 +:0412E100167D7621DF +:0412E200F1F7771396 +:0412E3000607671380 :0412E40043B8C3B890 -:0412E50067139B1DD3 -:0412E600C3B8008702 -:0412E7008F7143B808 -:0412E8008F5166219B -:0412E900D0EFC3B8C7 -:0412EA006769E78FBA -:0412EB00A2E745032E -:0412EC00D0EF458179 -:0412ED008793E6CF2E -:0412EE006569994451 -:0412EF000007A02331 -:0412F0000007A2232E -:0412F1000007A4232B -:0412F2000007A62328 -:0412F3000007982335 -:0412F40002C006131B -:0412F5000513458117 -:0412F600B0EF9A8536 -:0412F70065E1A29F6C -:0412F80018058593BD -:0412F90002C0061316 -:0412FA009D440513F7 -:0412FB009DBFB0EFF4 -:0412FC009D44079373 -:0412FD0080A3461173 -:0412FE00460D02C7D0 -:0412FF0002C781237E -:04130000D13FB0EF3A -:04130100C0EF4501F3 -:0413020017B79D1F5D -:04130300A60300023B -:0413040062E50D078A -:04130500000207B724 -:0413060010828713B7 -:0413070066658FF197 -:041308000713C03ACD -:04130900DE3A125660 -:04130A0007136769F5 -:04130B00CE3AA2E74D -:04130C009944871366 -:04130D006769C0BA92 -:04130E00A307071317 -:04130F006769C63A0A -:04131000A187071397 -:041311006769D03AFE -:0413120066E16365C8 -:04131300A1C7071354 -:04131400CA36D81AE3 -:04131500D23AC2A264 -:0413160014079263C3 -:04131700871366E1F1 -:041318004481C786BF -:041319006465C83A05 -:04131A00464565DD02 -:04131B00E9C5859308 -:04131C00174405135A -:04131D0010EFCC3EC3 -:04131E0047C25B90D7 -:04131F00002496937D -:0413200096BE6565AB -:041321004645428C6F -:041322001885051312 -:041323005A3010EF3D -:041324000002463746 -:041325003C062683D9 -:04132600E693450500 -:041327002023004639 -:04132800D0EF3CD6F0 -:0413290047E299BF3F -:04132A0016374681AB -:04132B002503000294 -:04132C0067410D0602 -:04132D0075B3177D00 -:04132E00473200E55D -:04132F00000303377D -:041330002603C30CC1 -:0413310057020D064C -:04133200FFF6461369 -:0413330000667633A7 -:04133400C985C31094 -:0413350002F58763D3 -:04133600879367E54D -:04133700961319C729 -:0413380097B2001454 -:0413390065DDEAADD7 -:04133A0000A7902355 -:04133B00859346450B -:04133C000513EA4566 -:04133D0010EF174452 -:04133E00450553907E -:04133F00941FD0EF38 -:041340005782468505 -:041341000007A303FB -:04134200439C5792DF -:0413430067C1E39DFE -:0413440002F311633C -:0413450047D2E0BDEE -:041346000360061327 -:041347008593CC1AA4 -:0413480057C2CE4773 -:04134900851344EDD7 -:04134A00B0EF19C720 -:04134B00436289DF91 -:04134C005712468965 -:04134D00460947B254 -:04134E0000672023F1 -:04134F00439CD436B1 -:0413500004C68063EC -:041351000513650912 -:04135200CC3E710517 -:04135300BB2FB0EF0D -:0413540056A247E274 -:04135500D783BF99E2 -:0413560046890007BD -:04135700FAF583E33D -:04135800464565DDC4 -:04135900EAC58593C9 -:04135A00174405131C -:04135B004C3010EF13 -:04135C00D0EF450584 -:04135D0046818CBF7A -:04135E0014F9B7695E -:04135F00BF554689A7 -:0413600046E90485D1 -:04136100EE96D1E350 -:04136200D0EF453D46 -:0413630047379F8FDA -:0413640027830002D9 -:041365009BED3C07B9 -:041366003CF720230D -:0413670064E5479260 -:04136800D513665DD6 -:04136900079300A73F -:04136A0007B312C0F3 -:04136B00471102F52F -:04136C000613468599 -:04136D0045C5EBC6C1 -:04136E001D44851382 -:04136F00DC3E646597 -:0413700026A010EFB4 -:04137100464565DDAB -:04137200FD4585931D -:041373001E840513BC -:04137400FF6FB0EF68 -:04137500D0EF45056B -:04137600A537913FC7 -:041377000513000753 -:04137800B0EF1205BB -:04137900B0EFB1CF51 -:04137A004799B3CF0D -:04137B00CA02CC3E98 -:04137C00D402C802CD -:04137D00C6A2C4A69A -:04137E00000217B79B -:04137F000D07A7832C -:04138000674146B2C9 -:041381008F7D177DC8 -:04138200C693C298B4 -:041383000737FFF732 -:041384008F7500035E -:04138500D6135682A3 -:0413860087B20187A2 -:0413870066E9C298B9 -:04138800A34686935F -:041389001679CA19EE -:04138A000FF67613D1 -:04138B00F663458D33 -:04138C00C60300C5CF -:04138D0015630006DE -:04138E00463200F6ED -:04138F000006202311 -:0413900000F68023C0 -:04139100439C579290 -:041392005792EFD5AA -:041393006469C3982E -:04139400A164041339 -:041395000004478386 -:041396000E07866355 -:04139700C78347823F -:04139800816301C7A5 -:0413990047820E0772 -:04139A004703676935 -:04139B00C783A297CB -:04139C008FD90127BD -:04139D000FF7F793BC -:04139E00B0EFE7F1D4 -:04139F0057E2ACCF96 -:0413A0000CF5626383 -:0413A1000793475215 -:0413A200ED630630C1 -:0413A30046830AE78C -:0413A4004785000475 -:0413A500876347050E -:0413A60047E200F624 -:0413A700FFA7871302 -:0413A80000E03733F7 -:0413A90046A1478290 -:0413AA0001C7C7832D -:0413AB00F79317FDA0 -:0413AC00E7630FF7ED -:0413AD0066DD06F6FD -:0413AE00FF468693DD -:0413AF0097B6078A5C -:0413B00067E9439412 -:0413B100A447879333 -:0413B20056F98682E0 -:0413B3006565665DA9 -:0413B400ED06061329 -:0413B500051345C512 -:0413B60010EF1D45D2 -:0413B70065DD1500DB -:0413B80046456565DC -:0413B900BF858593D4 -:0413BA001E85051374 -:0413BB00343010EFCB -:0413BC00D0EF450524 -:0413BD00A001FF6F1D -:0413BE00BFC956F558 -:0413BF00B7F956F133 -:0413C000B7E956FD36 -:0413C100C3985792E4 -:0413C200A02357828B -:0413C300B7810007E7 -:0413C400470367690B -:0413C5003733A147D2 -:0413C600070900E033 -:0413C70000E7802398 -:0413C800476247D25F -:0413C900F79307850A -:0413CA00CA3E0FF711 -:0413CB0007634799D4 -:0413CC00079300F78C -:0413CD00F79300177B -:0413CE00CC3E0FF70B -:0413CF009E6FB0EF6E -:0413D000C83E478547 -:0413D100646508BC8B -:0413D2000793D6BEE9 -:0413D30087132184D7 -:0413D400DCBA001768 -:0413D5000027871353 -:0413D600C13ADEBA80 -:0413D700871365DD36 -:0413D800D8BE003744 -:0413D900C33ADABE7B -:0413DA00C53A079178 -:0413DB0008B8462DDB -:0413DC00FE85859372 -:0413DD00C73A08E81B -:0413DE00CB3AC93EFF -:0413DF0004010C23D6 -:0413E000E46FB0EF17 -:0413E1004685478274 -:0413E200C783472551 -:0413E300C8A201C7D4 -:0413E4008663C23624 -:0413E500078500E791 -:0413E6000FF7F79373 -:0413E70047B2C23E09 -:0413E80045E947018B -:0413E90057C2439014 -:0413EA0019C7879305 -:0413EB000007D6839E -:0413EC0004D61A63A6 -:0413ED00E56347E984 -:0413EE0066DD62E76F -:0413EF000027179329 -:0413F0000186869359 -:0413F100439C97B6CC -:0413F2004685878223 -:0413F3006765E7192A -:0413F4000B0746831A -:0413F5000016B69395 -:0413F60080230691B9 -:0413F700B78900D7DB -:0413F800DF654699CE -:0413F9000007C683A0 -:0413FA00471DBFCDFF -:0413FB006769BF055A -:0413FC00A1574703AB -:0413FD0000E03733A2 -:0413FE00B70D0721FF -:0413FF00FF7146999B -:04140000BFE146857D -:0414010008E307896C -:0414020007053CB7E7 -:041403004785B7451D -:0414040046A2472293 -:04140500471343182E -:04140600C298100771 -:0414070066C1570261 -:041408008EF94318FE -:041409004792C291B3 -:04140A00000206B71F -:04140B00C3158F7501 -:04140C0007136765F6 -:04140D0047032187E9 -:04140E004605010787 -:04140F00656346814A -:04141000070500E6E6 -:041411000FF77693C8 -:0414120007136765F0 -:041413000823218702 -:04141400646900D730 -:04141500C20247299F -:04141600A4440413D3 -:0414170000E7866301 -:0414180000F40023B9 -:04141900C23E478503 -:04141A00439C47A206 -:04141B00FF37F7138D -:04141C00C39847A288 -:04141D00C68367E932 -:04141E008793A29777 -:04141F00D63EA2977C -:0414200067E9EA810D -:04142100A287C78354 -:041422008FD9078ACD -:04142300C31C47227D -:04142400439847A200 -:04142500000217B7F3 -:041426000EE7A0230A -:04142700C78357B26E -:04142800C781000771 -:04142900E0EF4501AA -:04142A004782DB4FCB -:04142B00000447036F -:04142C0001C7C783AA -:04142D0000E78463ED -:04142E00E799479261 -:04142F00CF8547C25C -:04143000C7834782A5 -:04143100CB8501273F -:04143200C78367E91C -:04143300C83EA2D736 -:0414340067E5C39D08 -:0414350016878793FC -:04143600C50397BA99 -:0414370047F2000771 -:04143800C783C8029C -:0414390088630007BD -:04143A0047F200A7CE -:04143B008023458144 -:04143C00D0EF00A746 -:04143D00470292CF01 -:04143E0000044783DC -:04143F0001C7468318 -:041440000493676941 -:041441009163A467A8 -:04144200C60302F6E5 -:0414430046890004D2 -:041444001EC6F86365 -:0414450007136765BD -:0414460046032187B1 -:04144700470204074D -:0414480005D74683FB -:041449001CD60E633C -:04144A0007136469B7 -:04144B0002A3A45400 -:04144C008023A40451 -:04144D00468D0004C4 -:04144E00F5E3DA3AAE -:04144F0067652CF6AB -:0414500021870713D6 -:041451000407460343 -:0414520019E34585D0 -:04145300859328B69F -:04145400F593FFA766 -:04145500440D0FF53E -:0414560000B6746305 -:041457006C10006FA6 -:04145800FFF7859382 -:041459000FF5F51383 -:04145A00E16345A164 -:04145B0065E102A5A0 -:04145C00B8C58593F7 -:04145D00C70395AA82 -:04145E0045990005A7 -:04145F0083E3D43A15 -:04146000E5E32AB7DF -:04146100458528F5A0 -:0414620028B78AE33A -:04146300CAB24702C0 -:0414640000F70E235C -:041465000007092350 -:04146600F97FB0EF6B -:041467004789465615 -:041468000D857593E6 -:0414690028F614E36A -:04146A000015E593F1 -:04146B00CAAE4505BB -:04146C00CDDFB0EF31 -:04146D00450945D612 -:04146E00CD5FB0EFAF -:04146F00450D45D60C -:04147000CCDFB0EF2E -:04147100F28FC0EF47 -:04147200BF7FB0EF99 -:04147300C78367E9DB -:04147400C385A2B7D3 -:0414750095B3458561 -:04147600E593008575 -:04147700F5930405E0 -:0414780045190FF50E -:04147900B0EFCAAE58 -:04147A0045D6C67F0E -:04147B00B0EF451D6C -:04147C0057D2C5FF7F -:04147D000004C403A0 -:04147E00C68345815B -:04147F004789000792 -:041480000087E66398 -:04148100006415935B -:0414820085E105E219 -:041483000026971395 -:0414840000469793F4 -:041485008FD58FD997 -:04148600F5938DDD70 -:0414870045650FF5B3 -:04148800CF7FB0EF73 -:04148900B0EF45413A -:04148A005722CA7F9C -:04148B007593478D81 -:04148C0014630F8551 -:04148D00E59300F7EC -:04148E00454100557F -:04148F00CDBFB0EF2E -:04149000FDE34789A8 -:0414910047911E87DA -:041492000520059399 -:041493000087F46377 -:041494000530059387 -:04149500B0EF453936 -:041496006561CC1FA1 -:041497006A050513CA -:04149800E9FFA0EFD9 -:04149900B0EF45511A -:04149A005722C67F90 -:04149B0045C1478D73 -:04149C0000F70363EF -:04149D0045614581DF -:04149E00C9FFB0EFE3 -:04149F00051345816B -:0414A000B0EF036046 -:0414A1004782C95F56 -:0414A200470556A202 -:0414A3000007A62375 -:0414A400439C47A27C -:0414A500BFF7F79303 -:0414A6001AE68BE3D4 -:0414A700464547224D -:0414A80047A2C31C78 -:0414A90017B7439896 -:0414AA00A023000279 -:0414AB0047820EE77F -:0414AC0001C7C7832A -:0414AD00002797136A -:0414AE00879367E1D8 -:0414AF0097BAB987A8 -:0414B00047A6438C7C -:0414B1001D4785133B -:0414B200766010EF61 -:0414B30065DD47B6F6 -:0414B4008513464511 -:0414B50085931E8776 -:0414B60010EFFC85B2 -:0414B7004505754032 -:0414B800C08FD0EF22 -:0414B900CF894792FE -:0414BA00C70367E914 -:0414BB0047A9A20794 -:0414BC0000F71563BD -:0414BD00C0EF453DFA -:0414BE00A0EFC8DFF4 -:0414BF00CA02E29FDC -:0414C00007936465C5 -:0414C100C7032184B8 -:0414C200478203D783 -:0414C30005A7C7832F -:0414C40002F70B63BD -:0414C500879367E9B9 -:0414C600C5039D4776 -:0414C700468100A7B3 -:0414C8004581460113 -:0414C900988FC0EF49 -:0414CA0021840793DF -:0414CB0003D7C5037B -:0414CC00AA6FC0EF54 -:0414CD0021840793DC -:0414CE00C783470287 -:0414CF00262303D7F6 -:0414D0000D230007E1 -:0414D100676504F750 -:0414D20021870793D4 -:0414D30003D7C583F3 -:0414D4008F63478952 -:0414D500079302F582 -:0414D600C6032187A1 -:0414D700478203E75E -:0414D80005B7C7830A -:0414D90002C786635D -:0414DA00879367E9A4 -:0414DB00C5039D4761 -:0414DC00C19100A713 -:0414DD004782458974 -:0414DE000147C68379 -:0414DF00930FC0EFB8 -:0414E000879367E5A2 -:0414E100C783218715 -:0414E200470203E7D3 -:0414E30004F70DA35A -:0414E40067E547026F -:0414E5002187879341 -:0414E6000407C783AD -:0414E70005D74703DB -:0414E80000F70563A1 -:0414E9000EA3470205 -:0414EA0067E504F7B7 -:0414EB000C07C683A1 -:0414EC0047036765E6 -:0414ED0036330C176F -:0414EE0046B700D02D -:0414EF00A7830002CD -:0414F0008B853C06A6 -:0414F10000F6186386 -:0414F2003C06A7838A -:0414F3008B8D838DCD -:0414F40002E78C631C -:0414F500000247B7F3 -:0414F6003807879399 -:0414F7008B0D43B462 -:0414F8009AF9070E48 -:0414F900C3B48ED119 -:0414FA009A9D43B4C0 -:0414FB00C3B88F558E -:0414FC00C78357B299 -:0414FD00CB89000790 -:0414FE00A02347B22E -:0414FF00C0EF000733 -:04150000450583FF1B -:04150100A56FE0EF03 -:04150200C7834782D2 -:0415030087E301C7B2 -:0415040054373A0717 -:04150500A0EF000A49 -:041506000413D31FD8 -:04150700942ACB84D3 -:04150800D27FA0EFFF -:0415090000857A637C -:04150A00000217B70D -:04150B000D07A7839E -:04150C00001007378D -:04150D00D7ED8FF98E -:04150E00000227B7F9 -:04150F00438043983A -:041510000007A3032A -:0415110043DC43C4B0 -:041512008B05833191 -:0415130000B35313BB -:041514007413C23A50 -:0415150073137FF4D9 -:0415160004B2001308 -:04151700471383D122 -:0415180080B100138B -:041519000FF7F7933E -:04151A000C84369374 -:04151B000003046362 -:04151C0019043693E5 -:04151D00C693460229 -:04151E00460300166A -:04151F001C63012622 -:0415200046127C06ED -:041521007E060263DD -:041522008163C2021D -:0415230046027E06F8 -:0415240009234685CC -:04152500469100D615 -:041526004682C23601 -:041527001C6342946B -:04152800468202D421 -:041529000106C6836E -:04152A0002E69763DB -:04152B0042D44682DE -:04152C00FCE6861340 -:04152D0002C4E163B0 -:04152E000326869377 -:04152F000096ED63D2 -:041530004694468215 -:0415310040D786B366 -:0415320041F6D61395 -:041533008E918EB156 -:041534005C6346218D -:04153500459200D605 -:041536004683460999 -:04153700F363004119 -:04153800468900C51B -:041539000FF6F69320 -:04153A00CABEC2362D -:04153B0055F247C658 -:04153C00851346418C -:04153D00DA3A2187EE -:04153E0010EFD61ABA -:04153F0053324E00D5 -:0415400047D65752E1 -:0415410066E9E51959 -:04154200A5E6C60351 -:041543001C6346855A -:04154400459200D6F6 -:04154500468346098A -:04154600F36300410A -:04154700468900C50C -:041548000FF6F69311 -:041549004686C236DA -:04154A008683460549 -:04154B005C630116C6 -:04154C0085B702D687 -:04154D0041900002C7 -:04154E00826D451550 -:04154F0004638A3D6A -:04155000419002A61E -:0415510006E216FD9B -:041552008A3D826DDF -:041553008C6386E13E -:04155400459200C6F6 -:04155500468346097A -:04155600F3630041FA -:04155700468900C5FC -:041558000FF6F69301 -:041559004682C236CE -:04155A005433C280C4 -:04155B00D433006421 -:04155C00C2C402847F -:04155D008823C69C7D -:04155E00C6C000E61D -:04155F006F00006FAA -:04156000B47947898A -:04156100B469478D95 -:04156200B4594791A0 -:04156300B4494795AB -:04156400BCBD47992A -:04156500BCAD479D35 -:04156600BC9D47A140 -:04156700BC8D47A54B -:041568000713676995 -:041569004683A2977C -:04156A0046370007F9 -:04156B00B793000230 -:04156C000023001642 -:04156D00971300F7D9 -:04156E0027830027A8 -:04156F009BED3C06AE -:0415700020238FD9CC -:0415710047F23CF60B -:041572000007C703A4 -:0415730087A367E9FA -:041574009263A2E7F5 -:04157500C0EF3E067F -:041576004505E66FD2 -:0415770087EFE0EF2B -:04157800B43D47A98E -:04157900C78367E9D4 -:04157A00FBFDA2973C -:04157B003C00061317 -:04157C004537458129 -:04157D00B0EF0002C9 -:04157E0047F280CFE1 -:04157F004437458523 -:04158000C50300029D -:0415810064E9000712 -:04158200C17FC0EF76 -:041583000613665D88 -:0415840045C1EE0669 -:0415850000024537E4 -:04158600213000EF21 -:04158700665D4796C0 -:04158800C806061378 -:041589009D47869361 -:04158A00051345C13F -:04158B0000EF010468 -:04158C00665D1FD0A9 -:04158D00EF0606134C -:04158E00051345C13B -:04158F0000EF020463 -:0415900087931ED04F -:04159100D6839D441C -:0415920007930107B3 -:04159300665D06404B -:0415940002F6F73331 -:04159500F006061343 -:04159600051345C133 -:04159700D6B30304C0 -:0415980000EF02F668 -:04159900665D1C90DF -:04159A00F0C606137E -:04159B00051345C12E -:04159C0000EF040454 -:04159D0087931B9085 -:04159E0086939D444F -:04159F00C7039D449D -:0415A000C68301D726 -:0415A10064DD01C63E -:0415A200F1C48613F7 -:0415A300051345C126 -:0415A40000EF05044B -:0415A500665D1990D6 -:0415A600F2860613B0 -:0415A700051345C122 -:0415A80000EF060446 -:0415A90067E9189046 -:0415AA009D478713BF -:0415AB009D4786933F -:0415AC0001A7570339 -:0415AD000186D6835A -:0415AE00F1C48613EB -:0415AF00051345C11A -:0415B00000EF07043D -:0415B100665D1690CD -:0415B200F3860613A3 -:0415B300051345C116 -:0415B40000EF080438 -:0415B50067E915903D -:0415B6009D478713B3 -:0415B7009D47869333 -:0415B80000E75703EE -:0415B90000C6D6830F -:0415BA00F1C48613DF -:0415BB00051345C10E -:0415BC0000EF09042F -:0415BD00665D1390C4 -:0415BE00F4460613D6 -:0415BF00051345C10A -:0415C00000EF0A042A -:0415C10067E9129034 -:0415C2009D478713A7 -:0415C3009D47879326 -:0415C4000127D683A2 -:0415C5000167570360 -:0415C600F1C48613D3 -:0415C700051345C102 -:0415C80000EF0B0421 -:0415C900665D1090BB -:0415CA00F506061309 -:0415CB00051345C1FE -:0415CC0000EF0E041A -:0415CD0047F20F9042 -:0415CE000513676535 -:0415CF00C6830F04BC -:0415D0004783000746 -:0415D1000713208755 -:0415D200E781208706 -:0415D3000713675D36 -:0415D400665DC74742 -:0415D500C7C606136C -:0415D60000EF45C11C -:0415D70044370D1078 -:0415D800665D00024A -:0415D900F5C606133A -:0415DA00051345C1EF -:0415DB0000EF100409 -:0415DC00665D0BD06D -:0415DD0046854711E7 -:0415DE00F686061374 -:0415DF00051345C1EA -:0415E00000EF110403 -:0415E10007930A90D2 -:0415E20043B83804CE -:0415E3000027671363 -:0415E4002623C3B83F -:0415E50007133C04A8 -:0415E600C3F81FF037 -:0415E700B589C7B843 -:0415E800472247A2AD -:0415E900C793439CC5 -:0415EA00C31C010716 -:0415EB0067E5BD15DE -:0415EC002187879339 -:0415ED000107C783A8 -:0415EE0047014685E6 -:0415EF0000F6E563BA -:0415F000F713078561 -:0415F10064E50FF7A7 -:0415F2002184879336 -:0415F30000E7882362 -:0415F400C78367E959 -:0415F500E7B9A29719 -:0415F6000413646511 -:0415F700400CBE8462 -:0415F80045374641EC -:0415F90010EF0002ED -:0415FA00879324802F -:0415FB00C70321847D -:0415FC00481C01077F -:0415FD0097BA070A88 -:0415FE004641438C93 -:0415FF00000244376B -:0416000002040513C8 -:0416010022A010EF24 -:041602003C042783FA -:041603000027E79342 -:041604003CF420236F -:041605003C04262358 -:041606002223478DC7 -:0416070024233CF468 -:04160800BB7D3C0466 -:04160900C78367E943 -:04160A009713A2A7E9 -:04160B0067E5003758 -:04160C00F447879385 -:04160D00676197BAC0 -:04160E00590707135E -:04160F0091E3439C84 -:04161000C0EFDAE766 -:04161100BB69BFAF43 -:04161200879367E56E -:04161300C7832187E1 -:0416140046850117EF -:04161500E563470141 -:04161600078500F64E -:041617000FF7F713BF -:04161800879364E56B -:0416190088A32184FD -:04161A0067E900E795 -:04161B00A297C78348 -:04161C006465FBD531 -:04161D00BE84041370 -:04161E004641506C85 -:04161F000002453749 -:041620001AE010EFCD -:041621002184879306 -:041622000117C703E2 -:04162300B79D587C9B -:04162400879367E55C -:0416250046D92187FA -:041626000157C7831E -:0416270004D714636D -:0416280017FDC78162 -:041629000FF7F7932D -:04162A0007136765D6 -:04162B000AA3218766 -:04162C0067E900F773 -:04162D00A297C78336 -:04162E006465F7B543 -:04162F00BE8404135E -:041630004641484C9B -:041631000002453737 -:04163200166010EF3F -:0416330007136765CD -:04163400505C21875E -:041635000157450311 -:0416360065E597824D -:041637008593464110 -:04163800BF29188529 -:04163900873E46B9E9 -:04163A0000F6F36360 -:04163B0067E54739DF -:04163C008793070584 -:04163D008AA32187D4 -:04163E00BF6500E79D -:04163F00656565DD9B -:041640008593464503 -:041641000513F80590 -:0416420010EF174549 -:041643006465124088 -:04164400464565DDD5 -:04164500F90585938B -:0416460018840513EC -:04164700112010EF6F -:04164800000247371E -:041649003C072783B0 -:04164A0064E5450509 -:04164B000047E793DA -:04164C003CF7202324 -:04164D00D09FC0EF7B -:04164E0025C4849398 -:04164F00000217B7C7 -:041650000D07A703D8 -:0416510017FD67C159 -:0416520067E58F7D3C -:0416530019C7879399 -:04165400466D468118 -:041655000007D58332 -:0416560000B7066370 -:041657000789068574 -:04165800FEC69AE34D -:0416590007134782AA -:04165A00878302C0C0 -:04165B0087B3011739 -:04165C00472902E731 -:04165D00C78397A602 -:04165E006463024778 -:04165F00471102F736 -:0416600006D7426304 -:04166100973E09386F -:04166200FC474703F7 -:0416630040D75733E2 -:04166400C7158B0516 -:041665000938078AAF -:04166600A78397BA05 -:041667008023FD4798 -:0416680067E900D757 -:04166900A20784A3AD -:04166A0000024737FC -:04166B003C0727838E -:04166C0020239BEDAF -:04166D0045013CF700 -:04166E00D31FC0EFD7 -:04166F00665DB115EE -:0416700006130685D2 -:0416710045C5F9C6AC -:0416720018840513C0 -:0416730065E000EF3F -:04167400C0EF450579 -:04167500A537C6BF10 -:041676000513000751 -:04167700A0EF1205C9 -:04167800B7C1F20FF5 -:041679008EE347B104 -:04167A006509FAF60E -:04167B0071050513DD -:04167C00F0EFA0EFFC -:04167D0067E9B7A1C1 -:04167E00A2C7C783B5 -:04167F00BE0782E33D -:041680000613478284 -:04168100646502C0DA -:041682000117868343 -:0416830025C40793E0 -:0416840025C4041362 -:0416850002C68633E0 -:04168600466597B26C -:041687000207C7830C -:0416880008C71B6311 -:04168900C781467D52 -:04168A00F61317FD3F -:04168B0007130FF73B -:04168C00873302C0DE -:04168D00079302E6D7 -:04168E0087B302C05C -:04168F00972202F6A6 -:0416900002C700236A -:04169100C50397A254 -:0416920067E90207FB -:04169300A5F7C7836D -:0416940000D7956383 -:041695009A2367E944 -:04169600B0EFA4A766 -:0416970067E9CFEF41 -:04169800A297C783CB -:0416990067E1EFB95D -:04169A007EC7A583DF -:04169B004537464148 -:04169C0000EF000259 -:04169D0047827BD035 -:04169E0002C007136C -:04169F008783668D4A -:0416A0008693011715 -:0416A100665DBF269D -:0416A20002E787B321 -:0416A300C54606131F -:0416A400943E45C566 -:0416A5000204478371 -:0416A600051364655F -:0416A70087B3188469 -:0416A800069302D7CC -:0416A900C6B33E8006 -:0416AA0000EF02D774 -:0416AB00464158005C -:0416AC001884059306 -:0416AD004779B3A125 -:0416AE006AE34601A4 -:0416AF000785F6F7BE -:0416B00067E9B7AD82 -:0416B100A2A7C783A2 -:0416B2000037971353 -:0416B300879367E5CD -:0416B40097BAF447A6 -:0416B500071367614F -:0416B600B38D550794 -:0416B7004301448522 -:0416B80065DD67E5A0 -:0416B9001747851337 -:0416BA008593464589 -:0416BB00D61AFAC57C -:0416BC0073F000EFD8 -:0416BD0046A95332B5 -:0416BE0006B3479593 -:0416BF00873602D395 -:0416C00000D7D36319 -:0416C1006465471500 -:0416C2000725665D35 -:0416C300FBC6061349 -:0416C400051345C500 -:0416C500D61A188495 -:0416C600512000EFC0 -:0416C700000247379F -:0416C8003C07278331 -:0416C900E793450559 -:0416CA002023004792 -:0416CB00C0EF3CF739 -:0416CC001737B0FF1D -:0416CD002283000272 -:0416CE0067410D075C -:0416CF00177D87A656 -:0416D000F4B35332EA -:0416D100EF9900E2AB -:0416D20067E5CC916B -:0416D30019C7871399 -:0416D400478146ED17 -:0416D50000075603B1 -:0416D60000C48D635C -:0416D7000709078573 -:0416D800FED79AE3BC -:0416D9000513650987 -:0416DA00D61A7105A6 -:0416DB00D92FA0EF74 -:0416DC004725B7C91E -:0416DD0000E7886337 -:0416DE00136347113A -:0416DF004725000398 -:0416E00002E7DA63E0 -:0416E1000785472909 -:0416E20002E7E7B381 -:0416E30002E30333E8 -:0416E400979A676901 -:0416E500A2F707A3BE -:0416E600909FC0EF22 -:0416E70066DDC901F2 -:0416E800E9468693B6 -:0416E9000613665D21 -:0416EA00BD31C80640 -:0416EB00869366DD9F -:0416EC00BFCDC2C6E6 -:0416ED009563476951 -:0416EE00431300E7BB -:0416EF00B70D001320 -:0416F00091E347310A -:0416F100BBF1FAE768 -:0416F200C70367E9DA -:0416F3004792A297E1 -:0416F400C4070063C4 -:0416F50047A9B4311C -:0416F600C44FF06F7E -:0416F700F2634695BF -:0416F800468944F6E5 -:0416F90000D618639C -:0416FA00FFA786932D -:0416FB000FF6F6935D -:0416FC0042D5F96377 -:0416FD004689575271 -:0416FE00002344057C -:0416FF00460900D7C1 -:04170000D60FF06FA1 -:041701004605440D48 -:04170200D58FF06F20 -:041703008D63459D10 -:04170400458900B75C -:0417050000B4802389 -:04170600D74FF06F5A -:0417070000F4802347 -:04170800D6CFF06FD9 -:04170900B7FD458D56 -:04170A00B7ED45955D -:04170B008DD1061660 -:04170C000FF5F5934D -:04170D000045E5931B -:04170E00D74FF06F52 -:04170F0005934539C0 -:04171000B0EF05B081 -:041711000513AD4FC0 -:04171200F06F3E80B6 -:04171300E793E16F08 -:04171400F06F40072B -:041715004612E4AFE5 -:041716009263C219FF -:0417170046022C0654 -:04171800000609239B -:04171900C232461181 -:04171A00820699E3C7 -:04171B00465D47825E -:04171C0002D78593D8 -:04171D00879367E562 -:04171E008513218787 -:04171F0000EF0107CF -:04172000C90955D0CE -:0417210047834712A1 -:04172200E31100418E -:04172300F79347856C -:04172400C23E0FF7BB -:04172500879367E55A -:04172600C403218750 -:04172700478202777C -:041728000447C78328 -:0417290000878D6345 -:04172A00B0EF454196 -:04172B00891DA22F43 -:04172C008C49040ED2 -:04172D000FF47593AD -:04172E00B0EF454192 -:04172F0067E5A5CFF6 -:0417300021878793F3 -:041731000287C583E3 -:04173200C7834782A0 -:04173300866304576E -:04173400051300B7E2 -:04173500B0EF03D03E -:0417360067E5A40FB0 -:0417370021878793EC -:041738000297C583CC +:0412E500453D4581BD +:0412E6008FF77713F4 +:0412E7003007671352 +:0412E80043B8C3B88C +:0412E900646964E9E7 +:0412EA00001767136F +:0412EB0043B8C3B889 +:0412EC0067139B1DCC +:0412ED00C3B80087FB +:0412EE008F7143B801 +:0412EF008F51662194 +:0412F000D0EFC3B8C0 +:0412F1006769E78FB3 +:0412F200A8E7450321 +:0412F300D0EF458172 +:0412F4008793E6CF27 +:0412F50065699F4444 +:0412F6000007A0232A +:0412F7000007A22327 +:0412F8000007A42324 +:0412F9000007A62321 +:0412FA00000798232E +:0412FB0002C0061314 +:0412FC000513458110 +:0412FD00B0EFA08529 +:0412FE0065E1A0DF27 +:0412FF001B85859333 +:0413000002C006130E +:04130100A3440513E9 +:041302009BFFB0EFAE +:04130300A344079365 +:0413040080A346116B +:04130500460D02C7C8 +:0413060002C7812376 +:04130700CF7FB0EFF5 +:04130800C0EF4501EC +:0413090017B79CDF97 +:04130A00A603000234 +:04130B0062E50D0783 +:04130C00000207B71D +:04130D0016828713AA +:04130E0066658FF190 +:04130F000713C03AC6 +:04131000DE3A185653 +:0413110007136769EE +:04131200CE3AA8E740 +:041313009F44871359 +:041314006769C0BA8B +:04131500A90707130A +:041316006769C63A03 +:04131700A78707138A +:041318006769D03AF7 +:0413190066E16365C1 +:04131A00A7C7071347 +:04131B00CA36D81ADC +:04131C00D23AC2A25D +:04131D0014079263BC +:04131E00871366E1EA +:04131F004481CB0634 +:041320006465C83AFE +:04132100464565DDFB +:04132200EB8585933F +:041323001D4405134D +:0413240010EFCC3EBC +:0413250047C25B90D0 +:041326000024969376 +:0413270096BE6565A4 +:041328004645428C68 +:041329001E85051305 +:04132A005A3010EF36 +:04132B00000246373F +:04132C003C062683D2 +:04132D00E6934505F9 +:04132E002023004632 +:04132F00D0EF3CD6E9 +:0413300047E299BF38 +:0413310016374681A4 +:04133200250300028D +:0413330067410D06FB +:0413340075B3177DF9 +:04133500473200E556 +:041336000003033776 +:041337002603C30CBA +:0413380057020D0645 +:04133900FFF6461362 +:04133A0000667633A0 +:04133B00C985C3108D +:04133C0002F58763CC +:04133D00879367E546 +:04133E0096131FC71C +:04133F0097B200144D +:0413400065DDEAADD0 +:0413410000A790234E +:041342008593464504 +:041343000513EC059D +:0413440010EF1D4445 +:041345004505539077 +:04134600941FD0EF31 +:0413470057824685FE +:041348000007A303F4 +:04134900439C5792D8 +:04134A0067C1E39DF7 +:04134B0002F3116335 +:04134C0047D2E0BDE7 +:04134D000360061320 +:04134E008593CC1A9D +:04134F0057C2D1C7E9 +:04135000851344EDD0 +:04135100B0EF1FC713 +:041352004362881F4B +:04135300571246895E +:04135400460947B24D +:0413550000672023EA +:04135600439CD436AA +:0413570004C68063E5 +:04135800051365090B +:04135900CC3E710510 +:04135A00B96FB0EFC8 +:04135B0056A247E26D +:04135C00D783BF99DB +:04135D0046890007B6 +:04135E00FAF583E336 +:04135F00464565DDBD +:04136000EC85859300 +:041361001D4405130F +:041362004C3010EF0C +:04136300D0EF45057D +:0413640046818CBF73 +:0413650014F9B76957 +:04136600BF554689A0 +:0413670046E90485CA +:04136800EE96D1E349 +:04136900D0EF453D3F +:04136A0047379F6FF3 +:04136B0027830002D2 +:04136C009BED3C07B2 +:04136D003CF7202306 +:04136E0064E5479259 +:04136F00D513665DCF +:04137000079300A738 +:0413710007B312C0EC +:04137200471102F528 +:041373000613468592 +:0413740045C5ED86F8 +:041375002344851375 +:04137600DC3E646590 +:0413770026A010EFAD +:04137800464565DDA4 +:04137900FF05859354 +:04137A0024840513AF +:04137B00FDAFB0EF23 +:04137C00D0EF450564 +:04137D00A537913FC0 +:04137E00051300074C +:04137F00B0EF1205B4 +:04138000B0EFB00F0B +:041381004799B20FC7 +:04138200CA02CC3E91 +:04138300D402C802C6 +:04138400C6A2C4A693 +:04138500000217B794 +:041386000D07A78325 +:04138700674146B2C2 +:041388008F7D177DC1 +:04138900C693C298AD +:04138A000737FFF72B +:04138B008F75000357 +:04138C00D61356829C +:04138D0087B201879B +:04138E0066E9C298B2 +:04138F00A946869352 +:041390001679CA19E7 +:041391000FF67613CA +:04139200F663458D2C +:04139300C60300C5C8 +:0413940015630006D7 +:04139500463200F6E6 +:04139600000620230A +:0413970000F68023B9 +:04139800439C579289 +:041399005792EFD5A3 +:04139A006469C39827 +:04139B00A76404132C +:04139C00000447837F +:04139D000E0786634E +:04139E00C783478238 +:04139F00816301C79E +:0413A00047820E076B +:0413A100470367692E +:0413A200C783A897BE +:0413A3008FD90127B6 +:0413A4000FF7F793B5 +:0413A500B0EFE7F1CD +:0413A60057E2AB0F50 +:0413A7000CF562637C +:0413A800079347520E +:0413A900ED630630BA +:0413AA0046830AE785 +:0413AB00478500046E +:0413AC008763470507 +:0413AD0047E200F61D +:0413AE00FFA78713FB +:0413AF0000E03733F0 +:0413B00046A1478289 +:0413B10001C7C78326 +:0413B200F79317FD99 +:0413B300E7630FF7E6 +:0413B40066DD06F6F6 +:0413B5000106869314 +:0413B60097B6078A55 +:0413B70067E943940B +:0413B800AA47879326 +:0413B90056F98682D9 +:0413BA006565665DA2 +:0413BB00EEC6061361 +:0413BC00051345C50B +:0413BD0010EF2345C5 +:0413BE0065DD1500D4 +:0413BF0046456565D5 +:0413C000C14585930B +:0413C1002485051367 +:0413C200343010EFC4 +:0413C300D0EF45051D +:0413C400A001FF6F16 +:0413C500BFC956F551 +:0413C600B7F956F12C +:0413C700B7E956FD2F +:0413C800C3985792DD +:0413C900A023578284 +:0413CA00B7810007E0 +:0413CB004703676904 +:0413CC003733A747C5 +:0413CD00070900E02C +:0413CE0000E7802391 +:0413CF00476247D258 +:0413D000F793078503 +:0413D100CA3E0FF70A +:0413D20007634799CD +:0413D300079300F785 +:0413D400F793001774 +:0413D500CC3E0FF704 +:0413D6009CAFB0EF29 +:0413D700C83E478540 +:0413D800646508BC84 +:0413D9000793D6BEE2 +:0413DA0087132784CA +:0413DB00DCBA001761 +:0413DC00002787134C +:0413DD00C13ADEBA79 +:0413DE00871365DD2F +:0413DF00D8BE00373D +:0413E000C33ADABE74 +:0413E100C53A079171 +:0413E20008B8462DD4 +:0413E30000458593A9 +:0413E400C73A08E814 +:0413E500CB3AC93EF8 +:0413E60004010C23CF +:0413E700E2AFB0EFD2 +:0413E800468547826D +:0413E900C78347254A +:0413EA00C8A201C7CD +:0413EB008663C2361D +:0413EC00078500E78A +:0413ED000FF7F7936C +:0413EE0047B2C23E02 +:0413EF0045E9470184 +:0413F00057C243900D +:0413F1001FC78793F8 +:0413F2000007D68397 +:0413F30004D61A639F +:0413F400E56347E97D +:0413F50066DD62E768 +:0413F6000027179322 +:0413F7000346869390 +:0413F800439C97B6C5 +:0413F900468587821C +:0413FA006765E71923 +:0413FB00110746830D +:0413FC000016B6938E +:0413FD0080230691B2 +:0413FE00B78900D7D4 +:0413FF00DF654699C7 +:041400000007C68398 +:04140100471DBFCDF7 +:041402006769BF0552 +:04140300A75747039D +:0414040000E037339A +:04140500B70D0721F7 +:04140600FF71469993 +:04140700BFE1468576 +:0414080008E3078965 +:0414090007053CB7E0 +:04140A004785B74516 +:04140B0046A247228C +:04140C004713431827 +:04140D00C29810076A +:04140E0066C157025A +:04140F008EF94318F7 +:041410004792C291AC +:04141100000206B718 +:04141200C3158F75FA +:0414130007136765EF +:0414140047032787DC +:041415004605010780 +:041416006563468143 +:04141700070500E6DF +:041418000FF77693C1 +:0414190007136765E9 +:04141A0008232787F5 +:04141B00646900D729 +:04141C00C202472998 +:04141D00AA440413C6 +:04141E0000E78663FA +:04141F0000F40023B2 +:04142000C23E4785FC +:04142100439C47A2FF +:04142200FF37F71386 +:04142300C39847A281 +:04142400C68367E92B +:041425008793A8976A +:04142600D63EA8976F +:0414270067E9EA8106 +:04142800A887C78347 +:041429008FD9078AC6 +:04142A00C31C472276 +:04142B00439847A2F9 +:04142C00000217B7EC +:04142D000EE7A02303 +:04142E00C78357B267 +:04142F00C78100076A +:04143000E0EF4501A3 +:041431004782DB4FC4 +:041432000004470368 +:0414330001C7C783A3 +:0414340000E78463E6 +:04143500E79947925A +:04143600CF8547C255 +:04143700C78347829E +:04143800CB85012738 +:04143900C78367E915 +:04143A00C83EA8D729 +:04143B0067E5C39D01 +:04143C001C878793EF +:04143D00C50397BA92 +:04143E0047F200076A +:04143F00C783C80295 +:0414400088630007B6 +:0414410047F200A7C7 +:04144200802345813D +:04144300D0EF00A73F +:04144400470292CFFA +:0414450000044783D5 +:0414460001C7468311 +:04144700049367693A +:041448009163AA679B +:04144900C60302F6DE +:04144A0046890004CB +:04144B001EC6F8635E +:04144C0007136765B6 +:04144D0046032787A4 +:04144E004702040746 +:04144F0005D74683F4 +:041450001CD60E6335 +:0414510007136469B0 +:0414520002A3AA54F3 +:041453008023AA0444 +:04145400468D0004BD +:04145500F5E3DA3AA7 +:0414560067652CF6A4 +:0414570027870713C9 +:04145800040746033C +:0414590019E34585C9 +:04145A00859328B698 +:04145B00F593FFA75F +:04145C00440D0FF537 +:04145D0000B67463FE +:04145E006C10006F9F +:04145F00FFF785937B +:041460000FF5F5137C +:04146100E16345A15D +:0414620065E102A599 +:04146300BC4585936C +:04146400C70395AA7B +:0414650045990005A0 +:0414660083E3D43A0E +:04146700E5E32AB7D8 +:04146800458528F599 +:0414690028B78AE333 +:04146A00CAB24702B9 +:04146B0000F70E2355 +:04146C000007092349 +:04146D00F93FB0EFA4 +:04146E00478946560E +:04146F000D857593DF +:0414700028F614E363 +:041471000015E593EA +:04147200CAAE4505B4 +:04147300CD9FB0EF6A +:04147400450945D60B +:04147500CD1FB0EFE8 +:04147600450D45D605 +:04147700CC9FB0EF67 +:04147800F24FC0EF80 +:04147900BF3FB0EFD2 +:04147A00C78367E9D4 +:04147B00C385A8B7C6 +:04147C0095B345855A +:04147D00E59300856E +:04147E00F5930405D9 +:04147F0045190FF507 +:04148000B0EFCAAE51 +:0414810045D6C63F47 +:04148200B0EF451D65 +:0414830057D2C5BFB8 +:041484000004C40399 +:04148500C683458154 +:04148600478900078B +:041487000087E66391 +:041488000064159354 +:0414890085E105E212 +:04148A00002697138E +:04148B0000469793ED +:04148C008FD58FD990 +:04148D00F5938DDD69 +:04148E0045650FF5AC +:04148F00CF3FB0EFAC +:04149000B0EF454133 +:041491005722CA3FD5 +:041492007593478D7A +:0414930014630F854A +:04149400E59300F7E5 +:041495004541005578 +:04149600CD7FB0EF67 +:04149700FDE34789A1 +:0414980047911E87D3 +:041499000520059392 +:04149A000087F46370 +:04149B000530059380 +:04149C00B0EF45392F +:04149D006561CBDFDB +:04149E006A050513C3 +:04149F00E83FA0EF93 +:0414A000B0EF455113 +:0414A1005722C63FC9 +:0414A20045C1478D6C +:0414A30000F70363E8 +:0414A40045614581D8 +:0414A500C9BFB0EF1C +:0414A6000513458164 +:0414A700B0EF03603F +:0414A8004782C91F8F +:0414A900470556A2FB +:0414AA000007A6236E +:0414AB00439C47A275 +:0414AC00BFF7F793FC +:0414AD001AE68BE3CD +:0414AE004645472246 +:0414AF0047A2C31C71 +:0414B00017B743988F +:0414B100A023000272 +:0414B20047820EE778 +:0414B30001C7C78323 +:0414B4000027971363 +:0414B500879367E1D1 +:0414B60097BABD071D +:0414B70047A6438C75 +:0414B800234785132E +:0414B900766010EF5A +:0414BA0065DD47B6EF +:0414BB00851346450A +:0414BC008593248769 +:0414BD0010EFFE45E9 +:0414BE00450575402B +:0414BF00C08FD0EF1B +:0414C000CF894792F7 +:0414C100C70367E90D +:0414C20047A9A80787 +:0414C30000F71563B6 +:0414C400C0EF453DF3 +:0414C500A0EFC8BF0D +:0414C600CA02E0DF97 +:0414C70007936465BE +:0414C800C7032784AB +:0414C900478203D77C +:0414CA0005A7C78328 +:0414CB0002F70B63B6 +:0414CC00879367E9B2 +:0414CD00C503A34769 +:0414CE00468100A7AC +:0414CF00458146010C +:0414D000984FC0EF82 +:0414D10027840793D2 +:0414D20003D7C50374 +:0414D300AA2FC0EF8D +:0414D40027840793CF +:0414D500C783470280 +:0414D600262303D7EF +:0414D7000D230007DA +:0414D800676504F749 +:0414D90027870793C7 +:0414DA0003D7C583EC +:0414DB008F6347894B +:0414DC00079302F57B +:0414DD00C603278794 +:0414DE00478203E757 +:0414DF0005B7C78303 +:0414E00002C7866356 +:0414E100879367E99D +:0414E200C503A34754 +:0414E300C19100A70C +:0414E400478245896D +:0414E5000147C68372 +:0414E60092CFC0EFF2 +:0414E700879367E59B +:0414E800C783278708 +:0414E900470203E7CC +:0414EA0004F70DA353 +:0414EB0067E5470268 +:0414EC002787879334 +:0414ED000407C783A6 +:0414EE0005D74703D4 +:0414EF0000F705639A +:0414F0000EA34702FE +:0414F10067E504F7B0 +:0414F2001207C68394 +:0414F30047036765DF +:0414F4003633121762 +:0414F50046B700D026 +:0414F600A7830002C6 +:0414F7008B853C069F +:0414F80000F618637F +:0414F9003C06A78383 +:0414FA008B8D838DC6 +:0414FB0002E78C6315 +:0414FC00000247B7EC +:0414FD003807879392 +:0414FE008B0D43B45B +:0414FF009AF9070E41 +:04150000C3B48ED111 +:041501009A9D43B4B8 +:04150200C3B88F5586 +:04150300C78357B291 +:04150400CB89000788 +:04150500A02347B226 +:04150600C0EF00072B +:04150700450583DF34 +:04150800A56FE0EFFC +:04150900C7834782CB +:04150A0087E301C7AB +:04150B0054373A0710 +:04150C00A0EF000A42 +:04150D000413D15F93 +:04150E00942ACB84CC +:04150F00D0BFA0EFBA +:0415100000857A6375 +:04151100000217B706 +:041512000D07A78397 +:041513000010073786 +:04151400D7ED8FF987 +:04151500000227B7F2 +:041516004380439833 +:041517000007A30323 +:0415180043DC43C4A9 +:041519008B0583318A +:04151A0000B35313B4 +:04151B007413C23A49 +:04151C0073137FF4D2 +:04151D0004B2001301 +:04151E00471383D11B +:04151F0080B1001384 +:041520000FF7F79337 +:041521000C8436936D +:04152200000304635B +:0415230019043693DE +:04152400C693460222 +:041525004603001663 +:041526001C6301261B +:0415270046127C06E6 +:041528007E060263D6 +:041529008163C20216 +:04152A0046027E06F1 +:04152B0009234685C5 +:04152C00469100D60E +:04152D004682C236FA +:04152E001C63429464 +:04152F00468202D41A +:041530000106C68367 +:0415310002E69763D4 +:0415320042D44682D7 +:04153300FCE6861339 +:0415340002C4E163A9 +:041535000326869370 +:041536000096ED63CB +:04153700469446820E +:0415380040D786B35F +:0415390041F6D6138E +:04153A008E918EB14F +:04153B005C63462186 +:04153C00459200D6FE +:04153D004683460992 +:04153E00F363004112 +:04153F00468900C514 +:041540000FF6F69319 +:04154100CABEC23626 +:0415420055F247C651 +:041543008513464185 +:04154400DA3A2787E1 +:0415450010EFD61AB3 +:0415460053324E00CE +:0415470047D65752DA +:0415480066E9E51952 +:04154900ABE6C60344 +:04154A001C63468553 +:04154B00459200D6EF +:04154C004683460983 +:04154D00F363004103 +:04154E00468900C505 +:04154F000FF6F6930A +:041550004686C236D3 +:041551008683460542 +:041552005C630116BF +:0415530085B702D680 +:0415540041900002C0 +:04155500826D451549 +:0415560004638A3D63 +:04155700419002A617 +:0415580006E216FD94 +:041559008A3D826DD8 +:04155A008C6386E137 +:04155B00459200C6EF +:04155C004683460973 +:04155D00F3630041F3 +:04155E00468900C5F5 +:04155F000FF6F693FA +:041560004682C236C7 +:041561005433C280BD +:04156200D43300641A +:04156300C2C4028478 +:041564008823C69C76 +:04156500C6C000E616 +:041566006F00006FA3 +:04156700B479478983 +:04156800B469478D8E +:04156900B459479199 +:04156A00B4494795A4 +:04156B00BCBD479923 +:04156C00BCAD479D2E +:04156D00BC9D47A139 +:04156E00BC8D47A544 +:04156F00071367698E +:041570004683A8976F +:0415710046370007F2 +:04157200B793000229 +:04157300002300163B +:04157400971300F7D2 +:0415750027830027A1 +:041576009BED3C06A7 +:0415770020238FD9C5 +:0415780047F23CF604 +:041579000007C7039D +:04157A0087A367E9F3 +:04157B009263A8E7E8 +:04157C00C0EF3E0678 +:04157D004505E64FEB +:04157E0087EFE0EF24 +:04157F00B43D47A987 +:04158000C78367E9CD +:04158100FBFDA8972F +:041582003C00061310 +:041583004537458122 +:04158400A0EF0002D2 +:0415850047F2FF1F0B +:04158600443745851C +:04158700C503000296 +:0415880064E900070B +:04158900C17FC0EF6F +:04158A000613665D81 +:04158B0045C1EFC6A1 +:04158C0000024537DD +:04158D00213000EF1A +:04158E00665D4796B9 +:04158F00C9C60613B0 +:04159000A347869354 +:04159100051345C138 +:0415920000EF010461 +:04159300665D1FD0A2 +:04159400F0C6061384 +:04159500051345C134 +:0415960000EF02045C +:0415970087931ED048 +:04159800D683A3440F +:0415990007930107AC +:04159A00665D064044 +:04159B0002F6F7332A +:04159C00F1C606137B +:04159D00051345C12C +:04159E00D6B30304B9 +:04159F0000EF02F661 +:0415A000665D1C90D8 +:0415A100F2860613B5 +:0415A200051345C127 +:0415A30000EF04044D +:0415A40087931B907E +:0415A5008693A34442 +:0415A600C703A34490 +:0415A700C68301D71F +:0415A80064DD01C637 +:0415A900F38486132E +:0415AA00051345C11F +:0415AB0000EF050444 +:0415AC00665D1990CF +:0415AD00F4460613E7 +:0415AE00051345C11B +:0415AF0000EF06043F +:0415B00067E918903F +:0415B100A3478713B2 +:0415B200A347869332 +:0415B30001A7570332 +:0415B4000186D68353 +:0415B500F384861322 +:0415B600051345C113 +:0415B70000EF070436 +:0415B800665D1690C6 +:0415B900F5460613DA +:0415BA00051345C10F +:0415BB0000EF080431 +:0415BC0067E9159036 +:0415BD00A3478713A6 +:0415BE00A347869326 +:0415BF0000E75703E7 +:0415C00000C6D68308 +:0415C100F384861316 +:0415C200051345C107 +:0415C30000EF090428 +:0415C400665D1390BD +:0415C500F60606130D +:0415C600051345C103 +:0415C70000EF0A0423 +:0415C80067E912902D +:0415C900A34787139A +:0415CA00A347879319 +:0415CB000127D6839B +:0415CC000167570359 +:0415CD00F38486130A +:0415CE00051345C1FB +:0415CF0000EF0B041A +:0415D000665D1090B4 +:0415D100F6C6061341 +:0415D200051345C1F7 +:0415D30000EF0E0413 +:0415D40047F20F903B +:0415D500051367652E +:0415D600C6830F04B5 +:0415D700478300073F +:0415D8000713268748 +:0415D900E7812687F9 +:0415DA000713675D2F +:0415DB00665DC90779 +:0415DC00C9860613A3 +:0415DD0000EF45C115 +:0415DE0044370D1071 +:0415DF00665D000243 +:0415E000F786061371 +:0415E100051345C1E8 +:0415E20000EF100402 +:0415E300665D0BD066 +:0415E40046854711E0 +:0415E500F8460613AB +:0415E600051345C1E3 +:0415E70000EF1104FC +:0415E80007930A90CB +:0415E90043B83804C7 +:0415EA00002767135C +:0415EB002623C3B838 +:0415EC0007133C04A1 +:0415ED00C3F81FF030 +:0415EE00B589C7B83C +:0415EF00472247A2A6 +:0415F000C793439CBE +:0415F100C31C01070F +:0415F20067E5BD15D7 +:0415F300278787932C +:0415F4000107C783A1 +:0415F50047014685DF +:0415F60000F6E563B3 +:0415F700F71307855A +:0415F80064E50FF7A0 +:0415F9002784879329 +:0415FA0000E788235B +:0415FB00C78367E952 +:0415FC00E7B9A8970C +:0415FD00041364650A +:0415FE00400CC204D7 +:0415FF0045374641E5 +:0416000010EF0002E5 +:041601008793248027 +:04160200C70327846F +:04160300481C010777 +:0416040097BA070A80 +:041605004641438C8B +:041606000002443763 +:0416070002040513C1 +:0416080022A010EF1D +:041609003C042783F3 +:04160A000027E7933B +:04160B003CF4202368 +:04160C003C04262351 +:04160D002223478DC0 +:04160E0024233CF461 +:04160F00BB7D3C045F +:04161000C78367E93C +:041611009713A8A7DC +:0416120067E5003751 +:04161300FA47879378 +:04161400676197BAB9 +:041615005C870713D4 +:0416160091E3439C7D +:04161700C0EFDAE75F +:04161800BB69BF8F5C +:04161900879367E567 +:04161A00C7832787D4 +:04161B0046850117E8 +:04161C00E56347013A +:04161D00078500F647 +:04161E000FF7F713B8 +:04161F00879364E564 +:0416200088A32784F0 +:0416210067E900E78E +:04162200A897C7833B +:041623006465FBD52A +:04162400C2040413E5 +:041625004641506C7E +:041626000002453742 +:041627001AE010EFC6 +:0416280027848793F9 +:041629000117C703DB +:04162A00B79D587C94 +:04162B00879367E555 +:04162C0046D92787ED +:04162D000157C78317 +:04162E0004D7146366 +:04162F0017FDC7815B +:041630000FF7F79326 +:0416310007136765CF +:041632000AA3278759 +:0416330067E900F76C +:04163400A897C78329 +:041635006465F7B53C +:04163600C2040413D3 +:041637004641484C94 +:041638000002453730 +:04163900166010EF38 +:04163A0007136765C6 +:04163B00505C278751 +:04163C00015745030A +:04163D0065E5978246 +:04163E008593464109 +:04163F00BF291E851C +:04164000873E46B9E2 +:0416410000F6F36359 +:0416420067E54739D8 +:04164300879307057D +:041644008AA32787C7 +:04164500BF6500E796 +:04164600656565DD94 +:0416470085934645FC +:041648000513F9C5C8 +:0416490010EF1D453C +:04164A006465124081 +:04164B00464565DDCE +:04164C00FAC58593C3 +:04164D001E840513DF +:04164E00112010EF68 +:04164F000002473717 +:041650003C072783A9 +:0416510064E5450502 +:041652000047E793D3 +:041653003CF720231D +:04165400D09FC0EF74 +:041655002BC484938B +:04165600000217B7C0 +:041657000D07A703D1 +:0416580017FD67C152 +:0416590067E58F7D35 +:04165A001FC787938C +:04165B00466D468111 +:04165C000007D5832B +:04165D0000B7066369 +:04165E00078906856D +:04165F00FEC69AE346 +:0416600007134782A3 +:04166100878302C0B9 +:0416620087B3011732 +:04166300472902E72A +:04166400C78397A6FB +:041665006463024771 +:04166600471102F72F +:0416670006D74263FD +:04166800973E093868 +:04166900FC474703F0 +:04166A0040D75733DB +:04166B00C7158B050F +:04166C000938078AA8 +:04166D00A78397BAFE +:04166E008023FD4791 +:04166F0067E900D750 +:04167000A80784A3A0 +:0416710000024737F5 +:041672003C07278387 +:0416730020239BEDA8 +:0416740045013CF7F9 +:04167500D31FC0EFD0 +:04167600665DB115E7 +:0416770006130685CB +:0416780045C5FB86E3 +:041679001E840513B3 +:04167A0065E000EF38 +:04167B00C0EF450572 +:04167C00A537C6BF09 +:04167D00051300074A +:04167E00A0EF1205C2 +:04167F00B7C1F04FB0 +:041680008EE347B1FD +:041681006509FAF607 +:0416820071050513D6 +:04168300EF2FA0EFB6 +:0416840067E9B7A1BA +:04168500A8C7C783A8 +:04168600BE0782E336 +:04168700061347827D +:04168800646502C0D3 +:04168900011786833C +:04168A002BC40793D3 +:04168B002BC4041355 +:04168C0002C68633D9 +:04168D00466597B265 +:04168E000207C78305 +:04168F0008C71B630A +:04169000C781467D4B +:04169100F61317FD38 +:0416920007130FF734 +:04169300873302C0D7 +:04169400079302E6D0 +:0416950087B302C055 +:04169600972202F69F +:0416970002C7002363 +:04169800C50397A24D +:0416990067E90207F4 +:04169A00ABF7C78360 +:04169B0000D795637C +:04169C009A2367E93D +:04169D00B0EFAAA759 +:04169E0067E9CFAF7A +:04169F00A897C783BE +:0416A00067E5EFB952 +:0416A1008247A58354 +:0416A2004537464141 +:0416A30000EF000252 +:0416A40047827BD02E +:0416A50002C0071365 +:0416A6008783668D43 +:0416A700869301170E +:0416A800665DBF2696 +:0416A90002E787B31A +:0416AA00C706061356 +:0416AB00943E45C55F +:0416AC00020447836A +:0416AD000513646558 +:0416AE0087B31E845C +:0416AF00069302D7C5 +:0416B000C6B33E80FF +:0416B10000EF02D76D +:0416B2004641580055 +:0416B3001E840593F9 +:0416B4004779B3A11E +:0416B5006AE346019D +:0416B6000785F6F7B7 +:0416B70067E9B7AD7B +:0416B800A8A7C78395 +:0416B900003797134C +:0416BA00879367E5C6 +:0416BB0097BAFA4799 +:0416BC000713676148 +:0416BD00B38D58870A +:0416BE00430144851B +:0416BF0065DD67E599 +:0416C0001D4785132A +:0416C1008593464582 +:0416C200D61AFC85B3 +:0416C30073F000EFD1 +:0416C40046A95332AE +:0416C50006B347958C +:0416C600873602D38E +:0416C70000D7D36312 +:0416C80064654715F9 +:0416C9000725665D2E +:0416CA00FD86061380 +:0416CB00051345C5F9 +:0416CC00D61A1E8488 +:0416CD00512000EFB9 +:0416CE000002473798 +:0416CF003C0727832A +:0416D000E793450552 +:0416D100202300478B +:0416D200C0EF3CF732 +:0416D3001737B0FF16 +:0416D400228300026B +:0416D50067410D0755 +:0416D600177D87A64F +:0416D700F4B35332E3 +:0416D800EF9900E2A4 +:0416D90067E5CC9164 +:0416DA001FC787138C +:0416DB00478146ED10 +:0416DC0000075603AA +:0416DD0000C48D6355 +:0416DE00070907856C +:0416DF00FED79AE3B5 +:0416E0000513650980 +:0416E100D61A71059F +:0416E200D76FA0EF2F +:0416E3004725B7C917 +:0416E40000E7886330 +:0416E5001363471133 +:0416E6004725000391 +:0416E70002E7DA63D9 +:0416E8000785472902 +:0416E90002E7E7B37A +:0416EA0002E30333E1 +:0416EB00979A6769FA +:0416EC00A8F707A3B1 +:0416ED00909FC0EF1B +:0416EE0066DDC901EB +:0416EF00EB068693ED +:0416F0000613665D1A +:0416F100BD31C9C678 +:0416F200869366DD98 +:0416F300BFCDC4861D +:0416F400956347694A +:0416F500431300E7B4 +:0416F600B70D001319 +:0416F70091E3473103 +:0416F800BBF1FAE761 +:0416F900C70367E9D3 +:0416FA004792A897D4 +:0416FB00C4070063BD +:0416FC0047A9B43115 +:0416FD00C44FF06F77 +:0416FE00F2634695B8 +:0416FF00468944F6DE +:0417000000D6186394 +:04170100FFA7869325 +:041702000FF6F69355 +:0417030042D5F9636F +:041704004689575269 +:041705000023440574 +:04170600460900D7B9 +:04170700D60FF06F9A +:041708004605440D41 +:04170900D58FF06F19 +:04170A008D63459D09 +:04170B00458900B755 +:04170C0000B4802382 +:04170D00D74FF06F53 +:04170E0000F4802340 +:04170F00D6CFF06FD2 +:04171000B7FD458D4F +:04171100B7ED459556 +:041712008DD1061659 +:041713000FF5F59346 +:041714000045E59314 +:04171500D74FF06F4B +:0417160005934539B9 +:04171700B0EF05B07A +:041718000513AD0FF9 +:04171900F06F3E80AF +:04171A00E793E16F01 +:04171B00F06F400724 +:04171C004612E4AFDE +:04171D009263C219F8 +:04171E0046022C064D +:04171F000006092394 +:04172000C23246117A +:04172100820699E3C0 +:04172200465D478257 +:0417230002D78593D1 +:04172400879367E55B +:04172500851327877A +:0417260000EF0107C8 +:04172700C90955D0C7 +:04172800478347129A +:04172900E311004187 +:04172A00F793478565 +:04172B00C23E0FF7B4 +:04172C00879367E553 +:04172D00C403278743 +:04172E004782027775 +:04172F000447C78321 +:0417300000878D633E +:04173100B0EF45418F +:04173200891DA1EF7D +:041733008C49040ECB +:041734000FF47593A6 +:04173500B0EF45418B +:0417360067E5A58F2F +:0417370027878793E6 +:041738000287C583DC :04173900C783478299 -:04173A008563046758 -:04173B00454500B769 -:04173C00A26FB0EFF9 -:04173D00871367E5C2 -:04173E0087932187E5 -:04173F00C403218737 -:04174000478202B723 -:0417410002A7458333 -:041742000477C783DE -:0417430000B79763F1 -:04174400C78347828E -:041745008963048729 -:04174600454900878A -:041747009FAFB0EFB1 -:04174800454D85A2E4 -:041749009F2FB0EF2F -:04174A00879367E535 -:04174B00C50321872A -:04174C00478202C707 -:04174D000497C783B3 -:04174E0002A7846307 -:04174F00F86347856F -:0417500067E900A79E -:04175100A477C503B1 -:0417520035131571C5 -:0417530047E1001555 -:0417540002F5053362 -:04175500879367E12E -:04175600953EBC47B9 -:04175700ABCFB0EF75 -:04175800879367E527 -:04175900C50321871C -:04175A00478202D7E9 -:04175B0004A7C78395 -:04175C0000A78463FB -:04175D00C2AFB0EF78 -:04175E00879367E521 -:04175F006465218715 -:0417600002E7C503D4 -:041761001084079356 -:0417620004B7C7837E -:0417630010840413D7 -:0417640000A78463F3 -:04176500B78FB0EF9B -:04176600879367E519 -:04176700C48321878F -:04176800478302F7BA -:041769008E6304C4C3 -:04176A004569009736 -:04176B00920FB0EF3A -:04176C00FCF5751300 -:04176D008CC904928D -:04176E000FF4F593EC -:04176F00B0EF456929 -:041770006765958F85 -:041771002187079332 -:0417720021870713B1 -:041773000317458390 -:041774000307C7831D -:0417750004D447034E -:0417760000F71663FF -:0417770004E447033C -:0417780000B70A6349 -:041779008DDD058E6F -:04177A000FF5F593DF -:04177B0002800513D0 -:04177C00926FB0EFC9 -:04177D00879367E502 -:04177E0084932187A8 -:04177F00461D0327D9 -:0417800004F40593D5 -:0417810000EF8526CA -:04178200C5013D5010 -:04178300B0EF852618 -:0417840066E59B2F4C -:04178500218687939F -:041786000397C703FB -:04178700056447832B -:04178800218684939F -:0417890000F71A63E8 -:04178A00218687939A -:04178B0003A7C703E6 -:04178C000574478316 -:04178D0000F70663F8 -:04178E0003D4C503B8 -:04178F00C5CFB0EF23 -:04179000879367E9EB -:04179100C703A2B731 -:0417920000630007E9 -:04179300C5831007F3 -:04179400470303B450 -:04179500176305844D -:04179600C7030CB7C2 -:04179700478303C4BD -:04179800046305944D -:04179900A0EF0EF7B8 -:04179A00C703F59FED -:04179B0064DD03C442 -:04179C00AEC48493C0 -:04179D00070607B77D -:04179E001007879316 -:04179F0085934641A7 -:0417A00008E80984C8 -:0417A100CCBEDA3AA6 -:0417A200F3EFA0EFD2 -:0417A30085934641A3 -:0417A40010E80A84BB -:0417A500F32FA0EF8F -:0417A60010FC57528A -:0417A700E319D63E2E -:0417A800D63E08FC25 -:0417A900450145852C -:0417AA00FA5FA0EF53 -:0417AB0008BC4481B1 -:0417AC0000978733E8 -:0417AD000007458369 -:0417AE00A0EF45095A -:0417AF0057B2F93FF5 -:0417B0000024971367 -:0417B100973E451109 -:0417B20055934318F0 -:0417B300F5930107A2 -:0417B400DA3A0FF519 -:0417B500F79FA0EF0B -:0417B600451557522C -:0417B70000875593BF -:0417B8000FF5F593A1 -:0417B900F69FA0EF08 -:0417BA004519575224 -:0417BB000FF775931C -:0417BC00F5DFA0EFC6 -:0417BD004505458514 -:0417BE00F55FA0EF44 -:0417BF00A0EF45054D -:0417C0008915F07F18 -:0417C1000485FD6539 -:0417C20092E3471156 -:0417C3004581FAE47E -:0417C400F3DFA0EFC0 -:0417C50005A445032F -:0417C600B80FB0EFB9 -:0417C700C202A03D7D -:0417C800D7AFF06F38 -:0417C900058615D1AB -:0417CA000FF5F5938F -:0417CB00D62E4505CC -:0417CC00F1DFA0EFBA -:0417CD00450955B2C3 -:0417CE00F15FA0EF38 -:0417CF00879367E9AC -:0417D000C783A2B772 -:0417D1009AE3000790 -:0417D20067E5F007D0 -:0417D3002187859352 -:0417D40004200613D4 -:0417D50001D4051323 -:0417D600E6EFA0EFAB -:0417D70067E9471265 -:0417D800A4078F23B0 -:0417D90005634789D4 -:0417DA0047910AF732 -:0417DB0000F70B63A5 -:0417DC0015634785C5 -:0417DD00478304F743 -:0417DE00C3A9012476 -:0417DF00997FA0EF5F -:0417E0004783A8355E -:0417E100C3A9012473 -:0417E2000713676919 -:0417E300431CA407F8 -:0417E400455945C559 -:0417E5000027E7935F -:0417E6001737C31CD2 -:0417E70020230002B9 -:0417E800A0EF0EF769 -:0417E9000513F75F8E -:0417EA00458102B083 -:0417EB00F6BFA0EFB6 -:0417EC00FA4FC0EF01 -:0417ED0005A4450307 -:0417EE00AE0FB0EF9B -:0417EF0012C005130C -:0417F00093EFA0EFE4 -:0417F100E35FE06F63 -:0417F20000042623A6 -:0417F300921FB0EFA2 -:0417F40001C4478362 -:0417F500464565659B -:0417F600002797131E -:0417F700879367E18C -:0417F80097BAB9875C -:0417F9000513438C05 -:0417FA0000EF1D459A -:0417FB0065DD245034 +:04173A008663045767 +:04173B00051300B7DB +:04173C00B0EF03D037 +:04173D0067E5A3CFEA +:04173E0027878793DF +:04173F000297C583C5 +:04174000C783478292 +:041741008563046751 +:04174200454500B762 +:04174300A22FB0EF32 +:04174400871367E5BB +:0417450087932787D8 +:04174600C40327872A +:04174700478202B71C +:0417480002A745832C +:041749000477C783D7 +:04174A0000B79763EA +:04174B00C783478287 +:04174C008963048722 +:04174D004549008783 +:04174E009F6FB0EFEA +:04174F00454D85A2DD +:041750009EEFB0EF69 +:04175100879367E52E +:04175200C50327871D +:04175300478202C700 +:041754000497C783AC +:0417550002A7846300 +:04175600F863478568 +:0417570067E900A797 +:04175800AA77C503A4 +:0417590035131571BE +:04175A0047E100154E +:04175B0002F505335B +:04175C00879367E127 +:04175D00953EBFC72F +:04175E00AB8FB0EFAE +:04175F00879367E520 +:04176000C50327870F +:04176100478202D7E2 +:0417620004A7C7838E +:0417630000A78463F4 +:04176400C26FB0EFB1 +:04176500879367E51A +:041766006465278708 +:0417670002E7C503CD +:041768001684079349 +:0417690004B7C78377 +:04176A0016840413CA +:04176B0000A78463EC +:04176C00B74FB0EFD4 +:04176D00879367E512 +:04176E00C483278782 +:04176F00478302F7B3 +:041770008E6304C4BC +:04177100456900972F +:0417720091CFB0EF74 +:04177300FCF57513F9 +:041774008CC9049286 +:041775000FF4F593E5 +:04177600B0EF456922 +:041777006765954FBE +:041778002787079325 +:0417790027870713A4 +:04177A000317458389 +:04177B000307C78316 +:04177C0004D4470347 +:04177D0000F71663F8 +:04177E0004E4470335 +:04177F0000B70A6342 +:041780008DDD058E68 +:041781000FF5F593D8 +:0417820002800513C9 +:04178300922FB0EF02 +:04178400879367E5FB +:04178500849327879B +:04178600461D0327D2 +:0417870004F40593CE +:0417880000EF8526C3 +:04178900C5013D5009 +:04178A00B0EF852611 +:04178B0066E59AEF86 +:04178C002786879392 +:04178D000397C703F4 +:04178E000564478324 +:04178F002786849392 +:0417900000F71A63E1 +:04179100278687938D +:0417920003A7C703DF +:04179300057447830F +:0417940000F70663F1 +:0417950003D4C503B1 +:04179600C58FB0EF5C +:04179700879367E9E4 +:04179800C703A8B724 +:0417990000630007E2 +:04179A00C5831007EC +:04179B00470303B449 +:04179C001763058446 +:04179D00C7030CB7BB +:04179E00478303C4B6 +:04179F000463059446 +:0417A000A0EF0EF7B1 +:0417A100C703F55F26 +:0417A20064DD03C43B +:0417A300B0848493F7 +:0417A400070607B776 +:0417A500100787930F +:0417A60085934641A0 +:0417A70008E80984C1 +:0417A800CCBEDA3A9F +:0417A900F22FA0EF8C +:0417AA00859346419C +:0417AB0010E80A84B4 +:0417AC00F16FA0EF4A +:0417AD0010FC575283 +:0417AE00E319D63E27 +:0417AF00D63E08FC1E +:0417B0004501458525 +:0417B100FA1FA0EF8C +:0417B20008BC4481AA +:0417B30000978733E1 +:0417B4000007458362 +:0417B500A0EF450953 +:0417B60057B2F8FF2F +:0417B7000024971360 +:0417B800973E451102 +:0417B90055934318E9 +:0417BA00F59301079B +:0417BB00DA3A0FF512 +:0417BC00F75FA0EF44 +:0417BD004515575225 +:0417BE0000875593B8 +:0417BF000FF5F5939A +:0417C000F65FA0EF41 +:0417C100451957521D +:0417C2000FF7759315 +:0417C300F59FA0EFFF +:0417C400450545850D +:0417C500F51FA0EF7D +:0417C600A0EF450546 +:0417C7008915F03F51 +:0417C8000485FD6532 +:0417C90092E347114F +:0417CA004581FAE477 +:0417CB00F39FA0EFF9 +:0417CC0005A4450328 +:0417CD00B7CFB0EFF3 +:0417CE00C202A03D76 +:0417CF00D7AFF06F31 +:0417D000058615D1A4 +:0417D1000FF5F59388 +:0417D200D62E4505C5 +:0417D300F19FA0EFF3 +:0417D400450955B2BC +:0417D500F11FA0EF71 +:0417D600879367E9A5 +:0417D700C783A8B765 +:0417D8009AE3000789 +:0417D90067E5F007C9 +:0417DA002787859345 +:0417DB0004200613CD +:0417DC0001D405131C +:0417DD00E52FA0EF65 +:0417DE0067E947125E +:0417DF00AA078F23A3 +:0417E00005634789CD +:0417E10047910AF72B +:0417E20000F70B639E +:0417E30015634785BE +:0417E400478304F73C +:0417E500C3A901246F +:0417E60097BFA0EF1A +:0417E7004783A83557 +:0417E800C3A901246C +:0417E9000713676912 +:0417EA00431CAA07EB +:0417EB00455945C552 +:0417EC000027E79358 +:0417ED001737C31CCB +:0417EE0020230002B2 +:0417EF00A0EF0EF762 +:0417F0000513F71FC7 +:0417F100458102B07C +:0417F200F67FA0EFEF +:0417F300FA4FC0EFFA +:0417F40005A4450300 +:0417F500ADCFB0EFD5 +:0417F60012C0051305 +:0417F700922FA0EF9E +:0417F800E35FE06F5C +:0417F900000426239F +:0417FA0091DFB0EFDC +:0417FB0001C447835B :0417FC004645656594 -:0417FD00FC8585934F -:0417FE001E8505132C -:0417FF00233000EFA4 -:04180000C0EF4505EB -:04180100A0EFEE6FF7 -:04180200CC0291CFB4 -:04180300B77DCA02E1 -:0418040001244783F1 -:04180500C0EFD7C594 -:04180600B74DF3EFF8 -:04180700EBE3469534 -:041808004409BCF6DD -:04180900F06F460135 -:04180A00011393AF84 -:04180B00D6A6F7C1A5 -:04180C00DA86C13E79 -:04180D00D8A267E511 -:04180E00DEBADCB6AC -:04180F000F47A48358 -:041810000005DC6390 -:0418110008B0079381 -:04181200557DC09CA4 -:04181300544650D611 -:04181400011354B6B2 -:041815008082084184 -:041816002080079394 -:0418170000F11823A1 -:04181800CA2AC22AEC -:041819004781842E51 -:04181A008793C19956 -:04181B00C63EFFF5D1 -:04181C0018B4CC3EF2 -:04181D00004C57FD27 -:04181E0019238526DF -:04181F00C03600F1DE -:0418200057FD2C59EB -:0418210000F5556316 -:0418220008B0079370 -:04182300DC5DC09C2C -:041824008023479244 -:04182500BF5D00079C -:04182600A783C5C50A -:041827001141FFC5A7 -:04182800C606C4220A -:04182900FFC5841360 -:04182A000007D3637D -:04182B00C02A943EFD -:04182C000BB000EF0E -:04182D00278367693D -:04182E004502A68742 -:04182F00EB91863A79 -:04183000000422236B -:04183100A68724233F -:0418320040B244225A -:04183300006F014100 -:041834007F6309F0D5 -:04183500401400F467 -:0418360000D40733A0 -:0418370000E79663CD -:0418380043DC4398B2 -:04183900C018973606 -:04183A002423C05C47 -:04183B00BFE9A686D5 -:04183C0043DC873EC4 -:04183D007DE3C399EB -:04183E004314FEF45D -:04183F0000D7063395 -:0418400000861F639C -:0418410096B240100B -:041842000633C31492 -:041843009DE300D74A -:041844004390FAC70C -:0418450096B243DC38 -:04184600C35CC314A8 -:041847007563B77599 -:0418480047B100C4E0 -:04184900B74DC11CBA -:04184A0006B3401091 -:04184B00966300C4DC -:04184C00439400D7EA -:04184D0096B243DC30 -:04184E00C05CC014A6 -:04184F00B769C34072 -:041850001141808240 -:041851008493C22694 -:0418520098F10035D4 -:04185300C422C606DF -:04185400473104A173 -:04185500FC6387AAFF -:0418560044B104E4B1 -:0418570004B4EB6387 -:04185800C03E853ECB -:04185900007000EF2C -:04185A002683676911 -:04185B004782A68793 -:04185C00A687061342 -:04185D00E4318436B8 -:04185E0004136469A2 -:04185F004018A6C4C3 -:04186000853EEB01D5 -:04186100C03E4581BF -:0418620079C000EF5A -:04186300C0084782F0 -:0418640085A6853E92 -:0418650000EFC03E92 -:04186600577D78E052 -:04186700166347823B -:04186800473106E519 -:04186900853EC3985D -:04186A007C4000EFCF -:04186B00D7E3A029F6 -:04186C004731FA0402 -:04186D004501C398D6 -:04186E00442240B21E -:04186F00014144925D -:04187000401880821A -:041871004F638F052D -:0418720045AD020777 -:0418730000E5F66333 -:04187400943AC018CA -:04187500A029C004E2 -:0418760093634058E0 -:04187700C21802860B -:0418780000EF853EBA -:04187900051378A03B -:04187A00079300B41C -:04187B00996100442B -:04187C0040F50733F9 -:04187D00FCF502E391 -:04187E008F89943A80 -:04187F00BF6DC01C5D -:04188000BFF9C2D812 -:04188100404086A2BB -:041882000413B7BDD7 -:041883009871003523 -:04188400FC8502E3FA -:0418850040A405B3C3 -:04188600C03E853E9D -:04188700577D272141 -:0418880019E3478297 -:04188900BFB5FAE508 -:04188A00C232111144 -:04188B00C826459096 -:04188C00CA22CC069A -:04188D00E46384AEDE -:04188E0082AA08C65C -:04188F0000C5D503B8 -:04189000480577137D -:0418910048D0CB3D33 -:041892004080470D3E -:0418930002C707334E -:041894004609498C2C -:0418950040B407B3A1 -:041896004433C03ED9 -:04189700861302C7EB -:04189800963E001662 -:0418990000C47363B1 -:04189A00751384320C -:04189B00C636400508 -:04189C008516C535B3 -:04189D00C41685A246 -:04189E00ECBFF0EFBC -:04189F0046B242A269 -:0418A000CD254731DA -:0418A100488C460227 -:0418A200C42AC63658 -:0418A300B3AFA0EF50 -:0418A40000C4D603A3 -:0418A50046B24322E2 -:0418A600B7F6761308 -:0418A70008066613B6 -:0418A80000C49623BF -:0418A900A8234782A7 -:0418AA00C8C000644E -:0418AB000733933E2E -:0418AC00A02340F441 -:0418AD008636006417 -:0418AE00F363C49884 -:0418AF00863600C6B3 -:0418B0004088459295 -:0418B100A0EFC032B2 -:0418B2004498B16F36 -:0418B30045014602A3 -:0418B400C4988F1134 -:0418B500963A409887 -:0418B600A80DC09029 -:0418B70086228516EA -:0418B8002571C416BC -:0418B90046B242A24F -:0418BA00FD4D832A33 -:0418BB008516488CBA -:0418BC00F0EFC01673 -:0418BD004282DA7F0A -:0418BE00A0234731EB -:0418BF00D70300E269 -:0418C000557D00C48E -:0418C100040767139E -:0418C20000E4962385 -:0418C300445240E269 -:0418C400017144C2A8 -:0418C500D703808243 -:0418C600011300C545 -:0418C700C122F781C2 -:0418C8000793DEA6FE -:0418C900C30601F160 -:0418CA00C02EC22A40 -:0418CB000807771380 -:0418CC00F41384B2DB -:0418CD00CB1DFF87A9 -:0418CE00EB0D49983D -:0418CF000400059379 -:0418D000F0EFC63639 -:0418D1004782E01F4B -:0418D200C38846B2CF -:0418D300E919CB88BC -:0418D40047B14712BF -:0418D500C31C557D5E -:0418D600440A409AE6 -:0418D700011354F6AF -:0418D8008082088181 -:0418D9000713478228 -:0418DA00CBD8040063 -:0418DB0002000713ED -:0418DC0000E40CA375 -:0418DD0003000713EA -:0418DE0000042A23B5 -:0418DF0000E40D23F1 -:0418E0008726CA3657 -:0418E1000250061398 -:0418E2000007468332 -:0418E3009663C299AD -:0418E40006B30AC677 -:0418E50001634097C4 -:0418E600458202979E -:0418E70086264512FA -:0418E800C636C83AFE -:0418E900E85FF0EFD5 -:0418EA000A63567DBA -:0418EB0048501AC582 -:0418EC00474246B277 -:0418ED00C850963613 -:0418EE000007468326 -:0418EF001A068163F1 -:0418F0000017049346 -:0418F1002023577DDC -:0418F20026230004A5 -:0418F300C0580004D5 -:0418F40000042423A5 -:0418F500040401A343 -:0418F60004042C2397 -:0418F7000004C583A1 -:0418F800461567E149 -:0418F9004B87851381 -:0418FA00401423A5CE -:0418FB00001487133B -:0418FC00F613E539C1 -:0418FD00C609010611 -:0418FE0002000613CB -:0418FF0004C401A379 -:041900000086F61354 -:041901000613C609FA -:0419020001A302B08B -:04190300C58304C4D0 -:0419040006130004C2 -:041905008F6302A04A -:04190600445402C57E -:041907004581872669 -:0419080042A9452586 -:04190900000746038A -:04190A0000170313AC -:04190B00FD060613BC -:04190C0006C5776332 -:04190D00C454C98570 -:04190E000705A035F4 -:04190F0067E1B7B124 -:041910004B87861368 -:0419110046058D11E9 -:0419120000A61533E3 -:04191300C0148EC9A5 -:04191400B76984BA71 -:04191500059346529E -:041916004210004635 -:041917004963CA2E28 -:04191800C4500206AF -:04191900000746037A -:04191A0002E006934E -:04191B0006D6116378 -:04191C000017460367 -:04191D0002A006938B -:04191E0002D61B636F -:04191F00070946D29C -:0419200000468613E4 -:04192100CA324294F0 -:041922000206C16395 -:04192300A081C0548B -:0419240040C0063386 -:041925000026E6931F -:04192600C014C450D5 -:0419270086B3B7E1EB -:04192800871A0256C2 -:0419290096B24585A8 -:04192A0056FDBFB5F2 -:04192B000705B7C530 -:04192C00000422236E -:04192D004681458129 -:04192E0042A9452560 -:04192F000007460364 -:041930000017031386 -:04193100FD06061396 -:0419320006C5736310 -:041933004583F1E116 -:0419340064E1000763 -:041935008513460DC3 -:04193600C63A4C045D -:041937004732299575 -:041938008493CD01C6 -:041939008D054C04C8 -:04193A00040006930C -:04193B0000A696B3B9 -:04193C000705400853 -:04193D00C0088D55FC -:04193E0000074583D6 -:04193F00461965617F -:041940004C450513FA -:0419410000170493F4 -:0419420000B40C23BE -:04194300C1352191F8 -:041944000000071385 -:041945004014E70D56 -:04194600F69347527B -:04194700CE91100627 -:04194800CA3A07117F -:0419490047A2485811 -:04194A00C858973EA4 -:04194B0086B3BD9909 -:04194C00871A02569E -:04194D0096B2458584 -:04194E000721B75165 -:04194F004602B7DDB8 -:0419500066D94512FD -:041951008693085819 -:0419520085A22286C2 -:0419530000000097F9 -:04195400000000E7A8 -:0419550047A2C42AB7 -:0419560095E3577D41 -:041957004782FCE7E0 -:04195800D703557DDF -:04195900771300C739 -:04195A0017E3040784 -:04195B004848DE0713 -:04195C004602B3E5A7 -:04195D0066D94512F0 -:04195E00869308580C -:04195F0085A22286B5 -:04196000BFC92215C4 -:0419610087AE11013B -:0419620085B6CA2656 -:04196300479484BA67 -:04196400CC224B98AE -:04196500842ACE06FC -:041966005363833212 -:04196700873600D7E8 -:0419680000E3202355 -:041969000437C683F6 -:04196A000705C68126 -:04196B0000E3202352 -:04196C007713439812 -:04196D00C711020795 -:04196E000003270348 -:04196F002023070921 -:04197000439800E3B5 -:041971000197861341 -:04197200C3358B19D5 -:04197300C68343984C -:0419740077130437AA -:0419750036B302077C -:04197600E75100D065 -:041977000437861398 -:04197800C41A8522E6 -:04197900C02EC23E7C -:04197A00577D94827F -:04197B0006E5076313 -:04197C004322479229 -:04197D0043944582C8 -:04197E000003260339 -:04197F008A994311ED -:04198000470147C80C -:041981000066976302 -:0419820040C5073322 -:0419830000075363A3 -:04198400479447013C -:0419850054634B90CC -:041986008E9100D668 -:04198700430197364B -:0419880001A786131A -:04198900066710637A -:04198A00A80D45015E -:04198B0047D4070531 -:04198C00000325032C -:04198D005BE38E8901 -:04198E004685F8D7BB -:04198F00C81A8522CB -:04199000C43AC63E51 -:04199100C02EC23270 -:0419920056FD9482E8 -:041993004612458231 -:0419940047B24722ED -:041995001BE34342CB -:04199600557DFCD5AA -:04199700446240F274 -:04199800610544D2CF -:04199900863380828F -:04199A00051300D75A -:04199B0001A30300A1 -:04199C00C60304A6D4 -:04199D008713045751 -:04199E00973E00165A -:04199F0001A3068911 -:0419A000BFA904C710 -:0419A10085224685D0 -:0419A200C43AC61A63 -:0419A300C02EC2325E -:0419A40057FD9482D5 -:0419A500FCF503E367 -:0419A600472243325F -:0419A700458246121D -:0419A800B749030533 -:0419A900CC2211013A -:0419AA00CE06CA2675 -:0419AB00842EC02A9C -:0419AC000435851366 -:0419AD000185C58368 -:0419AE00C23284B607 -:0419AF000780069314 -:0419B00000B6EC632E -:0419B1000620069373 -:0419B20000B6ED632B -:0419B3001C058C6320 -:0419B4000580069311 -:0419B50012D58D6357 -:0419B60004240313EF -:0419B70004B4012350 -:0419B8008693A80565 -:0419B900F693F9D5D3 -:0419BA0046550FF689 -:0419BB00FED666E30B -:0419BC00068A6661D0 -:0419BD004F46061378 -:0419BE00429496B207 -:0419BF0043148682C5 -:0419C00004240313E5 -:0419C1000046861343 -:0419C2004298C31074 -:0419C30004E4012314 -:0419C400AA754705B4 -:0419C50023034014A4 -:0419C600F61300070D -:0419C7000593080676 -:0419C800C20D004309 -:0419C900000326836E -:0419CA006661C30C83 -:0419CB000006D863D7 -:0419CC0002D007132B -:0419CD0040D006B34D -:0419CE0004E401A389 -:0419CF004CC60613E9 -:0419D000A0B947294A -:0419D1000406F613FF -:0419D2000003268365 -:0419D300DE71C30CF2 -:0419D40086C106C200 -:0419D5004010BFD926 -:0419D60072934314B1 -:0419D7008313080668 -:0419D80086630046DC -:0419D90020230002C5 -:0419DA0042940067CC -:0419DB007613A801D6 -:0419DC0020230406BA -:0419DD00DA75006750 -:0419DE000006D683A6 -:0419DF000713666123 -:0419E000061306F0F4 -:0419E10087634CC606 -:0419E20047290EE59E -:0419E300040401A354 -:0419E400C40C404CA3 -:0419E5000005C863CE -:0419E60000042303D3 -:0419E700FFB37313C4 -:0419E8000064202354 -:0419E900832AE299D2 -:0419EA00832ACD99E6 -:0419EB0002E6F5B368 -:0419EC0095B2137D20 -:0419ED000005C583A9 -:0419EE0000B300231F -:0419EF00D6B385B630 -:0419F000F5E302E633 -:0419F10046A1FEE528 -:0419F20000D71E6399 -:0419F3008B05401808 -:0419F4004054CB117F -:0419F50047634818E4 -:0419F600071300D7FC -:0419F7000FA3030037 -:0419F800137DFEE37A -:0419F900406505330D -:0419FA004692C80841 -:0419FB0087264502F4 -:0419FC0085A20810A8 -:0419FD00F0EFC41A29 -:0419FE00577DD8FF3A -:0419FF001C63432200 -:041A0000557D0CE51F -:041A0100446240F209 -:041A0200610544D264 -:041A03006661808216 -:041A040004B402A381 -:041A05004CC60613B2 -:041A06002303400C6A -:041A0700F29300074F -:041A08002683080524 -:041A090003110003C2 -:041A0A0002028D63E4 -:041A0B00006720232D -:041A0C000015F713B7 -:041A0D00E593C70195 -:041A0E00C00C020501 -:041A0F00F6B947419C -:041A1000F593400CFE -:041A1100C00CFDF513 -:041A12004014B79134 -:041A13000206E6934E -:041A14000693C01461 -:041A1500666107807F -:041A160004D402A34F -:041A17004E0606135E -:041A1800F293BF6521 -:041A1900202304057D -:041A1A0083E30067FB -:041A1B0006C2FC0201 -:041A1C00BF7D82C147 -:041A1D00BF19472185 -:041A1E00431440101D -:041A1F007293484C2A -:041A2000831308061E -:041A21008763004691 -:041A2200202300027B -:041A2300429800677E -:041A2400A809C30C3E -:041A25000067202313 -:041A26000406761329 -:041A2700DA6D42989A -:041A280000B71023D0 -:041A2900000428236A -:041A2A00B781832AD3 -:041A2B00458143149A -:041A2C0000468613D7 -:041A2D00A303C3103C -:041A2E00405000061E -:041A2F00C41A851A36 -:041A300043222841E4 -:041A31000533C501B3 -:041A3200C048406503 -:041A3300C818405837 -:041A3400040401A302 -:041A35004814BF1979 -:041A3600450245928E -:041A37009482861AF5 -:041A380000E3577DF3 -:041A39004018F2E57A -:041A3A00EB0D8B091C -:041A3B004448474292 -:041A3C00F0E55AE394 -:041A3D00B739853AF6 -:041A3E004502459286 -:041A3F00C63A4685D8 -:041A40009482C43296 -:041A41000EE356FD5D -:041A42004732EED564 -:041A4300070546222B -:041A440045C24454FF -:041A450041E38E8D5E -:041A4600BFC9FED73F -:041A4700061347013A -:041A4800B7FD019451 -:041A4900C222115153 -:041A4A00842AC02604 -:041A4B00852E64E997 -:041A4C00A223C40607 -:041A4D002A15A604AC -:041A4E00166357FDC7 -:041A4F00A78300F574 -:041A5000C391A64454 -:041A510040A2C01CD3 -:041A52004482441274 -:041A5300808201315B -:041A54000FF5F59302 -:041A55001463962A56 -:041A5600450100C581 -:041A570047838082BF -:041A58008DE3000515 -:041A59000505FEB7CA -:041A5A008082B7FDD2 -:041A5B00E58180821F -:041A5C00F06F85B2F0 -:041A5D001131FD0F37 -:041A5E00C622C806CE -:041A5F00EA11C4269E -:041A6000F18FF0EF23 -:041A610040C24781B7 -:041A620044A2443224 -:041A63000151853E6A -:041A6400842E8082CA -:041A650084AAC0325D -:041A66004602203DD7 -:041A670074E387A2FB -:041A680085B2FEC580 -:041A6900C2328526DA -:041A6A00F9AFF0EFF1 -:041A6B00DD6187AA08 -:041A6C0085A24612F7 -:041A6D0090EFC02A0C -:041A6E0085A2C11F6D -:041A6F00F0EF8526E9 -:041A70004782EDAF0D -:041A7100A783B7C9C7 -:041A72008513FFC514 -:041A7300D563FFC771 -:041A740095AA000728 -:041A7500953E419CBD -:041A76004701808222 -:041A770000E614630E -:041A78008082450122 -:041A790000E507B3CA -:041A7A0086B3070523 -:041A7B00C78300E538 -:041A7C00C683000716 -:041A7D0083E3FFF60A -:041A7E008533FED7D7 -:041A7F00808240D74A -:041A8000167DC60DFC -:041A810007B347015F -:041A820086B300E542 -:041A8300C78300E530 -:041A8400C68300070E -:041A85009463000660 -:041A8600156300D70D -:041A8700853300C7DC -:041A8800808240D741 -:041A8900F3E5070575 -:041A8A004501BFDD76 -:041A8B0087AA808224 -:041A8C00C703CA09B9 -:041A8D0005850005C6 -:041A8E008FA3078596 -:041A8F00167DFEE7DB -:041A9000963EFB651E -:041A910000C7936394 -:041A920007858082C2 -:041A9300FE078FA318 -:041A940095AABFD57B -:041A9500856387AA34 -:041A9600C70300B7CB -:041A9700E70100075C -:041A980040A78533AB +:0417FD000027971317 +:0417FE00879367E185 +:0417FF0097BABD07D1 +:041800000513438CFD +:0418010000EF23458C +:0418020065DD24502C +:04180300464565658C +:04180400FE45859385 +:04180500248505131E +:04180600233000EF9C +:04180700C0EF4505E4 +:04180800A0EFEE6FF0 +:04180900CC02900F6E +:04180A00B77DCA02DA +:04180B0001244783EA +:04180C00C0EFD7C58D +:04180D00B74DF3EFF1 +:04180E00EBE346952D +:04180F004409BCF6D6 +:04181000F06F46012E +:04181100011393AF7D +:04181200D6A6F7C19E +:04181300DA86C13E72 +:04181400D8A267E50A +:04181500DEBADCB6A5 +:041816001547A4834B +:041817000005DC6389 +:0418180008B007937A +:04181900557DC09C9D +:04181A00544650D60A +:04181B00011354B6AB +:04181C00808208417D +:04181D00208007938D +:04181E0000F118239A +:04181F00CA2AC22AE5 +:041820004781842E4A +:041821008793C1994F +:04182200C63EFFF5CA +:0418230018B4CC3EEB +:04182400004C57FD20 +:0418250019238526D8 +:04182600C03600F1D7 +:0418270057FD2C59E4 +:0418280000F555630F +:0418290008B0079369 +:04182A00DC5DC09C25 +:04182B00802347923D +:04182C00BF5D000795 +:04182D00A783C5C503 +:04182E001141FFC5A0 +:04182F00C606C42203 +:04183000FFC5841359 +:041831000007D36376 +:04183200C02A943EF6 +:041833000BB000EF07 +:041834002783676936 +:041835004502AC8735 +:04183600EB91863A72 +:041837000004222364 +:04183800AC87242332 +:0418390040B2442253 +:04183A00006F0141F9 +:04183B007F6309F0CE +:04183C00401400F460 +:04183D0000D4073399 +:04183E0000E79663C6 +:04183F0043DC4398AB +:04184000C0189736FF +:041841002423C05C40 +:04184200BFE9AC86C8 +:0418430043DC873EBD +:041844007DE3C399E4 +:041845004314FEF456 +:0418460000D706338E +:0418470000861F6395 +:0418480096B2401004 +:041849000633C3148B +:04184A009DE300D743 +:04184B004390FAC705 +:04184C0096B243DC31 +:04184D00C35CC314A1 +:04184E007563B77592 +:04184F0047B100C4D9 +:04185000B74DC11CB3 +:0418510006B340108A +:04185200966300C4D5 +:04185300439400D7E3 +:0418540096B243DC29 +:04185500C05CC0149F +:04185600B769C3406B +:041857001141808239 +:041858008493C2268D +:0418590098F10035CD +:04185A00C422C606D8 +:04185B00473104A16C +:04185C00FC6387AAF8 +:04185D0044B104E4AA +:04185E0004B4EB6380 +:04185F00C03E853EC4 +:04186000007000EF25 +:04186100268367690A +:041862004782AC8786 +:04186300AC87061335 +:04186400E4318436B1 +:04186500041364699B +:041866004018ACC4B6 +:04186700853EEB01CE +:04186800C03E4581B8 +:0418690079C000EF53 +:04186A00C0084782E9 +:04186B0085A6853E8B +:04186C0000EFC03E8B +:04186D00577D78E04B +:04186E001663478234 +:04186F00473106E512 +:04187000853EC39856 +:041871007C4000EFC8 +:04187200D7E3A029EF +:041873004731FA04FB +:041874004501C398CF +:04187500442240B217 +:041876000141449256 +:041877004018808213 +:041878004F638F0526 +:0418790045AD020770 +:04187A0000E5F6632C +:04187B00943AC018C3 +:04187C00A029C004DB +:04187D0093634058D9 +:04187E00C218028604 +:04187F0000EF853EB3 +:04188000051378A034 +:04188100079300B415 +:041882009961004424 +:0418830040F50733F2 +:04188400FCF502E38A +:041885008F89943A79 +:04188600BF6DC01C56 +:04188700BFF9C2D80B +:04188800404086A2B4 +:041889000413B7BDD0 +:04188A00987100351C +:04188B00FC8502E3F3 +:04188C0040A405B3BC +:04188D00C03E853E96 +:04188E00577D27213A +:04188F0019E3478290 +:04189000BFB5FAE501 +:04189100C23211113D +:04189200C82645908F +:04189300CA22CC0693 +:04189400E46384AED7 +:0418950082AA08C655 +:0418960000C5D503B1 +:041897004805771376 +:0418980048D0CB3D2C +:041899004080470D37 +:04189A0002C7073347 +:04189B004609498C25 +:04189C0040B407B39A +:04189D004433C03ED2 +:04189E00861302C7E4 +:04189F00963E00165B +:0418A00000C47363AA +:0418A1007513843205 +:0418A200C636400501 +:0418A3008516C535AC +:0418A400C41685A23F +:0418A500ECBFF0EFB5 +:0418A60046B242A262 +:0418A700CD254731D3 +:0418A800488C460220 +:0418A900C42AC63651 +:0418AA00B1EFA0EF0B +:0418AB0000C4D6039C +:0418AC0046B24322DB +:0418AD00B7F6761301 +:0418AE0008066613AF +:0418AF0000C49623B8 +:0418B000A8234782A0 +:0418B100C8C0006447 +:0418B2000733933E27 +:0418B300A02340F43A +:0418B4008636006410 +:0418B500F363C4987D +:0418B600863600C6AC +:0418B700408845928E +:0418B800A0EFC032AB +:0418B9004498AFAFF1 +:0418BA00450146029C +:0418BB00C4988F112D +:0418BC00963A409880 +:0418BD00A80DC09022 +:0418BE0086228516E3 +:0418BF002571C416B5 +:0418C00046B242A248 +:0418C100FD4D832A2C +:0418C2008516488CB3 +:0418C300F0EFC0166C +:0418C4004282DA7F03 +:0418C500A0234731E4 +:0418C600D70300E262 +:0418C700557D00C487 +:0418C8000407671397 +:0418C90000E496237E +:0418CA00445240E262 +:0418CB00017144C2A1 +:0418CC00D70380823C +:0418CD00011300C53E +:0418CE00C122F781BB +:0418CF000793DEA6F7 +:0418D000C30601F159 +:0418D100C02EC22A39 +:0418D2000807771379 +:0418D300F41384B2D4 +:0418D400CB1DFF87A2 +:0418D500EB0D499836 +:0418D6000400059372 +:0418D700F0EFC63632 +:0418D8004782E01F44 +:0418D900C38846B2C8 +:0418DA00E919CB88B5 +:0418DB0047B14712B8 +:0418DC00C31C557D57 +:0418DD00440A409ADF +:0418DE00011354F6A8 +:0418DF00808208817A +:0418E0000713478221 +:0418E100CBD804005C +:0418E20002000713E6 +:0418E30000E40CA36E +:0418E40003000713E3 +:0418E50000042A23AE +:0418E60000E40D23EA +:0418E7008726CA3650 +:0418E8000250061391 +:0418E900000746832B +:0418EA009663C299A6 +:0418EB0006B30AC670 +:0418EC0001634097BD +:0418ED004582029797 +:0418EE0086264512F3 +:0418EF00C636C83AF7 +:0418F000E85FF0EFCE +:0418F1000A63567DB3 +:0418F20048501AC57B +:0418F300474246B270 +:0418F400C85096360C +:0418F500000746831F +:0418F6001A068163EA +:0418F700001704933F +:0418F8002023577DD5 +:0418F900262300049E +:0418FA00C0580004CE +:0418FB00000424239E +:0418FC00040401A33C +:0418FD0004042C2390 +:0418FE000004C5839A +:0418FF00461567E142 +:041900004F078513F5 +:04190100401423A5C6 +:041902000014871333 +:04190300F613E539B9 +:04190400C609010609 +:0419050002000613C3 +:0419060004C401A371 +:041907000086F6134D +:041908000613C609F3 +:0419090001A302B084 +:04190A00C58304C4C9 +:04190B0006130004BB +:04190C008F6302A043 +:04190D00445402C577 +:04190E004581872662 +:04190F0042A945257F +:041910000007460383 +:0419110000170313A5 +:04191200FD060613B5 +:0419130006C577632B +:04191400C454C98569 +:041915000705A035ED +:0419160067E1B7B11D +:041917004F078613DD +:0419180046058D11E2 +:0419190000A61533DC +:04191A00C0148EC99E +:04191B00B76984BA6A +:04191C000593465297 +:04191D00421000462E +:04191E004963CA2E21 +:04191F00C4500206A8 +:041920000007460373 +:0419210002E0069347 +:0419220006D6116371 +:041923000017460360 +:0419240002A0069384 +:0419250002D61B6368 +:04192600070946D295 +:0419270000468613DD +:04192800CA324294E9 +:041929000206C1638E +:04192A00A081C05484 +:04192B0040C006337F +:04192C000026E69318 +:04192D00C014C450CE +:04192E0086B3B7E1E4 +:04192F00871A0256BB +:0419300096B24585A1 +:0419310056FDBFB5EB +:041932000705B7C529 +:041933000004222367 +:041934004681458122 +:0419350042A9452559 +:04193600000746035D +:04193700001703137F +:04193800FD0606138F +:0419390006C5736309 +:04193A004583F1E10F +:04193B0064E100075C +:04193C008513460DBC +:04193D00C63A4F84D3 +:04193E00473229956E +:04193F008493CD01BF +:041940008D054F843E +:041941000400069305 +:0419420000A696B3B2 +:04194300070540084C +:04194400C0088D55F5 +:0419450000074583CF +:041946004619656178 +:041947004FC5051370 +:0419480000170493ED +:0419490000B40C23B7 +:04194A00C1352191F1 +:04194B00000007137E +:04194C004014E70D4F +:04194D00F693475274 +:04194E00CE91100620 +:04194F00CA3A071178 +:0419500047A248580A +:04195100C858973E9D +:0419520086B3BD9902 +:04195300871A025697 +:0419540096B245857D +:041955000721B7515E +:041956004602B7DDB1 +:0419570066D94512F6 +:041958008693085812 +:0419590085A22446F9 +:04195A0000000097F2 +:04195B00000000E7A1 +:04195C0047A2C42AB0 +:04195D0095E3577D3A +:04195E004782FCE7D9 +:04195F00D703557DD8 +:04196000771300C732 +:0419610017E304077D +:041962004848DE070C +:041963004602B3E5A0 +:0419640066D94512E9 +:041965008693085805 +:0419660085A22446EC +:04196700BFC92215BD +:0419680087AE110134 +:0419690085B6CA264F +:04196A00479484BA60 +:04196B00CC224B98A7 +:04196C00842ACE06F5 +:04196D00536383320B +:04196E00873600D7E1 +:04196F0000E320234E +:041970000437C683EF +:041971000705C6811F +:0419720000E320234B +:04197300771343980B +:04197400C71102078E +:041975000003270341 +:04197600202307091A +:04197700439800E3AE +:04197800019786133A +:04197900C3358B19CE +:04197A00C683439845 +:04197B0077130437A3 +:04197C0036B3020775 +:04197D00E75100D05E +:04197E000437861391 +:04197F00C41A8522DF +:04198000C02EC23E75 +:04198100577D948278 +:0419820006E507630C +:041983004322479222 +:0419840043944582C1 +:041985000003260332 +:041986008A994311E6 +:04198700470147C805 +:0419880000669763FB +:0419890040C507331B +:04198A00000753639C +:04198B004794470135 +:04198C0054634B90C5 +:04198D008E9100D661 +:04198E004301973644 +:04198F0001A7861313 +:041990000667106373 +:04199100A80D450157 +:0419920047D407052A +:041993000003250325 +:041994005BE38E89FA +:041995004685F8D7B4 +:04199600C81A8522C4 +:04199700C43AC63E4A +:04199800C02EC23269 +:0419990056FD9482E1 +:04199A00461245822A +:04199B0047B24722E6 +:04199C001BE34342C4 +:04199D00557DFCD5A3 +:04199E00446240F26D +:04199F00610544D2C8 +:0419A0008633808288 +:0419A100051300D753 +:0419A20001A303009A +:0419A300C60304A6CD +:0419A400871304574A +:0419A500973E001653 +:0419A60001A306890A +:0419A700BFA904C709 +:0419A80085224685C9 +:0419A900C43AC61A5C +:0419AA00C02EC23257 +:0419AB0057FD9482CE +:0419AC00FCF503E360 +:0419AD004722433258 +:0419AE004582461216 +:0419AF00B74903052C +:0419B000CC22110133 +:0419B100CE06CA266E +:0419B200842EC02A95 +:0419B300043585135F +:0419B4000185C58361 +:0419B500C23284B600 +:0419B600078006930D +:0419B70000B6EC6327 +:0419B800062006936C +:0419B90000B6ED6324 +:0419BA001C058C6319 +:0419BB00058006930A +:0419BC0012D58D6350 +:0419BD0004240313E8 +:0419BE0004B4012349 +:0419BF008693A8055E +:0419C000F693F9D5CC +:0419C10046550FF682 +:0419C200FED666E304 +:0419C300068A6661C9 +:0419C40052C60613EE +:0419C500429496B200 +:0419C60043148682BE +:0419C70004240313DE +:0419C800004686133C +:0419C9004298C3106D +:0419CA0004E401230D +:0419CB00AA754705AD +:0419CC00230340149D +:0419CD00F613000706 +:0419CE00059308066F +:0419CF00C20D004302 +:0419D0000003268367 +:0419D1006661C30C7C +:0419D2000006D863D0 +:0419D30002D0071324 +:0419D40040D006B346 +:0419D50004E401A382 +:0419D600504606135E +:0419D700A0B9472943 +:0419D8000406F613F8 +:0419D900000326835E +:0419DA00DE71C30CEB +:0419DB0086C106C2F9 +:0419DC004010BFD91F +:0419DD0072934314AA +:0419DE008313080661 +:0419DF0086630046D5 +:0419E00020230002BE +:0419E10042940067C5 +:0419E2007613A801CF +:0419E30020230406B3 +:0419E400DA75006749 +:0419E5000006D6839F +:0419E600071366611C +:0419E700061306F0ED +:0419E800876350467B +:0419E90047290EE597 +:0419EA00040401A34D +:0419EB00C40C404C9C +:0419EC000005C863C7 +:0419ED0000042303CC +:0419EE00FFB37313BD +:0419EF00006420234D +:0419F000832AE299CB +:0419F100832ACD99DF +:0419F20002E6F5B361 +:0419F30095B2137D19 +:0419F4000005C583A2 +:0419F50000B3002318 +:0419F600D6B385B629 +:0419F700F5E302E62C +:0419F80046A1FEE521 +:0419F90000D71E6392 +:0419FA008B05401801 +:0419FB004054CB1178 +:0419FC0047634818DD +:0419FD00071300D7F5 +:0419FE000FA3030030 +:0419FF00137DFEE373 +:041A00004065053305 +:041A01004692C80839 +:041A020087264502EC +:041A030085A20810A0 +:041A0400F0EFC41A21 +:041A0500577DD8FF32 +:041A06001C634322F8 +:041A0700557D0CE518 +:041A0800446240F202 +:041A0900610544D25D +:041A0A00666180820F +:041A0B0004B402A37A +:041A0C005046061327 +:041A0D002303400C63 +:041A0E00F293000748 +:041A0F00268308051D +:041A100003110003BB +:041A110002028D63DD +:041A12000067202326 +:041A13000015F713B0 +:041A1400E593C7018E +:041A1500C00C0205FA +:041A1600F6B9474195 +:041A1700F593400CF7 +:041A1800C00CFDF50C +:041A19004014B7912D +:041A1A000206E69347 +:041A1B000693C0145A +:041A1C006661078078 +:041A1D0004D402A348 +:041A1E0051860613D4 +:041A1F00F293BF651A +:041A20002023040576 +:041A210083E30067F4 +:041A220006C2FC02FA +:041A2300BF7D82C140 +:041A2400BF1947217E +:041A25004314401016 +:041A26007293484C23 +:041A27008313080617 +:041A2800876300468A +:041A29002023000274 +:041A2A004298006777 +:041A2B00A809C30C37 +:041A2C00006720230C +:041A2D000406761322 +:041A2E00DA6D429893 +:041A2F0000B71023C9 +:041A30000004282363 +:041A3100B781832ACC +:041A32004581431493 +:041A330000468613D0 +:041A3400A303C31035 +:041A35004050000617 +:041A3600C41A851A2F +:041A370043222841DD +:041A38000533C501AC +:041A3900C0484065FC +:041A3A00C818405830 +:041A3B00040401A3FB +:041A3C004814BF1972 +:041A3D004502459287 +:041A3E009482861AEE +:041A3F0000E3577DEC +:041A40004018F2E573 +:041A4100EB0D8B0915 +:041A4200444847428B +:041A4300F0E55AE38D +:041A4400B739853AEF +:041A4500450245927F +:041A4600C63A4685D1 +:041A47009482C4328F +:041A48000EE356FD56 +:041A49004732EED55D +:041A4A000705462224 +:041A4B0045C24454F8 +:041A4C0041E38E8D57 +:041A4D00BFC9FED738 +:041A4E000613470133 +:041A4F00B7FD01944A +:041A5000C22211514C +:041A5100842AC026FD +:041A5200852E64E990 +:041A5300A223C40600 +:041A54002A15AC049F +:041A5500166357FDC0 +:041A5600A78300F56D +:041A5700C391AC4447 +:041A580040A2C01CCC +:041A5900448244126D +:041A5A008082013154 +:041A5B000FF5F593FB +:041A5C001463962A4F +:041A5D00450100C57A +:041A5E0047838082B8 +:041A5F008DE300050E +:041A60000505FEB7C3 +:041A61008082B7FDCB +:041A6200E581808218 +:041A6300F06F85B2E9 +:041A64001131FD0F30 +:041A6500C622C806C7 +:041A6600EA11C42697 +:041A6700F18FF0EF1C +:041A680040C24781B0 +:041A690044A244321D +:041A6A000151853E63 +:041A6B00842E8082C3 +:041A6C0084AAC03256 +:041A6D004602203DD0 +:041A6E0074E387A2F4 +:041A6F0085B2FEC579 +:041A7000C2328526D3 +:041A7100F9AFF0EFEA +:041A7200DD6187AA01 +:041A730085A24612F0 +:041A740090EFC02A05 +:041A750085A2BF5F28 +:041A7600F0EF8526E2 +:041A77004782EDAF06 +:041A7800A783B7C9C0 +:041A79008513FFC50D +:041A7A00D563FFC76A +:041A7B0095AA000721 +:041A7C00953E419CB6 +:041A7D00470180821B +:041A7E0000E6146307 +:041A7F00808245011B +:041A800000E507B3C3 +:041A810086B307051C +:041A8200C78300E531 +:041A8300C68300070F +:041A840083E3FFF603 +:041A85008533FED7D0 +:041A8600808240D743 +:041A8700167DC60DF5 +:041A880007B3470158 +:041A890086B300E53B +:041A8A00C78300E529 +:041A8B00C683000707 +:041A8C009463000659 +:041A8D00156300D706 +:041A8E00853300C7D5 +:041A8F00808240D73A +:041A9000F3E507056E +:041A91004501BFDD6F +:041A920087AA80821D +:041A9300C703CA09B2 +:041A940005850005BF +:041A95008FA307858F +:041A9600167DFEE7D4 +:041A9700963EFB6517 +:041A980000C793638D :041A990007858082BB -:041A9A0063E9B7FD48 -:041A9B00A703A78373 -:041A9C00C222115100 -:041A9D00842AC406CD -:041A9E00A703839384 -:041A9F004501E79D79 -:041AA0004601458135 -:041AA1004701468132 -:041AA2000D6002933E -:041AA30000000073CC -:041AA4001A6357FD6D -:041AA500283500F5EB -:041AA600C11C47B167 -:041AA70040A2557D87 -:041AA80001314412B2 -:041AA900A023808274 -:041AAA00A30300A3EF -:041AAB00458100036E -:041AAC0005334601B7 -:041AAD00468100640A -:041AAE004781470124 -:041AAF000D60029331 -:041AB00000000073BF -:041AB10018E3941A88 -:041AB200A023FC85EC -:041AB300851A00A3ED -:041AB40067E5B7F932 -:041AB5000F47A5032F -:041AB600000080822A -:041AB700000000002B -:041AB800000000002A -:041AB9000000000029 -:041ABA000000000028 -:041ABB00432044532D -:041ABC00204452412F -:041ABD004C4C4957ED -:041ABE00004542207D -:041ABF005245564FE7 -:041AC00054495257DC -:041AC100214E455419 -:041AC2004500212199 -:041AC300726F707856 -:041AC40031203F741A -:041AC500202C593D3B -:041AC600004E3D325F -:041AC7007365725081 -:041AC8002031207336 -:041AC9003220726FE6 -:041ACA000000000018 -:041ACB004D9000EB4F -:041ACC004E495753D5 -:041ACD0000312E3482 -:041ACE00008004028E -:041ACF000008000209 -:041AD0000020F8807A -:041AD10000FF003FD3 -:041AD200F429008073 -:041AD3004F04C6CF27 -:041AD40050435353D5 -:041AD50049464F52DD -:041AD6004146534CE6 -:041AD7002036315430 -:041AD80000002020CA -:041AD9004353534FD1 -:041ADA00464F5250D1 -:041ADB00204E49420E -:041ADC00B5048E00BF -:041ADD00516F516F85 -:041ADE008917000064 -:041ADF000002516F41 -:041AE00000100200F0 -:041AE10000100000F1 -:041AE2000000000000 -:041AE30000000000FF -:041AE40000100000EE -:041AE500000804DC15 -:041AE600000804DC14 -:041AE700000804DC13 -:041AE800000804DC12 -:041AE90000010454A0 -:041AEA000001045A99 -:041AEB000001046092 -:041AEC00000104668B -:041AED0000010408E8 -:041AEE0000010428C7 -:041AEF0000010428C6 -:041AF00000010428C5 -:041AF10000010428C4 -:041AF20000010428C3 -:041AF30000010428C2 -:041AF4000001042CBD -:041AF50000010432B6 -:041AF6006C206F4EA3 -:041AF700006B6E69A9 -:041AF8006D206425D4 -:041AF9000000005693 -:041AFA00252E7525FB -:041AFB002075322EF2 -:041AFC0000007375FE -:041AFD002525752501 -:041AFE0000000000E4 -:041AFF006F7475414A -:041B00000000282099 -:041B010075257325AE -:041B02000000732547 -:041B03006C207525B8 -:041B040073656E692E +:041A9A00FE078FA311 +:041A9B0095AABFD574 +:041A9C00856387AA2D +:041A9D00C70300B7C4 +:041A9E00E701000755 +:041A9F0040A78533A4 +:041AA00007858082B4 +:041AA10063E9B7FD41 +:041AA200AD03A78366 +:041AA300C2221151F9 +:041AA400842AC406C6 +:041AA500AD03839377 +:041AA6004501E79D72 +:041AA700460145812E +:041AA800470146812B +:041AA9000D60029337 +:041AAA0000000073C5 +:041AAB001A6357FD66 +:041AAC00283500F5E4 +:041AAD00C11C47B160 +:041AAE0040A2557D80 +:041AAF0001314412AB +:041AB000A02380826D +:041AB100A30300A3E8 +:041AB2004581000367 +:041AB30005334601B0 +:041AB4004681006403 +:041AB500478147011D +:041AB6000D6002932A +:041AB70000000073B8 +:041AB80018E3941A81 +:041AB900A023FC85E5 +:041ABA00851A00A3E6 +:041ABB0067E5B7F92B +:041ABC001547A50322 +:041ABD000000808223 +:041ABE000000000024 +:041ABF000000000023 +:041AC0000000000022 +:041AC1000000000021 +:041AC2004320445326 +:041AC3002044524128 +:041AC4004C4C4957E6 +:041AC5000045422076 +:041AC6005245564FE0 +:041AC70054495257D5 +:041AC800214E455412 +:041AC9004500212192 +:041ACA00726F70784F +:041ACB0031203F7413 +:041ACC00202C593D34 +:041ACD00004E3D3258 +:041ACE00736572507A +:041ACF00203120732F +:041AD0003220726FDF +:041AD1000000000011 +:041AD2004D9000EB48 +:041AD3004E495753CE +:041AD40000312E347B +:041AD5000080040287 +:041AD6000008000202 +:041AD7000020F88073 +:041AD80000FF003FCC +:041AD900F42900806C +:041ADA004F04C6CF20 +:041ADB0050435353CE +:041ADC0049464F52D6 +:041ADD004146534CDF +:041ADE002036315429 +:041ADF0000002020C3 +:041AE0004353534FCA +:041AE100464F5250CA +:041AE200204E494207 +:041AE300B5048E00B8 +:041AE400516F516F7E +:041AE500891700005D +:041AE6000002516F3A +:041AE70000100200E9 +:041AE80000100000EA +:041AE90000000000F9 +:041AEA0000000000F8 +:041AEB0000100000E7 +:041AEC00000804DC0E +:041AED00000804DC0D +:041AEE00000804DC0C +:041AEF00000804DC0B +:041AF0000001045499 +:041AF1000001045A92 +:041AF200000104608B +:041AF3000001046684 +:041AF40000010408E1 +:041AF50000010428C0 +:041AF60000010428BF +:041AF70000010428BE +:041AF80000010428BD +:041AF90000010428BC +:041AFA0000010428BB +:041AFB000001042CB6 +:041AFC0000010432AF +:041AFD006C206F4E9C +:041AFE00006B6E69A2 +:041AFF006D206425CD +:041B0000000000568B +:041B0100252E7525F3 +:041B02002075322EEA +:041B030000007375F6 +:041B040025257525F9 :041B050000000000DC -:041B060064206425CE -:041B07000000004298 -:041B080070207525AF -:041B09006C65786926 -:041B0A000000007364 -:041B0B00656E6F4450 +:041B06006F74754142 +:041B07000000282092 +:041B080075257325A7 +:041B09000000732540 +:041B0A006C207525B1 +:041B0B0073656E6927 :041B0C0000000000D5 -:041B0D006C69614658 -:041B0E0028206465C2 -:041B0F000029642520 -:041B100000011BDADB -:041B110000011BF4C0 -:041B120000011BFEB5 -:041B130000011C3081 -:041B140000011C0CA4 -:041B150064206425BF -:041B160000006765FF -:041B1700252E7525DD -:041B18000075322EF4 -:041B19006573753C3F -:041B1A0000003E6425 -:041B1B004452535588 -:041B1C0000415441EF -:041B1D00706D653C46 -:041B1E00003E797498 -:041B1F00203A7525CE -:041B20000000732529 -:041B210025207325E3 -:041B220063252D7595 -:041B230000000000BE -:041B2400252E7525D0 -:041B25006B75322E7C -:041B260025207A48B4 -:041B27002E252E75C4 -:041B28007A48753250 -:041B290000000000B8 -:041B2A0078303237A6 -:041B2B005F303034C3 -:041B2C00000030374E -:041B2D0078303436A2 -:041B2E005F303034C0 -:041B2F00000030374B -:041B30007820732581 -:041B31000000752516 -:041B3200FFFFFFFFB3 -:041B3300FFFFFFFFB2 -:041B34000000FFFFAF -:041B3500636E614337 -:041B3600656C6C6509 -:041B37000000006446 -:041B3800462F44539D -:041B39006873616C00 -:041B3A00727265203E -:041B3B000000726FC5 -:041B3C0061766E4917 -:041B3D002064696C4B -:041B3E0061726170FF -:041B3F00002E736D94 -:041B400053206F4E71 -:041B41006163204478 -:041B42006420647245 -:041B4300002E746597 -:041B4400636375530F -:041B45000073736551 -:041B4600462044539E -:041B4700616D726FEB -:041B48000000007425 -:041B490041463D31A3 -:041B4A002C363154B0 -:041B4B00523D3220B5 -:041B4C0000005741FD -:041B4D006F707845F8 -:041B4E006E697472D6 -:041B4F002E2E2E67A1 -:041B50000000000091 -:041B510073616C460A -:041B5200657620682C -:041B5300206669722D -:041B54006C696166F1 -:041B5500000000008C -:041B560061766E49FD -:041B57002064696C31 -:041B5800207264682B -:041B590000435243B0 -:041B5A0061766E49F9 -:041B5B002064696C2D -:041B5C0061746164EB -:041B5D00435243208C -:041B5E000000000083 -:041B5F0061647055F8 -:041B60006320657425 -:041B610065636E61E9 -:041B620064656C6CDE -:041B6300000000007E -:041B640061766E49EF -:041B65002064696C23 -:041B660067616D69DD -:041B67000000006515 -:041B680061766E49EB -:041B69002064696C1F -:041B6A0064616568E5 -:041B6B00000072659F -:041B6C004353534F3D -:041B6D000000000074 -:041B6E00696C6156E7 -:041B6F0069746164D0 -:041B70006420676E18 -:041B7100006174613A -:041B72006220752553 -:041B730073657479A9 +:041B0D0064206425C7 +:041B0E000000004291 +:041B0F0070207525A8 +:041B10006C6578691F +:041B1100000000735D +:041B1200656E6F4449 +:041B130000000000CE +:041B14006C69614651 +:041B150028206465BB +:041B16000029642519 +:041B170000011BF4BA +:041B180000011C0E9E +:041B190000011C1893 +:041B1A0000011C4A60 +:041B1B0000011C2683 +:041B1C0064206425B8 +:041B1D0000006765F8 +:041B1E00252E7525D6 +:041B1F000075322EED +:041B20006573753C38 +:041B210000003E641E +:041B22004452535581 +:041B230000415441E8 +:041B2400706D653C3F +:041B2500003E797491 +:041B2600203A7525C7 +:041B27000000732522 +:041B280025207325DC +:041B290063252D758E +:041B2A0000000000B7 +:041B2B00252E7525C9 +:041B2C006B75322E75 +:041B2D0025207A48AD +:041B2E002E252E75BD +:041B2F007A48753249 +:041B300000000000B1 +:041B3100783032379F +:041B32005F303034BC +:041B33000000303747 +:041B3400783034369B +:041B35005F303034B9 +:041B36000000303744 +:041B3700782073257A +:041B3800000075250F +:041B3900FFFFFFFFAC +:041B3A00FFFFFFFFAB +:041B3B000000FFFFA8 +:041B3C00636E614330 +:041B3D00656C6C6502 +:041B3E00000000643F +:041B3F00462F445396 +:041B40006873616CF9 +:041B41007272652037 +:041B42000000726FBE +:041B430061766E4910 +:041B44002064696C44 +:041B450061726170F8 +:041B4600002E736D8D +:041B470053206F4E6A +:041B48006163204471 +:041B4900642064723E +:041B4A00002E746590 +:041B4B006363755308 +:041B4C00007373654A +:041B4D004620445397 +:041B4E00616D726FE4 +:041B4F00000000741E +:041B500041463D319C +:041B51002C363154A9 +:041B5200523D3220AE +:041B530000005741F6 +:041B54006F707845F1 +:041B55006E697472CF +:041B56002E2E2E679A +:041B5700000000008A +:041B580073616C4603 +:041B59006576206825 +:041B5A002066697226 +:041B5B006C696166EA +:041B5C000000000085 +:041B5D0061766E49F6 +:041B5E002064696C2A +:041B5F002072646824 +:041B600000435243A9 +:041B610061766E49F2 +:041B62002064696C26 +:041B630061746164E4 +:041B64004352432085 +:041B6500000000007C +:041B660061647055F1 +:041B6700632065741E +:041B680065636E61E2 +:041B690064656C6CD7 +:041B6A000000000077 +:041B6B0061766E49E8 +:041B6C002064696C1C +:041B6D0067616D69D6 +:041B6E00000000650E +:041B6F0061766E49E4 +:041B70002064696C18 +:041B710064616568DE +:041B72000000726598 +:041B73004353534F36 :041B7400000000006D -:041B7500252E75257F -:041B76002575322E71 -:041B7700007325735F -:041B780061647055DF -:041B7900676E6974B6 -:041B7A0000574620AA -:041B7B0061656C70C4 -:041B7C0077206573F6 -:041B7D002E746961F8 -:041B7E0000002E2E07 -:041B7F0069726556CC -:041B80006E697966AB -:041B81006C66206707 -:041B82000068736123 -:041B830061656C70BC -:041B840072206573F3 -:041B850061747365AF -:041B86000000747275 -:041B870072746552BD -:041B8800676E6979A2 -:041B890064707520EF -:041B8A00006574611D -:041B8B0061647055CC -:041B8C00203F65741D -:041B8D002C593D3161 -:041B8E004E3D322076 -:041B8F000000000052 -:041B90006D726946C3 -:041B910065726177A1 -:041B920064707520E6 -:041B930064657461B0 -:041B9400000000004D -:041B9500000145DC2A -:041B960000014622E2 -:041B97000001462AD9 -:041B980000014632D0 -:041B990064616F4CC8 -:041B9A002E676E69DB -:041B9B0000002E2EEA -:041B9C007320642529 -:041B9D0073746F6C82 -:041B9E00616F6C20E7 -:041B9F000064656415 -:041BA0006F706D49AC -:041BA100203F7472FB -:041BA2002C593D314C -:041BA3004E3D322061 -:041BA400000000003D -:041BA5006C696146C0 -:041BA6000000646572 -:041BA70073657250A0 -:041BA80000000073C6 -:041BA900666E6F43B2 -:041BAA00006D7269EF -:041BAB006D73694DA0 -:041BAC006863746195 -:041BAD006572202C11 -:041BAE0000797274D4 -:041BAF004353534FFA -:041BB0007766202014 -:041BB1007525202E48 -:041BB200322E252E7C -:041BB3000000617558 -:041BB40074696E4999 -:041BB50072726520C3 -:041BB6002020726F0A -:041BB70000006425A1 -:041BB80065646F4DA4 -:041BB90065727020C1 -:041BBA003A746573A1 -:041BBB000000000026 -:041BBC007266655296 -:041BBD0020687365C4 -:041BBE006574617277 -:041BBF000000003AE8 -:041BC000252E752534 -:041BC1004875322E03 -:041BC2000000007AA5 -:041BC30020562F4831 -:041BC400636E797360 -:041BC5003A6E656CA3 -:041BC600000000001B -:041BC70075352D251E -:041BC800352D252072 -:041BC90000000075A3 +:041B7500696C6156E0 +:041B760069746164C9 +:041B77006420676E11 +:041B78000061746133 +:041B7900622075254C +:041B7A0073657479A2 +:041B7B000000000066 +:041B7C00252E752578 +:041B7D002575322E6A +:041B7E000073257358 +:041B7F0061647055D8 +:041B8000676E6974AF +:041B810000574620A3 +:041B820061656C70BD +:041B830077206573EF +:041B84002E746961F1 +:041B850000002E2E00 +:041B860069726556C5 +:041B87006E697966A4 +:041B88006C66206700 +:041B8900006873611C +:041B8A0061656C70B5 +:041B8B0072206573EC +:041B8C0061747365A8 +:041B8D00000074726E +:041B8E0072746552B6 +:041B8F00676E69799B +:041B900064707520E8 +:041B91000065746116 +:041B920061647055C5 +:041B9300203F657416 +:041B94002C593D315A +:041B95004E3D32206F +:041B9600000000004B +:041B97006D726946BC +:041B9800657261779A +:041B990064707520DF +:041B9A0064657461A9 +:041B9B000000000046 +:041B9C00000145F807 +:041B9D000001463EBF +:041B9E0000014646B6 +:041B9F000001464EAD +:041BA00064616F4CC1 +:041BA1002E676E69D4 +:041BA20000002E2EE3 +:041BA3007320642522 +:041BA40073746F6C7B +:041BA500616F6C20E0 +:041BA600006465640E +:041BA7006F706D49A5 +:041BA800203F7472F4 +:041BA9002C593D3145 +:041BAA004E3D32205A +:041BAB000000000036 +:041BAC006C696146B9 +:041BAD00000064656B +:041BAE007365725099 +:041BAF0000000073BF +:041BB000666E6F43AB +:041BB100006D7269E8 +:041BB2006D73694D99 +:041BB300686374618E +:041BB4006572202C0A +:041BB50000797274CD +:041BB6004353534FF3 +:041BB700776620200D +:041BB8007525202E41 +:041BB900322E252E75 +:041BBA000000617551 +:041BBB0074696E4992 +:041BBC0072726520BC +:041BBD002020726F03 +:041BBE00000064259A +:041BBF0065646F4D9D +:041BC00065727020BA +:041BC1003A7465739A +:041BC200000000001F +:041BC300726665528F +:041BC40020687365BD +:041BC5006574617270 +:041BC6000000003AE1 +:041BC700252E75252D +:041BC8004875322EFC +:041BC9000000007A9E :041BCA0020562F482A -:041BCB006B63616285 -:041BCC0063726F7061 -:041BCD0000003A6872 -:041BCE0020562F4826 -:041BCF006974636171 -:041BD000003A6576FC +:041BCB00636E797359 +:041BCC003A6E656C9C +:041BCD000000000014 +:041BCE0075352D2517 +:041BCF00352D25206B +:041BD000000000759C :041BD10020562F4823 -:041BD20061746F7457 -:041BD30000003A6C68 -:041BD400666F725076 -:041BD5003A656C6998 -:041BD600000000000B -:041BD7006D7269467C -:041BD800657261775A -:041BD9000000003ACE -:041BDA00252E75251A -:041BDB006175322ED0 -:041BDC004120402044 -:041BDD0020206775E8 -:041BDE003032203150 -:041BDF00000033329D -:041BE000656E694C79 -:041BE100746C756D3E -:041BE200646F6D209F -:041BE30000003A655F -:041BE4007365727043 -:041BE5002D3120730B -:041BE60000000035C6 -:041BE70020787525C8 -:041BE80075736E752E -:041BE900726F707037 -:041BEA0000646574BA -:041BEB00666F72505F -:041BEC0020656C699B -:041BED0064616F6C54 -:041BEE000000003AB9 -:041BEF007365727038 -:041BF00075252073C4 -:041BF1000075252D29 -:041BF200202020206F -:041BF30053204F4EDE -:041BF40000434E5903 -:041BF5003431303225 -:041BF6003230322D2A -:041BF7006D2020330A -:041BF8007371726132 -:041BF90000000000E8 -:041BFA00071F1F01A1 -:041BFB0003030F0FC2 -:041BFC0000010301E0 -:041BFD0000014F1084 -:041BFE0000014FCAC9 -:041BFF0000014FCAC8 -:041C000000014FE0B0 -:041C010000014FE0AF -:041C020000014FEAA4 -:041C030000014FEE9F -:041C040000014FFC90 -:041C050000014FFC8F -:041C06000001500E7B -:041C070000015588FB -:041C080000015590F2 -:041C09000001558001 -:041C0A000001558CF4 -:041C0B0000015594EB -:041C0C0000015584FA -:041C0D00000155E09D -:041C0E0000015598E4 -:041C0F000001559CDF -:041C1000000155A0DA -:041C1100000155E099 -:041C1200000155E098 -:041C1300000155E097 -:041C1400000155E096 -:041C1500000155E095 -:041C160000015BC8A6 -:041C1700000155E48F -:041C1800000157A0D0 -:041C1900000157AEC1 -:041C1A000001584825 -:041C1B0000015890DC -:041C1C0000015890DB -:041C1D00000158FC6E -:041C1E00000159F672 -:041C1F00000159F671 -:041C200000015ADC89 -:041C21006E69204880 -:041C220076726574FD -:041C230000006C61F0 -:041C24006E6920566F -:041C250076726574FA -:041C260000006C61ED -:041C27002D62755362 -:041C2800656E696C10 -:041C290073203120D3 -:041C2A0000007274D0 -:041C2B002D6275535E -:041C2C00656E696C0C -:041C2D0073203220CE -:041C2E0000007274CC -:041C2F002D6275535A -:041C3000656E696C08 -:041C310073203320C9 -:041C320000007274C8 -:041C33002D62755356 -:041C3400656E696C04 -:041C350073203420C4 -:041C360000007274C4 -:041C37002D62755352 -:041C3800656E696C00 -:041C390073203520BF -:041C3A0000007274C0 -:041C3B002D6275534E -:041C3C00756C6F63F1 -:041C3D0031206E6D77 -:041C3E007274732029 -:041C3F0000000000A1 -:041C40002D62755349 -:041C4100756C6F63EC -:041C420032206E6D71 -:041C43007274732024 -:041C4400000000009C -:041C45002D62755344 -:041C4600756C6F63E7 -:041C470033206E6D6B -:041C4800727473201F -:041C49000000000097 -:041C4A002D6275533F -:041C4B00756C6F63E2 -:041C4C0034206E6D65 -:041C4D00727473201A -:041C4E000000000092 -:041C4F002D6275533A -:041C5000756C6F63DD -:041C510035206E6D5F -:041C52007274732015 -:041C5300000000008D -:041C54002D62755335 -:041C5500756C6F63D8 -:041C560036206E6D59 -:041C57007274732010 -:041C58000000000088 -:041C590073202E487E -:041C5A006C706D61DC -:041C5B0074617265D9 -:041C5C00000000651F -:041C5D0073202E487A -:041C5E007461722E0D -:041C5F007266206524 -:041C600000006361BC -:041C610073202E4876 -:041C62006C636E79C8 -:041C630000006E65AA -:041C640062202E4884 -:041C6500706B6361DC -:041C66006863726FCE -:041C67000000000079 -:041C680061202E4881 -:041C690076697463C1 -:041C6A000000006511 -:041C6B0073202E565E -:041C6C006C636E79BE -:041C6D0000006E65A0 -:041C6E0062202E566C -:041C6F00706B6361D2 -:041C70006863726FC4 -:041C7100000000006F -:041C720061202E5669 -:041C730076697463B7 -:041C74000000006507 -:041C75006D202E4868 -:041C7600006B73612B -:041C77006D202E5658 -:041C7800006B736129 -:041C7900706D6153D6 -:041C7A00676E696CBC -:041C7B00616870200C -:041C7C00000065738C -:041C7D0000007332BE -:041C7E0000007335BA -:041C7F00007330318D -:041C80000066664F45 -:041C81006C6C7546CC -:041C8200000000005E -:041C8300706D6953C4 -:041C84000000656C8B -:041C850000007333B5 -:041C86000073303384 -:041C870072727543BD -:041C880020746E65F1 -:041C890075706E699B -:041C8A0000000074E2 -:041C8B00206C6C411C -:041C8C0075706E6998 -:041C8D00000073746C -:041C8E002066664F17 -:041C8F002073662830 -:041C90003639203D84 -:041C9100297A486BF9 -:041C9200000000004E -:041C93002020783263 -:041C9400207366282B -:041C95003834203D82 -:041C9600297A486BF4 -:041C97000000000049 -:041C98000031564180 -:041C9900003256417E -:041C9A0063616C42D4 -:041C9B000000006BDA -:041C9C0065756C42BC -:041C9D000000000043 -:041C9E0065657247BF -:041C9F000000006ED3 -:041CA0006E617943B5 -:041CA100000000003F -:041CA2000064655223 -:041CA3006567614DC3 -:041CA4000061746EF9 -:041CA5006C6C6559A5 -:041CA6000000776F54 -:041CA700746968579D -:041CA80000000065D3 -:041CA90069726F48A5 -:041CAA00746E6F7A6B -:041CAB0000006C6168 -:041CAC007472655693 -:041CAD006C6163699A -:041CAE000000000032 -:041CAF0069726F489F -:041CB0002B202E7A3D -:041CB10072655620E2 -:041CB20000002E748C -:041CB300747375438E -:041CB40000006D6F50 -:041CB50000706F54F8 -:041CB60074746F4291 -:041CB70000006D6F4D -:041CB800746C754D86 -:041CB900696C706979 -:041CBA006974616385 -:041CBB0000006E6F48 -:041CBC007462755386 -:041CBD007463617279 -:041CBE00006E6F69DC -:041CBF006F74754188 -:041CC0000000000020 -:041CC10000006E4F62 -:041CC200494D4448FC -:041CC300475228203C -:041CC40000002942B1 -:041CC500494D4448F9 -:041CC6004359282036 -:041CC70034724362CE -:041CC8000029343487 -:041CC9000049564434 -:041CCA007565735079 -:041CCB0034206F64EE -:041CCC004420333A43 -:041CCD000000524180 -:041CCE0020313A3156 -:041CCF00005241502E -:041CD0003032393144 -:041CD10038303178FE -:041CD20000000030DE -:041CD3003030363146 -:041CD4003032317801 -:041CD50000000030DB -:041CD600303239313E -:041CD70030323178FE -:041CD80000000030D8 -:041CD900656E654788 -:041CDA0020636972A8 -:041CDB00393A36312B -:041CDC000000000004 -:041CDD00656E654784 -:041CDE0020636972A4 -:041CDF0000333A3460 -:041CE00078323135F0 -:041CE1002030343249 -:041CE2006974706F42 -:041CE30000002E6D62 -:041CE40078343833E5 -:041CE5002030343245 -:041CE6006974706F3E -:041CE70000002E6D5E -:041CE80078303233EB -:041CE9002030343241 -:041CEA006974706F3A -:041CEB0000002E6D5A -:041CEC0078363532DF -:041CED00203034323D -:041CEE006974706F36 -:041CEF0000002E6D56 -:041CF0007373615059 -:041CF100757268742C -:041CF20000000000EE -:041CF300656E694C65 -:041CF40028207832FA -:041CF50029626F628F -:041CF60000000000EA -:041CF700656E694C61 -:041CF800000078323E -:041CF900656E694C5F -:041CFA0028207833F3 -:041CFB006563616C50 -:041CFC000000296457 -:041CFD00656E694C5B -:041CFE0028207834EE -:041CFF0029626F6285 -:041D000000000000DF -:041D0100656E694C56 -:041D020047207833CB -:041D030072656E6532 -:041D0400000063690F -:041D0500656E694C52 -:041D060032207832DD -:041D070033783034C9 -:041D08000000303671 -:041D0900656E694C4E -:041D0A0032207833D8 -:041D0B0033783034C5 -:041D0C00000030366D -:041D0D00656E694C4A -:041D0E000000783326 -:041D0F00656E694C48 -:041D10000000783423 -:041D1100656E694C46 -:041D12000000783520 -:041D13004D382E34E5 -:041D140028207A48C1 -:041D15005654444894 -:041D16002943502FDE -:041D170000000000C8 -:041D18004D352E30E7 -:041D190028207A48BC -:041D1A005654445384 -:041D1B00000000299B -:041D1C004D372E31E0 -:041D1D0028207A48B8 -:041D1E00565444458E -:041D1F000000002997 -:041D20004D352E32DD -:041D210028207A48B4 -:041D22002978616D4E -:041D230000000000BC -:041D2400484D3031C5 -:041D25006D28207A8B -:041D260000296465C7 -:041D2700484D3333BD -:041D28006D28207A88 -:041D290000296E69B6 -:041D2A0020414756B7 -:041D2B0078303436A2 -:041D2C0040303034DF -:041D2D00000030374B -:041D2E0020414756B3 -:041D2F00783032379F -:041D300040303034DB -:041D31000000303747 -:041D3200205654449F -:041D330070303834A0 -:041D340000000000AB -:041D3500415345567B -:041D360030343620EF -:041D37003038347894 -:041D38000030364001 -:041D39002050535093 -:041D3A007830383491 -:041D3B000032373209 -:041D3C002E6365525B -:041D3D0031303620EB -:041D3E0000000000A1 -:041D3F002E63655258 -:041D400039303720DF -:041D4100000000009E -:041D4200484D35399A -:041D43004828207A92 -:041D4400205654448D -:041D450000294949DF -:041D4600484D35339C -:041D47004828207A8E -:041D48002056544489 -:041D49000000294924 -:041D4A00484D363199 -:041D4B004528207A8D -:041D4C00295654447C -:041D4D000000000092 -:041D4E007A484D3949 -:041D4F0044532820B1 -:041D500000295654BC -:041D51006B6E694C00 -:041D52006F7270201C -:041D5300693E2D6652 -:041D54007475706EC4 -:041D5500000000008A -:041D56006B6E694CFB -:041D5700706E692021 -:041D58003E2D747533 -:041D5900666F7270CF -:041D5A000000000085 -:041D5B0074696E49F0 -:041D5C00206C61692D -:041D5D0075706E69C6 -:041D5E00000000740D -:041D5F006F747541E7 -:041D600065746564DD -:041D6100692074631E -:041D62007475706EB6 -:041D6300000000007C -:041D64006F747541E2 -:041D65003156412092 -:041D6600472F59208A -:041D67000000007305 -:041D68006F747541DE -:041D6900325641208D -:041D6A00472F592086 -:041D6B000000007301 -:041D6C006F747541DA -:041D6D003356412088 -:041D6E00472F592082 -:041D6F0000000073FD -:041D70002044434C7C -:041D710074204C424C -:041D72006F656D69C3 -:041D73000000747583 -:041D74000044534F85 -:041D75002044534F64 -:041D760074617473AD -:041D770064207375FC -:041D78002E707369ED -:041D79000000000066 -:041D7A0073616850D9 -:041D7B006F68206508 -:041D7C0079656B74A6 -:041D7D000000000062 -:041D7E00616F4C3C09 -:041D7F0072702064FA -:041D80006C69666FB5 -:041D8100003E20659B -:041D82007661533CF7 -:041D830072702065F5 -:041D84006C69666FB1 -:041D8500003E206597 -:041D86007365523CF3 -:041D870073207465EC -:041D880069747465A1 -:041D89003E73676ED0 -:041D8A000000000055 -:041D8B00706D493CF2 -:041D8C002074726FDE -:041D8D007474657392 -:041D8E003E20202EA5 -:041D8F000000000050 -:041D90007078453CE6 -:041D91002074726FD9 -:041D9200747465738D -:041D93003E20202EA0 -:041D9400000000004B -:041D95002E77463C23 -:041D960064707520E0 -:041D970020657461EE -:041D98003E202020A9 -:041D99000000000046 -:041D9A006E776F44AD -:041D9B006D61732DD6 -:041D9C006E696C7090 -:041D9D0000000067DB -:041D9E0070617753A6 -:041D9F0066656C20E9 -:041DA00069722F74C1 -:041DA10000746867FB -:041DA2006F6E6F4DA4 -:041DA300646F6D20DC -:041DA40000000065D6 -:041DA5002D657250E6 -:041DA6002043444151 -:041DA7006E69616799 -:041DA8000000000037 -:041DA9006C6C7546A3 -:041DAA002058542049 -:041DAB007574657373 -:041DAC0000000070C3 -:041DAD002033564148 -:041DAE0020657375C4 -:041DAF002E746C61C1 -:041DB0004247522034 -:041DB100000000002E -:041DB20061666544BD -:041DB30020746C75B7 -:041DB400494D444809 -:041DB5004349562028 -:041DB6000000000029 -:041DB7006B73614D9C -:041DB8006C6F6320C9 -:041DB9000000726F45 -:041DBA006B73614D99 -:041DBB0069726220C7 -:041DBC006E74686772 -:041DBD0000737365D7 -:041DBE006E6163539C -:041DBF00656E696C78 -:041DC00000000073AC -:041DC100202E6C5311 -:041DC200657274735F -:041DC3006874676E6B -:041DC400000000001B -:041DC500202E6C530D -:041DC6006874656D6B -:041DC7000000646F45 +:041BD2006B6361627E +:041BD30063726F705A +:041BD40000003A686B +:041BD50020562F481F +:041BD600697463616A +:041BD700003A6576F5 +:041BD80020562F481C +:041BD90061746F7450 +:041BDA0000003A6C61 +:041BDB00666F72506F +:041BDC003A656C6991 +:041BDD000000000004 +:041BDE006D72694675 +:041BDF006572617753 +:041BE0000000003AC7 +:041BE100252E752513 +:041BE2006175322EC9 +:041BE300412040203D +:041BE40020206775E1 +:041BE5003032203644 +:041BE6000000333296 +:041BE700656E694C72 +:041BE800746C756D37 +:041BE900646F6D2098 +:041BEA0000003A6558 +:041BEB00736572703C +:041BEC002D31207304 +:041BED0000000035BF +:041BEE0020787525C1 +:041BEF0075736E7527 +:041BF000726F707030 +:041BF10000646574B3 +:041BF200666F725058 +:041BF30020656C6994 +:041BF40064616F6C4D +:041BF5000000003AB2 +:041BF6007365727031 +:041BF70075252073BD +:041BF8000075252D22 +:041BF9002020202068 +:041BFA0053204F4ED7 +:041BFB0000434E59FC +:041BFC00343130321E +:041BFD003230322D23 +:041BFE006D20203303 +:041BFF00737172612B +:041C000000000000E0 +:041C0100071F1F0199 +:041C020003030F0FBA +:041C030000010301D8 +:041C040000014F2C60 +:041C050000014FE6A5 +:041C060000014FE6A4 +:041C070000014FFC8D +:041C080000014FFC8C +:041C09000001500680 +:041C0A000001500A7B +:041C0B00000150186C +:041C0C00000150186B +:041C0D000001502A58 +:041C0E00000155A4D8 +:041C0F00000155ACCF +:041C10000001559CDE +:041C1100000155A8D1 +:041C1200000155B0C8 +:041C1300000155A0D7 +:041C1400000155FC7A +:041C1500000155B4C1 +:041C1600000155B8BC +:041C1700000155BCB7 +:041C1800000155FC76 +:041C1900000155FC75 +:041C1A00000155FC74 +:041C1B00000155FC73 +:041C1C00000155FC72 +:041C1D0000015BE483 +:041C1E00000156006B +:041C1F00000157BCAD +:041C2000000157CA9E +:041C21000001586402 +:041C2200000158ACB9 +:041C2300000158ACB8 +:041C2400000159184A +:041C250000015A124E +:041C260000015A124D +:041C270000015AF866 +:041C28006E69204879 +:041C290076726574F6 +:041C2A0000006C61E9 +:041C2B006E69205668 +:041C2C0076726574F3 +:041C2D0000006C61E6 +:041C2E002D6275535B +:041C2F00656E696C09 +:041C300073203120CC +:041C310000007274C9 +:041C32002D62755357 +:041C3300656E696C05 +:041C340073203220C7 +:041C350000007274C5 +:041C36002D62755353 +:041C3700656E696C01 +:041C380073203320C2 +:041C390000007274C1 +:041C3A002D6275534F +:041C3B00656E696CFD +:041C3C0073203420BD +:041C3D0000007274BD +:041C3E002D6275534B +:041C3F00656E696CF9 +:041C400073203520B8 +:041C410000007274B9 +:041C42002D62755347 +:041C4300756C6F63EA +:041C440031206E6D70 +:041C45007274732022 +:041C4600000000009A +:041C47002D62755342 +:041C4800756C6F63E5 +:041C490032206E6D6A +:041C4A00727473201D +:041C4B000000000095 +:041C4C002D6275533D +:041C4D00756C6F63E0 +:041C4E0033206E6D64 +:041C4F007274732018 +:041C50000000000090 +:041C51002D62755338 +:041C5200756C6F63DB +:041C530034206E6D5E +:041C54007274732013 +:041C5500000000008B +:041C56002D62755333 +:041C5700756C6F63D6 +:041C580035206E6D58 +:041C5900727473200E +:041C5A000000000086 +:041C5B002D6275532E +:041C5C00756C6F63D1 +:041C5D0036206E6D52 +:041C5E007274732009 +:041C5F000000000081 +:041C600073202E4877 +:041C61006C706D61D5 +:041C620074617265D2 +:041C63000000006518 +:041C640073202E4873 +:041C65007461722E06 +:041C6600726620651D +:041C670000006361B5 +:041C680073202E486F +:041C69006C636E79C1 +:041C6A0000006E65A3 +:041C6B0062202E487D +:041C6C00706B6361D5 +:041C6D006863726FC7 +:041C6E000000000072 +:041C6F0061202E487A +:041C700076697463BA +:041C7100000000650A +:041C720073202E5657 +:041C73006C636E79B7 +:041C740000006E6599 +:041C750062202E5665 +:041C7600706B6361CB +:041C77006863726FBD +:041C78000000000068 +:041C790061202E5662 +:041C7A0076697463B0 +:041C7B000000006500 +:041C7C006D202E4861 +:041C7D00006B736124 +:041C7E006D202E5651 +:041C7F00006B736122 +:041C8000706D6153CF +:041C8100676E696CB5 +:041C82006168702005 +:041C83000000657385 +:041C840000007332B7 +:041C850000007335B3 +:041C86000073303186 +:041C87000066664F3E +:041C88006C6C7546C5 +:041C89000000000057 +:041C8A00706D6953BD +:041C8B000000656C84 +:041C8C0000007333AE +:041C8D00007330337D +:041C8E0072727543B6 +:041C8F0020746E65EA +:041C900075706E6994 +:041C910000000074DB +:041C9200206C6C4115 +:041C930075706E6991 +:041C94000000737465 +:041C95002066664F10 +:041C96002073662829 +:041C97003639203D7D +:041C9800297A486BF2 +:041C99000000000047 +:041C9A00202078325C +:041C9B002073662824 +:041C9C003834203D7B +:041C9D00297A486BED +:041C9E000000000042 +:041C9F000031564179 +:041CA0000032564177 +:041CA10063616C42CD +:041CA2000000006BD3 +:041CA30065756C42B5 +:041CA400000000003C +:041CA50065657247B8 +:041CA6000000006ECC +:041CA7006E617943AE +:041CA8000000000038 +:041CA900006465521C +:041CAA006567614DBC +:041CAB000061746EF2 +:041CAC006C6C65599E +:041CAD000000776F4D +:041CAE007469685796 +:041CAF0000000065CC +:041CB00069726F489E +:041CB100746E6F7A64 +:041CB20000006C6161 +:041CB300747265568C +:041CB4006C61636993 +:041CB500000000002B +:041CB60069726F4898 +:041CB7002B202E7A36 +:041CB80072655620DB +:041CB90000002E7485 +:041CBA007473754387 +:041CBB0000006D6F49 +:041CBC0000706F54F1 +:041CBD0074746F428A +:041CBE0000006D6F46 +:041CBF00746C754D7F +:041CC000696C706972 +:041CC100697461637E +:041CC20000006E6F41 +:041CC300746275537F +:041CC4007463617272 +:041CC500006E6F69D5 +:041CC6006F74754181 +:041CC7000000000019 +:041CC80000006E4F5B +:041CC900494D4448F5 +:041CCA004752282035 +:041CCB0000002942AA +:041CCC00494D4448F2 +:041CCD00435928202F +:041CCE0034724362C7 +:041CCF000029343480 +:041CD000004956442D +:041CD1007565735072 +:041CD20034206F64E7 +:041CD3004420333A3C +:041CD4000000524179 +:041CD50020313A314F +:041CD6000052415027 +:041CD700303239313D +:041CD80038303178F7 +:041CD90000000030D7 +:041CDA00303036313F +:041CDB0030323178FA +:041CDC0000000030D4 +:041CDD003032393137 +:041CDE0030323178F7 +:041CDF0000000030D1 +:041CE000656E654781 +:041CE10020636972A1 +:041CE200393A363124 +:041CE30000000000FD +:041CE400656E65477D +:041CE500206369729D +:041CE60000333A3459 +:041CE70078323135E9 +:041CE8002030343242 +:041CE9006974706F3B +:041CEA0000002E6D5B +:041CEB0078343833DE +:041CEC00203034323E +:041CED006974706F37 +:041CEE0000002E6D57 +:041CEF0078303233E4 +:041CF000203034323A +:041CF1006974706F33 +:041CF20000002E6D53 +:041CF30078363532D8 +:041CF4002030343236 +:041CF5006974706F2F +:041CF60000002E6D4F +:041CF7007373615052 +:041CF8007572687425 +:041CF90000000000E7 +:041CFA00656E694C5E +:041CFB0028207832F3 +:041CFC0029626F6288 +:041CFD0000000000E3 +:041CFE00656E694C5A +:041CFF000000783237 +:041D0000656E694C57 +:041D010028207833EB +:041D02006563616C48 +:041D0300000029644F +:041D0400656E694C53 +:041D050028207834E6 +:041D060029626F627D +:041D070000000000D8 +:041D0800656E694C4F +:041D090047207833C4 +:041D0A0072656E652B +:041D0B000000636908 +:041D0C00656E694C4B +:041D0D0032207832D6 +:041D0E0033783034C2 +:041D0F00000030366A +:041D1000656E694C47 +:041D110032207833D1 +:041D120033783034BE +:041D13000000303666 +:041D1400656E694C43 +:041D1500000078331F +:041D1600656E694C41 +:041D1700000078341C +:041D1800656E694C3F +:041D19000000783519 +:041D1A004D382E34DE +:041D1B0028207A48BA +:041D1C00565444488D +:041D1D002943502FD7 +:041D1E0000000000C1 +:041D1F004D352E30E0 +:041D200028207A48B5 +:041D2100565444537D +:041D22000000002994 +:041D23004D372E31D9 +:041D240028207A48B1 +:041D25005654444587 +:041D26000000002990 +:041D27004D352E32D6 +:041D280028207A48AD +:041D29002978616D47 +:041D2A0000000000B5 +:041D2B00484D3031BE +:041D2C006D28207A84 +:041D2D0000296465C0 +:041D2E00484D3333B6 +:041D2F006D28207A81 +:041D300000296E69AF +:041D310020414756B0 +:041D3200783034369B +:041D330040303034D8 +:041D34000000303744 +:041D350020414756AC +:041D36007830323798 +:041D370040303034D4 +:041D38000000303740 +:041D39002056544498 +:041D3A007030383499 +:041D3B0000000000A4 +:041D3C004153455674 +:041D3D0030343620E8 +:041D3E00303834788D +:041D3F0000303640FA +:041D4000205053508C +:041D4100783038348A +:041D42000032373202 +:041D43002E63655254 +:041D440031303620E4 +:041D4500000000009A +:041D46002E63655251 +:041D470039303720D8 +:041D48000000000097 +:041D4900484D353993 +:041D4A004828207A8B +:041D4B002056544486 +:041D4C0000294949D8 +:041D4D00484D353395 +:041D4E004828207A87 +:041D4F002056544482 +:041D5000000029491D +:041D5100484D363192 +:041D52004528207A86 +:041D53002956544475 +:041D5400000000008B +:041D55007A484D3942 +:041D560044532820AA +:041D570000295654B5 +:041D58006B6E694CF9 +:041D59006F72702015 +:041D5A00693E2D664B +:041D5B007475706EBD +:041D5C000000000083 +:041D5D006B6E694CF4 +:041D5E00706E69201A +:041D5F003E2D74752C +:041D6000666F7270C8 +:041D6100000000007E +:041D620074696E49E9 +:041D6300206C616926 +:041D640075706E69BF +:041D65000000007406 +:041D66006F747541E0 +:041D670065746564D6 +:041D68006920746317 +:041D69007475706EAF +:041D6A000000000075 +:041D6B006F747541DB +:041D6C00315641208B +:041D6D00472F592083 +:041D6E0000000073FE +:041D6F006F747541D7 +:041D70003256412086 +:041D7100472F59207F +:041D720000000073FA +:041D73006F747541D3 +:041D74003356412081 +:041D7500472F59207B +:041D760000000073F6 +:041D77002044434C75 +:041D780074204C4245 +:041D79006F656D69BC +:041D7A00000074757C +:041D7B000044534F7E +:041D7C002044534F5D +:041D7D0074617473A6 +:041D7E0064207375F5 +:041D7F002E707369E6 +:041D8000000000005F +:041D810073616850D2 +:041D82006F68206501 +:041D830079656B749F +:041D8400000000005B +:041D8500616F4C3C02 +:041D860072702064F3 +:041D87006C69666FAE +:041D8800003E206594 +:041D89007661533CF0 +:041D8A0072702065EE +:041D8B006C69666FAA +:041D8C00003E206590 +:041D8D007365523CEC +:041D8E0073207465E5 +:041D8F00697474659A +:041D90003E73676EC9 +:041D9100000000004E +:041D9200706D493CEB +:041D93002074726FD7 +:041D9400747465738B +:041D95003E20202E9E +:041D96000000000049 +:041D97007078453CDF +:041D98002074726FD2 +:041D99007474657386 +:041D9A003E20202E99 +:041D9B000000000044 +:041D9C002E77463C1C +:041D9D0064707520D9 +:041D9E0020657461E7 +:041D9F003E202020A2 +:041DA000000000003F +:041DA1006E776F44A6 +:041DA2006D61732DCF +:041DA3006E696C7089 +:041DA40000000067D4 +:041DA500706177539F +:041DA60066656C20E2 +:041DA70069722F74BA +:041DA80000746867F4 +:041DA9006F6E6F4D9D +:041DAA00646F6D20D5 +:041DAB0000000065CF +:041DAC002D657250DF +:041DAD00204344414A +:041DAE006E69616792 +:041DAF000000000030 +:041DB0006C6C75469C +:041DB1002058542042 +:041DB200757465736C +:041DB30000000070BC +:041DB4002033564141 +:041DB50020657375BD +:041DB6002E746C61BA +:041DB700424752202D +:041DB8000000000027 +:041DB90061666544B6 +:041DBA0020746C75B0 +:041DBB00494D444802 +:041DBC004349562021 +:041DBD000000000022 +:041DBE006B73614D95 +:041DBF006C6F6320C2 +:041DC0000000726F3E +:041DC1006B73614D92 +:041DC20069726220C0 +:041DC3006E7468676B +:041DC40000737365D0 +:041DC5006E61635395 +:041DC600656E696C71 +:041DC70000000073A5 :041DC800202E6C530A -:041DC90065746C6170 -:041DCA0074616E7260 -:041DCB0000676E69D6 +:041DC9006572747358 +:041DCA006874676E64 +:041DCB000000000014 :041DCC00202E6C5306 -:041DCD0067696C6175 -:041DCE006E656D6E63 -:041DCF00000000749C +:041DCD00726279685D +:041DCE0073206469B1 +:041DCF00002E7274FC :041DD000202E6C5302 -:041DD100657079744C -:041DD200000000000D -:041DD3004320203C4D -:041DD4006F74737540 -:041DD5006C53206DBE -:041DD6003E20202E5D -:041DD7000000000008 -:041DD8007030343201 -:041DD9003838322F35 -:041DDA007270207093 -:041DDB000000636F32 -:041DDC0070343833F4 -:041DDD003030342F3F -:041DDE00727020708F -:041DDF000000636F2E -:041DE00069303834FA -:041DE1003637352F2D -:041DE2007270206992 -:041DE3000000636F2A -:041DE40070303834EF -:041DE5003637352F29 -:041DE6007270207087 -:041DE7000000636F26 -:041DE80069303639EF -:041DE9003830312F2E -:041DEA0070206930CC -:041DEB0000636F72B0 -:041DEC00656E694C6B -:041DED006D207832BB -:041DEE000065646FB9 -:041DEF00656E694C68 -:041DF0006D207833B7 -:041DF1000065646FB6 -:041DF200656E694C65 -:041DF3006D207834B3 -:041DF4000065646FB3 -:041DF500656E694C62 -:041DF6006D207835AF -:041DF7000065646FB0 -:041DF800656E694C5F -:041DF90066207835B3 -:041DFA00616D726F36 -:041DFB000000007470 -:041DFC0078363532CE -:041DFD00203034322C -:041DFE006570736138 -:041DFF000000746309 -:041E00006D205854A5 -:041E01000065646FA5 -:041E0200494D4448BA -:041E030043544920DB -:041E040000000000DA -:041E05006C616E415D -:041E06007320676F6F -:041E070020636E796D -:041E08000046504CF4 -:041E09006C616E4159 -:041E0A005320676F8B -:041E0B004C204354D0 -:041E0C00000046503C -:041E0D006C616E4155 -:041E0E007320676F67 -:041E0F0020636E7965 -:041E1000006874569C -:041E11006E7973482B -:041E12006F74206366 -:041E13006172656C27 -:041E14000065636E94 -:041E15006E79735619 -:041E16006874206369 -:041E17006873657215 -:041E180000646C6F87 -:041E19004C502D48B4 -:041E1A007250204C96 -:041E1B006F432D657F -:041E1C00007473617A -:041E1D004C502D48B0 -:041E1E006F50204C95 -:041E1F00432D747368 -:041E20007473616F07 -:041E210000000000BD -:041E220070303834B0 -:041E2300206E6920A4 -:041E2400706D617309 -:041E25000072656C76 -:041E260070303034B4 -:041E2700206E6920A0 -:041E2800706D617305 -:041E29000072656C72 -:041E2A006F6C6C412C -:041E2B007075207737 -:041E2C00706D617301 -:041E2D007832656C36 -:041E2E0000000000B0 -:041E2F007664413C58 -:041E30006974202E83 -:041E3100676E696D02 -:041E32003E2020200E -:041E330000000000AB -:041E34006564695622 -:041E3500504C206F7E -:041E36000000004662 -:041E3700506250594C -:041E38006E6920723D -:041E39006C6F432067 -:041E3A000061705380 -:041E3B0072502F5260 -:041E3C0066666F2047 -:041E3D000074657355 -:041E3E0020592F47B1 -:041E3F007366666FF1 -:041E400000007465C5 -:041E410062502F427A -:041E420066666F2041 -:041E4300007465734F -:041E440072502F5257 -:041E45006961672048 -:041E46000000006E2A -:041E470020592F47A8 -:041E48006E696167F7 -:041E49000000000095 -:041E4A0062502F4271 -:041E4B006961672042 -:041E4C000000006E24 -:041E4D002D6572503D -:041E4E0020434441A8 -:041E4F006E69614710 -:041E5000000000008E -:041E51006D616C4310 -:041E52004C412F7060 -:041E5300666F204353 -:041E540074657366D8 -:041E55000000000089 -:041E560020434C4198 -:041E57006966205642 -:041E58007265746CCF -:041E59000000000085 -:041E5A0020434C4194 -:041E5B00696620484C -:041E5C007265746CCB -:041E5D000000000081 -:041E5E0065646956F8 -:041E5F006E69206F19 -:041E60006F7270200D -:041E61003E2020639C -:041E6200000000007C -:041E6300706D6153EA -:041E6400676E696CD0 -:041E650074706F2006 -:041E66003E20202ECC +:041DD1006874656D60 +:041DD2000000646F3A +:041DD300202E6C53FF +:041DD40065746C6165 +:041DD50074616E7255 +:041DD60000676E69CB +:041DD700202E6C53FB +:041DD80067696C616A +:041DD9006E656D6E58 +:041DDA000000007491 +:041DDB00202E6C53F7 +:041DDC006570797441 +:041DDD000000000002 +:041DDE004320203C42 +:041DDF006F74737535 +:041DE0006C53206DB3 +:041DE1003E20202E52 +:041DE20000000000FD +:041DE30070303432F6 +:041DE4003838322F2A +:041DE5007270207088 +:041DE6000000636F27 +:041DE70070343833E9 +:041DE8003030342F34 +:041DE9007270207084 +:041DEA000000636F23 +:041DEB0069303834EF +:041DEC003637352F22 +:041DED007270206987 +:041DEE000000636F1F +:041DEF0070303834E4 +:041DF0003637352F1E +:041DF100727020707C +:041DF2000000636F1B +:041DF30069303639E4 +:041DF4003830312F23 +:041DF50070206930C1 +:041DF60000636F72A5 +:041DF700656E694C60 +:041DF8006D207832B0 +:041DF9000065646FAE +:041DFA00656E694C5D +:041DFB006D207833AC +:041DFC000065646FAB +:041DFD00656E694C5A +:041DFE006D207834A8 +:041DFF000065646FA8 +:041E0000656E694C56 +:041E01006D207835A3 +:041E02000065646FA4 +:041E0300656E694C53 +:041E040066207835A7 +:041E0500616D726F2A +:041E06000000007464 +:041E070078363532C2 +:041E08002030343220 +:041E0900657073612C +:041E0A0000007463FD +:041E0B006D2058549A +:041E0C000065646F9A +:041E0D00494D4448AF +:041E0E0043544920D0 +:041E0F0000000000CF +:041E10006C616E4152 +:041E11007320676F64 +:041E120020636E7962 +:041E13000046504CE9 +:041E14006C616E414E +:041E15005320676F80 +:041E16004C204354C5 +:041E17000000465031 +:041E18006C616E414A +:041E19007320676F5C +:041E1A0020636E795A +:041E1B000068745691 +:041E1C006E79734820 +:041E1D006F7420635B +:041E1E006172656C1C +:041E1F000065636E89 +:041E20006E7973560E +:041E2100687420635E +:041E2200687365720A +:041E230000646C6F7C +:041E24004C502D48A9 +:041E25007250204C8B +:041E26006F432D6574 +:041E2700007473616F +:041E28004C502D48A5 +:041E29006F50204C8A +:041E2A00432D74735D +:041E2B007473616FFC +:041E2C0000000000B2 +:041E2D0070303834A5 +:041E2E00206E692099 +:041E2F00706D6173FE +:041E30000072656C6B +:041E310070303034A9 +:041E3200206E692095 +:041E3300706D6173FA +:041E34000072656C67 +:041E35006F6C6C4121 +:041E3600707520772C +:041E3700706D6173F6 +:041E38007832656C2B +:041E390000000000A5 +:041E3A007664413C4D +:041E3B006974202E78 +:041E3C00676E696DF7 +:041E3D003E20202003 +:041E3E0000000000A0 +:041E3F006564695617 +:041E4000504C206F73 +:041E41000000004657 +:041E4200657665520A +:041E43002065737231 +:041E44000046504CB8 +:041E4500506250593E +:041E46006E6920722F +:041E47006C6F432059 +:041E48000061705372 +:041E490072502F5252 +:041E4A0066666F2039 +:041E4B000074657347 +:041E4C0020592F47A3 +:041E4D007366666FE3 +:041E4E0000007465B7 +:041E4F0062502F426C +:041E500066666F2033 +:041E51000074657341 +:041E520072502F5249 +:041E5300696167203A +:041E54000000006E1C +:041E550020592F479A +:041E56006E696167E9 +:041E57000000000087 +:041E580062502F4263 +:041E59006961672034 +:041E5A000000006E16 +:041E5B002D6572502F +:041E5C00204344419A +:041E5D006E69614702 +:041E5E000000000080 +:041E5F006D616C4302 +:041E60004C412F7052 +:041E6100666F204345 +:041E620074657366CA +:041E6300000000007B +:041E640020434C418A +:041E65006966205634 +:041E66007265746CC1 :041E67000000000077 -:041E6800636E7953D9 -:041E690074706F2002 -:041E6A002020202EE6 -:041E6B003E202020D5 -:041E6C000000000072 -:041E6D007074754FC9 -:041E6E006F207475F8 -:041E6F00202E74703D -:041E70003E202020D0 -:041E7100000000006D -:041E72006E616353E7 -:041E7300656E696CC3 -:041E740074706F20F7 -:041E75003E20202EBD -:041E76000000000068 -:041E770074736F50C1 -:041E78006F72702DE8 -:041E790020202E6394 -:041E7A003E202020C6 -:041E7B000000000063 -:041E7C00706D6F43D3 -:041E7D0062697461C1 -:041E7E0074696C69AE -:041E7F003E20207968 -:041E8000000000005E -:041E810069647541DA -:041E8200706F206FEE -:041E83006E6F6974A1 -:041E84003E20207369 -:041E85000000000059 -:041E860074746553B8 -:041E870073676E69A6 -:041E880074706F20E3 -:041E89003E202020B7 -:041E8A000000000054 -:041E8B0074736554B3 -:041E8C0074617020ED -:041E8D006E72657498 +:041E680020434C4186 +:041E6900696620483E +:041E6A007265746CBD +:041E6B000000000073 +:041E6C0065646956EA +:041E6D006E69206F0B +:041E6E006F727020FF +:041E6F003E2020638E +:041E7000000000006E +:041E7100706D6153DC +:041E7200676E696CC2 +:041E730074706F20F8 +:041E74003E20202EBE +:041E75000000000069 +:041E7600636E7953CB +:041E770074706F20F4 +:041E78002020202ED8 +:041E79003E202020C7 +:041E7A000000000064 +:041E7B007074754FBB +:041E7C006F207475EA +:041E7D00202E74702F +:041E7E003E202020C2 +:041E7F00000000005F +:041E80006E616353D9 +:041E8100656E696CB5 +:041E820074706F20E9 +:041E83003E20202EAF +:041E8400000000005A +:041E850074736F50B3 +:041E86006F72702DDA +:041E870020202E6386 +:041E88003E202020B8 +:041E89000000000055 +:041E8A00706D6F43C5 +:041E8B0062697461B3 +:041E8C0074696C69A0 +:041E8D003E2020795A :041E8E000000000050 -:041E8F005F31564128 -:041E90005342475220 -:041E9100000000004D -:041E92005F31564125 -:041E930042734752FD -:041E9400000000004A -:041E95005F31564122 -:041E960050625059ED -:041E970000000072D5 -:041E98005F3256411E -:041E990050625059EA -:041E9A0000000072D2 -:041E9B005F3256411B -:041E9C0042734752F4 -:041E9D000000000041 -:041E9E005F33564117 -:041E9F00484247521C -:041EA00000000056E8 -:041EA1005F33564114 -:041EA200534247520E -:041EA300000000003B -:041EA4005F33564111 -:041EA50042734752EB -:041EA6000000000038 -:041EA7005F3356410E -:041EA80050625059DB -:041EA90000000072C3 -:041EAA007473614CA0 -:041EAB0065737520C6 -:041EAC0000000064CE -:041EAD000000003100 -:041EAE0000000032FE -:041EAF0000000033FC -:041EB00000000034FA -:041EB10000000036F7 -:041EB20000000037F5 -:041EB30000000038F3 -:041EB40000000039F1 -:041EB500554E454DF4 -:041EB6000000000028 -:041EB70000004B4F8D -:041EB8004B43414215 -:041EB9000000000025 -:041EBA00000050557F -:041EBB004E574F44EB -:041EBC000000000022 -:041EBD005446454CF6 -:041EBE000000000020 -:041EBF0048474952F5 -:041EC00000000054CA -:041EC1004F464E49F1 -:041EC200000000001C -:041EC3005F44434CE9 -:041EC4004B43414209 -:041EC5004847494CF5 -:041EC60000000054C4 -:041EC7004E414353F2 -:041EC800454E494CEE -:041EC900444F4D5FD6 -:041ECA0000000045CF -:041ECB004E414353EE -:041ECC00454E494CEA -:041ECD005059545FB5 -:041ECE0000000045CB -:041ECF004E414353EA -:041ED000454E494CE6 -:041ED100544E495FC3 -:041ED2000000002BE1 -:041ED3004E414353E6 -:041ED400454E494CE2 -:041ED500544E495FBF -:041ED6000000002DDB -:041ED700454E494CDF -:041ED800544C554DC4 -:041ED900444F4D5FC6 -:041EDA0000000045BF -:041EDB0053414850D7 -:041EDC0000002B4592 -:041EDD0053414850D5 -:041EDE0000002D458E -:041EDF00464F5250C8 -:041EE0005F454C49C5 -:041EE1004B544F48C7 -:041EE200000059455E -:041EE30003030200F3 -:041EE40002000102F5 -:041EE50000000003F6 -:041EE60000017A2C51 -:041EE70000017A3C40 -:041EE80000017A4833 -:041EE90000017A5426 -:041EEA0000017A6019 -:041EEB0000017A6C0C -:041EEC0000017A78FF -:041EED0000017A84F2 -:041EEE0000017A90E5 -:041EEF0000017A9CD8 -:041EF00000017AA8CB -:041EF100000174F088 -:041EF20000002000CC -:041EF30020002CE5BA -:041EF400E926F4FDEA -:041EF50038BC2000D5 -:041EF60000000000E8 -:041EF700000174FC76 -:041EF80000002000C6 -:041EF9002000323E55 -:041EFA00F113FA04E2 -:041EFB003B61200027 -:041EFC0000000000E2 -:041EFD000D806000F4 -:041EFE000040201070 -:041EFF000880002037 -:041F000000080002D3 -:041F010000000000DC -:041F02000D806000EE -:041F03000040200872 -:041F04000480002035 -:041F050000080002CE -:041F060000000000D7 -:041F07000D806000E9 -:041F080000441C076E -:041F09000280002032 -:041F0A0000080002C9 -:041F0B0000000000D2 -:041F0C000D806000E4 -:041F0D00004020046C -:041F0E00028000202D -:041F0F0000080002C4 -:041F100000000000CD -:041F11000D806000DF -:041F120000441C0566 -:041F13000180002029 -:041F140000080002BF -:041F150000000000C8 -:041F16000E40600019 -:041F17000028140783 -:041F18000280002023 -:041F190000080002BA -:041F1A0000000000C3 -:041F1B0000019A2FF8 -:041F1C000000000EB3 -:041F1D000001250694 -:041F1E0000017AB490 -:041F1F0000017AB88B -:041F200000017ABC86 -:041F210000017AC081 -:041F220000016F98B3 -:041F230000017AC47B -:041F240000017AC876 -:041F250000017ACC71 -:041F260000017AD06C -:041F270000017354EE -:041F280000017AD466 -:041F290000017ADC5D -:041F2A0000017AE058 -:041F2B0000017AE84F +:041E8F0069647541CC +:041E9000706F206FE0 +:041E91006E6F697493 +:041E92003E2020735B +:041E9300000000004B +:041E940074746553AA +:041E950073676E6998 +:041E960074706F20D5 +:041E97003E202020A9 +:041E98000000000046 +:041E990074736554A5 +:041E9A0074617020DF +:041E9B006E7265748A +:041E9C000000000042 +:041E9D005F3156411A +:041E9E005342475212 +:041E9F00000000003F +:041EA0005F31564117 +:041EA10042734752EF +:041EA200000000003C +:041EA3005F31564114 +:041EA40050625059DF +:041EA50000000072C7 +:041EA6005F32564110 +:041EA70050625059DC +:041EA80000000072C4 +:041EA9005F3256410D +:041EAA0042734752E6 +:041EAB000000000033 +:041EAC005F33564109 +:041EAD00484247520E +:041EAE0000000056DA +:041EAF005F33564106 +:041EB0005342475200 +:041EB100000000002D +:041EB2005F33564103 +:041EB30042734752DD +:041EB400000000002A +:041EB5005F33564100 +:041EB60050625059CD +:041EB70000000072B5 +:041EB8007473614C92 +:041EB90065737520B8 +:041EBA0000000064C0 +:041EBB0000000031F2 +:041EBC0000000032F0 +:041EBD0000000033EE +:041EBE0000000034EC +:041EBF0000000036E9 +:041EC00000000037E7 +:041EC10000000038E5 +:041EC20000000039E3 +:041EC300554E454DE6 +:041EC400000000001A +:041EC50000004B4F7F +:041EC6004B43414207 +:041EC7000000000017 +:041EC8000000505571 +:041EC9004E574F44DD +:041ECA000000000014 +:041ECB005446454CE8 +:041ECC000000000012 +:041ECD0048474952E7 +:041ECE0000000054BC +:041ECF004F464E49E3 +:041ED000000000000E +:041ED1005F44434CDB +:041ED2004B434142FB +:041ED3004847494CE7 +:041ED40000000054B6 +:041ED5004E414353E4 +:041ED600454E494CE0 +:041ED700444F4D5FC8 +:041ED80000000045C1 +:041ED9004E414353E0 +:041EDA00454E494CDC +:041EDB005059545FA7 +:041EDC0000000045BD +:041EDD004E414353DC +:041EDE00454E494CD8 +:041EDF00544E495FB5 +:041EE0000000002BD3 +:041EE1004E414353D8 +:041EE200454E494CD4 +:041EE300544E495FB1 +:041EE4000000002DCD +:041EE500454E494CD1 +:041EE600544C554DB6 +:041EE700444F4D5FB8 +:041EE80000000045B1 +:041EE90053414850C9 +:041EEA0000002B4584 +:041EEB0053414850C7 +:041EEC0000002D4580 +:041EED00464F5250BA +:041EEE005F454C49B7 +:041EEF004B544F48B9 +:041EF0000000594550 +:041EF10003030200E5 +:041EF20002000102E7 +:041EF30000000003E8 +:041EF40000017A640B +:041EF50000017A74FA +:041EF60000017A80ED +:041EF70000017A8CE0 +:041EF80000017A98D3 +:041EF90000017AA4C6 +:041EFA0000017AB0B9 +:041EFB0000017ABCAC +:041EFC0000017AC89F +:041EFD0000017AD492 +:041EFE0000017AE085 +:041EFF000001750C5D +:041F000000002000BD +:041F010020002CE5AB +:041F0200E926F4FDDB +:041F030038BC2000C6 +:041F040000000000D9 +:041F0500000175184A +:041F060000002000B7 +:041F07002000323E46 +:041F0800F113FA04D3 +:041F09003B61200018 +:041F0A0000000000D3 +:041F0B000D806000E5 +:041F0C000040201061 +:041F0D000880002028 +:041F0E0000080002C5 +:041F0F0000000000CE +:041F10000D806000E0 +:041F11000040200864 +:041F12000480002027 +:041F130000080002C0 +:041F140000000000C9 +:041F15000D806000DB +:041F160000441C0760 +:041F17000280002024 +:041F180000080002BB +:041F190000000000C4 +:041F1A000D806000D6 +:041F1B00004020045E +:041F1C00028000201F +:041F1D0000080002B6 +:041F1E0000000000BF +:041F1F000D806000D1 +:041F200000441C0558 +:041F2100018000201B +:041F220000080002B1 +:041F230000000000BA +:041F24000E4060000B +:041F25000028140775 +:041F26000280002015 +:041F270000080002AC +:041F280000000000B5 +:041F290000019A8F8A +:041F2A000000000EA5 +:041F2B00000125226A :041F2C0000017AEC4A -:041F2D0000017AF441 -:041F2E0000017AFC38 -:041F2F0000017B042E -:041F300000017B0C25 -:041F310000017B1C14 -:041F320000017B2C03 -:041F330000017B3CF2 -:041F340000017B4CE1 -:041F350000017B5CD0 -:041F360000017B6CBF -:041F370000017B74B6 -:041F380000017B7CAD -:041F39003EA93E2956 -:041F3A003EE93E69D5 -:041F3B003E993E1974 -:041F3C003ED93E59F3 -:041F3D003EC93E3922 -:041F3E003E1D3E4DB9 -:041F3F003E2D3EED08 -:041F40003EAD3ECDA7 -:041F41003E653E6D4E -:041F42001C483E01F8 -:041F43001C501C18FA -:041F44001CC81CD0C9 -:041F45005ED85E58AC -:041F460000003EB9A0 -:041F47000001010193 -:041F48000001000193 -:041F49000000000094 -:041F4A008000000013 -:041F4B000000000092 -:041F4C000000000190 -:041F4D000000000090 -:041F4E00000000008F -:041F4F00000000008E -:041F50000B0000087A -:041F51000001440641 -:041F5200000000008B -:041F5300808009037E -:041F54001A1A1A80BB -:041F55000C00010873 -:041F56000000000087 -:041F570000000A007C -:041F580030303631BE -:041F59003034327876 -:041F5A000000000083 -:041F5B0000F006404C -:041F5C0007FE1770F5 -:041F5D000106000079 -:041F5E00000F00CAA6 -:041F5F0000000396E5 -:041F6000010000106C -:041F6100000000017B -:041F6200002000005B -:041F630030383231AF -:041F6400303432786B -:041F65000000000078 -:041F660000F0050082 -:041F670006181770D1 -:041F6800010600006E -:041F6900000F00AABB -:041F6A000000034828 -:041F6B000100001061 -:041F6C000000000170 -:041F6D00000102006D -:041F6E007830363958 -:041F6F0000303432D8 -:041F7000000000006D -:041F710000F003C0B9 -:041F7200049217704E -:041F73000106000063 -:041F7400000F0080DA -:041F7500000003362F -:041F76000100001056 -:041F77000000000165 -:041F78000000040061 -:041F79007832313554 -:041F7A0000303432CD -:041F7B000000000062 -:041F7C0000F002006F -:041F7D0002AA17702D -:041F7E000106000058 -:041F7F00000E004D03 -:041F80000000033228 -:041F8100010000104B -:041F8200000000015A -:041F83000042081000 -:041F84007834383342 -:041F850000303432C2 -:041F86000000000057 -:041F870000F00180E5 -:041F880002001770CC -:041F8900010600004D -:041F8A00000E003B0A -:041F8B00000003252A -:041F8C000100001040 -:041F8D00000000014F -:041F8E00008410209B -:041F8F007830323341 -:041F900000303432B7 -:041F9100000000004C -:041F920000F001401A -:041F930001AA177018 -:041F94000106000042 -:041F9500000E003109 -:041F96000000031F25 -:041F97000100001035 -:041F98000000000144 -:041F990001082040DB -:041F9A00783635322E -:041F9B0000303432AC -:041F9C000000000041 -:041F9D0000F001004F -:041F9E000155177062 -:041F9F000106000037 -:041FA000000E002708 -:041FA1000000031920 -:041FA200010000102A -:041FA3000000000139 -:041FA4000210408067 -:041FA5007030343232 -:041FA6000000000037 -:041FA700000800002E -:041FA80000F002D073 -:041FA900035A17754B -:041FAA00010600002C -:041FAB00000F0039EA -:041FAC000000033EF0 -:041FAD00010000101F -:041FAE00000000012E -:041FAF000000000C22 -:041FB0003030363166 -:041FB100303432781E -:041FB2000000004CDF -:041FB30000F00640F4 -:041FB40007FE138889 -:041FB50001380000EF -:041FB600002B00CA32 -:041FB700000003968D -:041FB8000100001014 -:041FB9000000000222 -:041FBA000020000003 -:041FBB003038323157 -:041FBC003838327807 -:041FBD000000000020 -:041FBE0001200500F9 -:041FBF000618138865 -:041FC00001380000E4 -:041FC100001300AA5F -:041FC20000000348D0 -:041FC3000100001009 -:041FC4000000000217 -:041FC5000001020015 -:041FC6007830363900 -:041FC7000038383274 -:041FC8000000000015 -:041FC900012003C030 -:041FCA0004921388E2 -:041FCB0001380000D9 -:041FCC00001300807E -:041FCD0000000336D7 -:041FCE0001000010FE -:041FCF00000000020C -:041FD0000000040009 -:041FD10078323135FC -:041FD2004C30343229 -:041FD30000000042C8 -:041FD40000F0020017 -:041FD50002AA1388C1 -:041FD60001380000CE -:041FD7000029004D90 -:041FD80000000332D0 -:041FD90001000010F3 -:041FDA000000000201 -:041FDB0000420810A8 -:041FDC0078343833EA -:041FDD004C3034321E -:041FDE0000000042BD -:041FDF0000F001808D -:041FE0000200138860 -:041FE10001380000C3 -:041FE2000029003B97 -:041FE30000000325D2 -:041FE40001000010E8 -:041FE50000000002F6 -:041FE6000084102043 -:041FE70078303233E9 -:041FE8004C30343213 -:041FE90000000042B2 -:041FEA0000F00140C2 -:041FEB0001AA1388AC -:041FEC0001380000B8 -:041FED000029003196 -:041FEE000000031FCD -:041FEF0001000010DD -:041FF00000000002EB -:041FF1000108204083 -:041FF20078363532D6 -:041FF3004C30343208 -:041FF40000000042A7 -:041FF50000F00100F7 -:041FF60001551388F6 -:041FF70001380000AD -:041FF8000029002795 -:041FF90000000319C8 -:041FFA0001000010D2 -:041FFB0000000002E0 -:041FFC00021040800F -:041FFD0070383832CE -:041FFE0000000000DF -:041FFF0000170000C7 -:04200000012002D0E9 -:0420010003601390D5 -:0420020001380000A1 -:042003000013004581 -:042004000000033F96 -:0420050001000010C6 -:0420060000000002D4 -:042007000000000CC9 -:0420080078303834C0 -:04200900003036333A -:04200A0000000000D2 -:04200B00016801E087 -:04200C0002581770EF -:04200D000177000057 -:04200E00000A003F85 -:04200F0000000326A4 -:0420100002000010BA -:0420110000000003C8 -:042012000000000CBE -:0420130078303432BB -:04201400003036332F -:0420150000000000C7 -:04201600016801005C -:04201700012C177011 -:04201800017700004C -:04201900000A0018A1 -:04201A0000000312AD -:04201B0002000010AF -:04201C0000000003BD -:04201D00000081003E -:04201E0070343833AF -:04201F0000000000BD -:0420200000000000BC -:04202100018001F049 -:042022000280157CA7 -:0420230001A7000011 -:04202400001D003269 -:042025000000033E76 -:0420260002000010A4 -:0420270000000003B2 -:042028000000000CA8 -:0420290030303631EC -:04202A0030303478A6 -:04202B0000000000B1 -:04202C0001900640D9 -:04202D0007D01B5865 -:04202E0001C10000EC -:04202F000022007813 -:04203000000002F0BA -:042031000800001093 -:0420320000000003A7 -:0420330000000200A7 -:042034007830323797 -:042035005F303034B4 -:04203600000030373F -:04203700019002D042 -:0420380003841B58AA -:0420390001C10000E1 -:04203A000022004040 -:04203B00000002603F -:04203C000800001088 -:04203D00000000039C -:04203E000000000C92 -:04203F00783034368B -:042040005F303034A9 -:042041000000303734 -:042042000190028087 -:0420430003201B5803 -:0420440001C10000D6 -:042045000022003045 -:042046000000026034 -:04204700080000107D -:042048000000000391 -:042049000000000C87 -:04204A007830343680 -:04204B0000343833F2 -:04204C000000000090 -:04204D00018002808C -:04204E000320157CDA -:04204F0001EC0000A0 -:04205000003F00301D -:042051000000026029 -:042052000800001072 -:042053000000000386 -:042054000000000C7C -:042055006930383482 -:042056000000000086 -:04205700000600007F -:0420580000F002D0C2 -:04205900035A176AA5 -:04205A00020D000073 -:04205B00000F003939 -:04205C000001033E3E -:04205D00010000106E -:04205E00000000047A -:04205F000001020C6E -:042060007030383470 -:04206100000000007B -:042062000002000078 -:0420630001E002D0C6 -:04206400035A176A9A -:04206500020D000068 -:04206600001E003C1C -:042067000000063E31 -:042068000200001062 -:04206900000000066D -:04206A000000000C66 -:04206B00783034365F -:04206C005F30383475 -:04206D000001303608 -:04206E0001E002800B -:04206F0003201770C3 -:04207000020D00005D -:04207100002100301A -:042072000000026008 -:042073000800001051 -:042074000000000662 -:042075000000000C5B -:042076007830383452 -:0420770000323732CA -:042078000003000061 -:04207900011001E071 -:04207A00035A17707E -:04207B00020D000052 -:04207C00008600B129 -:04207D000000063E1B -:04207E00020000104C -:04207F000000000657 -:042080000000000C50 -:042081007830343649 -:0420820000323135C2 -:042083000000000059 -:0420840002000280D4 -:0420850003201770AD -:04208600023800001C -:042087000022003003 -:0420880000000660EE -:04208900080000103B -:04208A00000000064C -:04208B000000000C45 -:04208C006936373545 -:04208D00000000004F -:04208E000015000039 -:04208F00012002D05A -:04209000036013884E -:0420910002710000D8 -:0420920000130045F2 -:042093000001033F06 -:042094000100001037 -:042095000000000542 -:042096000001020C37 -:042097007036373533 -:042098000000000044 -:042099000011000032 -:04209A00024002D02E -:04209B000360138843 -:04209C0002710000CD -:04209D0000270044D4 -:04209E0000000540F9 -:04209F00020000102B -:0420A0000000000735 -:0420A1000000000C2F -:0420A200783030382A -:0420A3005F30303644 -:0420A40000003036D2 -:0420A50002580320BA -:0420A600042017708B -:0420A70002740000BF -:0420A80000170058C5 -:0420A90000000480AF -:0420AA00080000101A -:0420AB000000000031 -:0420AC00000000042C -:0420AD007030323726 -:0420AE000030355F6A -:0420AF00001300001A -:0420B00002D0050055 -:0420B10007BC1388CD -:0420B20002EE00003A -:0420B300001400DC39 -:0420B40000000528FB -:0420B5000C0000100B -:0420B600000000081E -:0420B7000000000421 -:0420B800703032371B -:0420B9000030365F5E -:0420BA00000400001E -:0420BB0002D005004A -:0420BC000672177021 -:0420BD0002EE00002F -:0420BE00001400DC2E -:0420BF0000000528F0 -:0420C0000C00001000 -:0420C1000000000813 -:0420C2000000000416 -:0420C3003432303152 -:0420C40038363778FB -:0420C5000000000017 -:0420C600030004000F -:0420C7000540177049 -:0420C80003260000EB -:0420C900001D00A056 -:0420CA000000068884 -:0420CB0008000010F9 -:0420CC000000000010 -:0420CD00000000040B -:0420CE003038323143 -:0420CF0030363978F6 -:0420D000000000000C -:0420D10003C0050043 -:0420D2000708177074 -:0420D30003E800001E -:0420D40000240138AB -:0420D5000000037094 -:0420D60008000010EE -:0420D7000000000005 -:0420D8000000000400 -:0420D9003038323138 -:0420DA0032303178F7 -:0420DB0000000034CD -:0420DC0004000500F7 -:0420DD0006981770DA -:0420DE00042A0000D0 -:0420DF00002600F8DF -:0420E0000000037089 -:0420E10008000010E3 -:0420E20000000000FA -:0420E30000000004F5 -:0420E40078303436E6 -:0420E50069303639EF -:0420E60000000000F6 -:0420E70001E0028092 -:0420E800032017704A -:0420E900041A0000D5 -:0420EA0000210030A1 -:0420EB00000102608E -:0420EC0002000010DE -:0420ED0000000009E6 -:0420EE000000000CE2 -:0420EF003038303124 -:0420F00030355F69BF -:0420F10000140000D7 -:0420F200021C078045 -:0420F3000A501388F4 -:0420F400046500007F -:0420F500000F009444 -:0420F6000001052CB4 -:0420F7000C000010C9 -:0420F80000000009DB -:0420F9000000000CD7 -:0420FA003038303119 -:0420FB0030365F69B3 -:0420FC0000050000DB -:0420FD00021C07803A -:0420FE0008981770B7 -:0420FF000465000074 -:04210000000F009438 -:042101000001052CA8 -:042102000C000010BD -:0421030000000009CF -:042104000000000CCB -:04210500303830310D -:0421060030355F70A1 -:04210700001F0000B5 -:042108000438078010 -:042109000A501388DD -:04210A000465000068 -:04210B000024009418 -:04210C000000052C9E -:04210D000C000010B2 -:04210E000000000AC3 -:04210F0000000004C8 -:042110003038303102 -:0421110030365F7095 -:0421120000100000B9 -:042113000438078005 -:0421140008981770A0 -:04211500046500005D -:04211600002400940D -:042117000000052C93 -:042118000C000010A7 -:042119000000000AB8 -:04211A0000000004BD -:04211B0030303631F9 -:04211C0030323178B4 -:04211D00000000308E -:04211E0004B00640C3 -:04211F0008701770BD -:0421200004E20000D5 -:04212100002E01305B -:04212200000003C0F6 -:0421230008000010A0 -:0421240000000000B7 -:0421250000000004B2 -:042126007665642F47 -:042127006370652F4D -:042128006F635F7111 -:042129006F72746EEF -:04212A0072656C6C02 -:04212B005F305F3290 -:04212C005F6C76610D -:04212D00006D656D6F -:04212E002B302D2302 -:04212F00000000208C -:04213000004C6C688B -:042131004567666533 -:04213200000047461C -:0421330033323130E2 -:0421340037363534D1 -:0421350042413938B2 -:042136004645444393 -:0421370000000000A4 -:0421380033323130DD -:0421390037363534CC -:04213A00626139386D -:04213B00666564630E -:04213C00000000009F -:04213D00000166FE39 -:04213E000001671421 -:04213F00000166D85D -:04214000000166D85C -:04214100000166D85B -:04214200000166D85A -:04214300000167141C -:04214400000166D858 -:04214500000166D857 -:04214600000166D856 -:04214700000166D855 -:0421480000016878B2 -:0421490000016756D4 -:04214A000001684ADE -:04214B00000166D851 -:04214C00000166D850 -:04214D00000168AC79 -:04214E00000166D84E -:04214F0000016756CE -:04215000000166D84C -:04215100000166D84B -:0421520000016852CE -:04215300C896554B8A -:042154000000000B7C -:042155000001874CB2 -:042156000000000481 -:0421570000018828D3 -:042158000000000380 -:042159000001887881 -:04215A000000000D74 -:04215B00000188B443 -:04215C000000000976 -:04215D00000189B83C -:04215E000000000D70 -:04215F0000018A6C85 -:042160000000000279 -:0421610000018B707E -:042162000000000475 -:0421630000018B9854 -:042164000000000770 -:0421650000018BE802 -:042166000000001164 -:0421670000018C7473 -:04216800000000076C -:0421690000018DC81C -:04216A000000000C65 -:04216B0000018E548D -:04216C00000000006F -:04216D00000000006E -:04216E000001849850 -:04216F00000000006C -:04217000000000006B -:04217100000102EA7D -:04217200000103A2C3 -:04217300000101AABC -:04217400000101C89D -:042175000001022043 -:0421760000800000E5 -:042177000020000044 -:042178000000000063 -:042179000000000062 +:041F2D0000017AF045 +:041F2E0000017AF440 +:041F2F0000017AF83B +:041F300000016FB489 +:041F310000017AFC35 +:041F320000017B002F +:041F330000017B042A +:041F340000017B0825 +:041F350000017370C4 +:041F360000017B0C1F +:041F370000017B1416 +:041F380000017B1811 +:041F390000017B2008 +:041F3A0000017B2403 +:041F3B0000017B2CFA +:041F3C0000017B34F1 +:041F3D0000017B3CE8 +:041F3E0000017B44DF +:041F3F0000017B54CE +:041F400000017B64BD +:041F410000017B74AC +:041F420000017B849B +:041F430000017B948A +:041F440000017BA479 +:041F450000017BAC70 +:041F460000017BB467 +:041F47003EA93E2948 +:041F48003EE93E69C7 +:041F49003E993E1966 +:041F4A003ED93E59E5 +:041F4B003EC93E3914 +:041F4C003E1D3E4DAB +:041F4D003E2D3EEDFA +:041F4E003EAD3ECD99 +:041F4F003E653E6D40 +:041F50001C483E01EA +:041F51001C501C18EC +:041F52001CC81CD0BB +:041F53005ED85E589E +:041F540000003EB992 +:041F55000001010185 +:041F56000001000185 +:041F57000000000086 +:041F58008000000005 +:041F59000000000084 +:041F5A000000000182 +:041F5B000000000082 +:041F5C000000000081 +:041F5D000000000080 +:041F5E000B0000086C +:041F5F000001440633 +:041F6000000000007D +:041F61008080090370 +:041F62001A1A1A80AD +:041F63000C00010865 +:041F64000000000079 +:041F650000000A006E +:041F660030303631B0 +:041F67003034327868 +:041F68000000000075 +:041F690000F006403E +:041F6A0007FE1770E7 +:041F6B00010600006B +:041F6C00000F00CA98 +:041F6D0000000396D7 +:041F6E00010000105E +:041F6F00000000016D +:041F7000002000004D +:041F710030383231A1 +:041F7200303432785D +:041F7300000000006A +:041F740000F0050074 +:041F750006181770C3 +:041F76000106000060 +:041F7700000F00AAAD +:041F7800000003481A +:041F79000100001053 +:041F7A000000000162 +:041F7B00000102005F +:041F7C00783036394A +:041F7D0000303432CA +:041F7E00000000005F +:041F7F0000F003C0AB +:041F80000492177040 +:041F81000106000055 +:041F8200000F0080CC +:041F83000000033621 +:041F84000100001048 +:041F85000000000157 +:041F86000000040053 +:041F87007832313546 +:041F880000303432BF +:041F89000000000054 +:041F8A0000F0020061 +:041F8B0002AA17701F +:041F8C00010600004A +:041F8D00000E004DF5 +:041F8E00000003321A +:041F8F00010000103D +:041F9000000000014C +:041F910000420810F2 +:041F92007834383334 +:041F930000303432B4 +:041F94000000000049 +:041F950000F00180D7 +:041F960002001770BE +:041F9700010600003F +:041F9800000E003BFC +:041F9900000003251C +:041F9A000100001032 +:041F9B000000000141 +:041F9C00008410208D +:041F9D007830323333 +:041F9E0000303432A9 +:041F9F00000000003E +:041FA00000F001400C +:041FA10001AA17700A +:041FA2000106000034 +:041FA300000E0031FB +:041FA4000000031F17 +:041FA5000100001027 +:041FA6000000000136 +:041FA70001082040CD +:041FA8007836353220 +:041FA900003034329E +:041FAA000000000033 +:041FAB0000F0010041 +:041FAC000155177054 +:041FAD000106000029 +:041FAE00000E0027FA +:041FAF000000031912 +:041FB000010000101C +:041FB100000000012B +:041FB2000210408059 +:041FB3007030343224 +:041FB4000000000029 +:041FB5000008000020 +:041FB60000F002D065 +:041FB700035A17753D +:041FB800010600001E +:041FB900000F0039DC +:041FBA000000033EE2 +:041FBB000100001011 +:041FBC000000000120 +:041FBD000000000C14 +:041FBE003030363158 +:041FBF003034327810 +:041FC0000000004CD1 +:041FC10000F00640E6 +:041FC20007FE13887B +:041FC30001380000E1 +:041FC400002B00CA24 +:041FC500000003967F +:041FC6000100001006 +:041FC7000000000214 +:041FC80000200000F5 +:041FC9003038323149 +:041FCA0038383278F9 +:041FCB000000000012 +:041FCC0001200500EB +:041FCD000618138857 +:041FCE0001380000D6 +:041FCF00001300AA51 +:041FD00000000348C2 +:041FD10001000010FB +:041FD2000000000209 +:041FD3000001020007 +:041FD40078303639F2 +:041FD5000038383266 +:041FD6000000000007 +:041FD700012003C022 +:041FD80004921388D4 +:041FD90001380000CB +:041FDA000013008070 +:041FDB0000000336C9 +:041FDC0001000010F0 +:041FDD0000000002FE +:041FDE0000000400FB +:041FDF0078323135EE +:041FE0004C3034321B +:041FE10000000042BA +:041FE20000F0020009 +:041FE30002AA1388B3 +:041FE40001380000C0 +:041FE5000029004D82 +:041FE60000000332C2 +:041FE70001000010E5 +:041FE80000000002F3 +:041FE900004208109A +:041FEA0078343833DC +:041FEB004C30343210 +:041FEC0000000042AF +:041FED0000F001807F +:041FEE000200138852 +:041FEF0001380000B5 +:041FF0000029003B89 +:041FF10000000325C4 +:041FF20001000010DA +:041FF30000000002E8 +:041FF4000084102035 +:041FF50078303233DB +:041FF6004C30343205 +:041FF70000000042A4 +:041FF80000F00140B4 +:041FF90001AA13889E +:041FFA0001380000AA +:041FFB000029003188 +:041FFC000000031FBF +:041FFD0001000010CF +:041FFE0000000002DD +:041FFF000108204075 +:0420000078363532C7 +:042001004C303432F9 +:042002000000004298 +:0420030000F00100E8 +:0420040001551388E7 +:04200500013800009E +:042006000029002786 +:0420070000000319B9 +:0420080001000010C3 +:0420090000000002D1 +:04200A000210408000 +:04200B0070383832BF +:04200C0000000000D0 +:04200D0000170000B8 +:04200E00012002D0DB +:04200F0003601390C7 +:042010000138000093 +:042011000013004573 +:042012000000033F88 +:0420130001000010B8 +:0420140000000002C6 +:042015000000000CBB +:0420160078303834B2 +:04201700003036332C +:0420180000000000C4 +:04201900016801E079 +:04201A0002581770E1 +:04201B000177000049 +:04201C00000A003F77 +:04201D000000032696 +:04201E0002000010AC +:04201F0000000003BA +:042020000000000CB0 +:0420210078303432AD +:042022000030363321 +:0420230000000000B9 +:04202400016801004E +:04202500012C177003 +:04202600017700003E +:04202700000A001893 +:04202800000003129F +:0420290002000010A1 +:04202A0000000003AF +:04202B000000810030 +:04202C0070343833A1 +:04202D0000000000AF +:04202E0000000000AE +:04202F00018001F03B +:042030000280157C99 +:0420310001A7000003 +:04203200001D00325B +:042033000000033E68 +:042034000200001096 +:0420350000000003A4 +:042036000000000C9A +:0420370030303631DE +:042038003030347898 +:0420390000000000A3 +:04203A0001900640CB +:04203B0007D01B5857 +:04203C0001C10000DE +:04203D000022007805 +:04203E00000002F0AC +:04203F000800001085 +:042040000000000399 +:042041000000020099 +:042042007830323789 +:042043005F303034A6 +:042044000000303731 +:04204500019002D034 +:0420460003841B589C +:0420470001C10000D3 +:042048000022004032 +:042049000000026031 +:04204A00080000107A +:04204B00000000038E +:04204C000000000C84 +:04204D00783034367D +:04204E005F3030349B +:04204F000000303726 +:042050000190028079 +:0420510003201B58F5 +:0420520001C10000C8 +:042053000022003037 +:042054000000026026 +:04205500080000106F +:042056000000000383 +:042057000000000C79 +:042058007830343672 +:0420590000343833E4 +:04205A000000000082 +:04205B00018002807E +:04205C000320157CCC +:04205D0001EC000092 +:04205E00003F00300F +:04205F00000002601B +:042060000800001064 +:042061000000000378 +:042062000000000C6E +:042063006930383474 +:042064000000000078 +:042065000006000071 +:0420660000F002D0B4 +:04206700035A176A97 +:04206800020D000065 +:04206900000F00392B +:04206A000001033E30 +:04206B000100001060 +:04206C00000000046C +:04206D000001020C60 +:04206E007030383462 +:04206F00000000006D +:04207000000200006A +:0420710001E002D0B8 +:04207200035A176A8C +:04207300020D00005A +:04207400001E003C0E +:042075000000063E23 +:042076000200001054 +:04207700000000065F +:042078000000000C58 +:042079007830343651 +:04207A005F30383467 +:04207B0000013036FA +:04207C0001E00280FD +:04207D0003201770B5 +:04207E00020D00004F +:04207F00002100300C +:0420800000000260FA +:042081000800001043 +:042082000000000654 +:042083000000000C4D +:042084007830383444 +:0420850000323732BC +:042086000003000053 +:04208700011001E063 +:04208800035A177070 +:04208900020D000044 +:04208A00008600B11B +:04208B000000063E0D +:04208C00020000103E +:04208D000000000649 +:04208E000000000C42 +:04208F00783034363B +:0420900000323135B4 +:04209100000000004B +:0420920002000280C6 +:04209300032017709F +:04209400023800000E +:0420950000220030F5 +:0420960000000660E0 +:04209700080000102D +:04209800000000063E +:042099000000000C37 +:04209A006936373537 +:04209B000000000041 +:04209C00001500002B +:04209D00012002D04C +:04209E000360138840 +:04209F0002710000CA +:0420A00000130045E4 +:0420A1000001033FF8 +:0420A2000100001029 +:0420A3000000000534 +:0420A4000001020C29 +:0420A5007036373525 +:0420A6000000000036 +:0420A7000011000024 +:0420A800024002D020 +:0420A9000360138835 +:0420AA0002710000BF +:0420AB0000270044C6 +:0420AC0000000540EB +:0420AD00020000101D +:0420AE000000000727 +:0420AF000000000C21 +:0420B000783030381C +:0420B1005F30303636 +:0420B20000003036C4 +:0420B30002580320AC +:0420B400042017707D +:0420B50002740000B1 +:0420B60000170058B7 +:0420B70000000480A1 +:0420B800080000100C +:0420B9000000000023 +:0420BA00000000041E +:0420BB007030323718 +:0420BC000030355F5C +:0420BD00001300000C +:0420BE0002D0050047 +:0420BF0007BC1388BF +:0420C00002EE00002C +:0420C100001400DC2B +:0420C20000000528ED +:0420C3000C000010FD +:0420C4000000000810 +:0420C5000000000413 +:0420C600703032370D +:0420C7000030365F50 +:0420C8000004000010 +:0420C90002D005003C +:0420CA000672177013 +:0420CB0002EE000021 +:0420CC00001400DC20 +:0420CD0000000528E2 +:0420CE000C000010F2 +:0420CF000000000805 +:0420D0000000000408 +:0420D1003432303144 +:0420D20038363778ED +:0420D3000000000009 +:0420D4000300040001 +:0420D500054017703B +:0420D60003260000DD +:0420D700001D00A048 +:0420D8000000068876 +:0420D90008000010EB +:0420DA000000000002 +:0420DB0000000004FD +:0420DC003038323135 +:0420DD0030363978E8 +:0420DE0000000000FE +:0420DF0003C0050035 +:0420E0000708177066 +:0420E10003E8000010 +:0420E200002401389D +:0420E3000000037086 +:0420E40008000010E0 +:0420E50000000000F7 +:0420E60000000004F2 +:0420E700303832312A +:0420E80032303178E9 +:0420E90000000034BF +:0420EA0004000500E9 +:0420EB0006981770CC +:0420EC00042A0000C2 +:0420ED00002600F8D1 +:0420EE00000003707B +:0420EF0008000010D5 +:0420F00000000000EC +:0420F10000000004E7 +:0420F20078303436D8 +:0420F30069303639E1 +:0420F40000000000E8 +:0420F50001E0028084 +:0420F600032017703C +:0420F700041A0000C7 +:0420F8000021003093 +:0420F9000001026080 +:0420FA0002000010D0 +:0420FB0000000009D8 +:0420FC000000000CD4 +:0420FD003038303116 +:0420FE0030355F69B1 +:0420FF0000140000C9 +:04210000021C078036 +:042101000A501388E5 +:042102000465000070 +:04210300000F009435 +:042104000001052CA5 +:042105000C000010BA +:0421060000000009CC +:042107000000000CC8 +:04210800303830310A +:0421090030365F69A4 +:04210A0000050000CC +:04210B00021C07802B +:04210C0008981770A8 +:04210D000465000065 +:04210E00000F00942A +:04210F000001052C9A +:042110000C000010AF +:0421110000000009C1 +:042112000000000CBD +:0421130030383031FF +:0421140030355F7093 +:04211500001F0000A7 +:042116000438078002 +:042117000A501388CF +:04211800046500005A +:04211900002400940A +:04211A000000052C90 +:04211B000C000010A4 +:04211C000000000AB5 +:04211D0000000004BA +:04211E0030383031F4 +:04211F0030365F7087 +:0421200000100000AB +:0421210004380780F7 +:042122000898177092 +:04212300046500004F +:0421240000240094FF +:042125000000052C85 +:042126000C00001099 +:042127000000000AAA +:0421280000000004AF +:0421290030303631EB +:04212A0030323178A6 +:04212B000000003080 +:04212C0004B00640B5 +:04212D0008701770AF +:04212E0004E20000C7 +:04212F00002E01304D +:04213000000003C0E8 +:042131000800001092 +:0421320000000000A9 +:0421330000000004A4 +:042134007665642F39 +:042135006370652F3F +:042136006F635F7103 +:042137006F72746EE1 +:0421380072656C6CF4 +:042139005F305F3282 +:04213A005F6C7661FF +:04213B00006D656D61 +:04213C002B302D23F4 +:04213D00000000207E +:04213E00004C6C687D +:04213F004567666525 +:04214000000047460E +:0421410033323130D4 +:0421420037363534C3 +:0421430042413938A4 +:042144004645444385 +:042145000000000096 +:0421460033323130CF +:0421470037363534BE +:04214800626139385F +:042149006665646300 +:04214A000000000091 +:04214B000001671A0E +:04214C0000016730F7 +:04214D00000166F433 +:04214E00000166F432 +:04214F00000166F431 +:04215000000166F430 +:0421510000016730F2 +:04215200000166F42E +:04215300000166F42D +:04215400000166F42C +:04215500000166F42B +:042156000001689488 +:0421570000016772AA +:0421580000016866B4 +:04215900000166F427 +:04215A00000166F426 +:04215B00000168C84F +:04215C00000166F424 +:04215D0000016772A4 +:04215E00000166F422 +:04215F00000166F421 +:042160000001686EA4 +:04216100C896554B7C +:042162000000000B6E +:04216300000187846C +:042164000000000473 +:04216500000188608D +:042166000000000372 +:04216700000188B03B +:042168000000000D66 +:04216900000188ECFD +:04216A000000000968 +:04216B00000189F0F6 +:04216C000000000D62 +:04216D0000018AA43F +:04216E00000000026B +:04216F0000018BA838 +:042170000000000467 +:0421710000018BD00E +:042172000000000861 +:0421730000018C20BB +:042174000000001156 +:0421750000018CC019 +:04217600000000075E +:0421770000018E14C1 +:042178000000000D56 +:0421790000018EA033 :04217A000000000061 :04217B000000000060 -:04217C00000000005F +:04217C00000184D00A :04217D00000000005E :04217E00000000005D -:04217F00000000005C -:04218000000000005B -:04218100000000005A -:042182000000000059 -:042183000000000058 -:042184000000000057 -:042185000000000056 +:04217F00000102EA6F +:04218000000103A2B5 +:04218100000101AAAE +:04218200000101C88F +:042183000001022035 +:0421840000800000D7 +:042185000020000036 :042186000000000055 :042187000000000054 :042188000000000053 @@ -8599,681 +8599,705 @@ :042196000000000045 :042197000000000044 :042198000000000043 -:0421990000010174CC -:04219A0000800000C1 -:04219B0000A00000A0 -:04219C00000201003C -:04219D00002000001E +:042199000000000042 +:04219A000000000041 +:04219B000000000040 +:04219C00000000003F +:04219D00000000003E :04219E00000000003D -:04219F00000000201C -:0421A000000100003A -:0421A1000000010039 +:04219F00000000003C +:0421A000000000003B +:0421A100000000003A :0421A2000000000039 -:0421A30000017200C5 -:0421A4000001721CA8 -:0421A5000001722C97 -:0421A60000017200C2 -:0421A7000001726061 -:0421A800000172645C -:0421A90000017A2C8B -:0421AA0000017A3C7A -:0421AB0000017A486D -:0421AC0000017A5460 -:0421AD0000017A6053 -:0421AE0000017A6C46 -:0421AF0000017A7839 -:0421B00000017A842C -:0421B10000017A901F -:0421B20000017A9C12 -:0421B30000017AA805 -:0421B400020E04090A -:0421B5003D0E00C813 -:0421B6003F6E0383F2 -:0421B7003ED03DAC2D -:0421B800000003839D -:0421B900000173743A -:0421BA00000173802D -:0421BB00000173901C -:0421BC00000173A00B -:0421BD00000173B0FA -:0421BE000001736445 -:0421BF000001737434 -:0421C0000001738027 -:0421C1000001739016 -:0421C200000173A005 -:0421C300000173B0F4 -:0421C4000001734063 -:0421C5000001734C56 -:0421C6000001735849 -:0421C70000017200A1 -:0421C800000172148C -:0421C900000171FCA4 -:0421CA000001721886 -:0421CB000001726835 -:0421CC00000172702C -:0421CD000001727823 -:0421CE00000172801A -:0421CF000001728811 -:0421D0000001728C0C -:0421D1000001729403 -:0421D2000001729CFA -:0421D3000001716432 -:0421D4000000000205 -:0421D50000019A4E1D -:0421D6000AF0012CDE -:0421D70000011D04E2 -:0421D800000171741D -:0421D9000000000200 -:0421DA0000019A5016 -:0421DB0000130000ED -:0421DC0000011D04DD -:0421DD000001718408 -:0421DE0000000002FB -:0421DF0000019A520F -:0421E00000FF000AF2 -:0421E10000011D04D8 -:0421E20000017190F7 -:0421E30000000002F6 -:0421E40000019A4A12 -:0421E50001FF0000F6 -:0421E60000011D04D3 -:0421E700000171A0E2 -:0421E80000000002F1 -:0421E90000019A480F -:0421EA00080000C821 -:0421EB0000011D04CE -:0421EC00000171ACD1 -:0421ED0000000002EC -:0421EE0000019A5CF6 -:0421EF00000F0001DC -:0421F00000011D04C9 -:0421F100000171B8C0 -:0421F20000000002E7 -:0421F30000019A58F5 -:0421F40001FF0000E7 -:0421F50000011D04C4 -:0421F600000171C8AB -:0421F70000000002E2 -:0421F80000019A56F2 -:0421F90005A000A09D -:0421FA0000011D04BF -:0421FB00000171D49A -:0421FC0000000002DD -:0421FD0000019A4CF7 -:0421FE0000FF0000DE -:0421FF0000011D04BA -:04220000000171DC8C -:0422010000000002D7 -:0422020000019A5AE3 -:04220300003F000098 -:0422040000011D04B4 -:04220500000171E47F -:0422060000000002D2 -:0422070000019A54E4 -:04220800001F0000B3 -:0422090000011D04AF -:04220A0000017668F1 -:04220B0000000000CF -:04220C0000019251EA -:04220D0000010001CB -:04220E00000190A893 -:04220F0000017678DC -:0422100000000000CA -:0422110000019252E4 -:0422120000010001C6 -:04221300000190B87E -:0422140000017688C7 -:0422150000000000C5 -:0422160000019254DD -:0422170000010001C1 -:04221800000190B879 -:0422190000017694B6 -:04221A0000000001BF -:04221B0000019253D9 -:04221C0000180000A6 -:04221D0000011B742D -:04221E00000176A4A1 -:04221F0000000000BB -:0422200000019257D0 -:0422210000010001B7 -:04222200000190B86F -:04222300000176B48C -:0422240000000000B6 -:0422250000019258CA -:0422260000020001B1 -:042227000001869894 -:04222800000176C873 -:0422290000000001B0 -:04222A0000019226F7 -:04222B00001F000090 -:04222C0000011B464C -:04222D0000017084B8 -:04222E0000000001AB -:04222F000001923ADE -:0422300000040000A6 -:0422310000011AC2CC -:0422320000017090A7 -:0422330000000001A6 -:042234000001923BD8 -:0422350000050000A0 -:0422360000011AFA8F -:042237000001709C96 -:0422380000000001A1 -:042239000001922FDF -:04223A000010000090 -:04223B0000011AA0E4 -:04223C00000170AC81 -:04223D00000000019C -:04223E0000019230D9 -:04223F00001000008B -:0422400000011AA0DF -:04224100000170BC6C -:042242000000000197 -:0422430000019231D3 -:042244000010000086 -:0422450000011AA0DA -:04224600000170CC57 -:042247000000000192 -:0422480000019232CD -:042249000010000081 -:04224A0000011AA0D5 -:04224B00000170DC42 -:04224C00000000018D -:04224D0000019233C7 -:04224E00001000007C -:04224F0000011AA0D0 -:04225000000170EC2D -:042251000000000188 -:0422520000019234C1 -:042253000010000077 -:0422540000011AA0CB -:042255000001710013 -:042256000000000183 -:0422570000019235BB -:042258000010000072 -:0422590000011AA0C6 -:04225A0000017114FA -:04225B00000000017E -:04225C0000019236B5 -:04225D00001000006D -:04225E0000011AA0C1 -:04225F0000017128E1 -:042260000000000179 -:0422610000019237AF -:042262000010000068 -:0422630000011AA0BC -:042264000001713CC8 -:042265000000000174 -:0422660000019238A9 -:042267000010000063 -:0422680000011AA0B7 -:0422690000017150AF -:04226A00000000016F -:04226B0000019239A3 -:04226C00001000005E -:04226D0000011AA0B2 -:04226E00000179787A -:04226F000000000368 -:04227000000185A83C -:042271000000000069 -:042272000000000068 -:042273000001798C61 -:042274000000000363 -:042275000001858857 -:042276000000000064 -:042277000000000063 -:04227800000179A048 -:04227900000000035E -:04227A00000185A03A -:04227B00000000005F -:04227C00000000005E -:04227D00000179B42F -:04227E000000000359 -:04227F00000185785D +:0421A3000000000038 +:0421A4000000000037 +:0421A5000000000036 +:0421A6000000000035 +:0421A70000010174BE +:0421A80000800000B3 +:0421A90000A0000092 +:0421AA00000201002E +:0421AB000020000010 +:0421AC00000000002F +:0421AD00000000200E +:0421AE00000100002C +:0421AF00000001002B +:0421B000000000002B +:0421B1000001721C9B +:0421B200000172387E +:0421B300000172486D +:0421B4000001721C98 +:0421B5000001727C37 +:0421B6000001728032 +:0421B70000017A6445 +:0421B80000017A7434 +:0421B90000017A8027 +:0421BA0000017A8C1A +:0421BB0000017A980D +:0421BC0000017AA400 +:0421BD0000017AB0F3 +:0421BE0000017ABCE6 +:0421BF0000017AC8D9 +:0421C00000017AD4CC +:0421C10000017AE0BF +:0421C200020E0409FC +:0421C3003D0E00C805 +:0421C4003F6E0383E4 +:0421C5003ED03DAC1F +:0421C600000003838F +:0421C7000001739010 +:0421C8000001739C03 +:0421C900000173ACF2 +:0421CA00000173BCE1 +:0421CB00000173CCD0 +:0421CC00000173801B +:0421CD00000173900A +:0421CE000001739CFD +:0421CF00000173ACEC +:0421D000000173BCDB +:0421D100000173CCCA +:0421D2000001735C39 +:0421D300000173682C +:0421D400000173741F +:0421D5000001721C77 +:0421D6000001723062 +:0421D7000001721879 +:0421D800000172345C +:0421D900000172840B +:0421DA000001728C02 +:0421DB0000017294F9 +:0421DC000001729CF0 +:0421DD00000172A4E7 +:0421DE00000172A8E2 +:0421DF00000172B0D9 +:0421E000000172B8D0 +:0421E1000001718008 +:0421E20000000002F7 +:0421E30000019AAEAF +:0421E4000AF0012CD0 +:0421E50000011D1EBA +:0421E60000017190F3 +:0421E70000000002F2 +:0421E80000019AB0A8 +:0421E90000130000DF +:0421EA0000011D1EB5 +:0421EB00000171A0DE +:0421EC0000000002ED +:0421ED0000019AB2A1 +:0421EE0000FF000AE4 +:0421EF0000011D1EB0 +:0421F000000171ACCD +:0421F10000000002E8 +:0421F20000019AAAA4 +:0421F30001FF0000E8 +:0421F40000011D1EAB +:0421F500000171BCB8 +:0421F60000000002E3 +:0421F70000019AA8A1 +:0421F800080000C813 +:0421F90000011D1EA6 +:0421FA00000171C8A7 +:0421FB0000000002DE +:0421FC0000019ABC88 +:0421FD00000F0001CE +:0421FE0000011D1EA1 +:0421FF00000171D496 +:0422000000000002D8 +:0422010000019AB886 +:0422020001FF0000D8 +:0422030000011D1E9B +:04220400000171E480 +:0422050000000002D3 +:0422060000019AB683 +:0422070005A000A08E +:0422080000011D1E96 +:04220900000171F06F +:04220A0000000002CE +:04220B0000019AAC88 +:04220C0000FF0000CF +:04220D0000011D1E91 +:04220E00000171F862 +:04220F0000000002C9 +:0422100000019ABA75 +:04221100003F00008A +:0422120000011D1E8C +:042213000001720054 +:0422140000000002C4 +:0422150000019AB476 +:04221600001F0000A5 +:0422170000011D1E87 +:0422180000017684C7 +:0422190000000000C1 +:04221A00000192B17C +:04221B0000010001BD +:04221C000001910824 +:04221D0000017694B2 +:04221E0000000000BC +:04221F00000192B276 +:0422200000010001B8 +:04222100000191180F +:04222200000176A49D +:0422230000000000B7 +:04222400000192B46F +:0422250000010001B3 +:04222600000191180A +:04222700000176B08C +:0422280000000001B1 +:04222900000192B36B +:04222A000018000098 +:04222B0000011B8E05 +:04222C00000176C077 +:04222D0000000000AD +:04222E00000192B762 +:04222F0000010001A9 +:042230000001911800 +:04223100000176D062 +:0422320000000000A8 +:04223300000192B85C +:0422340000020001A3 +:04223500000186D04E +:04223600000176E449 +:0422370000000001A2 +:042238000001928689 +:04223900001F000082 +:04223A0000011B6024 +:04223B00000170A08E +:04223C00000000019D +:04223D000001929A70 +:04223E000004000098 +:04223F0000011ADCA4 +:04224000000170AC7D +:042241000000000198 +:042242000001929B6A +:042243000005000092 +:0422440000011B1466 +:04224500000170B86C +:042246000000000193 +:042247000001928F71 +:042248000010000082 +:0422490000011AB8BE +:04224A00000170C857 +:04224B00000000018E +:04224C00000192906B +:04224D00001000007D +:04224E0000011AB8B9 +:04224F00000170D842 +:042250000000000189 +:042251000001929165 +:042252000010000078 +:0422530000011AB8B4 +:04225400000170E82D +:042255000000000184 +:04225600000192925F +:042257000010000073 +:0422580000011AB8AF +:04225900000170F818 +:04225A00000000017F +:04225B000001929359 +:04225C00001000006E +:04225D0000011AB8AA +:04225E000001710802 +:04225F00000000017A +:042260000001929453 +:042261000010000069 +:0422620000011AB8A5 +:042263000001711CE9 +:042264000000000175 +:04226500000192954D +:042266000010000064 +:0422670000011AB8A0 +:0422680000017130D0 +:042269000000000170 +:04226A000001929647 +:04226B00001000005F +:04226C0000011AB89B +:04226D0000017144B7 +:04226E00000000016B +:04226F000001929741 +:04227000001000005A +:0422710000011AB896 +:04227200000171589E +:042273000000000166 +:04227400000192983B +:042275000010000055 +:0422760000011AB891 +:042277000001716C85 +:042278000000000161 +:042279000001929935 +:04227A000010000050 +:04227B0000011AB88C +:04227C00000179B034 +:04227D00000000035A +:04227E00000185E0F6 +:04227F00000000005B :04228000000000005A -:042281000000000059 -:04228200000179C816 -:042283000000000354 -:042284000001859040 +:04228100000179C41B +:042282000000000355 +:04228300000185C011 +:042284000000000056 :042285000000000055 -:042286000000000054 -:04228700000179DCFD -:04228800000000034F -:04228900000185804B +:04228600000179D802 +:042287000000000350 +:04228800000185D8F4 +:042289000000000051 :04228A000000000050 -:04228B00000000004F -:04228C00000179F0E4 -:04228D00000000034A -:04228E000001856066 +:04228B00000179ECE9 +:04228C00000000034B +:04228D00000185B017 +:04228E00000000004C :04228F00000000004B -:04229000000000004A -:0422910000017A04CA -:042292000000000345 -:042293000001855869 +:0422900000017A00CF +:042291000000000346 +:04229200000185C8FA +:042293000000000047 :042294000000000046 -:042295000000000045 -:0422960000017A18B1 -:042297000000000340 -:042298000001859824 +:0422950000017A14B6 +:042296000000000341 +:04229700000185B805 +:042298000000000042 :042299000000000041 -:04229A000000000040 -:04229B000001776067 -:04229C00000000003E -:04229D000001921892 -:04229E000004000137 -:04229F0000018F7833 -:0422A0000001777052 -:0422A1000000000039 -:0422A200000192198C -:0422A3000004000132 -:0422A40000018F8C1A -:0422A500000177803D -:0422A6000000000034 -:0422A7000001921A86 -:0422A800000300012E -:0422A90000018FA001 -:0422AA000001779028 -:0422AB00000000002F -:0422AC000001921B80 -:0422AD00000100012B -:0422AE00000190CCCF -:0422AF00000177A013 -:0422B000000000002A -:0422B1000001921C7A -:0422B2000001000126 -:0422B300000190C4D2 -:0422B400000177B0FE -:0422B5000000000025 -:0422B6000001921D74 -:0422B700000400011E -:0422B800000186E4B7 -:0422B900000177BCED -:0422BA000000000020 -:0422BB000001921E6E -:0422BC000005000118 -:0422BD00000186F89E -:0422BE00000177C8DC -:0422BF00000000001B -:0422C0000001921F68 -:0422C1000004000114 -:0422C200000186E4AD -:0422C300000177D4CB -:0422C4000000000016 -:0422C5000001922062 -:0422C600000400010F -:0422C700000186E4A8 -:0422C800000177E0BA -:0422C9000000000011 -:0422CA00000192215C -:0422CB00000200010C -:0422CC000001871076 -:0422CD00000177F0A5 -:0422CE00000000000C -:0422CF000001922553 -:0422D0000001000108 -:0422D100000190A0D8 -:0422D200000178008F -:0422D3000000000007 -:0422D400000192551E -:0422D5000002000102 -:0422D60000018FF87C -:0422D7000001780882 -:0422D8000000000002 -:0422D9000001925618 -:0422DA0000010001FE -:0422DB00000190B8B6 -:0422DC00000176DCAB -:0422DD0000000000FD -:0422DE000001923D2C -:0422DF0000070000F4 -:0422E0000001872C46 -:0422E100000176E89A -:0422E20000000001F7 -:0422E3000001923C28 -:0422E400000F0000E7 -:0422E50000011B4693 -:0422E60000017888F3 -:0422E70000000000F3 -:0422E800000192223D -:0422E90000030001ED -:0422EA0000018FB0B0 -:0422EB0000017898DE -:0422EC0000000000EE -:0422ED000001922337 -:0422EE0000010001EA -:0422EF00000190DC7E -:0422F000000178A8C9 -:0422F10000000000E9 -:0422F2000001922431 -:0422F30000010001E5 -:0422F400000190B89D -:0422F500000178BCB0 -:0422F60000000003E1 -:0422F700000185500D -:0422F8000001901C35 -:0422F900000106A436 -:0422FA00000176F871 -:0422FB0000000000DF -:0422FC000001922823 -:0422FD0000020001DA -:0422FE0000018FC08C -:0422FF00000177045F -:0423000000000001D8 -:042301000001922D18 -:04230200000F0000C8 -:0423030000011A7C3F -:042304000001771449 -:0423050000000000D4 -:042306000001922B15 -:0423070000010001D0 -:04230800000190EC54 -:042309000001772038 -:04230A0000000000CF -:04230B000001922C0F -:04230C0000010001CB -:04230D00000190B883 -:04230E000001773023 -:04230F0000000000CA -:042310000001922E08 -:0423110000010001C6 -:04231200000190E452 -:04231300000177400E -:0423140000000000C5 -:042315000001922908 -:0423160000030001BF -:0423170000018FCC66 -:042318000001774CFD -:0423190000000003BD -:04231A0000018568D1 -:04231B0000000000BE -:04231C0000000000BD -:04231D000001754402 -:04231E0000000001BA -:04231F0000019259CE -:04232000000A0101AD -:042321000001127233 -:0423220000017558E9 -:0423230000000000B6 -:0423240000019A2DED -:0423250000010001B2 -:04232600000190B86A -:042327000001756CD0 -:0423280000000000B1 -:0423290000019A20F5 -:04232A00000A0001A4 -:04232B00000186A483 -:04232C000001757CBB -:04232D0000000000AC -:04232E0000019A16FA -:04232F0000020001A7 -:042330000001868C96 -:0423310000017590A2 -:0423320000000000A7 -:0423330000019A14F7 -:0423340000010001A3 -:04233500000190D43F -:04233600000175A08D -:0423370000000000A2 -:04233800000190B060 -:04233900000100019E -:04233A00000190D43A -:04233B00000175B078 -:04233C00000000009D -:04233D0000019A15EC -:04233E000001000199 -:04233F00000190D435 -:04234000000175C063 -:042341000000000098 -:0423420000019A28D4 -:042343000003000192 -:042344000001871CF1 -:04234500000175D04E -:042346000000000093 -:04234700000190C041 -:04234800000200018E -:0423490000018F5CA4 -:04234A00000175D445 -:04234B00000000008E -:04234C00000190C13B -:04234D000003000188 -:04234E0000018F6893 -:04234F00000175E82C -:042350000000000089 -:0423510000019A2CC1 -:042352000001000185 -:04235300000190B83D -:04235400000175F817 -:042355000000000480 -:04235600000124A0BE -:0423570000017C6C99 -:042358000000000081 -:042359000001760801 -:04235A00000000047B -:04235B00000121D08C -:04235C0000017C6C94 -:04235D00000000007C -:04235E0000017618EC -:04235F000000000476 -:04236000000106541E -:042361000000000078 -:042362000000000077 -:042363000001762CD3 -:042364000000000471 -:042365000001466AC3 -:042366000000000073 -:042367000000000072 -:0423680000017640BA -:04236900000000046C -:04236A0000013DEE43 +:04229A0000017A289D +:04229B00000000033C +:04229C000001859820 +:04229D00000000003D +:04229E00000000003C +:04229F0000017A3C84 +:0422A0000000000337 +:0422A1000001859023 +:0422A2000000000038 +:0422A3000000000037 +:0422A40000017A506B +:0422A5000000000332 +:0422A600000185D0DE +:0422A7000000000033 +:0422A8000000000032 +:0422A9000001778C2D +:0422AA000000000030 +:0422AB000001927824 +:0422AC000004000129 +:0422AD0000018FD8C5 +:0422AE000001779C18 +:0422AF00000000002B +:0422B000000192791E +:0422B1000004000124 +:0422B20000018FECAC +:0422B300000177AC03 +:0422B4000000000026 +:0422B5000001927A18 +:0422B6000003000120 +:0422B7000001900092 +:0422B800000177BCEE +:0422B9000000000021 +:0422BA000001927B12 +:0422BB00000100011D +:0422BC000001912C60 +:0422BD00000177CCD9 +:0422BE00000000001C +:0422BF000001927C0C +:0422C0000001000118 +:0422C1000001912463 +:0422C200000177DCC4 +:0422C3000000000017 +:0422C4000001927D06 +:0422C5000004000110 +:0422C6000001871C70 +:0422C700000177E8B3 +:0422C8000000000012 +:0422C9000001927E00 +:0422CA00000500010A +:0422CB000001873057 +:0422CC00000177F4A2 +:0422CD00000000000D +:0422CE000001927FFA +:0422CF000004000106 +:0422D0000001871C66 +:0422D1000001780090 +:0422D2000000000008 +:0422D30000019280F4 +:0422D4000004000101 +:0422D5000001871C61 +:0422D6000001780C7F +:0422D7000000000003 +:0422D80000019281EE +:0422D90000020001FE +:0422DA000001874830 +:0422DB000001781C6A +:0422DC0000000000FE +:0422DD0000019285E5 +:0422DE0000010001FA +:0422DF000001910069 +:0422E0000001782C55 +:0422E10000000000F9 +:0422E200000192B5B0 +:0422E30000020001F4 +:0422E400000190580D +:0422E5000001783448 +:0422E60000000000F4 +:0422E700000192B6AA +:0422E80000010001F0 +:0422E9000001911847 +:0422EA00000176F881 +:0422EB0000000000EF +:0422EC000001929DBE +:0422ED0000070000E6 +:0422EE000001876400 +:0422EF00000177046F +:0422F00000000001E9 +:0422F1000001929CBA +:0422F200000F0000D9 +:0422F30000011B606B +:0422F400000178B4B9 +:0422F50000000000E5 +:0422F60000019282CF +:0422F70000030001DF +:0422F8000001901041 +:0422F900000178C4A4 +:0422FA0000000000E0 +:0422FB0000019283C9 +:0422FC0000010001DC +:0422FD000001913C0F +:0422FE00000178D48F +:0422FF0000000000DB +:0423000000019284C2 +:0423010000010001D6 +:04230200000191182D +:04230300000178E875 +:0423040000000003D2 +:0423050000018588C6 +:042306000001907CC6 +:04230700000106A427 +:042308000001771445 +:0423090000000000D0 +:04230A0000019288B4 +:04230B0000020001CB +:04230C00000190201C +:04230D000001772034 +:04230E0000000001CA +:04230F000001928DAA +:04231000000F0000BA +:0423110000011A9419 +:04231200000177301F +:0423130000000001C5 +:042314000001928AA8 +:04231500001C0000A8 +:0423160000011ADACE +:04231700000177400A +:0423180000000000C1 +:042319000001928BA2 +:04231A0000010001BD +:04231B000001914CE0 +:04231C000001774CF9 +:04231D0000000000BC +:04231E000001928C9C +:04231F0000010001B8 +:04232000000191180F +:042321000001775CE4 +:0423220000000000B7 +:042323000001928E95 +:0423240000010001B3 +:0423250000019144DE +:042326000001776CCF +:0423270000000000B2 +:042328000001928995 +:0423290000030001AC +:04232A000001902CF2 +:04232B0000017778BE +:04232C0000000003AA +:04232D00000185A086 +:04232E0000000000AB +:04232F0000000000AA +:0423300000017560D3 +:0423310000000001A7 +:04233200000192B95B +:04233300000A01019A +:042334000001128A08 +:0423350000017574BA +:0423360000000000A3 +:0423370000019A8D7A +:04233800000100019F +:0423390000019118F6 +:04233A0000017588A1 +:04233B00000000009E +:04233C0000019A8082 +:04233D00000A000191 +:04233E00000186DC38 +:04233F00000175988C +:042340000000000099 +:0423410000019A7687 +:042342000002000194 +:04234300000186C44B +:04234400000175AC73 +:042345000000000094 +:0423460000019A7484 +:042347000001000190 +:0423480000019134CB +:04234900000175BC5E +:04234A00000000008F +:04234B0000019110EC +:04234C00000100018B +:04234D0000019134C6 +:04234E00000175CC49 +:04234F00000000008A +:0423500000019A7579 +:042351000001000186 +:0423520000019134C1 +:04235300000175DC34 +:042354000000000085 +:0423550000019A8861 +:04235600000300017F +:0423570000018754A6 +:04235800000175EC1F +:042359000000000080 +:04235A0000019120CD +:04235B00000200017B +:04235C0000018FBC31 +:04235D00000175F016 +:04235E00000000007B +:04235F0000019121C7 +:042360000003000175 +:0423610000018FC820 +:0423620000017604FC +:042363000000000076 +:0423640000019A8C4E +:042365000001000172 +:0423660000019118C9 +:0423670000017614E7 +:04236800000000046D +:04236900000124BC8F +:04236A0000017CA44E :04236B00000000006E -:04236C00000000006D -:04236D0000017654A1 -:04236E000000000467 -:04236F0000014268BF +:04236C0000017624D2 +:04236D000000000468 +:04236E00000121EA5F +:04236F0000017CA449 :042370000000000069 -:042371000000000068 -:0423720000017814DA -:042373000000000066 -:04237400000192468C -:042375000003000160 -:0423760000018FE8EB -:0423770000017824C5 -:042378000000000061 -:042379000001924786 -:04237A00000200015C -:04237B0000018FDCF2 -:04237C0000017834B0 -:04237D00000000015B -:04237E000001923F89 -:04237F00001F00003B -:0423800000011A1826 -:04238100000178449B -:042382000000000156 -:042383000001924083 -:0423840000FF000056 -:0423850000011A3AFF -:042386000001785486 -:042387000000000151 -:04238800000192417D -:0423890000C80A007E -:04238A0000011A3AFA -:04238B000001786471 -:04238C00000000014C -:04238D000001924277 -:04238E000005000046 -:04238F0000011B32FC -:04239000000178745C -:042391000000000147 -:042392000001924371 -:042393000005000041 -:0423940000011B32F7 -:04239500000178D0FB -:042396000000000043 -:04239700000192456A -:04239800000500013B -:0423990000019004AB -:04239A00000178DCEA -:04239B00000000003E -:04239C000001924466 -:04239D000002000139 -:04239E000001902882 -:04239F00000178ECD5 -:0423A0000000000138 -:0423A1000001924A5B -:0423A20000FF000038 -:0423A30000011B46D4 -:0423A400000178F8C4 -:0423A5000000000133 -:0423A6000001924B55 -:0423A70000FF000033 -:0423A80000011B46CF -:0423A90000017904B2 -:0423AA00000000012E -:0423AB000001924C4F -:0423AC0000FF00002E -:0423AD0000011B46CA -:0423AE0000017910A1 -:0423AF000000000129 -:0423B0000001924D49 -:0423B10000FF000029 -:0423B20000011B46C5 -:0423B3000001791C90 -:0423B4000000000124 -:0423B5000001924E43 -:0423B60000FF000024 -:0423B70000011B46C0 -:0423B800000179287F -:0423B900000000011F -:0423BA000001924F3D -:0423BB0000FF00001F -:0423BC0000011B46BB -:0423BD00000179346E -:0423BE00000000011A -:0423BF000001925037 -:0423C000000F00000A -:0423C10000011B46B6 -:0423C2000001794459 -:0423C3000000000115 -:0423C400000192275B -:0423C50000E41C0014 -:0423C60000011B5A9D -:0423C7000001795840 -:0423C8000000000110 -:0423C9000001924934 -:0423CA00000A000005 -:0423CB0000011B8E64 -:0423CC00000179682B -:0423CD00000000010B -:0423CE000001924830 -:0423CF000007000003 -:0423D00000011BA647 -:0423D1000001857012 -:0423D2000000000007 -:0423D3000000000006 -:0423D4000000000005 -:0423D5000000000004 -:0423D6000000000003 -:0423D700000172008F -:0423D800000172048A -:0423D9000001720C81 -:0423DA00000171F499 -:0423DB00000171F894 -:0423DC00000171FC8F -:0423DD000001720089 -:0423DE00000173C0C7 -:0423DF00000173DCAA -:0423E0000001743450 -:0423E1000001743C47 -:0423E200000174443E -:0423E300000173C0C2 -:0423E400000173DCA5 -:0423E500000174047B -:0423E600000174146A -:0423E7000001742459 -:0423E800000173C0BD -:0423E900000173CCB0 -:0423EA00000173E497 -:0423EB00000173F486 -:0423EC00000172FC7E -:0423ED00000174C8AF -:0423EE00000174D4A2 -:0423EF00000174E491 -:0423F0000001720076 -:0423F100000172FC79 -:0423F200000173046F -:0423F300000172A4CF -:0423F400000172B0C2 -:0423F500000172BCB5 -:0423F600000172CCA4 -:0423F7000001744C21 -:0423F800000174600C -:0423F90000017470FB -:0423FA0000017480EA -:0423FB0000017490D9 -:0423FC000001749CCC -:0423FD000001720069 -:0423FE00000173085F -:0423FF000001731452 -:042400000001732440 -:04240100000172FC68 -:042402000001720063 -:042403000001750857 -:042404000001751846 -:042405000001752835 -:042406000001753824 -:0424070000019A60D6 -:0424080000000000D0 -:042409000001125666 -:04240A00000174F069 -:04240B00000174FC5C -:04240C00000172FC5D -:04240D0000000000CB -:04240E0000000000CA -:04240F0000000000C9 -:0424100000000000C8 -:0424110000000000C7 -:0424120000000000C6 -:0424130000000000C5 -:0424140000000000C4 -:0424150000000000C3 -:0424160000000000C2 -:0424170000000000C1 -:0424180000000000C0 -:0424190000000000BF -:04241A0000000000BE -:04241B0000000000BD -:04241C0000000000BC -:04241D0000000000BB -:04241E0000000000BA -:04241F0000000000B9 +:0423710000017634BD +:042372000000000463 +:04237300000106540B +:042374000000000065 +:042375000000000064 +:0423760000017648A4 +:04237700000000045E +:042378000001468694 +:042379000000000060 +:04237A00000000005F +:04237B000001765C8B +:04237C000000000459 +:04237D0000013E0A13 +:04237E00000000005B +:04237F00000000005A +:042380000001767072 +:042381000000000454 +:042382000001428490 +:042383000000000056 +:042384000000000055 +:04238500000178409B +:042386000000000053 +:04238700000192A619 +:04238800000300014D +:042389000001904877 +:04238A000001785086 +:04238B00000000004E +:04238C00000192A713 +:04238D000002000149 +:04238E000001903C7E +:04238F000001786071 +:042390000000000148 +:042391000001929F16 +:04239200001F000028 +:0423930000011A30FB +:04239400000178705C +:042395000000000143 +:04239600000192A010 +:0423970000FF000043 +:0423980000011A52D4 +:042399000001788047 +:04239A00000000013E +:04239B00000192A10A +:04239C0000C80A006B +:04239D0000011A52CF +:04239E000001789032 +:04239F000000000139 +:0423A000000192A204 +:0423A1000005000033 +:0423A20000011B4CCF +:0423A300000178A01D +:0423A4000000000134 +:0423A500000192A3FE +:0423A600000500002E +:0423A70000011B4CCA +:0423A800000178FCBC +:0423A9000000000030 +:0423AA00000192A5F7 +:0423AB000005000128 +:0423AC000001906438 +:0423AD0000017908AA +:0423AE00000000012A +:0423AF000001929EF9 +:0423B000001F00000A +:0423B10000011B60AC +:0423B2000001791499 +:0423B3000000000026 +:0423B400000192A4EE +:0423B5000002000121 +:0423B600000190880A +:0423B7000001792484 +:0423B8000000000120 +:0423B900000192AAE3 +:0423BA0000FF000020 +:0423BB0000011B60A2 +:0423BC000001793073 +:0423BD00000000011B +:0423BE00000192ABDD +:0423BF0000FF00001B +:0423C00000011B609D +:0423C1000001793C62 +:0423C2000000000116 +:0423C300000192ACD7 +:0423C40000FF000016 +:0423C50000011B6098 +:0423C6000001794851 +:0423C7000000000111 +:0423C800000192ADD1 +:0423C90000FF000011 +:0423CA0000011B6093 +:0423CB000001795440 +:0423CC00000000010C +:0423CD00000192AECB +:0423CE0000FF00000C +:0423CF0000011B608E +:0423D000000179602F +:0423D1000000000107 +:0423D200000192AFC5 +:0423D30000FF000007 +:0423D40000011B6089 +:0423D5000001796C1E +:0423D6000000000102 +:0423D700000192B0BF +:0423D800000F0000F2 +:0423D90000011B6084 +:0423DA000001797C09 +:0423DB0000000001FD +:0423DC0000019287E3 +:0423DD0000E41C00FC +:0423DE0000011B746B +:0423DF0000017990F0 +:0423E00000000001F8 +:0423E100000192A9BC +:0423E200000A0000ED +:0423E30000011BA832 +:0423E400000179A0DB +:0423E50000000001F3 +:0423E600000192A8B8 +:0423E70000070000EB +:0423E80000011BC015 +:0423E900000185A8C2 +:0423EA0000000000EF +:0423EB0000000000EE +:0423EC0000000000ED +:0423ED0000000000EC +:0423EE0000000000EB +:0423EF000001721C5B +:0423F0000001722056 +:0423F100000172284D +:0423F2000001721064 +:0423F300000172145F +:0423F400000172185A +:0423F5000001721C55 +:0423F600000173DC93 +:0423F700000173F876 +:0423F800000174501C +:0423F9000001745813 +:0423FA00000174600A +:0423FB00000173DC8E +:0423FC00000173F871 +:0423FD000001742047 +:0423FE000001743036 +:0423FF000001744025 +:04240000000173DC88 +:04240100000173E87B +:042402000001740061 +:042403000001741050 +:042404000001731848 +:04240500000174E47A +:04240600000174F06D +:04240700000175005B +:042408000001721C41 +:042409000001731843 +:04240A00000173203A +:04240B00000172C09A +:04240C00000172CC8D +:04240D00000172D880 +:04240E00000172E86F +:04240F0000017468EC +:042410000001747CD7 +:042411000001748CC6 +:042412000001749CB5 +:04241300000174ACA4 +:04241400000174B897 +:042415000001721C34 +:04241600000173242A +:04241700000173301D +:04241800000173400C +:042419000001731833 +:04241A000001721C2F +:04241B000001752423 +:04241C000001753412 +:04241D000001754401 +:04241E0000017554F0 +:04241F0000019AC05E :0424200000000000B8 -:0424210000000000B7 -:0424220000000000B6 -:0424230000000000B5 -:0424240000000000B4 -:04242500000190948E -:04242600000190948D -:0424270000016AD274 -:042428000001732814 -:042429000001733803 -:04242A000001723803 -:04242B000001724CEE -:04242C0000000001AB -:04242D00001080100B -:04242E000001720037 -:04242F000001730431 -:0424300000000101A6 -:04243100000173C073 -:04243200000173CC66 -:04243300000173C071 -:04243400000173DC54 -:0424350000017A4CDC -:0424360000017A58CF -:04243700000174A884 -:04243800000174B873 -:04243900000172D458 -:04243A00000172D853 -:04243B00000172E04A -:04243C00000172F039 -:04243D0000019034D6 +:042421000001126E36 +:042422000001750C34 +:042423000001751827 +:042424000001731828 +:0424250000000000B3 +:0424260000000000B2 +:0424270000000000B1 +:0424280000000000B0 +:0424290000000000AF +:04242A0000000000AE +:04242B0000000000AD +:04242C0000000000AC +:04242D0000000000AB +:04242E0000000000AA +:04242F0000000000A9 +:0424300000000000A8 +:0424310000000000A7 +:0424320000000000A6 +:0424330000000000A5 +:0424340000000000A4 +:0424350000000000A3 +:0424360000000000A2 +:0424370000000000A1 +:0424380000000000A0 +:04243900000000009F +:04243A00000000009E +:04243B00000000009D +:04243C00000000009C +:04243D00000190F416 +:04243E00000190F415 +:04243F0000016AEE40 +:0424400000017344E0 +:0424410000017354CF +:0424420000017254CF +:0424430000017268BA +:042444000000000193 +:0424450000108010F3 +:042446000001721C03 +:0424470000017320FD +:04244800000001018E +:04244900000173DC3F +:04244A00000173E832 +:04244B00000173DC3D +:04244C00000173F820 +:04244D0000017A848C +:04244E0000017A907F +:04244F00000174C450 +:04245000000174D43F +:04245100000172F024 +:04245200000172F41F +:04245300000172FC16 +:042454000001730C04 +:04245500000190945E :00000001FF diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 4691d7a..a2ae303 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -523,6 +523,7 @@ void update_sc_config(mode_data_t *vm_in, mode_data_t *vm_out, vm_proc_config_t } sl_config.sl_method = avconfig->sl_method; sl_config.sl_altern = avconfig->sl_altern; + sl_config3.sl_hybr_str = avconfig->sl_hybr_str; // disable scanlines if configured so if (((avconfig->sl_mode == 1) && (!vm_conf->y_rpt)) || (avconfig->sl_mode == 0)) { diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index beb6ad8..ec990d4 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -139,6 +139,7 @@ MENU(menu_cust_sl, P99_PROTECT({ \ MENU(menu_vinputproc, P99_PROTECT({ \ { LNG("Video LPF","ビデオ LPF"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.video_lpf, OPT_WRAP, SETTING_ITEM(video_lpf_desc) } } }, + { LNG("Reverse LPF","ギャクLPF"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.reverse_lpf, OPT_NOWRAP, 0, REVERSE_LPF_MAX, value_disp } } }, { LNG("YPbPr in ColSpa","イロクウカンニYPbPr"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.ypbpr_cs, OPT_WRAP, SETTING_ITEM(ypbpr_cs_desc) } } }, { LNG("R/Pr offset","R/Pr オフセット"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.col.r_f_off, OPT_NOWRAP, 0, 0xFF, value_disp } } }, { LNG("G/Y offset","G/Y オフセット"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.col.g_f_off, OPT_NOWRAP, 0, 0xFF, value_disp } } }, @@ -188,7 +189,7 @@ MENU(menu_output, P99_PROTECT({ \ MENU(menu_scanlines, P99_PROTECT({ \ { LNG("Scanlines","スキャンライン"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.sl_mode, OPT_WRAP, SETTING_ITEM(sl_mode_desc) } } }, { LNG("Sl. strength","スキャンラインツヨサ"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_str, OPT_NOWRAP, 0, SCANLINESTR_MAX, sl_str_disp } } }, - //{ "Sl. hybrid str.", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_hybr_str, OPT_NOWRAP, 0, SL_HYBRIDSTR_MAX, sl_hybr_str_disp } } }, + { "Sl. hybrid str.", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_hybr_str, OPT_NOWRAP, 0, SL_HYBRIDSTR_MAX, sl_hybr_str_disp } } }, { "Sl. method", OPT_AVCONFIG_SELECTION, { .sel = { &tc.sl_method, OPT_WRAP, SETTING_ITEM(sl_method_desc) } } }, { "Sl. alternating", OPT_AVCONFIG_SELECTION, { .sel = { &tc.sl_altern, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, { LNG("Sl. alignment","スキャンラインポジション"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.sl_id, OPT_WRAP, SETTING_ITEM(sl_id_desc) } } }, @@ -199,7 +200,6 @@ MENU(menu_scanlines, P99_PROTECT({ \ MENU(menu_postproc, P99_PROTECT({ \ { "Mask color", OPT_AVCONFIG_SELECTION, { .sel = { &tc.mask_color, OPT_NOWRAP, SETTING_ITEM(mask_color_desc) } } }, { LNG("Mask brightness","マスクアカルサ"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.mask_br, OPT_NOWRAP, 0, HV_MASK_MAX_BR, value_disp } } }, - //{ LNG("Reverse LPF","ギャクLPF"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.reverse_lpf, OPT_NOWRAP, 0, REVERSE_LPF_MAX, value_disp } } }, //{ LNG("","DIYチエンテスト"), OPT_FUNC_CALL, { .fun = { latency_test, <_arg_info } } }, }))