From 353437ab87ed0de5abae4e80dd6f3d835733ffcb Mon Sep 17 00:00:00 2001 From: marqs Date: Fri, 5 Jan 2024 15:34:15 +0200 Subject: [PATCH] sync processing and preset improvements * increase accurary of vblank region calculation * increase selectable PLL coast range * fix GBI preset H multiplication factors * enable 1250i/50 detection --- rtl/tvp7002_frontend.v | 7 +- .../mem_init/sys_onchip_memory2_0.hex | 13122 ++++++++-------- software/sys_controller/ossc/avconfig.h | 2 +- software/sys_controller/ossc/firmware.h | 2 +- software/sys_controller/ossc/video_modes.c | 15 +- .../sys_controller/ossc/video_modes_list.c | 2 +- 6 files changed, 6588 insertions(+), 6562 deletions(-) diff --git a/rtl/tvp7002_frontend.v b/rtl/tvp7002_frontend.v index e5765d9..628f37e 100644 --- a/rtl/tvp7002_frontend.v +++ b/rtl/tvp7002_frontend.v @@ -122,7 +122,8 @@ wire [11:0] even_max_thold = (H_TOTAL / 12'd2) + (H_TOTAL / 12'd4); wire [11:0] meas_h_cnt_ref = (vsync_i_type == VSYNC_SEPARATED) ? meas_h_cnt_sogref : meas_h_cnt; wire [11:0] meas_even_min_thold = (pcnt_line / 12'd4); wire [11:0] meas_even_max_thold = (pcnt_line / 12'd2) + (pcnt_line / 12'd4); -wire meas_vblank_region = ((pcnt_frame_ctr < (pcnt_frame/16)) | (pcnt_frame_ctr > (pcnt_frame - (pcnt_frame/16)))); +wire meas_vblank_region = (pcnt_frame_ctr < 8*pcnt_line) | (pcnt_frame_ctr > (({1'b0, pcnt_frame}< (pcnt_frame - 4*pcnt_line))); wire [11:0] glitch_filt_thold = meas_vblank_region ? (pcnt_line/4) : (pcnt_line/8); // TODO: calculate H/V polarity independently @@ -366,8 +367,8 @@ always @(posedge CLK_MEAS_i) begin meas_v_cnt <= meas_v_cnt + 1'b1; end meas_h_cnt_sogref <= meas_h_cnt; - end else if (~VSYNC_i_np & (meas_h_cnt >= pcnt_line)) begin - // hsync may be missing during vsync, force line change detect if pcnt_line is exceeded + end else if (meas_vblank_region & (meas_h_cnt >= pcnt_line)) begin + // hsync may be missing or irregular during vblank, force line change detect if pcnt_line is exceeded meas_hl_det <= 1'b0; meas_h_cnt <= 0; meas_v_cnt <= meas_v_cnt + 1'b1; diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index d9cefe4..e2a755e 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -45,9 +45,9 @@ :04002C000000A11718 :04002D00F5010113C5 :04002E000000971720 -:04002F0008070713A4 +:04002F000C87071320 :040030000000A7978E -:040031009CC787934E +:04003100A1478793C9 :0400320000F7576319 :04003300000720237F :04003400DDE30711F0 @@ -56,41 +56,41 @@ :04003700C4064501B5 :04003800200520057A :04003900A60367E9CA -:04003A0067E9A1874A -:04003B00A1C7A58331 +:04003A0067E9A607C5 +:04003B00A647A583AC :04003C0040A267E98E -:04003D00A207A5036E +:04003D00A687A503EA :04003E00406F0131DD -:04003F00A98567E048 +:04003F00A9856C80A3 :0400400067E980826A :04004100000207377B -:04004200A2E7A4236A +:04004200A6E7A82362 :0400430007B7656135 :040044006769019C4B :04004500CC078793CA -:040046005645051303 -:04004700A2F72223D7 +:040046005AC505137F +:04004700A6F72623CF :040048006769AC6DCB -:04004900A2472703A0 +:04004900A6C727031C :04004A00A78367E938 -:04004B00557DA287B6 +:04004B00557DA70731 :04004C004721CB116C :04004D006741C3D86C :04004E00C798177DBB :04004F004711C7D8B6 :040050004501C3D8CB :0400510067E9808259 -:04005200A247A78397 +:04005200A6C7A78313 :04005300CF91557D77 :04005400A78367E92E -:04005500A823A287B3 +:04005500A823A7072E :040056004B880007CC :0400570005424BDC37 :0400580007C2814119 :0400590045138D5D61 :04005A008082FFF5AC :04005B00A50367E9A9 -:04005C008082A247B5 +:04005C008082A6C731 :04005D002783C90D1F :04005E0011510C052B :04005F00C22205A212 @@ -254,7 +254,7 @@ :0400FD00E963FEB7FE :0400FE0066DD02F6C3 :0400FF008693078A53 -:0401000097B6B106F7 +:0401000097B6B58673 :040101008782439C12 :04010200200007933F :040103000D0526833D @@ -287,7 +287,7 @@ :04011E00D918DD5CB3 :04011F0002052A2388 :04012000C134DD10F9 -:040121000D45859370 +:0401210011C58593EC :0401220040A22201D4 :04012300853E47814D :0401240080820131A3 @@ -354,7 +354,7 @@ :04016100BFD507857A :04016200451CC1195E :0401630067E5EF91CC -:040164000DC7A78399 +:040164001247A78314 :040165001151C395DC :040166009782C406B2 :0401670047D940A292 @@ -365,7 +365,7 @@ :04016C00C188C3C8BB :04016D008082450146 :04016E0005136569A7 -:04016F0047D9A7C500 +:04016F0047D9AC457B :040170005529C11C30 :04017100832A8082DB :040172008383CA09B0 @@ -406,58 +406,58 @@ :04019500C222115120 :04019600646565E156 :0401970004600613E7 -:04019800CF858593F7 -:0401990025840513A1 +:04019800D405859372 +:040199002A0405131C :04019A003FB1C406A7 :04019B00000217B790 :04019C000D07A78321 :04019D00656565E14E :04019E008B8983C501 -:04019F00258404139C +:04019F002A04041317 :0401A00070C0061312 -:0401A100D405859369 -:0401A2002A05051312 +:0401A100D8858593E5 +:0401A2002E8505138E :0401A30004F400233D :0401A40040A23F1D19 :0401A50067E94412B0 -:0401A6008B2347055B -:0401A7004501A6E781 +:0401A6008F23470557 +:0401A7004501AAE77D :0401A800808201311F :0401A900C78367E9B8 -:0401AA006769A78753 -:0401AB00A6F70BA305 +:0401AA006769AC07CE +:0401AB00AAF70FA3FD :0401AC0002C0071373 :0401AD0002E787B32B :0401AE000713676567 -:0401AF0097BA2A07CA +:0401AF0097BA2E8746 :0401B0000127D683CA -:0401B1001323676944 -:0401B200C683A6D783 +:0401B1001723676940 +:0401B200C683AAD77F :0401B3006769014730 -:0401B400A6D7142393 +:0401B400AAD718238B :0401B50001C7C68335 -:0401B600152367693D -:0401B700D683A6D76E +:0401B6001923676939 +:0401B700D683AAD76A :0401B80067690187EB -:0401B900A6D7112391 +:0401B900AAD7152389 :0401BA0000C7D68321 -:0401BB00102367693D -:0401BC00C683A6D779 +:0401BB001423676939 +:0401BC00C683AAD775 :0401BD00676901D796 -:0401BE00A6D71A2383 +:0401BE00AAD71E237B :0401BF0001A7D6833B -:0401C0001823676930 -:0401C100D683A6D764 +:0401C0001C2367692C +:0401C100D683AAD760 :0401C200676900E782 -:0401C300A6D7172381 +:0401C300AAD71B2379 :0401C4000217C683D5 -:0401C5001223676931 -:0401C600C683A6D76F +:0401C500162367692D +:0401C600C683AAD76B :0401C700676902273B -:0401C800A6D719237A +:0401C800AAD71D2372 :0401C9000207C7035F -:0401CA00962367E928 -:0401CB008082A6E7A1 +:0401CA009A2367E924 +:0401CB008082AAE79D :0401CC008793678529 :0401CD0007B38027CD :0401CE00273702F5D8 @@ -573,13 +573,13 @@ :04023C0002C786333C :04023D0000C51B23BA :04023E0067698082EA -:04023F00A587071375 +:04023F00AA070713F0 :04024000E793431CE1 :04024100C31C0807CB :040242000002173768 :040243000EF720236F :0402440067E9808264 -:040245009C078793F8 +:04024500A087879374 :0402460000C7D70313 :040247000127D603B2 :0402480016FD6685B4 @@ -606,7 +606,7 @@ :04025D0089BDDC3E3D :04025E007FF777139C :04025F0067E9061233 -:040260009AC787931F +:040260009F4787939A :0402610007368E4D81 :04026200C6038F51EF :040263008A3D0027A9 @@ -614,7 +614,7 @@ :040265000037C60395 :040266008F5106723C :040267006769D23AB7 -:040268009EC7071313 +:04026800A34707138E :0402690000C7560371 :04026A000127558390 :04026B0001675503CF @@ -666,7 +666,7 @@ :0402990001C79713EF :04029A0000E6E7B3E0 :04029B00859366E5FC -:04029C00C7031486FA +:04029C00C703190675 :04029D00DA3E04053C :04029E0003F5C7831A :04029F0007128B1D9A @@ -677,18 +677,18 @@ :0402A4008B0D042595 :0402A5008FD9075690 :0402A6008793C0BEBC -:0402A700C03E1486BB +:0402A700C03E190636 :0402A800470347A21F :0402A900436300812A :0402AA00470500F014 :0402AB00179365E957 -:0402AC008AA3018799 -:0402AD0087E1A4E55C +:0402AC008EA3018795 +:0402AD0087E1A8E558 :0402AE000FF776933D :0402AF0000C05463D4 :0402B0000FF676933C -:0402B1000A2367694C -:0402B2004702A4D784 +:0402B1000E23676948 +:0402B2004702A8D780 :0402B30002B7470344 :0402B4004612C23AF2 :0402B5001863470D76 @@ -706,7 +706,7 @@ :0402C10001279693E8 :0402C20064B3666556 :0402C300069300D7C7 -:0402C400C7031486D2 +:0402C400C70319064D :0402C500458502F673 :0402C600C63A4512DD :0402C7000017871382 @@ -720,10 +720,10 @@ :0402CF0003F5F593AB :0402D0000337CE3EE4 :0402D100079301008E -:0402D2007513148606 +:0402D2007513190681 :0402D300CA2E0FD54B :0402D400C83ECC361E -:0402D5001486061372 +:0402D50019060613ED :0402D6004681470115 :0402D7004581478195 :0402D800C2AA137D26 @@ -939,8 +939,8 @@ :0403AA0047893DF151 :0403AB001EF40063D9 :0403AC00A68367E5D8 -:0403AD0067E515C724 -:0403AE002587879385 +:0403AD0067E51A479F +:0403AE002A07879300 :0403AF0003C7C703B6 :0403B00003D7C603A6 :0403B1003E80041373 @@ -973,9 +973,9 @@ :0403CC003D890C500B :0403CD0064E546821B :0403CE0087934712B8 -:0403CF00C794138438 +:0403CF00C7941804B3 :0403D00046224785F5 -:0403D100138484937A +:0403D10018048493F5 :0403D2000363440974 :0403D300442900F7C2 :0403D40083A3468534 @@ -1190,12 +1190,12 @@ :0404A5001151A6CF7C :0404A600676967E932 :0404A700C026C22287 -:0404A8008023C406E3 -:0404A90007939EA770 -:0404AA00C7839AC7A3 +:0404A8008423C406DF +:0404A9000793A2A76C +:0404AA00C7839F471E :0404AB00842A002778 :0404AC00071384AE00 -:0404AD00CB859AC79A +:0404AD00CB859F4715 :0404AE000005853789 :0404AF0005130785A5 :0404B00045B3E40567 @@ -1341,9 +1341,9 @@ :04053C001151B9E9B7 :04053D00C02667E588 :04053E00A50384AAE3 -:04053F0067E915470C +:04053F0067E919C788 :04054000C406C22209 -:040541009C078793F9 +:04054100A087879375 :040542000237C703B2 :04054300019C0437DC :04054400CC040413CC @@ -1352,7 +1352,7 @@ :04054700E31935A0DF :040548000127D503AF :04054900C78367E914 -:04054A000433A5D7FA +:04054A000433AA5775 :04054B00E8B9028584 :04054C009D6347095B :04054D00C7B700E745 @@ -1363,7 +1363,7 @@ :04055200A805450DA6 :040553003DCD450154 :04055400C68367E90A -:040555008793A5F7EC +:040555008793AA7767 :04055600F793FFC652 :04055700C7990FB77A :040558004501470909 @@ -1387,20 +1387,20 @@ :04056A000593BFC96D :04056B00053302C092 :04056C0065E502B58A -:04056D002A05859343 +:04056D002E858593BF :04056E0095AA4645BF :04056F0005136565A6 -:04057000506F1C8527 -:0405710047A93D80D9 +:04057000506F2105A2 +:0405710047A9422034 :0405720000F50E631F :04057300050A67E12D -:04057400658787937D +:040574006A078793F8 :04057500410C953E62 :04057600464565652C -:040577001C850513C7 -:040578003BA0506FE5 +:040577002105051342 +:040578004040506F40 :04057900859365DD24 -:04057A00B7FDB445D0 +:04057A00B7FDB8C54C :04057B00CA2211116E :04057C004505842A83 :04057D00C826CC06BA @@ -1416,9 +1416,9 @@ :040587000513458192 :04058800F0EF0CD0B4 :0405890067E5F62FFD -:04058A001487871338 +:04058A0019078713B3 :04058B00013744836D -:04058C0014878793B6 +:04058C001907879331 :04058D00C23E47091A :04058E00009034B3F2 :04058F00C03A4785A2 @@ -1428,12 +1428,12 @@ :04059300F38FF0EF03 :04059400079366E57E :040595008613FFE4E6 -:0405960037B31386DE +:0405960037B3180659 :04059700971300F0C6 :04059800478300177E :040599009BF500C608 :04059A0087138FD95B -:04059B00062313869A +:04059B000623180615 :04059C00C43A00F667 :04059D00C7818B89FE :04059E00F0EF450530 @@ -1457,28 +1457,28 @@ :0405B0004782EC6F23 :0405B100C3B5458108 :0405B200879367E5DF -:0405B300C5830F47A6 +:0405B300C58313C722 :0405B4000513000724 :0405B500F0EF07302C :0405B60067E5EAEF1C -:0405B7000F47871350 +:0405B70013C78713CC :0405B8000017458360 :0405B90007400513DF :0405BA00E9CFF0EFA6 :0405BB00879367E5D6 -:0405BC00C5830F479D +:0405BC00C58313C719 :0405BD0005130027FB :0405BE00F0EF075003 :0405BF006761E8AFD9 :0405C0000713478155 -:0405C10006B36847CE +:0405C10006B36CC74A :0405C200C58300F7F6 :0405C3008513000696 :0405C400C03E0767C7 :0405C500E70FF0EF5D :0405C60067614782A0 :0405C700078546C995 -:0405C8006847071366 +:0405C8006CC70713E2 :0405C900FED791E3E5 :0405CA000513458947 :0405CB00C02E072017 @@ -1542,16 +1542,16 @@ :040605004789D72F1B :0406060004F4056390 :04060700676964E5D6 -:04060800258487932B -:040609009BE74683A2 +:040608002A048793A6 +:04060900A06746831D :04060A00C603676953 :04060B004503041788 -:04060C0035B39F77EC +:04060C0035B3A3F768 :04060D0005860080DE :04060E00861FF0EF64 -:04060F002584879324 +:04060F002A0487939F :040610000427C503F3 -:040611002584849325 +:040611002A048493A0 :04061200450DC111C0 :04061300D7AFF0EF7E :040614000414C78380 @@ -1578,7 +1578,7 @@ :0406290017B716FDEC :04062A00A703000220 :04062B0067E50D076B -:04062C001DC78793CC +:04062C002247879347 :04062D0086138F752C :04062E00D5030347A6 :04062F000463000759 @@ -1637,7 +1637,7 @@ :040664000AA78863F6 :0406650007B347D1BF :0406660065E102F553 -:04066700BBC58593F7 +:04066700C045859372 :04066800851346515F :04066900883D004484 :04066A0095BE040A2B @@ -1645,11 +1645,11 @@ :04066C00F793409C24 :04066D008C5DFC376D :04066E006465C0807F -:04066F001484079355 +:04066F0019040793D0 :040670000187C783B4 -:0406710014840413D6 +:040671001904041351 :040672006769CF9154 -:04067300A58707133D +:04067300AA070713B8 :040674004529431CB5 :04067500C31C9BF512 :040676000002173730 @@ -1673,7 +1673,7 @@ :04068800EDEFE0EFC3 :04068900018447831E :04068A006769CF814C -:04068B00A587071325 +:04068B00AA070713A0 :04068C00E793431C91 :04068D00C31C002763 :04068E000002173718 @@ -1685,11 +1685,11 @@ :0406940002D5053353 :040695000640069382 :040696000613665D84 -:0406970045C5B4C6DB +:0406970045C5B94656 :0406980002D546B38E :04069900051365657B -:04069A00406F1C850C -:04069B0046B752C04C +:04069A00406F210587 +:04069B0046B75760A7 :04069C008693000F32 :04069D0005332406F7 :04069E00678902D591 @@ -1698,139 +1698,139 @@ :0406A100006336B705 :0406A200EA0686934B :0406A3000613665D77 -:0406A40045C5B5464D +:0406A40045C5B9C6C9 :0406A50002F557B350 :0406A60002E7F7B3BD :0406A70056B34729D6 :0406A800656502D5AD -:0406A9001C85051394 +:0406A900210505130F :0406AA0002E7D73359 -:0406AB004EA0406FAE +:0406AB005340406F09 :0406AC00271006937A :0406AD000533050507 :0406AE00069302D5D8 :0406AF00665D06403E -:0406B000B606061371 +:0406B000BA860613ED :0406B10046B345C542 :0406B200656502D5A3 -:0406B3001C8505138A -:0406B4004C60406FE7 +:0406B3002105051305 +:0406B4005100406F42 :0406B5002710069371 :0406B60002D5053331 :0406B7000640069360 :0406B8000613665D62 -:0406B90045C5B60677 +:0406B90045C5BA86F3 :0406BA0002D546B36C :0406BB000513656559 -:0406BC00406F1C85EA -:0406BD00BFF94A40F7 +:0406BC00406F210565 +:0406BD00BFF94EE053 :0406BE0067E9ED01FA -:0406BF00A547C70381 +:0406BF00A9C7C703FD :0406C00067DD66DDAF :0406C1008693070510 -:0406C2008793B686DE -:0406C300A8013FC784 +:0406C2008793BB0659 +:0406C300A8014447FF :0406C400869367DDD5 -:0406C5000713B6471A +:0406C5000713BAC796 :0406C6008793001501 -:0406C700665DB6476F +:0406C700665DBAC7EB :0406C800061365654B -:0406C90045C5B70666 -:0406CA001C85051373 -:0406CB0046A0406F96 +:0406C90045C5BB86E2 +:0406CA0021050513EE +:0406CB004B40406FF1 :0406CC0067E9ED01EC -:0406CD00A557C70363 +:0406CD00A9D7C703DF :0406CE0067DD66DDA1 :0406CF008693070502 -:0406D0008793B686D0 -:0406D100A8013FC776 +:0406D0008793BB064B +:0406D100A8014447F1 :0406D200869367DDC7 -:0406D3000713B6470C +:0406D3000713BAC788 :0406D40087930015F3 -:0406D500665DB64761 +:0406D500665DBAC7DD :0406D600061365653D -:0406D70045C5B70658 -:0406D8001C85051365 -:0406D9004320406F0B +:0406D70045C5BB86D4 +:0406D80021050513E0 +:0406D90047C0406F67 :0406DA00665D86AA29 :0406DB000613656538 -:0406DC0045C5B786D3 -:0406DD001C85051360 -:0406DE0041E0406F48 +:0406DC0045C5BC064E +:0406DD0021050513DB +:0406DE004680406FA3 :0406DF00665D86AA24 :0406E0000613656533 -:0406E10045C5C186C4 -:0406E2001C8505135B -:0406E30040A0406F84 +:0406E10045C5C6063F +:0406E20021050513D6 +:0406E3004540406FDF :0406E400F80506937C :0406E500665D06E266 :0406E60086E16565DF -:0406E700E30606130D +:0406E700E786061389 :0406E800051345C5EC -:0406E900406F1C85BD -:0406EA0016933F0024 +:0406E900406F210538 +:0406EA00169343A080 :0406EB0086E101851E :0406EC006565665D7D :0406ED00061316D109 -:0406EE0045C5B84600 -:0406EF001C8505134E -:0406F0003D60406FBA +:0406EE0045C5BCC67C +:0406EF0021050513C9 +:0406F0004200406F15 :0406F10096B34685F1 :0406F200665D00A69B :0406F3000613656520 -:0406F40045C5B786BB -:0406F5001C85051348 -:0406F6003BE0406F36 +:0406F40045C5BC0636 +:0406F50021050513C3 +:0406F6004080406F91 :0406F700468505052A :0406F80000A696B30F :0406F9006565665D70 -:0406FA00B8C6061365 +:0406FA00BD460613E0 :0406FB00051345C5D9 -:0406FC00406F1C85AA -:0406FD0047833A40B5 +:0406FC00406F210525 +:0406FD0047833EE011 :0406FE00471100455B :0406FF00696386B2F3 :04070000675D06F734 :040701000713078A49 -:0407020097BABAC721 +:0407020097BABF479C :040703008782439C0A :040704004645451C05 :040705000007C7031F :04070600070A491C79 :04070700438C97BACE :04070800051365650B -:04070900406F1C859C -:04070A0045185750E7 +:04070900406F210517 +:04070A0045185BF043 :04070B004503491C3D :04070C0087820007D9 :04070D004508491C36 :04070E0067E5878292 -:04070F001C0784231C +:04070F002007882314 :04071000C19580828D :0407110065DDE611AB :040712008593464540 -:04071300BFC9B9851C +:04071300BFC9BE0597 :040714000206506326 :040715006565665D53 -:04071600BA06061306 +:04071600BE86061382 :04071700051345C5BC -:04071800406F1C858D -:04071900455C3340C8 +:04071800406F210508 +:04071900455C37E024 :04071A004398DBE93C :04071B00B7C1479C7F :04071C0067E9808287 -:04071D00A417C783D3 +:04071D00A897C7834F :04071E0067E5C3E1E7 -:04071F001007C703F5 +:04071F001487C70371 :040720001B6347858B :0407210067650AF707 :040722000713111197 -:04072300CA22F707E8 +:04072300CA22FB8764 :04072400CC06C82611 :0407250044814401C6 :04072600C23A47810B :0407270047036769B4 -:040728004692A4272A +:040728004692A8A7A6 :040729009736070EEA :04072A00470343102E :04072B00CD63000694 @@ -1848,7 +1848,7 @@ :04073700C03600F6D2 :04073800430C9732A5 :04073900C43A464137 -:04073A004B3040EF11 +:04073A004FD040EF6D :04073B0047B2472258 :04073C0046034585A6 :04073D0092B300472C @@ -1863,40 +1863,40 @@ :04074600010785130F :0407470065E547829B :04074800953E464153 -:040749001C858593F3 -:04074A00473040EF05 +:04074900210585936E +:04074A004BD040EF61 :04074B0047A242B2CD :04074C0000546433BE :04074D00B79D0785C8 :04074E0066E9808256 :04074F00FD81011314 -:04075000A77686936F +:04075000ABF68693EB :040751006669C436DB :04075200869366E93B -:040753000613A6667D -:0407540067E5A74668 +:040753000613AAE6F9 +:0407540067E5ABC6E4 :04075500C832C036B0 :04075600666966E981 :04075700CE26D022B8 -:04075800A686869358 -:04075900A646061397 +:04075800AB068693D3 +:04075900AAC6061313 :04075A008793D206A9 -:04075B00C236148707 +:04075B00C236190782 :04075C0066E9CA324E :04075D00C7036669FF :04075E008693012756 -:04075F000613A6A631 -:0407600064E5A7267F +:04075F000613AB26AC +:0407600064E5ABA6FB :0407610063E9C6364C :0407620062E966E9F9 :04076300CC326369C8 :04076400842A666914 -:040765002A0484934B -:04076600A6268693AA -:04076700A6038393CF -:04076800A7028293CF -:04076900A6E30313ED -:04076A00A6C6061306 +:040765002E848493C7 +:04076600AAA6869326 +:04076700AA8383934B +:04076800AB8282934B +:04076900AB63031368 +:04076A00AB46061381 :04076B008703C77DBC :04076C0047A2011788 :04076D000007C78337 @@ -1940,7 +1940,7 @@ :040793000007D78301 :0407940000F5866383 :04079500458567E946 -:04079600A6B78B2354 +:04079600AAB78F234C :0407970002C0079302 :0407980002F707332A :0407990000065503FE @@ -1950,13 +1950,13 @@ :04079D000FF57513CC :04079E00C1EFF0EFC8 :04079F00861367E96D -:0407A00067E9A6C798 -:0407A100A6E7831331 +:0407A00067E9AB4713 +:0407A100AB678313AC :0407A200829367E9EE -:0407A30067E9A70754 -:0407A400A60783938E +:0407A30067E9AB87D0 +:0407A400AA8783930A :0407A500869367E9E7 -:0407A60047A2A62799 +:0407A60047A2AAA715 :0407A70002C00593F4 :0407A8000006D683EE :0407A9000007C7037B @@ -1991,13 +1991,13 @@ :0407C60086B3BF2710 :0407C700079302F69C :0407C800665D3E80AC -:0407C900BC06061351 +:0407C900C0860613CD :0407CA0002F6C6B3BA :0407CB0050925402F2 :0407CC0045C544F2E9 -:0407CD001C8505136F +:0407CD0021050513EA :0407CE000281011390 -:0407CF0005A0406FD2 +:0407CF000A40406F2D :0407D00005634682F5 :0407D100469200D478 :0407D20002D41363D7 @@ -2005,15 +2005,15 @@ :0407D400540202D7F2 :0407D50044F2509208 :0407D60086BE665D18 -:0407D700BC860613C3 +:0407D700C10606133E :0407D800051345C5FB -:0407D90001131C8567 +:0407D90001132105E2 :0407DA00406F0281E9 -:0407DB00665D02C095 +:0407DB00665D0760F0 :0407DC00000456833C -:0407DD00C1860613B8 +:0407DD00C606061333 :0407DE0067E9BF55B3 -:0407DF00A507879350 +:0407DF00A9878793CC :0407E000EF19439832 :0407E1000713671D76 :0407E20005339787BD @@ -2026,7 +2026,7 @@ :0407E900C4061151E0 :0407EA0099EFE0EFB4 :0407EB00A78367E990 -:0407EC0040A2A5077B +:0407EC0040A2A987F7 :0407ED0000F53533AB :0407EE0080820131D3 :0407EF006463473DBB @@ -2047,42 +2047,42 @@ :0407FE0002F11023D1 :0407FF0007934629ED :04080000859304B028 -:0408010005131A853C +:0408010005131F05B7 :0408020001A30251FB :04080300022302F1D9 :04080400E0EF02011E :0408050067E9DB4F75 -:04080600A5C7C78338 +:04080600AA47C783B3 :04080700061365E58A :04080800082303605E :0408090067E902F1A8 -:04080A00A387C78376 -:04080B001DC58593EF +:04080A00A807C783F1 +:04080B00224585936A :04080C0003A105132C :04080D0002F108A349 :04080E00C78367E94C -:04080F000820A457C2 +:04080F000820A8D73E :0408100007A318849E :0408110067E902F1A0 -:04081200A407C783ED +:04081200A887C78369 :0408130002F10923C2 :04081400C78367E946 -:0408150009A3A2E7AA +:0408150009A3A76725 :0408160067E902F19B -:04081700A2C7C7832A +:04081700A747C783A5 :0408180002F10A23BC :04081900C78367E545 -:04081A000AA30F0717 +:04081A000AA3138793 :04081B0067E902F196 -:04081C00A2D7C78315 +:04081C00A757C78390 :04081D0002F10B23B6 :04081E00C78367E540 -:04081F000BA3100710 +:04081F000BA314878C :0408200067E502F195 -:040821001017C78362 +:040821001497C783DE :0408220002F10C23B0 :04082300C78367E937 -:040824000CA3A44736 +:040824000CA3A8C7B2 :04082500E0EF02F10D :040826004503D30FA4 :0408270004050004C0 @@ -2090,7 +2090,7 @@ :04082900FEA40FA377 :04082A00FE9419E33C :04082B00A50367E9D1 -:04082C000693A3C7C5 +:04082C000693A84740 :04082D00083005800A :04082E00001F05B7EB :04082F00A2EFE0EF65 @@ -2104,21 +2104,21 @@ :040837008793070C90 :0408380064E5046708 :04083900C783D83E5B -:04083A00EB8924849E +:04083A00EB89290419 :04083B000613665DDD -:04083C0045B5BD06FB -:04083D002484851377 -:04083E0069F030EF3E -:04083F0024848593F5 +:04083C0045B5C18677 +:04083D0029048513F2 +:04083E006E9030EF99 +:04083F002904859370 :040840000513463521 :0408410040EF023151 -:0408420065E509500F +:0408420065E50DF06B :040843000460061334 -:0408440025858593EE +:040844002A05859369 :04084500E0EF184880 :0408460064E5CB0F8B :0408470009E00613AB -:040848002A04859366 +:040848002E848593E2 :0408490007A10513EB :04084A00C9EFE0EF23 :04084B00C503083C9D @@ -2131,14 +2131,14 @@ :040852000FF4741318 :0408530001041793F2 :0408540067E9C23E50 -:04085500A3C7A5038D +:04085500A847A50308 :0408560010000693F5 :0408570015930830BD :04085800E0EF0104C8 :0408590067E9988F24 -:04085A00A3C7879316 +:04085A00A847879391 :04085B00F929C63E73 -:04085C002A04879350 +:04085C002E848793CC :04085D000713044237 :04085E00849310046B :04085F00879309E78B @@ -2178,19 +2178,19 @@ :04088100557DBD756F :04088200115180820E :0408830064E9C0263E -:04088400A474849341 +:04088400A8F48493BD :040885000004C503A3 :04088600C406C222C0 :04088700DA1FF0EF95 :04088800E51D842ABC :040889000004C7039D :04088A00468167E953 -:04088B00A4E7832338 +:04088B00A8E7872330 :04088C00C78367E9CE -:04088D00C781A45724 +:04088D00C781A8D7A0 :04088E00C68367E5D1 -:04088F0067E51607FC -:040890001A878793A9 +:04088F0067E51A8778 +:040890001F07879324 :04089100802397B673 :04089200453D00E7F9 :04089300D71FF0EF8C @@ -2198,8 +2198,8 @@ :040895004482441243 :04089600808201312A :04089700EDC101139B -:04089800842367E569 -:04089900C43E24072E +:04089800882367E565 +:04089900C43E28072A :04089A0012112023F4 :04089B0010812E2377 :04089C0010912C2368 @@ -2208,13 +2208,13 @@ :04089F00676906A7D8 :0408A0007793054104 :0408A10025030FF527 -:0408A2009593A3C7C0 +:0408A2009593A8473B :0408A30006930107B0 :0408A4000830100008 :0408A500E0EFC03E82 :0408A600676990CF1F :0408A7000713082407 -:0408A80087AAA3C7B1 +:0408A80087AAA8472C :0408A900C63A8426A1 :0408AA0000044503FE :0408AB000405C83E3A @@ -2223,7 +2223,7 @@ :0408AE0047C20A38FB :0408AF00FE8716E3C7 :0408B00065DDE3859A -:0408B100BD858593E9 +:0408B100C205859364 :0408B200E0EF082843 :0408B30087AAB48FCD :0408B40020051363A5 @@ -2247,7 +2247,7 @@ :0408C6004712FCC712 :0408C7006765F371FD :0408C80045394781E6 -:0408C9001A87071370 +:0408C9001F070713EB :0408CA00C60345A973 :0408CB00666300D48C :0408CC00033300C52D @@ -2256,42 +2256,42 @@ :0408CF0096E3048523 :0408D0004783FEB7A5 :0408D100676903113F -:0408D200A2F70C235A +:0408D200A8F7002360 :0408D30066634725EC :0408D400676900F759 -:0408D500A4F70E2353 +:0408D500AAF7022359 :0408D6004783A0298B :0408D7007AE30301BC :0408D8004703FEF7DD :0408D90067E9033197 -:0408DA0087236565A6 -:0408DB004703A2E746 +:0408DA008B236565A2 +:0408DB004703A6E742 :0408DC0067E9034184 :0408DD00036006139B -:0408DE00A2E78623E4 +:0408DE00A6E78A23DC :0408DF000351470377 :0408E000059367E530 -:0408E100882303A1C4 -:0408E20047030EE7D3 +:0408E1008C2303A1C0 +:0408E200470312E7CF :0408E30067E903615D -:0408E4001DC5051316 -:0408E500A2E786A35D +:0408E4002245051391 +:0408E500A6E78AA355 :0408E6000371470350 -:0408E700802367E51E -:0408E800470310E7CB +:0408E700842367E51A +:0408E800470314E7C7 :0408E90067E503813B -:0408EA0010E780A3F0 +:0408EA0014E784A3E8 :0408EB0002F14703CC -:0408EC0082A367E993 -:0408ED0067E5A4E730 -:0408EE001A87C7039B -:0408EF00832367E90F -:0408F0004703A4E72F +:0408EC0086A367E98F +:0408ED0067E5A8E72C +:0408EE001F07C70316 +:0408EF00872367E90B +:0408F0004703A8E72B :0408F10067E903218F -:0408F200A4E78023D4 +:0408F200A8E78423CC :0408F3000391470323 -:0408F400822367E90B -:0408F500E0EFA4E7A5 +:0408F400862367E907 +:0408F500E0EFA8E7A1 :0408F60047819F0F88 :0408F7004683B7116C :0408F8009C630201FA @@ -2306,17 +2306,17 @@ :04090100ECE41EE321 :04090200C82A47A216 :0409030085134635DD -:0409040005932487AC +:040904000593290727 :0409050040EF02318C -:0409060047125840FC +:0409060047125CE058 :0409070011E347C2EF :040908006565EC072E :04090900046006136D :04090A000513184C6D -:04090B00E0EF25856F +:04090B00E0EF2A05EA :04090C004782998FF6 :04090D000713676500 -:04090E0007A22A070B +:04090E0007A22E8787 :04090F004481C23E1F :040910000793C00287 :04091100C43A0620BE @@ -2354,29 +2354,29 @@ :040931008622A02159 :040932008FEFE0EF74 :04093300470567E924 -:04093400A6E78B2384 +:04093400AAE78F237C :040935004785B71922 :040936004789B52117 :040937001151B51194 :0409380064E9C02688 -:04093900A47484938B +:04093900A8F4849307 :04093A000004C503ED :04093B00C22245810E :04093C00F0EFC4060E :04093D00842AD6BF73 :04093E00C703E121E9 :04093F0067E9000460 -:04094000832346A91E -:0409410067E5A4E7DB -:0409420029D7C78367 +:04094000872346A91A +:0409410067E5A8E7D7 +:040942002E57C783E2 :0409430000D78563F1 -:040944008E2366E9AF -:0409450067E9A4F6C4 -:04094600A457C78368 +:04094400822366E9BB +:0409450067E9AAF6BE +:04094600A8D7C783E4 :04094700C78146819D :04094800C68367E912 -:0409490067E5A5C7F2 -:04094A001A878793EE +:0409490067E5AA476D +:04094A001F07879369 :04094B00802397B6B8 :04094C00453D00E73E :04094D00A89FF0EF80 @@ -2387,18 +2387,18 @@ :04095200C02AC606EB :04095300D11FF0EFD1 :040954004783676509 -:04095500468224872B -:0409560024870713D8 +:0409550046822907A6 +:040956002907071353 :04095700675DE78170 -:04095800BE070713BC +:04095800C287071338 :04095900665D40B2E5 :04095A0006136565B6 -:04095B0045C5BE864A -:04095C001C850513DE +:04095B0045C5C306C5 +:04095C002105051359 :04095D00306F0141B5 -:04095E001121221031 +:04095E00112126B08D :04095F00C8226769DA -:04096000A587041350 +:04096000AA070413CB :04096100CA06401C66 :04096200000216B7C2 :04096300F9F7F79316 @@ -2415,12 +2415,12 @@ :04096E00C01C0207A0 :04096F000EF6A023BD :0409700040EF8526A9 -:0409710077933FC079 +:0409710077934460D4 :04097200EB890FF509 :04097300464565E1AF -:04097400470585931B +:040974004B85859397 :0409750040EF8526A4 -:0409760047853C4035 +:04097600478540E091 :0409770086B34701FB :04097800C50300E4CF :0409790045D100065E @@ -2440,12 +2440,12 @@ :04098700E79345C1EC :04098800C01C020786 :040989000EF4A023A5 -:04098A00396040EFA1 +:04098A003E0040EFFC :04098B000FF574935D :04098C004502E889AF :04098D00464565E195 -:04098E004705859301 -:04098F0035E040EF20 +:04098E004B8585937D +:04098F003A8040EF7B :0409900047814485D2 :0409910045D1470203 :04099200973EC23E8C @@ -2466,45 +2466,45 @@ :0409A100646564E540 :0409A20000F50863F1 :0409A30046836765BB -:0409A40047091007E8 +:0409A4004709148764 :0409A50004E694636D :0409A60085934641AE -:0409A70045371B4471 +:0409A70045371FC4ED :0409A80040EF00021A -:0409A90047B72F809D +:0409A90047B73420F8 :0409AA0085130002AF :0409AB0046410207B8 -:0409AC001C8405930F -:0409AD002E6040EF89 +:0409AC00210405938A +:0409AD00330040EFE4 :0409AE00000247B745 :0409AF003C07A62338 :0409B000A223470D2A :0409B100A4233CE758 :0409B20005933C0766 -:0409B30044221C843A +:0409B30044222104B5 :0409B400851340B2B5 -:0409B50044921B4409 +:0409B50044921FC485 :0409B600B545014101 :0409B700FEE517E35F :0409B8004703676921 -:0409B9004641A427E8 -:0409BA001C84059301 +:0409B9004641A8A764 +:0409BA00210405937C :0409BB000037169358 :0409BC000713676551 -:0409BD009736F7076B +:0409BD009736FB87E7 :0409BE000047468325 :0409BF0000024737B4 :0409C0000056951335 :0409C100953A05411D :0409C20040EFC0360C -:0409C300468229003F +:0409C30046822DA09B :0409C40000024737AF :0409C5003C87260342 :0409C60097B3478517 :0409C7008FD100D7F5 :0409C8003CF72423B1 :0409C90067E9B75DC6 -:0409CA00A417C78324 +:0409CA00A897C783A0 :0409CB001151E3B52E :0409CC00C222C40679 :0409CD00C909C0266E @@ -2513,42 +2513,42 @@ :0409D0000027E79382 :0409D1003CF72023AC :0409D200859364E5C0 -:0409D3004641214434 +:0409D300464125C4B0 :0409D40000024537A1 -:0409D500246040EF6B +:0409D500290040EFC6 :0409D60000024437A0 :0409D700859367E5B8 -:0409D800051322875A +:0409D80005132707D5 :0409D900464102048D -:0409DA00232040EFA7 +:0409DA0027C040EF03 :0409DB002623470D7B :0409DC0022233C0492 :0409DD0024233CE4AF :0409DE0044123C047F :0409DF00851340A29A -:0409E00044822144E8 +:0409E000448225C464 :0409E100859367E5AE -:0409E2000131228736 +:0409E20001312707B1 :0409E300DEFFF06FD4 :0409E40001138082F9 :0409E500C922F681AC :0409E6000613646927 :0409E700458102C084 -:0409E8009C04051353 +:0409E800A0840513CF :0409E900CB06C7264C :0409EA00E5BFD0EFA6 :0409EB000613676523 -:0409EC00425C1487CE +:0409EC00425C190749 :0409ED00A0EEC6B7FB :0409EE00B006869336 :0409EF0002F6D5B384 :0409F00001064603B3 -:0409F1009C040493CB -:0409F200148707134C +:0409F100A084049347 +:0409F20019070713C7 :0409F3004318C23AA9 :0409F40000E49B235D :0409F50000B498238F -:0409F6009C040593C5 +:0409F600A084059341 :0409F7003593C42E42 :0409F800058500165B :0409F90002B787B307 @@ -2565,21 +2565,21 @@ :040A040000D48E2369 :040A0500002796939D :040A0600879367E18A -:040A070097B6B607E1 +:040A070097B6BA875D :040A08000793439479 :040A0900C219069078 :040A0A000700079347 :040A0B006565665D5A -:040A0C00BF06061308 +:040A0C00C386061384 :040A0D00051345C5C3 -:040A0E0030EF21455F -:040A0F0047A275C0C5 +:040A0E0030EF25C5DB +:040A0F0047A27A6020 :040A100006934762A0 :040A1100D6033E804A :040A1200079301073E :040A130075B3064071 :040A1400656502D73B -:040A1500228505131E +:040A15002705051399 :040A160084936489D8 :040A170077337104BC :040A180057B302F6D8 @@ -2588,15 +2588,15 @@ :040A1B0002E5D733E6 :040A1C0056B345C5C3 :040A1D00665D02D63A -:040A1E00BFC6061336 -:040A1F0071A030EFA3 +:040A1E00C4460613B1 +:040A1F00764030EFFE :040A200035554505FE :040A2100C4BE479177 :040A220003A3479251 :040A23006661040103 :040A240001E7C7031C :040A2500061347A1CC -:040A260097B3D406A8 +:040A260097B3D88624 :040A2700E79300E76A :040A2800C6BE0087BF :040A29000593479258 @@ -2644,8 +2644,8 @@ :040A530047A2DA3E9E :040A54000107D7833C :040A550067E5DC3E37 -:040A56002A07869352 -:040A57002A07879350 +:040A56002E878693CE +:040A57002E878793CC :040A5800F793CA3E08 :040A5900DE3E0FD39B :040A5A000246C50388 @@ -2691,7 +2691,7 @@ :040A8200509001840B :040A830000B4C303F5 :040A84000793D63EC0 -:040A8500CF989C0565 +:040A8500CF98A085E1 :040A860001C4D703CD :040A870000D79923D8 :040A88009E23D39046 @@ -2700,7 +2700,7 @@ :040A8B0085A600C478 :040A8C0000E78A23D2 :040A8D000214C70385 -:040A8E009C050513AB +:040A8E00A085051327 :040A8F00006785A3D4 :040A9000C703D83A86 :040A9100C09E0244BD @@ -2708,19 +2708,19 @@ :040A930044D002E762 :040A9400DA1ADC3A54 :040A95004629C7D057 -:040A9600743030EF99 +:040A960078D030EFF5 :040A970005936569F5 -:040A980006139C05A0 +:040A98000613A0851C :040A9900051302C07F -:040A9A00D0EF9EC437 +:040A9A00D0EFA344B2 :040A9B000793B5DF29 -:040A9C0085A39EC4CC +:040A9C0085A3A34447 :040A9D0067E90007FE :040A9E004581464DFB -:040A9F009AC785135A +:040A9F009F478513D5 :040AA000B83FD0EF9C :040AA100829367E9EC -:040AA20054849AC717 +:040AA20054849F4792 :040AA300460557921B :040AA4008CFDC622DD :040AA50000C289A35F @@ -2728,7 +2728,7 @@ :040AA70053520400A2 :040AA80056F2576249 :040AA90084134386E9 -:040AAA00EB639AC799 +:040AAA00EB639F4714 :040AAB0047150EB429 :040AAC0000E400A3BF :040AAD0010B493638B @@ -2763,10 +2763,10 @@ :040ACA0002C6869347 :040ACB0002C6061346 :040ACC00E2F31CE352 -:040ACD0006D00793B5 +:040ACD000810079373 :040ACE00EAB7D8E3C8 -:040ACF008FA367E9A1 -:040AD00040DA9A0767 +:040ACF0083A367E9AD +:040AD00040DAA00761 :040AD10044BA444A95 :040AD2000981011382 :040AD3000713808203 @@ -2823,10 +2823,10 @@ :040B06004609029505 :040B070000C400A383 :040B08009863662167 -:040B090047190CC4B8 +:040B0900471D0CC4B4 :040B0A0000E40123DF :040B0B0000E40023DF -:040B0C00459D460DB0 +:040B0C0045A1460DAC :040B0D00459DA85109 :040B0E000095F463F7 :040B0F0000C400A37B @@ -2838,12 +2838,12 @@ :040B150047110A96E4 :040B1600EEE492E394 :040B1700871367E9F0 -:040B180086139EC7DB -:040B190056039EC71A +:040B18008613A34756 +:040B19005603A34795 :040B1A0005A30166C8 :040B1B005703006715 :040B1C0087930107B3 -:040B1D00C3039EC7A9 +:040B1D00C303A34724 :040B1E00073301E7B1 :040B1F00061302C7F0 :040B200085B706404F @@ -2865,7 +2865,7 @@ :040B30000FF6761333 :040B31000FF5F59334 :040B3200851347B22E -:040B3300D0EF9EC79A +:040B3300D0EFA34715 :040B34000703B33FC1 :040B3500068300042F :040B3600070500149B @@ -2888,7 +2888,7 @@ :040B4700761300E43D :040B480045890FF6D6 :040B4900851347B217 -:040B4A00D0EF9EC783 +:040B4A00D0EFA347FE :040B4B000693AD7FE1 :040B4C00471140000D :040B4D0018D48963CC @@ -2960,7 +2960,7 @@ :040B8F00CF9947F2C1 :040B9000460567E9C6 :040B910085134589FA -:040B9200D0EF9C07FD +:040B9200D0EFA08779 :040B930046039B7FFB :040B9400458900147B :040B950076130605C8 @@ -2973,5598 +2973,5598 @@ :040B9C0000E414233A :040B9D00D633470DF7 :040B9E0067E902E71A -:040B9F009EC78593D5 +:040B9F00A347859350 :040BA00000C58E23DB :040BA100D3B3563242 :040BA200563302E3E1 :040BA300D6B302E6DD :040BA4009C2302E6A6 :040BA500861300C5EE -:040BA60087139EC74C -:040BA70016239EC7AC +:040BA6008713A347C7 +:040BA7001623A34727 :040BA80087930076B9 -:040BA90046039EC79A +:040BA9004603A34715 :040BAA008A23001486 :040BAB0047B2000746 :040BAC0076130605B1 :040BAD0045910FF669 -:040BAE009EC7851346 +:040BAE00A3478513C1 :040BAF0000D719232F :040BB000941FD0EFCF :040BB10009A347113C :040BB200073700E41D :040BB3000713021012 :040BB4008F6508073A -:040BB50020070C63A6 +:040BB50024070A63A4 :040BB6004703479218 :040BB700C6830004ED :040BB8008F1502771C :040BB90000E4002331 -:040BBA009E636709C6 -:040BBB00470920E4E2 -:040BBC0000E400232E -:040BBD00070367E9DA -:040BBE008693001406 -:040BBF0047B29C0796 -:040BC0000705665D62 -:040BC100C146061310 -:040BC200851345ADA5 -:040BC30030EF9EC7AA -:040BC400570308804B -:040BC500EF190084A0 -:040BC600C68347A2F9 -:040BC700D703021737 -:040BC800068600C7D6 -:040BC90006838F15FB -:040BCA000685000498 -:040BCB0002D7073313 -:040BCC0000E414230A -:040BCD0000A4560327 -:040BCE00879367E9B9 -:040BCF00D7039EC7E3 -:040BD000068300E7B1 -:040BD100EE09001415 -:040BD200861347A29D -:040BD300C5830016C0 -:040BD40006330227BB -:040BD500060602B658 -:040BD60040C70633DB -:040BD70000C415231E -:040BD800879367E9AF -:040BD900D5839EC75B -:040BDA00560300C7F7 -:040BDB004509008444 -:040BDC008D9147A20E -:040BDD0002A5C5B3F5 -:040BDE0000B412232A -:040BDF000217C583B1 -:040BE00000B4072333 -:040BE10000A4558394 -:040BE20040B705B360 -:040BE30002A5C5B3EF -:040BE40000B4132323 -:040BE5009F63459134 -:040BE60005B70AB68F -:040BE7009D630020EA -:040BE80047C200B44C -:040BE90002C00593AE -:040BEA0002B785B316 -:040BEB0095BE47D29A -:040BEC000245C58376 -:040BED000AA5806372 -:040BEE004585479260 -:040BEF000237C50301 -:040BF00008B50A63D7 -:040BF1007800031372 -:040BF20040C305B344 -:040BF300C5B34289BB -:040BF40067E9025556 -:040BF5009EC78613FE -:040BF600012656037B -:040BF7009EC784937E -:040BF8008806061352 -:040BF90000B412230F -:040BFA0045B3459129 -:040BFB00463302B6C5 -:040BFC008E230256EC -:040BFD00859300B428 -:040BFE009C239EC7CF -:040BFF00861300C594 -:040C000016239EC752 -:040C0100E53900666B -:040C02000713462965 -:040C03004733BC8730 -:040C0400461502C7C8 -:040C0500876107629A -:040C060000E407A35C -:040C070002C70733E6 -:040C08009EC78613EA -:040C090001A65603E7 -:040C0A008613973284 -:040C0B001D239EC740 -:040C0C00879300E6E4 -:040C0D0007139EC764 -:040C0E009723438065 -:040C0F0047A200E711 -:040C1000C7034629A7 -:040C1100063302277D -:040C1200071302C7FB -:040C13008F1143807A -:040C140000E41523C0 -:040C1500871367E9F1 -:040C160047039EC72B -:040C17008B11023704 -:040C18004741CF6120 -:040C19009EC78613D9 -:040C1A0002E600A34B -:040C1B00879347254F -:040C1C0081239EC7CB -:040C1D00470502E79E -:040C1E00082367E957 -:040C1F00871300E453 -:040C200047039EC721 -:040C2100162300B7DF -:040C2200EB010004DE -:040C2300879347125A -:040C240047039EC71D -:040C250085A302871A -:040C2600479200E70A -:040C27000101048340 -:040C280046036769AF -:040C290088A3002478 -:040C2A0047C2009726 -:040C2B000016059317 -:040C2C000C2345153B -:040C2D0047A2A6F73D -:040C2E0042894301B3 -:040C2F000147C703AF -:040C300002B70733CD -:040C310002A70733DC -:040C32000640051360 -:040C33000327071379 -:040C340002A7473399 -:040C35000127D503BB -:040C360002B505B34B -:040C3700017D85377F -:040C380083F5051328 -:040C39000583972E6A -:040C3A00A89D01343C -:040C3B0040004737F7 -:040C3C000BE38F65D2 -:040C3D004792DE07F5 -:040C3E000277C7036F -:040C3F000017371350 -:040C4000002307097D -:040C4100073700E48D -:040C42008A630020A1 -:040C4300073700E48B -:040C4400E1E30400E4 -:040C45004705DEE49D -:040C460000E408A31B -:040C470047C2BBE104 -:040C480002C00713CC -:040C490002E7873304 -:040C4A00973E47D2B8 -:040C4B000247468393 -:040C4C0091E34709E0 -:040C4D00B7C5DCE665 -:040C4E00471167E9FA -:040C4F009EC78613A3 -:040C500002E600A315 -:040C5100B725470D6F -:040C52000015F39303 -:040C530002039063A5 -:040C54000255C5B3CD -:040C55000605060684 -:040C56007613070604 -:040C570043050FF64C -:040C580007B347E2B5 -:040C5900C83E02F798 -:040C5A00FEF570E350 -:040C5B000003066329 -:040C5C0000B409A334 -:040C5D0000C40123AB -:040C5E004683E69152 -:040C5F00C299002412 -:040C600000D4092390 -:040C61000134060351 -:040C620004C0566311 -:040C630006B347C2CB -:040C6400460302F64B -:040C6500479201149D -:040C660006B30605C6 -:040C6700CBD402D612 -:040C680002C006932D -:040C690002D487B377 -:040C6A0097B646D221 -:040C6B000237C68303 -:040C6C00879367E91A -:040C6D00F613A5F7DE -:040C6E00C63E004638 -:040C6F003C060F63CD -:040C7000C60366E968 -:040C71004689A5E625 -:040C720006C6F5635A -:040C7300802346A1F3 -:040C7400A89500D768 -:040C750047C2C61993 -:040C76008E91468590 -:040C770002D7D6B317 -:040C780067E9BF4D1C -:040C79008713C63ADD -:040C7A0055039EC7B9 -:040C7B005683016734 -:040C7C008793012732 -:040C7D00C6039EC745 -:040C7E0086B301E751 -:040C7F00550302A671 -:040C8000B5B3010700 -:040C8100853302A60F -:040C8200D0EF02A607 -:040C830005F6C20FA1 -:040C84006689810DEF -:040C850086938D4D78 -:040C860096AA86A6FE -:040C87000513650DDF -:040C8800D6B30D458D -:040C8900061302A6A6 -:040C8A0047323E802F -:040C8B0002C686B364 -:040C8C0047B2B78D27 -:040C8D0080234691E9 -:040C8E0047A200D7A2 -:040C8F0001C7C68350 -:040C90008E23068623 -:040C9100479200D7AF -:040C920015FD65C126 -:040C930047B247D04D -:040C940000B774B37E -:040C9500C683555964 -:040C960017930007A9 -:040C970083C101070D -:040C980077B3C43E2C -:040C990045F900B663 -:040C9A0002B485B368 -:040C9B00C5B3CC3ED3 -:040C9C00578202F584 -:040C9D00C5B3059541 -:040C9E00F51302A5A3 -:040C9F0047920FF772 -:040CA00002E507332F -:040CA10002C75733FC -:040CA200C70395BA35 -:040CA300F59302972C -:040CA40007130FF52E -:040CA5000762F807E3 -:040CA60095BA876113 -:040CA700471105C22A -:040CA8009E6381C105 -:040CA90085932EE61B -:040CAA0005C2032557 -:040CAB00452185C199 -:040CAC000200061329 -:040CAD0000C5033348 -:040CAE002E05C963E3 -:040CAF0000C58733C2 -:040CB0000513972A67 -:040CB10056630FF087 -:040CB200059300E5C1 -:040CB30085B30FF006 -:040CB400F71340658D -:040CB50085BA0FF5F8 -:040CB600D0364515DA -:040CB700CE3AD41A43 -:040CB800D0EFD23275 -:040CB9005612F73F99 -:040CBA0085B24519A1 -:040CBB00F69FD0EFE1 -:040CBC000800059394 -:040CBD0002600513B9 -:040CBE00F5DFD0EF9F -:040CBF005322477203 -:040CC0000310051305 -:040CC100006705B310 -:040CC2000FF5F593A2 -:040CC300F49FD0EFDB -:040CC40047215682EC -:040CC500836345B54B -:040CC60045A500E65A -:040CC70002200513EF -:040CC800F35FD0EF17 -:040CC900D0EF451112 -:040CCA007593EE5FD1 -:040CCB0045110F853B -:040CCC00F25FD0EF14 -:040CCD00450547A2F0 -:040CCE000047D59373 -:040CCF000FF5F59395 -:040CD000F15FD0EF11 -:040CD10000449593B3 -:040CD2000F05F59382 -:040CD300D0EF450910 -:040CD40047E2F07F84 -:040CD500019C073740 -:040CD600CC0707132D -:040CD70002F7573396 -:040CD800022556B7E4 -:040CD9000FF68693F9 -:040CDA000733458116 -:040CDB00F063029729 -:040CDC0026B702E64F -:040CDD008693042CCA -:040CDE004585D7F67B -:040CDF0000E6F963CF -:040CE000080BF5B751 -:040CE100FBF5859307 -:040CE20000E5B5B3C1 -:040CE30067610589B7 -:040CE400500707139B -:040CE5004703972EFC -:040CE600069300076A -:040CE70047A202809E -:040CE80002D70733F5 -:040CE9000017D69387 -:040CEA0044B3973642 -:040CEB00469D029789 -:040CEC000FF4F4937A -:040CED000FF4F713F6 -:040CEE000096F36316 -:040CEF00070E471D88 -:040CF0008DD9059AFB -:040CF1000F85F593E3 -:040CF200D0EF450DED -:040CF3004792E8BF7D -:040CF4000497C50399 -:040CF50091EFE0EFAC -:040CF60047054792D5 -:040CF7000487C503A6 -:040CF80000A7786376 -:040CF900C50347B236 -:040CFA001571000769 -:040CFB000015351398 -:040CFC000533476114 -:040CFD00676102E544 -:040CFE00B8C7071359 -:040CFF00D0EF953A63 -:040D00004792F6FF21 -:040D010002C0069393 -:040D0200870345819D -:040D030047D20117BB -:040D040002D70733D8 -:040D05004503973ECD -:040D0600D0EF020721 -:040D07000703E7DF18 -:040D080086370134F5 -:040D090067E9000294 -:040D0A000027269305 -:040D0B008493421873 -:040D0C009B79A587A3 -:040D0D00C2188F5524 -:040D0E0001340503A4 -:040D0F005C634705D5 -:040D1000470916A7D2 -:040D110016E515636B -:040D1200F73747C2A6 -:040D1300071302FAC6 -:040D14007E630807EB -:040D1500451914F771 -:040D1600D1EFE0EF4A -:040D17007713409876 -:040D1800C098DFF7A9 -:040D190067E94094B2 -:040D1A000002173785 -:040D1B000ED72023AC -:040D1C009EC78513D6 -:040D1D009EC78713D3 -:040D1E0000E7558312 -:040D1F0001E746039F -:040D20000225450360 -:040D210000C75703AD -:040D22009EC787934E -:040D23002BC0069348 -:040D240002A70733E8 -:040D25001900051399 -:040D260002A70733E6 -:040D27000217C503E7 -:040D280002D5D6B367 -:040D290000C595B3B9 -:040D2A0002A585B3E6 -:040D2B004733450500 -:040D2C00059302B772 -:040D2D000713064062 -:040D2E00473303271D -:040D2F0055F902B7B9 -:040D30000FF777132F -:040D31000EE56D63FB -:040D320007339636B7 -:040D3300536300B650 -:040D3400470100076C -:040D3500000246373B -:040D36003806061362 -:040D37007579422C5C -:040D38007FF505132B -:040D39008DE98B0DA8 -:040D3A008F4D072EA4 -:040D3B004238C23840 -:040D3C0015FD75E943 -:040D3D008F6D8A8D9F -:040D3E008ED906B68E -:040D3F00D0EFC234FB -:040D40004792C14FC6 -:040D41004483470997 -:040D4200C68301144F -:040D43004781059748 -:040D440000E68763DB -:040D450001244783BB -:040D4600B7938F854B -:040D470045810017CB -:040D4800C43E453D23 -:040D4900861FD0EF42 -:040D4A0005900513F8 -:040D4B0080FFD0EF66 -:040D4C00759347A2B2 -:040D4D00E79902F52B -:040D4E008DC5049AB1 -:040D4F000FF5F59314 -:040D50000105E59321 -:040D510005900513F1 -:040D520083DFD0EF7C -:040D530006B7479206 -:040D5400869305116C -:040D55004BD0F40685 -:040D5600EB634705FF -:040D570076B700C6A5 -:040D58008693047802 -:040D590047018BF6CD -:040D5A0000C6F46378 -:040D5B000137C70392 -:040D5C00C683479271 -:040D5D00C58305C77E -:040D5E00E689059786 -:040D5F000137C6830F -:040D600004E68463BE -:040D6100852E479202 -:040D620000E789A37A -:040D630040DA444AE4 -:040D6400011344BA79 -:040D6500E06F0981B1 -:040D660047B2856F9C -:040D67000599B90D24 -:040D680085C105C27A -:040D690046414509B1 -:040D6A004581B331DB -:040D6B00157DB31D22 -:040D6C000FF57513F7 -:040D6D004098B555A0 -:040D6E0020076713E0 -:040D6F000585B55DE4 -:040D7000830505E210 -:040D7100BDFD85E15E -:040D72008C6347093E -:040D730067E9D6E571 -:040D74009EC78793FC -:040D750000B7C503FB -:040D76004589C19159 -:040D7700468367E563 -:040D78008793012438 -:040D7900444A14874D -:040D7A0044BA40DA5D -:040D7B0005A7C603FF -:040D7C0009810113D5 -:040D7D00AA5FD06F2A -:040D7E00A70367E977 -:040D7F0067E5A487F9 -:040D80001DC7879371 -:040D8100464546ADF0 -:040D82000167D583AD -:040D830004E59F6381 -:040D84000FF6F693DD -:040D85000A63E11903 -:040D86001121280708 -:040D870064E9C6262F -:040D8800A424C503D7 -:040D89006465C822B3 -:040D8A00F7040793D0 -:040D8B000035161306 -:040D8C00CA0697B24A -:040D8D00C783438C49 -:040D8E004751004782 -:040D8F000045A30375 -:040D900002E78733BC -:040D9100A42484937F -:040D9200F70404134B -:040D93004339971A2F -:040D940002D36363C0 -:040D95006363433120 -:040D960047AD04D38E -:040D97000CF6806373 -:040D98000866866300 -:040D99004701478146 -:040D9A000685A0F139 -:040D9B009DE3078944 -:040D9C004681F8C6CE -:040D9D004783B7458C -:040D9E00460D0047B7 -:040D9F0016C79B6375 -:040DA00045BD4750B6 -:040DA100478342182A -:040DA2009C63000747 -:040DA300C7811EB630 -:040DA400F79317FDAD -:040DA50000230FF721 -:040DA600B7E900F7B2 -:040DA70000474503B9 -:040DA8001575470571 -:040DA9000FF57513BA -:040DAA0000A76E63CD -:040DAB0000024537C6 -:040DAC003C8523035C -:040DAD0000F7173301 -:040DAE00FFF74713F1 -:040DAF00006777332F -:040DB0003CE52423D7 -:040DB1009C634735C3 -:040DB200E39900E6DB -:040DB3000005C783ED -:040DB400F79317FD9D -:040DB50096220FF77C -:040DB60000F602231E -:040DB700C703B76156 -:040DB80007850005A6 -:040DB900E7B39622E4 -:040DBA00B7FD02E798 -:040DBB00157DC519C4 -:040DBC0000A48023EC -:040DBD00D7EFE0EF9D -:040DBE0067E9B7B575 -:040DBF00A40780A362 -:040DC00000024737AF -:040DC1003C07278341 -:040DC2009BED45015F -:040DC3003CF72023B6 -:040DC40040D2444293 -:040DC500016144B2D2 -:040DC60080EFF06F5B -:040DC7000047478317 -:040DC8008163468D70 -:040DC90046910AD76E -:040DCA00F2D79EE3DB -:040DCB009782471CA8 -:040DCC00470587AAA6 -:040DCD000004C683D5 -:040DCE00656545D141 -:040DCF0096A2068E54 -:040DD000C683429004 -:040DD10005130046C0 -:040DD20042501B452B -:040DD30002B686B32B -:040DD400C23AC43E1D -:040DD500428C96B204 -:040DD600C036464598 -:040DD70023E030EFF6 -:040DD80047A24712D5 -:040DD90085BA46820F -:040DDA008536863E96 -:040DDB00C8AFE0EFCE -:040DDC000004C783C5 -:040DDD0044B765E5CD -:040DDE00078E00027A -:040DDF004503943EF6 -:040DE0004641004444 -:040DE1001C85859355 -:040DE20005410516AC -:040DE30030EF952632 -:040DE400460320C0E2 -:040DE50047850044FA -:040DE60000C797B3F8 -:040DE7003CF4A6230F -:040DE800EB094712BA -:040DE90046114682E7 -:040DEA000046C703F5 -:040DEB000EC71563B7 -:040DEC00C76D46D8B1 -:040DED000002473782 -:040DEE003C87268395 -:040DEF0024238FD555 -:040DF000A8E93CF73B -:040DF100C7814B1C4F -:040DF2009782C03AEA -:040DF300C783470269 -:040DF400471400049C -:040DF50097130785C4 -:040DF6000633003789 -:040DF700420C00E4C6 -:040DF80000D584633B -:040DF90000060223CB -:040DFA00C314972265 -:040DFB0000F480235D -:040DFC006963B7115F -:040DFD00460902F6AB -:040DFE0004C788633B -:040DFF00433D470C1D -:040E000000C74503DF -:040E010000D74603CD -:040E02000005C7839D -:040E030000E74703BA -:040E0400026691638E -:040E050000F67C6314 -:040E0600F71317FDCA -:040E070080230FF73E -:040E0800B58900E5C3 -:040E09008DE346111E -:040E0A00BD2DE4C74F -:040E0B008732F96DC4 -:040E0C00F863B7FDD3 -:040E0D00078500E76E -:040E0E000FF7F613D1 -:040E0F0000C5802377 -:040E1000FD6DB515AA -:040E1100BFDD863A81 -:040E1200453D470C07 -:040E130000C75603BB -:040E14000005D7837B -:040E150000E7570398 -:040E160000A69E6331 -:040E170000F6776307 -:040E1800971317FD18 -:040E19008341010709 -:040E1A00C211A01948 -:040E1B009023873267 -:040E1C00BBCD00E565 -:040E1D0000E7F46393 -:040E1E00B7E50785A8 -:040E1F004701FA6D20 -:040E20004683B7FD51 -:040E2100F56300462F -:040E2200078500D769 -:040E23000FF7F6933C -:040E240000D70023D0 -:040E2500460DBBC1FA -:040E2600F0C70CE322 -:040E270040D244422F -:040E2800450144B28A -:040E2900E06F016114 -:040E2A008082DD5F86 -:040E2B0085AA862EE0 -:040E2C000002153774 -:040E2D0002050513A2 -:040E2E00C65FC06F6C -:040E2F0017931111F3 -:040E3000CA2201854C -:040E3100CC06C826FD -:040E3200842A87E1A6 -:040E3300DD6384AE49 -:040E340045810007ED -:040E3500077005132A -:040E360001A337D508 -:040E3700478500A14A -:040E38000AA7E363BF -:040E390007F4741333 -:040E3A00812FD0EF45 -:040E3B004501459197 -:040E3C0067693F752E -:040E3D00A58707136B -:040E3E00F793431CC7 -:040E3F00C31CF7F7E2 -:040E4000000217375E -:040E41000EF7202365 -:040E42000184D793BD -:040E430000F102A315 -:040E44000104D7933B -:040E450000F1032392 -:040E46000084D793BA -:040E470000F103A310 -:040E48000081022300 -:040E490000910423ED -:040E4A000400071386 -:040E4B0009500793B0 -:040E4C0000E4096352 -:040E4D000480071303 -:040E4E00146347855D -:040E4F00079300E421 -:040E500015370870DA -:040E5100461900023C -:040E52000513004C38 -:040E530004A30205ED -:040E5400C0EF00F1FA -:040E55004515BF7F01 -:040E5600E22FE0EFB8 -:040E57004585842A1F -:040E5800003105134D -:040E5900F49FF0EF23 -:040E5A0000310783D9 -:040E5B000007D76352 -:040E5C00E34FE0EF91 -:040E5D0004E34785DE -:040E5E00E401FEF5B8 -:040E5F00A82367E974 -:040E60004503A4079B -:040E610040E200313A -:040E620044C24452F0 -:040E63008082017117 -:040E6400879367E524 -:040E6500479823C7C0 -:040E6600C62211315E -:040E6700C426C806CF -:040E68006B63440D67 -:040E6900C7830CB778 -:040E6A0084AA00470F -:040E6B00E3918BA1E3 -:040E6C00051305A6BF -:040E6D00F0EF05801D -:040E6E00842AF07F63 -:040E6F001537ED4DF9 -:040E700057F900022C -:040E7100020505135E -:040E72000593460599 -:040E730003A3007164 -:040E7400C0EF00F1DA -:040E75001737B77FF5 -:040E760047810002AE -:040E77000207051356 -:040E780000F486B349 -:040E79000006C68326 -:040E7A000593460591 -:040E7B00C03E007104 -:040E7C0000D103A3FB -:040E7D00B55FC0EFAE -:040E7E001737478259 -:040E7F0006930002D4 -:040E800007852000C2 -:040E8100020705134C -:040E8200FCD79CE31A -:040E8300460554FDCF -:040E84000071059361 -:040E8500009103A332 -:040E8600B31FC0EFE7 -:040E87000002173717 -:040E88000207051345 -:040E89000593460582 -:040E8A0003A300714D -:040E8B00C0EF009123 -:040E8C004585B1BF28 -:040E8D0000710513D8 -:040E8E00E75FF0EF3B -:040E8F000071478324 -:040E90008BFD47157A -:040E910004E790637F -:040E92000FA0051395 -:040E9300D2EFE0EFCB -:040E94000513458578 -:040E9500F0EF007109 -:040E96004483E57F2D -:040E9700E491007171 -:040E9800D44FE0EF64 -:040E990005E34785A1 -:040E9A0067E9FEF511 -:040E9B00A407A823DD -:040E9C004411E48198 -:040E9D004409A01153 -:040E9E00852240C2A7 -:040E9F0044A24432F3 -:040EA00080820151FA -:040EA100BFCD441568 -:040EA200879367E5E6 -:040EA300479823C782 -:040EA400C622113120 -:040EA500C426C80691 -:040EA600440DC02A0D -:040EA70000B76F63BE -:040EA8000047C783B5 -:040EA9008BA184B2E3 -:040EAA0005A6E39125 -:040EAB000510051316 -:040EAC00E0DFF0EFA4 -:040EAD00C901842AC9 -:040EAE0040C24409F1 -:040EAF004432852222 -:040EB000015144A206 -:040EB1000513808223 -:040EB200E0EF064027 -:040EB3004585CB0F97 -:040EB40000710513B1 -:040EB500DD9FF0EFDE -:040EB600007147037D -:040EB7000FF007939E -:040EB80000F71763C5 -:040EB900CC0FE0EF8B -:040EBA0002E3478583 -:040EBB004703FEF5F6 -:040EBC0067E9007171 -:040EBD00A407A823BB -:040EBE000FE00793A7 -:040EBF00FAF71EE33D -:040EC00085A64502BC -:040EC100DA9FF0EFD5 -:040EC2002020059354 -:040EC30005C28D8552 -:040EC400450181C1A2 -:040EC500D99FF0EFD2 -:040EC6007139B74D7A -:040EC700C42A65DDF7 -:040EC800859346299F -:040EC9000848C1C54F -:040ECA00DC22DE0642 -:040ECB00C0EFDA2674 -:040ECC00478DA99F06 -:040ECD0027B7C03E45 -:040ECE0014370006CF -:040ECF0004B7000262 -:040ED0008613019CE8 -:040ED1008593A80756 -:040ED2000513CC0434 -:040ED300C0EF020466 -:040ED400C0EF94DFF8 -:040ED50027B7DA9FC2 -:040ED6008613000679 -:040ED7008593A80750 -:040ED8000513CC042E -:040ED900C0EF020460 -:040EDA000513935F0A -:040EDB00462902049E -:040EDC00C0EF084C0F -:040EDD0064E59D7FAC -:040EDE000513458132 -:040EDF00AE2304003A -:040EE000F0EF220409 -:040EE1004785D3BFAF -:040EE2008493842A47 -:040EE300176323C4AA -:040EE40005931CF561 -:040EE50005131AA037 -:040EE600F0EF0480A5 -:040EE700C22AD23F0A -:040EE80014851D63ED -:040EE900080845911F -:040EEA00D05FF0EFF6 -:040EEB000121470397 -:040EEC0015634792B1 -:040EED0047031AF7A6 -:040EEE000793013134 -:040EEF001F630AA0D3 -:040EF000051318F7D7 -:040EF100E0EF3E8070 -:040EF200E0EFBB4F23 -:040EF3004785BDAFC3 -:040EF40000F5196389 -:040EF500400005B7FD -:040EF6000E90051342 -:040EF700CE1FF0EF2B -:040EF800E0EFF56DC5 -:040EF9004785BC2F3E -:040EFA000EF5056389 -:040EFB0067E944015E -:040EFC00A407A8237C -:040EFD0017FD478214 -:040EFE000FF7F79360 -:040EFF008163C03E0D -:040F0000D8151607E3 -:040F0100458147855A -:040F0200049005133F -:040F03008223C09CE9 -:040F0400F0EF008486 -:040F05004781CABF97 -:040F06004515E55157 -:040F0700B5EFE0EF73 -:040F08004585842A6D -:040F090000F10513DB -:040F0A00C85FF0EFDD -:040F0B0000F14703A7 -:040F0C000FF0079348 -:040F0D0000F717636F -:040F0E00B6CFE0EF8B -:040F0F0002E347852D -:040F1000E401FEF505 -:040F1100A82367E9C1 -:040F12004683A40767 -:040F1300071300F1CF -:040F140047810FE022 -:040F150004E69863F3 -:040F1600100845C9B1 -:040F1700C51FF0EF13 -:040F18000044C703C7 -:040F190000277693A4 -:040F1A0010068663D4 -:040F1B000261470325 -:040F1C000271478394 -:040F1D0002A14603E4 -:040F1E0007228B0D0E -:040F1F0047838F5D18 -:040F2000070A028139 -:040F210002514683B0 -:040F22008FD9839947 -:040F230002914703ED -:040F24008ABD821DE3 -:040F25008B19070617 -:040F260096BA8F5197 -:040F270016E507853F -:040F280000D797B3A4 -:040F2900002936372E -:040F2A00019C05B76A -:040F2B000002153774 -:040F2C00061317FD94 -:040F2D0085932E0674 -:040F2E000513CC05D6 -:040F2F00C49C020557 -:040F3000FDAFC0EF62 -:040F3100061345223C -:040F320045812000D5 -:040F3300DBDFF0EF21 -:040F34004581A859F2 -:040F350007A00513F9 -:040F3600BE5FF0EFBB -:040F3700F00518E3C6 -:040F380008084591CF -:040F3900BC9FF0EF7A -:040F3A0001014783E7 -:040F3B00F7934431B3 -:040F3C009EE3040725 -:040F3D004411EE0766 -:040F3E004581BDDD4F -:040F3F000E900513F8 -:040F4000BBDFF0EF34 -:040F410004100793FE -:040F420000A465633F -:040F430007934409C3 -:040F440005130E90F3 -:040F4500C23E0FA0F9 -:040F4600A62FE0EF03 -:040F4700A88FE0EFA0 -:040F4800176347855F -:040F4900451200F558 -:040F4A00F0EF4581FE -:040F4B00F57DB93F38 -:040F4C00A74FE0EFDC -:040F4D004401E11169 -:040F4E00458167E989 -:040F4F0007B00513CF -:040F5000A407A82327 -:040F5100B79FF0EF67 -:040F52004401C11184 -:040F530020000593E2 -:040F5400050005137C -:040F5500B69FF0EF64 -:040F5600E8050EE3B9 -:040F5700BD5944013B -:040F580011E3450557 -:040F590050F2EA0464 -:040F5A0054D25462B7 -:040F5B0040A005337A -:040F5C00808261210D -:040F5D0047818B112C -:040F5E00F20701E3B2 -:040F5F000281478341 -:040F600002914703B0 -:040F61008FD907A27B -:040F6200BF0147453F -:040F6300DD41011358 -:040F640020100793BF -:040F65001008CE3E64 -:040F6600301F17B76A -:040F67002291202390 -:040F6800221124230B -:040F6900228122239C -:040F6A00F0EFCC3E9A -:040F6B0084AAD71F5E -:040F6C00B4BFC0EF5F -:040F6D0004B3C4B154 -:040F6E00C0EF409000 -:040F6F004705B41F5F -:040F700036E48C6374 -:040F71003697456307 -:040F72008B6357290D -:040F73008D6336E470 -:040F740065DD3604FD -:040F7500C345859358 -:040F7600464567E5A0 -:040F77001C8785133B -:040F78003BB020EF7B -:040F7900C4814505E5 -:040F7A0005136539BD -:040F7B002083EAD510 -:040F7C0024032281A7 -:040F7D002483224166 -:040F7E000113220138 -:040F7F00808222C189 -:040F8000051365618F -:040F8100C0EF6A054E -:040F82004481AF8F68 -:040F8300141867DDFA -:040F8400009706B319 -:040F8500DF86C583BB -:040F8600A5878613A2 -:040F8700460995B2D0 -:040F880006C48063B8 -:040F89008263460D2C -:040F8A00443706C41E -:040F8B000413000F3C -:040F8C0067E52404ED -:040F8D001C87851325 -:040F8E00C48346458D -:040F8F0020EFDFC6AA -:040F9000450935D00A -:040F9100837FE0EF8B -:040F92008522084C60 -:040F9300A43FD0EFB8 -:040F9400DD5567DDE3 -:040F950006936465F6 -:040F960047521DC4DD -:040F97000006D60377 -:040F98001DC404135D -:040F990002E60E63FB -:040F9A000026D60354 -:040F9B0002C7146312 -:040F9C00A8BFC0EF3B -:040F9D000690049323 -:040F9E00859365DDF5 -:040F9F00BFA9C2859F -:040FA000001E843774 -:040FA10048040413E9 -:040FA2009437B76D5C -:040FA300041300042F -:040FA400B7453E040B -:040FA5000186D68368 -:040FA600FCD70CE385 -:040FA700BF85448D31 -:040FA8000513656167 -:040FA900C0EF6A0526 -:040FAA0065DDA58FCD -:040FAB0046456565ED -:040FAC00C6C585939E -:040FAD001B450513C8 -:040FAE002E3020EFD2 -:040FAF0065DD67E5B0 -:040FB000C7858593D9 -:040FB1008513464519 -:040FB20020EF1C8789 -:040FB30045092D10AF -:040FB400FAAFE0EFC1 -:040FB500004C553760 -:040FB6000513084CCB -:040FB700D0EFB405BE -:040FB800D5599B1F4D -:040FB900570347D2C1 -:040FBA0000630004CC -:040FBB0057030CF7D5 -:040FBC009FE300248B -:040FBD00C002F6E791 -:040FBE0065DD67E5A1 -:040FBF001C878513F3 -:040FC000859346458A -:040FC10020EFC885D0 -:040FC2004509295064 -:040FC300F6EFE0EF76 -:040FC40000245703AB -:040FC5001E6347D28E -:040FC600061308F70F -:040FC7004581200040 -:040FC800C0EF10085E -:040FC9004582EE0F60 -:040FCA00F0EF10082C -:040FCB0084AAA67FCF -:040FCC00E80515E33C -:040FCD00043747821C -:040FCE000785001083 -:040FCF006785C03E34 -:040FD0000793C23E83 -:040FD10086A22000D4 -:040FD2000087F4633D -:040FD3002000069361 -:040FD4001010479220 -:040FD5009593C436F6 -:040FD60067E9008740 -:040FD700A3C7A50304 -:040FD800C42FC0EF73 -:040FD900101846A204 -:040FDA00063384AAAC -:040FDB00166300D7C2 -:040FDC0094E318E69C -:040FDD000713E4040E -:040FDE006D631FF030 -:040FDF00101C00875B -:040FE00020000613D4 -:040FE10085338E15B1 -:040FE200458100D76E -:040FE300C0EFC43661 -:040FE40046A2E74FEB -:040FE5001008458229 -:040FE600F0EFC4362E -:040FE70046A29F7F00 -:040FE80016050B637C -:040FE90040A004B36D -:040FEA000793BD09A3 -:040FEB00C03E1400F0 -:040FEC000613B7A190 -:040FED00458120001A -:040FEE00C0EF100838 -:040FEF004401E48F46 -:040FF000100885A2BE -:040FF1009CDFF0EFA2 -:040FF20018E384AAD2 -:040FF3000405DE050E -:040FF400140007934B -:040FF500FEF416E30D -:040FF6000793645D9C -:040FF7008593A584B5 -:040FF800466D040737 -:040FF900C0EF10082D -:040FFA000793DE0F6C -:040FFB008593A584B1 -:040FFC00466905C776 -:040FFD00C0EF00C879 -:040FFE0077EDDD0F9F -:040FFF00A5578793D8 +:040BBA0007136709AD +:040BBB008F6510072B +:040BBC00240708639F +:040BBD0000044703E6 +:040BBE000023177D7C +:040BBF00071300E434 +:040BC0009863100026 +:040BC10067E924E4D8 +:040BC200A3478713AB +:040BC30000C757030D +:040BC400A34786932A +:040BC5000507071306 +:040BC60000E696238C +:040BC700A3478713A6 +:040BC8000187570347 +:040BC900A347879324 +:040BCA00FD87071389 +:040BCB0000E79C2380 +:040BCC00070367E9CB +:040BCD0086930014F7 +:040BCE0047B2A08703 +:040BCF000705665D53 +:040BD000C5C606137D +:040BD100851345AD96 +:040BD20030EFA34716 +:040BD300570309605B +:040BD400EF19008491 +:040BD500C68347A2EA +:040BD600D703021728 +:040BD700068600C7C7 +:040BD80006838F15EC +:040BD9000685000489 +:040BDA0002D7073304 +:040BDB0000E41423FB +:040BDC0000A4560318 +:040BDD00879367E9AA +:040BDE00D703A3474F +:040BDF00068300E7A2 +:040BE000EE09001406 +:040BE100861347A28E +:040BE200C5830016B1 +:040BE30006330227AC +:040BE400060602B649 +:040BE50040C70633CC +:040BE60000C415230F +:040BE700879367E9A0 +:040BE800D583A347C7 +:040BE900560300C7E8 +:040BEA004509008435 +:040BEB008D9147A2FF +:040BEC0002A5C5B3E6 +:040BED0000B412231B +:040BEE000217C583A2 +:040BEF0000B4072324 +:040BF00000A4558385 +:040BF10040B705B351 +:040BF20002A5C5B3E0 +:040BF30000B4132314 +:040BF4009F63459125 +:040BF50005B70AB680 +:040BF6009D630020DB +:040BF70047C200B43D +:040BF80002C005939F +:040BF90002B785B307 +:040BFA0095BE47D28B +:040BFB000245C58367 +:040BFC000AA5806363 +:040BFD004585479251 +:040BFE000237C503F2 +:040BFF0008B50A63C8 +:040C00007800031362 +:040C010040C305B334 +:040C0200C5B34289AB +:040C030067E9025546 +:040C0400A347861369 +:040C0500012656036B +:040C0600A3478493E9 +:040C07008806061342 +:040C080000B41223FF +:040C090045B3459119 +:040C0A00463302B6B5 +:040C0B008E230256DC +:040C0C00859300B418 +:040C0D009C23A3473A +:040C0E00861300C584 +:040C0F001623A347BE +:040C1000E53900665C +:040C11000713462956 +:040C12004733BC8721 +:040C1300461502C7B9 +:040C1400876107628B +:040C150000E407A34D +:040C160002C70733D7 +:040C1700A347861356 +:040C180001A65603D8 +:040C19008613973275 +:040C1A001D23A347AC +:040C1B00879300E6D5 +:040C1C000713A347D0 +:040C1D009723438056 +:040C1E0047A200E702 +:040C1F00C703462998 +:040C2000063302276E +:040C2100071302C7EC +:040C22008F1143806B +:040C230000E41523B1 +:040C2400871367E9E2 +:040C25004703A34797 +:040C26008B110237F5 +:040C27004741C76515 +:040C2800A347861345 +:040C290002E600A33C +:040C2A008793472540 +:040C2B008123A34737 +:040C2C00470502E78F +:040C2D00082367E948 +:040C2E00871300E444 +:040C2F004703A3478D +:040C3000162300B7D0 +:040C3100EB010004CF +:040C3200879347124B +:040C33004703A34789 +:040C340085A302870B +:040C3500479200E7FB +:040C36000101048331 +:040C370046036769A0 +:040C380088A3002469 +:040C390047C2009717 +:040C3A000016059308 +:040C3B000023451538 +:040C3C0047A2ACF728 +:040C3D0042894301A4 +:040C3E000147C703A0 +:040C3F0002B70733BE +:040C400002A70733CD +:040C41000640051351 +:040C4200032707136A +:040C430002A747338A +:040C44000127D503AC +:040C450002B505B33C +:040C4600017D853770 +:040C470083F5051319 +:040C48000583972E5B +:040C4900A059013479 +:040C4A0040004737E8 +:040C4B000DE38F65C1 +:040C4C004792DA07EA +:040C4D000277C70360 +:040C4E000017371341 +:040C4F00B35D070981 +:040C50008F65672124 +:040C5100DA070DE3CE +:040C52000004470350 +:040C530000231779EA +:040C5400073700E47A +:040C55008A6300208E +:040C5600073700E478 +:040C5700E9E30400C9 +:040C58004705DCE48C +:040C590000E408A308 +:040C5A0047C2B3E1F9 +:040C5B0002C00713B9 +:040C5C0002E78733F1 +:040C5D00973E47D2A5 +:040C5E000247468380 +:040C5F0099E34709C5 +:040C6000B7C5DAE654 +:040C6100471167E9E7 +:040C6200A34786130B +:040C630002E600A302 +:040C6400BF21470D58 +:040C65000015F393F0 +:040C66000203906392 +:040C67000255C5B3BA +:040C68000605060671 +:040C690076130706F1 +:040C6A0043050FF639 +:040C6B0007B347E2A2 +:040C6C00C83E02F785 +:040C6D00FEF570E33D +:040C6E000003066316 +:040C6F0000B409A321 +:040C700000C4012398 +:040C71004683E6913F +:040C7200C2990024FF +:040C730000D409237D +:040C7400013406033E +:040C750004C05663FE +:040C760006B347C2B8 +:040C7700460302F638 +:040C7800479201148A +:040C790006B30605B3 +:040C7A00CBD402D6FF +:040C7B0002C006931A +:040C7C0002D487B364 +:040C7D0097B646D20E +:040C7E000237C683F0 +:040C7F00879367E907 +:040C8000F613AA7746 +:040C8100C63E004625 +:040C82003E060163C6 +:040C8300C60366E955 +:040C84004689AA668D +:040C850006C6F56347 +:040C8600802346A1E0 +:040C8700A89500D755 +:040C880047C2C61980 +:040C89008E9146857D +:040C8A0002D7D6B304 +:040C8B0067E9BF4D09 +:040C8C008713C63ACA +:040C8D005503A34721 +:040C8E005683016721 +:040C8F00879301271F +:040C9000C603A347AD +:040C910086B301E73E +:040C9200550302A65E +:040C9300B5B30107ED +:040C9400853302A6FC +:040C9500D0EF02A6F4 +:040C960005F6BD4F53 +:040C97006689810DDC +:040C980086938D4D65 +:040C990096AA86A6EB +:040C9A000513650DCC +:040C9B00D6B30D457A +:040C9C00061302A693 +:040C9D0047323E801C +:040C9E0002C686B351 +:040C9F0047B2B78D14 +:040CA00080234691D6 +:040CA10047A200D78F +:040CA20001C7C6833D +:040CA3008E23068610 +:040CA400479200D79C +:040CA50015FD65C113 +:040CA60047B247D03A +:040CA70000B774B36B +:040CA800C683555951 +:040CA9001793000796 +:040CAA0083C10107FA +:040CAB0077B3C43E19 +:040CAC0045F900B650 +:040CAD0002B485B355 +:040CAE00C5B3CC3EC0 +:040CAF00578202F571 +:040CB000C5B305952E +:040CB100F51302A590 +:040CB20047920FF75F +:040CB30002E507331C +:040CB40002C75733E9 +:040CB500C70395BA22 +:040CB600F593029719 +:040CB70007130FF51B +:040CB8000762F807D0 +:040CB90095BA876100 +:040CBA00471105C217 +:040CBB00906381C100 +:040CBC00859330E606 +:040CBD0005C2032544 +:040CBE00452185C186 +:040CBF000200061316 +:040CC00000C5033335 +:040CC1002E05CB63CE +:040CC20000C58733AF +:040CC3000513972A54 +:040CC40056630FF074 +:040CC500059300E5AE +:040CC60085B30FF0F3 +:040CC700F71340657A +:040CC80085BA0FF5E5 +:040CC900D0364515C7 +:040CCA00CE3AD41A30 +:040CCB00D0EFD23262 +:040CCC005612F27F4B +:040CCD0085B245198E +:040CCE00F1DFD0EF93 +:040CCF000800059381 +:040CD00002600513A6 +:040CD100F11FD0EF50 +:040CD20053224772F0 +:040CD30003100513F2 +:040CD400006705B3FD +:040CD5000FF5F5938F +:040CD600EFDFD0EF8D +:040CD70047215682D9 +:040CD800836345B538 +:040CD90045A500E647 +:040CDA0002200513DC +:040CDB00EE9FD0EFC9 +:040CDC00D0EF4511FF +:040CDD007593E99F83 +:040CDE0045110F8528 +:040CDF00ED9FD0EFC6 +:040CE000450547A2DD +:040CE1000047D59360 +:040CE2000FF5F59382 +:040CE300EC9FD0EFC3 +:040CE40000449593A0 +:040CE5000F05F5936F +:040CE600D0EF4509FD +:040CE70047E2EBBF36 +:040CE800019C07372D +:040CE900CC0707131A +:040CEA0002F7573383 +:040CEB00022556B7D1 +:040CEC000FF68693E6 +:040CED000733458103 +:040CEE00F063029716 +:040CEF0026B702E63C +:040CF0008693042CB7 +:040CF1004585D7F668 +:040CF20000E6F963BC +:040CF300080BF5B73E +:040CF400FBF58593F4 +:040CF50000E5B5B3AE +:040CF60067610589A4 +:040CF7005487071304 +:040CF8004703972EE9 +:040CF9000693000757 +:040CFA0047A202808B +:040CFB0002D70733E2 +:040CFC000017D69374 +:040CFD0044B397362F +:040CFE00469D029776 +:040CFF000FF4F49367 +:040D00000FF4F713E2 +:040D01000096F36302 +:040D0200070E471D74 +:040D03008DD9059AE7 +:040D04000F85F593CF +:040D0500D0EF450DD9 +:040D06004792E3FF2E +:040D07000497C50385 +:040D08008D2FE0EF5C +:040D090047054792C1 +:040D0A000487C50392 +:040D0B0000A7786362 +:040D0C00C50347B222 +:040D0D001571000755 +:040D0E000015351384 +:040D0F000533476100 +:040D1000676102E530 +:040D1100BD470713C0 +:040D1200D0EF953A4F +:040D13004792F23FD2 +:040D140002C0069380 +:040D1500870345818A +:040D160047D20117A8 +:040D170002D70733C5 +:040D18004503973EBA +:040D1900D0EF02070E +:040D1A000703E31FC9 +:040D1B0086370134E2 +:040D1C0067E9000281 +:040D1D0000272693F2 +:040D1E008493421860 +:040D1F009B79AA070B +:040D2000C2188F5511 +:040D21000134050391 +:040D22005E634705C0 +:040D2300470916A7BF +:040D240016E5176356 +:040D2500F73747C293 +:040D2600071302FAB3 +:040D270070630807E6 +:040D2800451916F75C +:040D2900CD2FE0EFFB +:040D2A007713409863 +:040D2B00C098DFF796 +:040D2C0067E940949F +:040D2D000002173772 +:040D2E000ED7202399 +:040D2F00A34785133E +:040D3000A34787133B +:040D310000E75583FF +:040D320001E746038C +:040D3300022545034D +:040D340000C757039A +:040D3500A3478793B6 +:040D36002BC0069335 +:040D370002A70733D5 +:040D38001900051386 +:040D390002A70733D3 +:040D3A000217C503D4 +:040D3B0002D5D6B354 +:040D3C0000C595B3A6 +:040D3D0002A585B3D3 +:040D3E0047334505ED +:040D3F00059302B75F +:040D4000071306404F +:040D4100473303270A +:040D420055F902B7A6 +:040D43000FF777131C +:040D44000EE56F63E6 +:040D450007339636A4 +:040D4600536300B63D +:040D47004701000759 +:040D48000002463728 +:040D4900380606134F +:040D4A007579422C49 +:040D4B007FF5051318 +:040D4C008DE98B0D95 +:040D4D008F4D072E91 +:040D4E004238C2382D +:040D4F0015FD75E930 +:040D50008F6D8A8D8C +:040D51008ED906B67B +:040D5200D0EFC234E8 +:040D53004792BC8F78 +:040D54004483470984 +:040D5500C68301143C +:040D56004781059735 +:040D570000E68763C8 +:040D580001244783A8 +:040D5900B7938F8538 +:040D5A0045810017B8 +:040D5B00C43E453D10 +:040D5C00815FD0EFF4 +:040D5D0005900513E5 +:040D5E00FC2FD0EFA7 +:040D5F00759347A29F +:040D6000E79902F518 +:040D61008DC5049A9E +:040D62000FF5F59301 +:040D63000105E5930E +:040D640005900513DE +:040D6500FF0FD0EFBD +:040D66000737479272 +:040D67000713051158 +:040D68004BD0F40771 +:040D69006B634685ED +:040D6A00773700C710 +:040D6B0007130478EE +:040D6C0046818BF73A +:040D6D0000C77463E4 +:040D6E000137C68300 +:040D6F0067654792DB +:040D70001907071345 +:040D710005C7C603E9 +:040D72000597C58399 +:040D7300C603E609C4 +:040D740003630137DD +:040D750009A304D6F4 +:040D7600444A00D714 +:040D770044BA40DA60 +:040D78000113852EB0 +:040D7900E06F09819D +:040D7A0047B2806F8D +:040D7B000599B13DE8 +:040D7C0085C105C266 +:040D7D00464145099D +:040D7E004581B321D7 +:040D7F00157DB30D1E +:040D80000FF57513E3 +:040D81004098B5459C +:040D820020076713CC +:040D83000585B54DE0 +:040D8400830505E2FC +:040D8500BDED85E15A +:040D860084634689B3 +:040D870067E9D2D571 +:040D8800A347879363 +:040D890000B7C503E7 +:040D8A004589C19145 +:040D8B000124468376 +:040D8C0040DA444ABB +:040D8D00460344BA1B +:040D8E00011305A7A1 +:040D8F00D06F098197 +:040D900067E9A5BFAB +:040D9100A907A70304 +:040D9200879367E5F7 +:040D930046AD224700 +:040D9400D583464578 +:040D95009F630167F0 +:040D9600F69304E5E7 +:040D9700E1190FF659 +:040D980028070A63BB +:040D9900C626112138 +:040D9A00C50364E940 +:040D9B00C822A8A41E +:040D9C0007936465F0 +:040D9D001613FB84AA +:040D9E0097B20035D3 +:040D9F00438CCA06B1 +:040DA0000047C783BE +:040DA100A303475110 +:040DA200873300454E +:040DA300849302E74C +:040DA4000413A8A4E8 +:040DA500971AFB841A +:040DA6006363433907 +:040DA700433102D3FF +:040DA80004D36363AA +:040DA900806347AD6F +:040DAA0086630CF65A +:040DAB00478108660E +:040DAC00A0F147016A +:040DAD000789068527 +:040DAE00F8C69DE303 +:040DAF00B74546817D +:040DB000004747832E +:040DB1009B63460DED +:040DB200475016C7C9 +:040DB300421845BDE0 +:040DB400000747836A +:040DB5001EB69C6367 +:040DB60017FDC781DD +:040DB7000FF7F793A8 +:040DB80000F700231D +:040DB9004503B7E94E +:040DBA0047050047A2 +:040DBB007513157522 +:040DBC006E630FF55E +:040DBD00453700A70F +:040DBE002303000209 +:040DBF0017333C8525 +:040DC000471300F7DE +:040DC1007733FFF78E +:040DC200242300677F +:040DC30047353CE58F +:040DC40000E69C6346 +:040DC500C783E39964 +:040DC60017FD000510 +:040DC7000FF7F79398 +:040DC800022396224A +:040DC900B76100F618 +:040DCA000005C70356 +:040DCB0096220785E0 +:040DCC0002E7E7B3A0 +:040DCD00C519B7FD90 +:040DCE008023157DEC +:040DCF00E0EF00A4AD +:040DD000B7B5D34F91 +:040DD10084A367E9A7 +:040DD2004737A807F0 +:040DD3002783000270 +:040DD40045013C0792 +:040DD50020239BED4F +:040DD60044423CF760 +:040DD70044B240D210 +:040DD800E06F016166 +:040DD9004783FC5FF1 +:040DDA00468D0047FB +:040DDB000AD781634F +:040DDC009EE34691BB +:040DDD00471CF2D7E6 +:040DDE0087AA9782C7 +:040DDF00C68347057B +:040DE00045D10004F5 +:040DE100068E6565B0 +:040DE200429096A203 +:040DE3000046C6837D +:040DE4001FC505130F +:040DE50086B342503F +:040DE600C43E02B64F +:040DE70096B2C23AC4 +:040DE8004645428CAE +:040DE90030EFC036F1 +:040DEA00471223E0A9 +:040DEB00468247A253 +:040DEC00863E85BA00 +:040DED00E0EF853678 +:040DEE00C783C40FE4 +:040DEF0065E50004B2 +:040DF000000244B702 +:040DF100943E078E97 +:040DF2000044450371 +:040DF300859346415D +:040DF40005162105BA +:040DF50095260541F9 +:040DF60020C030EFFA +:040DF700004446036B +:040DF80097B34785E1 +:040DF900A62300C766 +:040DFA0047123CF46C +:040DFB004682EB0938 +:040DFC00C7034611D2 +:040DFD001563004634 +:040DFE0046D80EC7FE +:040DFF004737C76D3E +:040E00002683000243 +:040E01008FD53C87C6 +:040E02003CF7242372 +:040E03004B1CA8E9F3 +:040E0400C03AC781A8 +:040E05004702978287 +:040E06000004C7839A +:040E07000785471400 +:040E08000037971305 +:040E090000E40633C8 +:040E0A008463420CAF +:040E0B00022300D5E9 +:040E0C009722000623 +:040E0D008023C31467 +:040E0E00B71100F424 +:040E0F0002F669631B +:040E100088634609A4 +:040E1100470C04C7BF +:040E12004503433D14 +:040E1300460300C7CB +:040E1400C78300D7B9 +:040E1500470300058A +:040E1600916300E7FD +:040E17007C63026690 +:040E180017FD00F6CC +:040E19000FF7F713C5 +:040E1A0000E580234C +:040E1B004611B5893E +:040E1C00E4C78DE3B7 +:040E1D00F96DBD2D81 +:040E1E00B7FD873263 +:040E1F0000E7F8638D +:040E2000F613078539 +:040E210080230FF724 +:040E2200B51500C53D +:040E2300863AFD6DA1 +:040E2400470CBFDDDB +:040E25005603453DEE +:040E2600D78300C7A7 +:040E27005703000568 +:040E28009E6300E7DE +:040E2900776300A645 +:040E2A0017FD00F6BA +:040E2B000107971311 +:040E2C00A019834145 +:040E2D008732C21135 +:040E2E0000E5902328 +:040E2F00F463BBCDE0 +:040E3000078500E74B +:040E3100FA6DB7E5BA +:040E3200B7FD4701C0 +:040E330000464683AC +:040E340000D7F5638B +:040E3500F6930785A4 +:040E360000230FF78F +:040E3700BBC100D764 +:040E38000CE3460D74 +:040E39004442F0C778 +:040E3A0044B240D2AC +:040E3B00016145010B +:040E3C00D8BFE06FCC +:040E3D00862E8082FB +:040E3E00153785AA35 +:040E3F000513000295 +:040E4000C06F020578 +:040E41001111C1BF0B +:040E4200018517937C +:040E4300C826CA22D1 +:040E440087E1CC0670 +:040E450084AE842AC9 +:040E46000007DD6361 +:040E470005134581C9 +:040E480037D5077023 +:040E490000A101A360 +:040E4A00E363478592 +:040E4B0074130AA76B +:040E4C00C0EF07F4F8 +:040E4D004591FC9F30 +:040E4E003F754501A6 +:040E4F0007136769B5 +:040E5000431CAA078E +:040E5100F7F7F79325 +:040E52001737C31C6F +:040E53002023000256 +:040E5400D7930EF72B +:040E550002A301846F +:040E5600D79300F13D +:040E5700032301046C +:040E5800D79300F13B +:040E590003A300846B +:040E5A00022300F17E +:040E5B0004230081EB +:040E5C0007130091E7 +:040E5D0007930400F3 +:040E5E0009630950CB +:040E5F00071300E491 +:040E6000478504803E +:040E610000E4146332 +:040E6200087007937A +:040E6300000215373D +:040E6400004C4619DF +:040E6500020505136A +:040E660000F104A3F0 +:040E6700BADFC0EF3F +:040E6800E0EF45155D +:040E6900842ADD8F6B +:040E6A0005134585A2 +:040E6B00F0EF003173 +:040E6C000783F49F65 +:040E6D00D763003116 +:040E6E00E0EF0007AA +:040E6F004785DEAF26 +:040E7000FEF504E3A4 +:040E710067E9E40148 +:040E7200A807AC23FE +:040E73000031450302 +:040E7400445240E2C2 +:040E7500017144C201 +:040E760067E580822A +:040E770028478793EE +:040E78001131479855 +:040E7900C806C622BF +:040E7A00440DC42639 +:040E7B000CB76B63E2 +:040E7C000047C783E1 +:040E7D008BA184AA17 +:040E7E0005A6E39151 +:040E7F0005800513D2 +:040E8000F07FF0EF20 +:040E8100ED4D842A85 +:040E8200000215371E +:040E8300051357F903 +:040E84004605020518 +:040E85000071059360 +:040E860000F103A3D1 +:040E8700B2DFC0EF27 +:040E88000002173716 +:040E89000513478185 +:040E8A0086B3020722 +:040E8B00C68300F426 +:040E8C004605000611 +:040E8D000071059358 +:040E8E0003A3C03EBC +:040E8F00C0EF00D1DF +:040E90004782B0BF26 +:040E9100000217370D +:040E920020000693A3 +:040E930005130785B7 +:040E94009CE30207D2 +:040E950054FDFCD735 +:040E96000593460575 +:040E970003A3007140 +:040E9800C0EF009116 +:040E99001737AE7FDA +:040E9A00051300023A +:040E9B0046050207FF +:040E9C000071059349 +:040E9D00009103A31A +:040E9E00AD1FC0EFD5 +:040E9F00051345856D +:040EA000F0EF0071FE +:040EA1004783E75F3D +:040EA200471500717F +:040EA30090638BFDD0 +:040EA400051304E747 +:040EA500E0EF0FA0CB +:040EA6004585CE4F61 +:040EA70000710513BE +:040EA800E57FF0EF03 +:040EA900007144830D +:040EAA00E0EFE49100 +:040EAB004785CFAFF9 +:040EAC00FEF505E367 +:040EAD00AC2367E922 +:040EAE00E481A8072C +:040EAF00A011441139 +:040EB00040C24409EF +:040EB1004432852220 +:040EB200015144A204 +:040EB30044158082E0 +:040EB40067E5BFCD62 +:040EB50028478793B0 +:040EB6001131479817 +:040EB700C806C62281 +:040EB800C02AC42662 +:040EB9006F63440D12 +:040EBA00C78300B733 +:040EBB0084B20047B6 +:040EBC00E3918BA192 +:040EBD00051305A66E +:040EBE00F0EF05103C +:040EBF00842AE0DFC2 +:040EC0004409C90117 +:040EC100852240C284 +:040EC20044A24432D0 +:040EC30080820151D7 +:040EC40006400513CC +:040EC500C66FE0EF25 +:040EC6000513458546 +:040EC700F0EF0071D7 +:040EC8004703DD9F60 +:040EC900079300711A +:040ECA0017630FF0AB +:040ECB00E0EF00F75D +:040ECC004785C76F20 +:040ECD00FEF502E349 +:040ECE000071470365 +:040ECF00AC2367E900 +:040ED0000793A807D5 +:040ED1001EE30FE02D +:040ED2004502FAF7E4 +:040ED300F0EF85A611 +:040ED4000593DA9F09 +:040ED5008D852020C7 +:040ED60081C105C20F +:040ED700F0EF4501F2 +:040ED800B74DD99F9A +:040ED90065DD713929 +:040EDA004629C42AB7 +:040EDB00C6458593F0 +:040EDC00DE060848DE +:040EDD00DA26DC2213 +:040EDE00A4FFC0EFBE +:040EDF00C03E478D3D +:040EE000000627B72A +:040EE10000021437C0 +:040EE200019C04B7B4 +:040EE300A8078613C3 +:040EE400CC04859322 +:040EE50002040513EB +:040EE600903FC0EF8A +:040EE700D5FFC0EF84 +:040EE800000627B722 +:040EE900A8078613BD +:040EEA00CC0485931C +:040EEB0002040513E5 +:040EEC008EBFC0EF06 +:040EED0002040513E3 +:040EEE00084C46293D +:040EEF0098DFC0EFD9 +:040EF000458164E5EF +:040EF10004000513E1 +:040EF2002804A2230B +:040EF300D3BFF0EF8A +:040EF400842A478580 +:040EF5002844849376 +:040EF6001CF517636D +:040EF7001AA00593A5 +:040EF800048005135A +:040EF900D23FF0EF05 +:040EFA001D63C22A88 +:040EFB004591148584 +:040EFC00F0EF080803 +:040EFD004703D05F78 +:040EFE0047920121F5 +:040EFF001AF7156366 +:040F00000131470371 +:040F01000AA00793A8 +:040F020018F71F635A +:040F03003E80051314 +:040F0400B6AFE0EFB5 +:040F0500B90FE0EF51 +:040F0600196347859F +:040F070005B700F535 +:040F0800051340008D +:040F0900F0EF0E9067 +:040F0A00F56DCE1F94 +:040F0B00B78FE0EFCD +:040F0C0005634785AD +:040F0D0044010EF598 +:040F0E00AC2367E9C0 +:040F0F004782A80766 +:040F1000F79317FD3F +:040F1100C03E0FF7D8 +:040F120016078163DA +:040F13004785D81521 +:040F140005134581FB +:040F1500C09C0490E8 +:040F160000848223AE +:040F1700CABFF0EF6E +:040F1800E5514781D7 +:040F1900E0EF4515AB +:040F1A00842AB14F25 +:040F1B0005134585F0 +:040F1C00F0EF00F101 +:040F1D004703C85F5F +:040F1E00079300F144 +:040F1F0017630FF055 +:040F2000E0EF00F707 +:040F21004785B22F1F +:040F2200FEF502E3F3 +:040F230067E9E40195 +:040F2400A807AC234B +:040F250000F146830E +:040F26000FE00713BE +:040F27009863478103 +:040F280045C904E6CD +:040F2900F0EF1008CD +:040F2A00C703C51F15 +:040F2B007693004475 +:040F2C0086630027B1 +:040F2D004703100660 +:040F2E004783026192 +:040F2F004603027102 +:040F30008B0D02A182 +:040F31008F5D0722A7 +:040F3200028147836E +:040F33004683070AE0 +:040F3400839902514A +:040F350047038FD906 +:040F3600821D029185 +:040F370007068ABD62 +:040F38008F518B1931 +:040F3900078596BAD8 +:040F3A0097B316E56E +:040F3B00363700D76E +:040F3C0005B70029CC +:040F3D001537019CC7 +:040F3E0017FD000299 +:040F3F002E06061361 +:040F4000CC058593C4 +:040F4100020505138D +:040F4200C0EFC49C9C +:040F43004522F90F3B +:040F44002000061370 +:040F4500F0EF458103 +:040F4600A859DBDFEC +:040F470005134581C8 +:040F4800F0EF07A01F +:040F490018E3BE5F8C +:040F4A004591F005D8 +:040F4B00F0EF0808B3 +:040F4C004783BC9F7C +:040F4D004431010129 +:040F4E000407F7930A +:040F4F00EE079EE328 +:040F5000BDDD4411AE +:040F510005134581BE +:040F5200F0EF0E901E +:040F53000793BBDF66 +:040F540065630410BD +:040F5500440900A4A7 +:040F56000E9007935F +:040F57000FA00513CF +:040F5800E0EFC23EC6 +:040F5900E0EFA18F95 +:040F5A004785A3EF35 +:040F5B0000F5176323 +:040F5C004581451274 +:040F5D00B93FF0EFB9 +:040F5E00E0EFF57D4E +:040F5F00E111A2AF4B +:040F600067E94401F8 +:040F610005134581AE +:040F6200AC2307B005 +:040F6300F0EFA807FC +:040F6400C111B79F61 +:040F650005934401AB +:040F6600051320004F +:040F6700F0EF0500A2 +:040F68000EE3B69F3F +:040F69004401E80552 +:040F6A004505BD5923 +:040F6B00EA0411E3A0 +:040F6C00546250F289 +:040F6D00053354D222 +:040F6E00612140A01D +:040F6F008B118082E0 +:040F700001E34781D1 +:040F71004783F207B9 +:040F720047030281AE +:040F730007A202913E +:040F740047458FD985 +:040F75000113BF01A4 +:040F76000793DD41BF +:040F7700CE3E20103A +:040F780017B710088F +:040F79002023301FE2 +:040F7A002423229179 +:040F7B0022232211FA +:040F7C00CC3E2281C4 +:040F7D00D71FF0EF9B +:040F7E00C0EF84AA92 +:040F7F00C4B1B01F2A +:040F8000409004B3E6 +:040F8100AF7FC0EF8F +:040F82008C63470530 +:040F8300456336E4A8 +:040F8400572936971C +:040F850036E48B6360 +:040F860036048D633D +:040F8700859365DD0C +:040F880067E5C7C58D +:040F89008513464541 +:040F8A0020EF21072C +:040F8B0045053BB02D +:040F8C006539C4817E +:040F8D00EAD5051389 +:040F8E002281208319 +:040F8F0022412403D4 +:040F90002201248393 +:040F910022C1011365 +:040F92006561808293 +:040F93006A050513D3 +:040F9400AAEFC0EF11 +:040F950067DD44814F +:040F960006B3141872 +:040F9700C583009777 +:040F98008613DF8657 +:040F990095B2AA075C +:040F9A008063460921 +:040F9B00460D06C435 +:040F9C0006C48263A2 +:040F9D00000F4437C6 +:040F9E002404041310 +:040F9F00851367E56A +:040FA000464521079A +:040FA100DFC6C48360 +:040FA20035D020EF37 +:040FA300E0EF45092D +:040FA400084CFECF28 +:040FA500D0EF8522E2 +:040FA60067DD9F9FC5 +:040FA7006465DD554B +:040FA8002244069346 +:040FA900D6034752D2 +:040FAA000413000626 +:040FAB000E6322446B +:040FAC00D60302E680 +:040FAD0014630026A3 +:040FAE00C0EF02C7C7 +:040FAF000493A41FE4 +:040FB00065DD069065 +:040FB100C705859358 +:040FB2008437BFA918 +:040FB3000413001E05 +:040FB400B76D4804C9 +:040FB5000004943769 +:040FB6003E040413DE +:040FB700D683B745E1 +:040FB8000CE30186BF +:040FB900448DFCD790 +:040FBA006561BF8529 +:040FBB006A050513AB +:040FBC00A0EFC0EFF3 +:040FBD00656565DD24 +:040FBE00859346458C +:040FBF000513CB4506 +:040FC00020EF1FC53A +:040FC10067E52E3082 +:040FC200859365DDD1 +:040FC3004645CC05CE +:040FC4002107851369 +:040FC5002D1020EFDC +:040FC600E0EF45090A +:040FC7005537F60F95 +:040FC800084C004C85 +:040FC900B405051353 +:040FCA00967FD0EF4F +:040FCB0047D2D559DB +:040FCC0000045703C3 +:040FCD000CF70063BA +:040FCE0000245703A1 +:040FCF00F6E79FE3BF +:040FD00067E5C0020F +:040FD100851365DD42 +:040FD2004645210768 +:040FD300CD05859330 +:040FD400295020EF91 +:040FD500E0EF4509FB +:040FD6005703F24F7C +:040FD70047D20024D9 +:040FD80008F71E6395 +:040FD90020000613DB +:040FDA001008458135 +:040FDB00E96FC0EF0B +:040FDC001008458232 +:040FDD00A67FF0EF0C +:040FDE0015E384AAE9 +:040FDF004782E80558 +:040FE00000100437C2 +:040FE100C03E078582 +:040FE200C23E67851F +:040FE3002000079350 +:040FE400F46386A28A +:040FE50006930087E8 +:040FE600479220000E +:040FE700C4361010EC +:040FE8000087959356 +:040FE900A50367E90C +:040FEA00C0EFA84765 +:040FEB0046A2BF8FCC +:040FEC0084AA1018AB +:040FED0000D70633F0 +:040FEE0018E6166388 +:040FEF00E40494E39F +:040FF0001FF00713D4 +:040FF10000876D63A5 +:040FF2000613101CB6 +:040FF3008E15200037 +:040FF40000D785336A +:040FF500C436458138 +:040FF600E2AFC0EFB7 +:040FF700458246A247 +:040FF800C4361008E3 +:040FF9009F7FF0EFF7 +:040FFA000B6346A29D +:040FFB0004B3160520 +:040FFC00BD0940A04B +:040FFD001400079342 +:040FFE00B7A1C03E99 +:040FFF0020000613B5 :04100000100845810E -:0410010020F11F2398 -:04100200989FF0EFD4 -:0410030016E384AAC2 -:041004004401DA05C4 -:041005000613468107 -:041006004581200000 -:04100700C2361008D5 -:04100800DE2FC0EF28 -:0410090006134692F2 -:04100A00059320101A -:04100B008E1510002E -:04100C000036879390 -:04100D0007C20642CE -:04100E00B513824153 -:04100F0083C1001683 -:041010008732050618 -:0410110000C5F463BF -:0410120010000713B0 -:041013008F09973E6C -:041014000107159328 -:04101500E68181C12E -:04101600D03E57E190 -:0410170066C1478DDA -:0410180003138D1D14 -:0410190016FD203070 -:04101A0000A7873371 -:04101B0083410742C4 -:04101C0006B7E863C8 -:04101D00FFD58693E2 -:04101E0082C106C2C3 -:04101F000804059329 -:04102000C2361008BC -:0410210090DFF0EF7D -:0410220018E384AAA1 -:041023000593D2055A -:0410240010080A04A2 -:041025008FDFF0EF7A -:0410260010E384AAA5 -:041027004692D20516 -:041028000442040575 -:041029001FF007931A -:04102A00F6E3804128 -:04102B000613F6D7DB -:04102C0045812000DA -:04102D00C0EF1008F8 -:04102E0067DDD4CFD7 -:04102F00A587879377 -:041030000787859316 -:0410310002000613A0 -:04103200C0EF1008F3 -:041033000593CFCF83 -:0410340010080C0094 -:041035008BDFF0EF6E -:0410360000E384AAA5 -:04103700B9F1E40522 -:041038000706101087 -:04103900863E973226 -:04103A000067936355 -:04103B000785863669 -:04103C00102307C2B4 -:04103D0083C100C7A4 -:04103E004503BF8522 -:04103F00C8320007AC -:04104000C43AC636B2 -:04104100E2CFC0EF4B -:0410420046424722B9 -:04104300002346B28E -:04104400070500A7F5 -:041045004782BDA978 -:041046000086D71336 -:0410470007858C1578 -:041048004792C03ECD -:04104900C23E97BA52 -:04104A00E0041DE3BE -:04104B000713B1795D -:04104C0083E30690A4 -:04104D00B971D4E4BD -:04104E00859365DD44 -:04104F00B969C54571 -:04105000859365DD42 -:04105100B949C44590 -:04105200859365DD40 -:04105300B169C64574 -:04105400FDC10113C6 -:04105500D006CC26CF -:04105600C42ACE22B8 -:04105700C03284AE71 -:041058004782C236D3 -:041059004501E399D1 -:04105A004402A01597 -:04105B0020000793D7 -:04105C000087F463B2 -:04105D002000041358 -:04105E00451245A250 -:04105F00010416135F -:04106000F0EF8241EA -:04106100C909907FAA -:0410620040A0053372 -:041063004472508201 -:04106400011344E24E -:041065008082024142 -:041066000FF4F793F9 -:041067000084961358 -:041068004792CB9D43 -:04106900008786B3C3 -:04106A0004D79163B3 -:04106B00469267E959 -:04106C00A3C7A5036E -:04106D000084D59393 -:04106E0005C287220E -:04106F00864FC0EFF9 -:0410700047A2F5712D -:04107100C43E0785ED -:04107200008457930C -:04107300478294BE5E -:04107400C03E8F816A -:0410750067E9B779F7 -:04107600A3C7A50364 -:04107700C63285B246 -:04107800FE9FB0EF38 -:04107900DD554632C9 -:04107A00C503B7559E -:04107B00CA3600076A -:04107C00C63EC83272 -:04107D00D3CFC0EF1E -:04107E0046D247B25D -:04107F008023464242 -:04108000078500A739 -:041081000113B7554B -:0410820000C8DB01C6 -:04108300249122236F -:0410840024112623EA -:04108500248124237B -:04108600903FF0EFB8 -:04108700C0EF84AA88 -:04108800C489EDCF5B -:04108900409004B3DC -:04108A00A00D440D64 -:04108B0000CC46113E -:04108C0020EF1048F9 -:04108D0065DD768027 -:04108E0085934611EF -:04108F001048D045F0 -:0410900072C020EF1B -:04109100440DC90D34 -:04109200064004937D -:04109300EAEFC0EFD1 -:0410940006800713B8 -:0410950000974D6310 -:041096000630071306 -:041097002E974C63E1 -:04109800F340071307 -:0410990036E4816355 -:04109A008263470521 -:04109B0065DD36E4F5 -:04109C00C345859330 -:04109D005783ACFDCC -:04109E000593048131 -:04109F00461D04A145 -:0410A00002A1051391 -:0410A10002F1142321 -:0410A200712020EFAA -:0410A3000521578349 -:0410A400020108A39A -:0410A50002F1102321 -:0410A6000541578326 -:0410A70002F111231E -:0410A800C0EF55023E -:0410A9005783CCAFEE -:0410AA00DA2A0561D8 -:0410AB001023C22A22 -:0410AC00578302F173 -:0410AD001123058185 -:0410AE00550202F1F4 -:0410AF00CB0FC0EFB4 -:0410B00005A15783BC -:0410B1001023DC2A02 -:0410B200578302F16D -:0410B300112305C13F -:0410B400550202F1EE -:0410B500C98FC0EF30 -:0410B6002503DE2A06 -:0410B700C0EF240161 -:0410B8004592C8EFA6 -:0410B9000793C0AA2F -:0410BA0087131E205A -:0410BB00E763FE6584 -:0410BC0046050CE7F2 -:0410BD00C0EF00C8B8 -:0410BE004786C9AFE9 -:0410BF0000F50663CF -:0410C0000493440D44 -:0410C100B799066075 -:0410C200665D64659E -:0410C300D0C606137A -:0410C400051345C506 -:0410C50010EF1B44C9 -:0410C60056E2481096 -:0410C700665D67E516 -:0410C8001C878513E9 -:0410C900D1C6061373 -:0410CA0010EF45C519 -:0410CB00450546D0C1 -:0410CC00B4AFE0EFEE -:0410CD00470157E29E -:0410CE00C23E4501D8 -:0410CF00C43E57F2D2 -:0410D000616347927F -:0410D10047A208F733 -:0410D2001EA79E6354 -:0410D30002A14783AC -:0410D40002814683CC -:0410D500029147033A -:0410D60067E1CBCD36 -:0410D700B207879342 -:0410D80002A1061358 -:0410D900665DC0325E -:0410DA00D2860613A1 -:0410DB00051345C5EF -:0410DC0010EF1B44B2 -:0410DD0067E5425031 -:0410DE00464565DD41 -:0410DF00D805859318 -:0410E0001C878513D1 -:0410E100A42FC0EF89 -:0410E20064E5450577 -:0410E300AEEFE0EF9D -:0410E4001DC4849310 -:0410E500000216B738 -:0410E6000D06A783C9 -:0410E7000004D70327 -:0410E80083C107C2F7 -:0410E90006F707639C -:0410EA000024D70304 -:0410EB001AF700638D -:0410EC00051365097A -:0410ED00B0EF7105EA -:0410EE00BFE9D49FE3 -:0410EF000493440D15 -:0410F000B569065088 -:0410F10086B34792E9 -:0410F200079340E739 -:0410F300F463200082 -:0410F400069300D788 -:0410F5000493200040 -:0410F6009613200726 -:0410F700824101062B -:0410F8000094D593F8 -:0410F900C83A00C829 -:0410FA00F0EFC63617 -:0410FB0046B2E9EF21 -:0410FC00C5014742A1 -:0410FD0040A004B358 -:0410FE003613BD05E3 -:0410FF0085B600179B -:04110000C0EF00C874 -:041101008726B8EF96 -:0411020067DDBF25C1 -:04110300B6478793D1 -:04110400D0EFBF81E8 -:041105006769D0EF57 -:04110600A58707139F -:0411070016B7431CB8 -:041108006509000273 -:041109000027E79341 -:04110A00A023C31C3F -:04110B0005130EF6C4 -:04110C00B0EF7105CA -:04110D0065DDCCDFF1 -:04110E001B44051366 -:04110F008593464539 -:0411100020EFD345B4 -:04111100440D5580B4 -:0411120065DD67E54B -:041113008593464535 -:041114008513D40566 -:0411150020EF1C8724 -:0411160045055440F7 -:04111700A1EFE0EF75 -:0411180000D4566247 -:0411190045054581C2 -:04111A00CE9FF0EF85 -:04111B001FE384AAA0 -:04111C0064E5DC05A5 -:04111D00464565DD01 -:04111E00D5058593DB -:04111F001B448513D5 -:0411200051A020EFCB -:04112100E0EF4505B1 -:0411220057E29F4FA2 -:04112300450147013A -:0411240057F2C23E7E -:04112500C43EC626D8 -:041126006F6347921A -:0411270047A202F7E2 -:04112800F3400493F9 -:04112900DAA794E3CA -:04112A00C52FC0EF1E -:04112B0065DD47B285 -:04112C00859346451C -:04112D008513D94508 -:04112E00C0EF1B47AC -:04112F0067E590CF11 -:04113000464565DDEE -:04113100D6058593C7 -:041132001C8785137E -:041133004CE020EF7D -:04113400E0EF45059E -:04113500A0019A8FEC -:0411360086B34792A3 -:04113700079340E7F3 -:04113800F46310004C -:04113900069300D742 -:04113A0067E9100051 -:04113B00A3C7A5039E -:04113C0000D085BAA0 -:04113D00C83ACA36AC -:04113E00EABFB0EF65 -:04113F00474246D20B -:0411400084AA00D0AD -:0411410000D605B31C -:04114200000645035B -:04114300CC3ACE369E -:04114400C832CA2EB5 -:04114500A1CFC0EF87 -:0411460045D2464206 -:0411470000234762D8 -:04114800060500A6F2 -:0411490091E346F2F6 -:04114A0091E3FEC56A -:04114B003613D20481 -:04114C0085B600174D -:04114D00C83A00C8D4 -:04114E00A58FC0EFBA -:04114F0007134742F9 -:04115000BF9910072C -:041151000493440DB2 -:04115200B309067067 -:041153000493440DB0 -:04115400B9ED06806B -:04115500F9B487134F -:04115600EE63468D71 -:0411570066DD06E665 -:041158008693070A69 -:041159009736DA8665 -:04115A0087024318AD -:04115B00859365DD36 -:04115C0067E5CF452F -:04115D00851346456B -:04115E0020EF1C87DB -:04115F004505420000 -:041160008FAFE0EF7E -:04116100000F4537FF -:041162002405051348 -:04116300B73FB0EFF3 -:041164000404D76345 -:04116500048055634A -:041166006565665DF8 -:04116700D70606138E -:04116800051345C561 -:0411690010EF1B4523 -:04116A00147D1F10C1 -:04116B0065DDBD7110 -:04116C00CAC58593D8 -:04116D0065DDBF7D00 -:04116E00CBC58593D5 -:04116F0065DDBF5D1E -:04117000CD05859391 -:0411710065DDB77D04 -:04117200C985859313 -:0411730065DDB75D22 -:04117400C545859355 -:0411750065DDBF79FC -:04117600CE4585934A -:04117700D0EFBF599D -:041178002083E94F98 -:04117900240324C166 -:04117A002483248125 -:04117B00557D244139 -:04117C002501011335 -:04117D000113808258 -:04117E000828DDC19F -:04117F0022112023F6 -:0411800020812E2379 -:0411810020912C236A -:04118200D12FF0EF8A -:04118300C0EFC02ACF -:041184004502AECFA3 -:041185006465E93183 -:04118600464565DD98 -:04118700DD4585932A -:041188001C840513AB -:04118900FA3FB0EF8A -:04118A00E0EF450944 -:04118B00C222850FE8 -:04118C00041364657F -:04118D0017B71DC4AF -:04118E00A783000231 -:04118F0057030D07EE -:0411900007C200048E -:04119100046383C1AF -:04119200570304F704 -:041193001A630024B7 -:04119400479202F785 -:04119500464565DD89 -:04119600C2858593F6 -:041197001C87851319 -:0411980033A020EF71 -:0411990006800513B4 -:04119A00C0EFC02AB8 -:04119B002083A90FF5 -:04119C002403220105 -:04119D00450221C125 -:04119E002181248304 -:04119F0022410113D5 -:0411A00065098082DB -:0411A10071050513BC -:0411A200A77FB0EF84 -:0411A3004792B76D4B -:0411A400464565DD7A -:0411A5001C8785130B -:0411A600DB858593CD -:0411A7002FE020EF26 -:0411A800D0EF450936 -:0411A9000737FD9F68 -:0411AA000793FFF0B8 -:0411AB00C002200757 -:0411AC00C43E648554 -:0411AD00941347A2AE -:0411AE00462D008446 -:0411AF008425943EC1 -:0411B000006885A2AC -:0411B100BC4FF0EF50 -:0411B2000533C5013B -:0411B300BF6940A030 -:0411B400859365DDDD -:0411B5000068BD858C -:0411B600F3BFB0EFE4 -:0411B7004703E10DFC -:0411B8004685016106 -:0411B90006D71563DD -:0411BA000141468326 -:0411BB0000E699634E -:0411BC000151468314 -:0411BD0006134719B5 -:0411BE00846376E0F0 -:0411BF00849306E629 -:0411C00067091004A7 -:0411C100FAE498E3D1 -:0411C2003E80051353 -:0411C3009F3FB0EFAB -:0411C400453D4581DF -:0411C500B49FD0EF14 -:0411C600C70367E90B -:0411C70067E5A5C76C -:0411C8001A87879368 -:0411C900C50397BA09 -:0411CA004581000754 -:0411CB00832367E92A -:0411CC00D0EFA4A715 -:0411CD004792B2BFD4 -:0411CE00665D468292 -:0411CF00DC460613E1 -:0411D000851345C579 -:0411D10010EF1C8778 -:0411D20045050510BA -:0411D300FB45BF31E8 -:0411D400014147038B -:0411D500FAD715E34D -:0411D6000151470379 -:0411D7000613F34DBB -:0411D8000834058052 -:0411D900852285A640 -:0411DA009E9FF0EFF5 -:0411DB00EE051EE31C -:0411DC0007854782BA -:0411DD00B761C03EF8 -:0411DE00F5410113C3 -:0411DF00D322D5063C -:0411E0001437D126C9 -:0411E100B0EF000269 -:0411E200049399DFFA -:0411E30047C50804F0 -:0411E4002023D0BC38 -:0411E50027B70E0416 -:0411E600A62300023A -:0411E700A823000732 -:0411E800AA2300072F -:0411E900678900070B -:0411EA0071078513F1 -:0411EB00953FB0EF8D -:0411EC000793636999 -:0411ED000693A5833D -:0411EE00C3941D1079 -:0411EF004501D0B432 -:0411F0009B6FD0EF32 -:0411F10000031537AB -:0411F200D405051308 -:0411F300933FB0EF87 -:0411F4000006263794 -:0411F500019C05B79D -:0411F600A80606132E -:0411F700CC0585930B -:0411F80004040513D3 -:0411F900CB7FB0EF09 -:0411FA00871367E907 -:0411FB004314A5876D -:0411FC00F6934551D0 -:0411FD00C314F9F628 -:0411FE00B0EFD0B4CA -:0411FF0045D1905FE7 -:04120000038005134F -:041201008F0FD0EF8C -:04120200051345D1BA -:04120300D0EF039095 -:0412040045D18E6FD3 -:04120500D0EF455190 -:0412060045D18DEF52 -:0412070007100513B4 -:041208008D4FD0EF47 -:04120900051345D1B3 -:04120A00D0EF05E03C -:04120B0045D18CAF8E -:04120C0006D00513F0 -:04120D008C0FD0EF83 -:04120E00453145D150 -:04120F008B8FD0EF02 -:041210003200059310 -:04121100D0EF4505D0 -:0412120045D18AEF49 -:04121300D0EF4519BA -:0412140005938A6F45 -:041215004509320055 +:04100100DFEFC0EF6E +:0410020085A244017E +:04100300F0EF1008F2 +:0410040084AA9CDF3F +:04100500DE0518E309 +:041006000793040543 +:0410070016E31400D8 +:04100800645DFEF431 +:04100900AA0407939B +:04100A0004078593BF +:04100B001008466D16 +:04100C00D96FC0EFE9 +:04100D00AA04079397 +:04100E0005C78593FA +:04100F0000C8466966 +:04101000D86FC0EFE6 +:04101100879377ED5D +:041012004581A55718 +:041013001F2310087F +:04101400F0EF20F1E8 +:0410150084AA989F72 +:04101600DA0516E3FE +:0410170046814401C9 +:04101800200006139B +:0410190010084581F5 +:04101A00C0EFC2362B +:04101B004692D98F91 +:04101C002010061387 +:04101D001000059327 +:04101E0087938E1511 +:04101F00064200364F +:04102000824107C240 +:041021000016B513ED +:04102200050683C17B +:04102300F4638732B9 +:04102400071300C5E9 +:04102500973E1000E2 +:0410260015938F0986 +:0410270081C101077B +:0410280057E1E68125 +:04102900478DD03EE1 +:04102A008D1D66C1F1 +:04102B00203003135B +:04102C00873316FDF3 +:04102D00074200A7CF +:04102E00E8638341AF +:04102F00869306B7E7 +:0410300006C2FFD520 +:04103100059382C1E0 +:041032001008080496 +:04103300F0EFC236E2 +:0410340084AA90DF1B +:04103500D20518E3E5 +:041036000A04059310 +:04103700F0EF1008BE +:0410380084AA8FDF18 +:04103900D20510E3E9 +:04103A0004054692D1 +:04103B0007930442D1 +:04103C0080411FF0E0 +:04103D00F6D7F6E309 +:04103E002000061375 +:04103F0010084581CF +:04104000D02FC0EFFE +:04104100879367DD4D +:041042008593AA07E1 +:041043000613078702 +:04104400100802008E +:04104500CB2FC0EFFE +:041046000C00059302 +:04104700F0EF1008AE +:0410480084AA8BDF0C +:04104900E40500E3D7 +:04104A001010B9F1D8 +:04104B0097320706CB +:04104C009363863EE6 +:04104D00863600677C +:04104E0007C2078549 +:04104F0000C71023A3 +:04105000BF8583C114 +:04105100000745034C +:04105200C636C832A4 +:04105300C0EFC43AEC +:041054004722DE2F22 +:0410550046B2464217 +:0410560000A70023CC +:04105700BDA9070523 +:04105800D7134782E1 +:041059008C1500866C +:04105A00C03E078508 +:04105B0097BA479267 +:04105C001DE3C23E90 +:04105D00B179E00481 +:04105E0006900713DE +:04105F00D4E483E36F +:0410600065DDB97120 +:04106100C9C58593E5 +:0410620065DDB96926 +:04106300C8C58593E4 +:0410640065DDB94944 +:04106500CAC58593E0 +:041066000113B16958 +:04106700CC26FDC1D5 +:04106800CE22D006BE +:0410690084AEC42A63 +:04106A00C236C03298 +:04106B00E39947823C +:04106C00A015450185 +:04106D00079344029F +:04106E00F463200007 +:04106F0004130087DF +:0410700045A2200075 +:0410710016134512FB +:0410720082410104B2 +:04107300907FF0EF8B +:041074000533C9096E +:04107500508240A0C5 +:0410760044E244729A +:04107700024101131E +:04107800F7938082E8 +:0410790096130FF4C7 +:04107A00CB9D008486 +:04107B0086B347925F +:04107C0091630087F5 +:04107D0067E904D744 +:04107E00A5034692EE +:04107F00D593A84716 +:04108000872200843F +:04108100C0EF05C2F5 +:04108200F57181AFD4 +:04108300078547A2F4 +:041084005793C43E7C +:0410850094BE008491 +:041086008F8147828D +:04108700B779C03E37 +:04108800A50367E96C +:0410890085B2A8473D +:04108A00B0EFC632CB +:04108B004632F9FFF1 +:04108C00B755DD5522 +:04108D000007C50390 +:04108E00C832CA3664 +:04108F00C0EFC63EAA +:0410900047B2CF2F65 +:04109100464246D2BB +:0410920000A7802310 +:04109300B7550785C1 +:04109400DB01011368 +:04109500222300C84A +:041096002623249158 +:0410970024232411D9 +:04109800F0EF2481D0 +:0410990084AA903F56 +:04109A00E92FC0EF8B +:04109B0004B3C4894D +:04109C00440D40902F +:04109D004611A00D4B +:04109E00104800CC2A +:04109F00768020EF48 +:0410A000461165DDB3 +:0410A100D4C585939A +:0410A20020EF1048E3 +:0410A300C90D72C041 +:0410A4000493440D60 +:0410A500C0EF064052 +:0410A6000713E64FF7 +:0410A7004D6306800F +:0410A8000713009793 +:0410A9004C6306305E +:0410AA0007132E9763 +:0410AB008163F3402A +:0410AC00470536E4DA +:0410AD0036E4826340 +:0410AE00859365DDE4 +:0410AF00ACFDC7C508 +:0410B00004815783DD +:0410B10004A10593FE +:0410B2000513461DBF +:0410B300142302A15F +:0410B40020EF02F136 +:0410B50057837120CC +:0410B60008A3052165 +:0410B70010230201FF +:0410B800578302F167 +:0410B90011230541B9 +:0410BA00550202F1E8 +:0410BB00C80FC0EFAB +:0410BC0005615783F0 +:0410BD00C22ADA2A3F +:0410BE0002F1102308 +:0410BF0005815783CD +:0410C00002F1112305 +:0410C100C0EF550225 +:0410C2005783C66F1B +:0410C300DC2A05A17D +:0410C40002F1102302 +:0410C50005C1578387 +:0410C60002F11123FF +:0410C700C0EF55021F +:0410C800DE2AC4EF69 +:0410C90024012503D6 +:0410CA00C44FC0EF60 +:0410CB00C0AA4592E0 +:0410CC001E20079348 +:0410CD00FE65871322 +:0410CE000CE7E763E1 +:0410CF0000C846050A +:0410D000C50FC0EF99 +:0410D10006634786E5 +:0410D200440D00F5D4 +:0410D300066004931C +:0410D4006465B799FF +:0410D5000613665D3B +:0410D60045C5D546F1 +:0410D7001FC405131A +:0410D800481010EFBD +:0410D90067E556E28F +:0410DA008513665DB7 +:0410DB0006132107D0 +:0410DC0045C5D646EA +:0410DD0046D010EFFA +:0410DE00E0EF4505F5 +:0410DF0057E2B00F15 +:0410E000450147017E +:0410E10057F2C23EC2 +:0410E2004792C43E2F +:0410E30008F7616346 +:0410E4009E6347A21E +:0410E50047831EA778 +:0410E600468302A19A +:0410E7004703028138 +:0410E800CBCD0291D9 +:0410E900879367E1A1 +:0410EA000613B687AC +:0410EB00C03202A16C +:0410EC000613665D24 +:0410ED0045C5D70618 +:0410EE001FC4051303 +:0410EF00425010EF6C +:0410F00065DD67E56E +:0410F1008593464558 +:0410F2008513DC8501 +:0410F300C0EF210722 +:0410F40045059F8F80 +:0410F500E0EF64E5DF +:0410F6008493AA4FE6 +:0410F70016B72244C2 +:0410F800A7830002C8 +:0410F900D7030D0606 +:0410FA0007C2000425 +:0410FB00076383C143 +:0410FC00D70306F719 +:0410FD000063002468 +:0410FE0065091AF76F +:0410FF00710505135F +:04110000CFFFB0EF7E +:04110100440DBFE9F1 +:0411020006500493FC +:041103004792B569F1 +:0411040040E786B387 +:04110500200007932C +:0411060000D7F463B7 +:04110700200006932B +:041108002007049325 +:041109000106961332 +:04110A00D5938241B6 +:04110B0000C8009484 +:04110C00C636C83AE1 +:04110D00E9EFF0EF27 +:04110E00474246B25C +:04110F0004B3C5015F +:04111000BD0540A039 +:04111100001736137A +:0411120000C885B6D6 +:04111300B44FC0EF26 +:04111400BF25872646 +:04111500879367DD78 +:04111600BF81BAC714 +:04111700CC4FD0EFFA +:0411180007136769E9 +:04111900431CAA07C2 +:04111A00000216B702 +:04111B00E7936509E8 +:04111C00C31C0027C9 +:04111D000EF6A02307 +:04111E00710505133F +:04111F00C83FB0EF26 +:04112000051365DD71 +:0411210046451FC45C +:04112200D7C5859315 +:04112300558020EFE4 +:0411240067E5440D2A +:04112500464565DDF9 +:04112600D885859350 +:041127002107851304 +:04112800544020EF20 +:04112900E0EF4505A9 +:04112A0056629D4F1D +:04112B00458100D426 +:04112C00F0EF450596 +:04112D0084AACE9F23 +:04112E00DC051FE3DA +:04112F0065DD64E531 +:041130008593464518 +:041131008513D985C4 +:0411320020EF1FC4C7 +:04113300450551A07D +:041134009AAFE0EF9F +:04113500470157E235 +:04113600C23E45016F +:04113700C62657F27F +:041138004792C43ED8 +:0411390002F76F63E7 +:04113A00049347A231 +:04113B0094E3F34006 +:04113C00C0EFDAA77F +:04113D0047B2C08F66 +:04113E00464565DDE0 +:04113F00DDC58593F2 +:041140001FC785132D +:041141008C2FC0EF40 +:0411420065DD67E51B +:041143008593464505 +:041144008513DA85B0 +:0411450020EF21076F +:0411460045054CE02F +:0411470095EFE0EF51 +:041148004792A00129 +:0411490040E786B342 +:04114A0010000793F7 +:04114B0000D7F46372 +:04114C0010000693F6 +:04114D00A50367E9A6 +:04114E0085BAA8476F +:04114F00CA3600D0CC +:04115000B0EFC83AFA +:0411510046D2E61F7D +:0411520000D0474240 +:0411530005B384AAB2 +:04115400450300D679 +:04115500CE3600068C +:04115600CA2ECC3A97 +:04115700C0EFC832EB +:0411580046429D2F3F +:04115900476245D2D2 +:04115A0000A60023C8 +:04115B0046F206054D +:04115C00FEC591E358 +:04115D00D20491E344 +:04115E00001736132D +:04115F0000C885B689 +:04116000C0EFC83ADA +:041161004742A0EF72 +:041162001007071358 +:04116300440DBF99DF +:04116400067004937A +:04116500440DB30979 +:041166000680049368 +:041167008713B9ED44 +:04116800468DF9B403 +:0411690006E6EE6345 +:04116A00070A66DD2D +:04116B00DF06869382 +:04116C004318973657 +:04116D0065DD8702B3 +:04116E00D3C58593CD +:04116F00464567E5A5 +:0411700021078513BB +:04117100420020EF29 +:04117200E0EF450560 +:0411730045378B0F62 +:041174000513000F50 +:04117500B0EF2405AE +:04117600D763B29FEA +:0411770055630404B4 +:04117800665D04802C +:04117900061365658F +:04117A0045C5DB8606 +:04117B001FC5051374 +:04117C001F1010EF41 +:04117D00BD71147DAF +:04117E00859365DD13 +:04117F00BF7DCF451C +:04118000859365DD11 +:04118100BF5DD04539 +:04118200859365DD0F +:04118300B77DD185DE +:04118400859365DD0D +:04118500B75DCE057F +:04118600859365DD0B +:04118700BF79C9C59E +:04118800859365DD09 +:04118900BF59D2C5B3 +:04118A00E4AFD0EF0F +:04118B0024C12083D8 +:04118C002481240393 +:04118D002441248352 +:04118E000113557D77 +:04118F008082250134 +:04119000DDC10113A9 +:0411910020230828E7 +:041192002E232211D5 +:041193002C23208168 +:04119400F0EF2091C7 +:04119500C02AD12F6C +:04119600AA2FC0EFCD +:04119700E9314502F3 +:0411980065DD646548 +:0411990085934645AF +:04119A000513E1C593 +:04119B00B0EF21048C +:04119C004509F59F6D +:04119D00806FE0EF90 +:04119E006465C222A0 +:04119F0022440413CF +:0411A000000217B77B +:0411A1000D07A7830C +:0411A20000045703EB +:0411A30083C107C23B +:0411A40004F70463E5 +:0411A50000245703C8 +:0411A60002F71A63CF +:0411A70065DD479229 +:0411A80085934645A0 +:0411A9008513C705DE +:0411AA0020EF21070A +:0411AB00051333A055 +:0411AC00C02A0680CF +:0411AD00A46FC0EF7C +:0411AE002201208377 +:0411AF0021C1240333 +:0411B000248345024D +:0411B1000113218184 +:0411B20080822241D4 +:0411B30005136509B2 +:0411B400B0EF710522 +:0411B500B76DA2DF91 +:0411B60065DD47921A +:0411B7008513464511 +:0411B80085932107F3 +:0411B90020EFE0053E +:0411BA0045092FE0D4 +:0411BB00F8FFD0EF7A +:0411BC00FFF0073702 +:0411BD00200707936D +:0411BE006485C00282 +:0411BF0047A2C43E41 +:0411C0000084941300 +:0411C100943E462DE5 +:0411C20085A2842559 +:0411C300F0EF0068E1 +:0411C400C501BC4F56 +:0411C50040A005330E +:0411C60065DDBF69BB +:0411C700C205859345 +:0411C800B0EF00681C +:0411C900E10DEF1F26 +:0411CA000161470375 +:0411CB0015634685DD +:0411CC00468306D779 +:0411CD0099630141E0 +:0411CE00468300E66E +:0411CF00471901516A +:0411D00076E00613AC +:0411D10006E6846347 +:0411D20010048493EE +:0411D30098E367092D +:0411D4000513FAE421 +:0411D500B0EF3E80B9 +:0411D60045819A9F16 +:0411D700D0EF453DD3 +:0411D80067E9AFFF15 +:0411D900AA47C70357 +:0411DA00879367E5AB +:0411DB0097BA1F0799 +:0411DC000007C50340 +:0411DD0067E94581F8 +:0411DE00A8A7872314 +:0411DF00AE1FD0EF80 +:0411E000468247926A +:0411E1000613665D2E +:0411E20045C5E0C659 +:0411E3002107851348 +:0411E400051010EFF3 +:0411E500BF314505CC +:0411E6004703FB457B +:0411E70015E30141CA +:0411E8004703FAD7E8 +:0411E900F34D015170 +:0411EA000580061363 +:0411EB0085A6083499 +:0411EC00F0EF852279 +:0411ED001EE39E9FC0 +:0411EE004782EE0541 +:0411EF00C03E078572 +:0411F0000113B761CF +:0411F100D506F541E9 +:0411F200D126D3220D +:0411F30000021437AB +:0411F400953FB0EF84 +:0411F5000804049353 +:0411F600D0BC47C55D +:0411F7000E0420239F +:0411F800000227B713 +:0411F9000007A62322 +:0411FA000007A8231F +:0411FB000007AA231C +:0411FC008513678967 +:0411FD00B0EF7107D7 +:0411FE006369909FF2 +:0411FF00AA030793A5 +:041200001D10069324 +:04120100D0B4C3940E +:04120200D0EF4501E3 +:04120300153796CF36 +:0412040005130003CB +:04120500B0EFD4056D +:0412060026378E9F5A +:0412070005B7000621 +:041208000613019C2C +:041209008593A8061B +:04120A000513CC05F7 +:04120B00B0EF040438 +:04120C0067E9C6DFE9 +:04120D00AA07871392 +:04120E0045514314EF +:04120F00F9F6F69363 +:04121000D0B4C3147F +:041211008BBFB0EFF0 +:04121200051345D1AA +:04121300D0EF038095 +:0412140045D18A6FC7 +:04121500039005132A :0412160089CFD0EFBD -:04121700879367E969 -:041218004394A587CF -:0412190045814601C4 -:04121A000406E6934D -:04121B00D0B4C394F4 -:04121C0004040513AE -:04121D00C8BFB0EFA7 -:04121E00B0EF4529BF -:04121F0045E1885FBE -:04122000C0EF4505D1 -:0412210045E1949F70 -:04122200C0EF4509CB -:0412230045E1941FEE -:04122400C0EF450DC5 -:04122500C0EF939FE4 -:041226006369BEDF5B -:04122700A583071381 -:04122800C43A47E19C -:041229005AF51D63F2 -:04122A00C0EF4501CB -:04122B000793961F70 -:04122C000D630FF04F -:04122D0087B75CF52E -:04122E0087931A8008 -:04122F00DABE080714 -:041230008413678933 -:041231004569A1A7C3 -:041232000D2347A1A0 -:041233001C2306F181 -:04123400C0EF068180 -:041235007593939F7B -:04123600E5930F55D8 -:04123700456900A560 -:04123800975FC0EF0D -:0412390005134585CF -:04123A00C0EF0350AE -:04123B0045A596BF70 -:04123C000220051374 -:04123D00961FC0EF49 -:04123E0005136561CE -:04123F00C0EFB8C57F -:041240004501A6FFBF -:04124100B5DFC0EF66 -:0412420005134599B2 -:04124300C0EF03D025 -:041244000593947FFB -:0412450045450440D7 -:0412460093DFC0EF83 -:04124700454945854B -:04124800935FC0EF01 -:04124900454D458149 -:04124A0092DFC0EF80 -:04124B00C0EF18C810 -:04124C0045819E7FBB -:04124D00C0EF455D4C -:04124E00C0EF91FF5D -:04124F004509FE7FD0 -:04125000BFAFC0EF7D -:041251000FF575130D -:04125200136347CD0E -:04125300646554F585 -:0412540013840413E8 -:0412550000244583A9 -:04125600C0EF45158B -:041257004783C2AF58 -:0412580005930024D6 -:04125900451103D068 -:04125A009713839DC6 -:04125B00478300279E -:04125C009BED00C442 -:04125D0006238FD9FC -:04125E00C0EF00F4E9 -:04125F000513C0AF04 -:04126000B0EF3E802D -:0412610045F5F7CF89 -:04126200C0EF451183 -:041263000593BFAF81 -:04126400051303006B -:04126500C0EF0610C0 -:041266000593BEEF3F -:0412670045250B20EE -:04126800BE4FC0EFC6 -:041269000F8005935A -:04126A00C0EF452963 -:04126B000593BDAF7B -:04126C00452D037099 -:04126D00BD0FC0EF02 -:04126E00453D458134 -:04126F00BC8FC0EF81 -:04127000051345819C -:04127100C0EF0C902E -:041272004581BBEF08 -:041273000CA00513B3 -:04127400BB4FC0EFBD -:041275000513458197 -:04127600C0EF0CB009 -:041277004581BAAF44 -:041278000CC005138E -:04127900BA0FC0EFF9 -:04127A000513458192 -:04127B00C0EF0CD0E4 -:04127C004581B96F80 -:04127D000CE0051369 -:04127E00B8CFC0EF36 -:04127F00051345818D -:04128000C0EF0CF0BF -:041281004581B82FBC -:041282000D00051343 -:04128300B78FC0EF72 -:04128400004445835A -:041285000E1005132F -:04128600B6CFC0EF30 -:04128700C0EF45155A -:041288000793F24F87 -:041289001263086084 -:04128A00059306F5CD -:04128B0005130750F0 -:04128C00C0EF0700A8 -:04128D000593F58F41 -:04128E00051309003B -:04128F00C0EF0200AA -:041290004581F4CFD1 -:04129100021005132F -:04129200F42FC0EF86 -:041293000513458179 -:04129400C0EF022085 -:04129500458DF38F01 -:04129600023005130A -:04129700F2EFC0EFC3 -:041298000513458174 -:04129900C0EF028020 -:04129A000593F24F77 -:04129B0005130B002C -:04129C00C0EF071088 -:04129D000593F18F35 -:04129E00051307002D -:04129F00C0EF070095 -:0412A00067E9F0CF3B -:0412A10081A34705D9 -:0412A20067E5A4E771 -:0412A3000D47A783C9 -:0412A4002E23676925 -:0412A5008F63A2F7BA -:0412A600B0EF3E0760 -:0412A70062E5BBBF82 -:0412A8001482851314 -:0412A900061365E1E2 -:0412AA0085930460C4 -:0412AB000565CF8581 -:0412AC00B17FB0EF6F -:0412AD0066E163652E -:0412AE00CC06859352 -:0412AF001DC3051343 -:0412B00003600613BE -:0412B100B03FB0EFAB -:0412B200071367E5D2 -:0412B3008023028012 -:0412B40047B706E74B -:0412B5008793000219 -:0412B60043B83807FA -:0412B700061376792B -:0412B8008F717FF6BD -:0412B90043B8C3B8BB -:0412BA00167D7669BE -:0412BB00C3B88F71B4 -:0412BC00762143B89C -:0412BD007713167D10 -:0412BE006713F1F7CA -:0412BF00C3B80607A3 -:0412C000458143B869 -:0412C1007713453D1D -:0412C20067138FF728 -:0412C300C3B8300775 -:0412C40064E943B8DE -:0412C50067136469DE -:0412C600C3B8001792 -:0412C7009B1D43B870 -:0412C8000087671321 -:0412C90043B8C3B8AB -:0412CA0066218F7199 -:0412CB00C3B88F51C4 -:0412CC00F2CFD0EF9E -:0412CD004503676905 -:0412CE004581A4674B -:0412CF00F20FD0EF5B -:0412D0009AC48793A2 -:0412D100A023656988 -:0412D200A22300074C -:0412D300A423000749 -:0412D400A623000746 -:0412D500A823000743 -:0412D60006130007F4 -:0412D700458102C08B -:0412D8009C05051359 -:0412D900A9FFB0EFCA -:0412DA00859365E1B2 -:0412DB00061313459E -:0412DC00051302C034 -:0412DD00B0EF9EC40C -:0412DE000793A51FAE -:0412DF0046119EC452 -:0412E00002C780A31E -:0412E1008123460D12 -:0412E200B0EF02C7A0 -:0412E3004501D89F4A -:0412E400A5DFC0EFD3 -:0412E500000217B735 -:0412E6000D07A60347 -:0412E70007B762E5FE -:0412E8008713000266 -:0412E9008FF11482EB -:0412EA00C03A66653B -:0412EB0016160713B9 -:0412EC006769C4BAB0 -:0412ED00A4670713D8 -:0412EE008713D03A58 -:0412EF00DE3A9AC485 -:0412F0000713676910 -:0412F100C83AA487CC -:0412F200071367690E -:0412F300D23AA30741 -:0412F400636567695E -:0412F500071366E194 -:0412F600DC1AA34714 -:0412F700C6A2C6368F -:0412F8009263D43AEF -:0412F90066E114078F -:0412FA00C54687134B -:0412FB00C23A44812E -:0412FC0065DD6465E3 -:0412FD00859346454A -:0412FE000513DF05F0 -:0412FF00CA3E1B4484 -:0413000059B010EFE1 -:0413010096934792E6 -:0413020065650024F9 -:04130300428C96BEC4 -:041304000513464542 -:0413050010EF1C8544 -:0413060046375850BE -:041307002683000237 -:0413080045053C0655 -:041309000046E69321 -:04130A003CD620238A -:04130B00A4FFD0EF7C -:04130C00468147D2FD -:04130D00000216378D -:04130E000D062503A0 -:04130F00177D67419E -:0413100000E575B3CC -:041311000337474215 -:04131200C30C000305 -:041313000D0626039A -:041314004613571213 -:041315007633FFF636 -:04131600C31000669A -:041317008763C9859A -:0413180067E502F58E -:041319001DC78793D2 -:04131A000014961312 -:04131B00EAAD97B2EE -:04131C00902365DDD8 -:04131D00464500A79A -:04131E00DF8585934F -:04131F001B44051353 -:0413200051B010EFC9 -:04132100D0EF4505BF -:0413220046859F5FFE -:04132300A303579237 -:0413240057A20007C5 -:04132500E39D439C65 -:04132600116367C127 -:04132700E0BD02F330 -:04132800061347B2AF -:04132900CA1A036079 -:04132A00CC078593D4 -:04132B0044ED57E254 -:04132C001DC7851341 -:04132D00913FB0EF4D -:04132E004689435257 -:04132F0047C2572238 -:041330002023460927 -:04133100CC3600674F -:041332008063439CF5 -:04133300650904C67E -:041334007105051327 -:04133500B0EFCA3E0D -:0413360047D2C28F49 -:04133700BF9946E232 -:041338000007D78350 -:0413390083E346897B -:04133A0065DDFAF57E -:04133B00859346450B -:04133C000513E005B0 -:04133D0010EF1B444E -:04133E0045054A50C7 -:04133F0097FFD0EF55 -:04134000B7694681C2 -:04134100468914F9CC -:041342000485BF550A -:04134300D1E346E9C3 -:04134400453DEE969F -:04134500AA8FD0EFAC -:041346000002473723 -:041347003C072783B5 -:0413480020239BEDD6 -:0413490064E53CF724 -:04134A004721665D74 -:04134B0006134685BA -:04134C0045C5E106AC -:04134D00214485139F -:04134E0025E010EF97 -:04134F0065DD64658F -:0413500085934645F6 -:041351000513F20589 -:04135200B0EF228452 -:04135300450587DFE6 -:041354009D7FD0EFBA -:041355000007A537B1 -:041356001205051364 -:04135700BA2FB0EF0A -:04135800CE3E4799A5 -:04135900CA02CC02F6 -:04135A00D602C602EF -:04135B00CAA2C8A6B4 -:04135C00BD6FB0EFC2 -:04135D00000217B7BC -:04135E000D07A7834D -:04135F00674146C2DA -:041360008F7D177DE9 -:04136100C693C298D5 -:041362000737FFF753 -:041363008F7500037F -:04136400D6135692B4 -:04136500D82A0187FA -:0413660066E9C298DA -:04136700869387B230 -:04136800CA19A4C634 -:041369007613167968 -:04136A00458D0FF6A8 -:04136B0000C5F66360 -:04136C000006C603AE -:04136D0000F615630E -:04136E0020234642B0 -:04136F0080230006D1 -:0413700057A200F68A -:04137100EBF9439CB5 -:04137200C39857A223 -:041373000413646992 -:041374004783A2E425 -:041375008463000489 -:041376004782100793 -:041377000187C783A0 -:041378000E078F636A -:0413790067694782D7 -:04137A00A41747036A -:04137B000127C783FC -:04137C00F7938FD97B -:04137D0094630FF76F -:04137E00B0EF0E07B7 -:04137F0084AAB4CFB9 -:04138000B6CFB0EF45 -:0413810000A55793D9 -:0413820012C005137D -:0413830002A787B383 -:0413840097BA47329B -:041385000CF4E5631C -:041386000793476220 -:04138700E0630630E9 -:0413880046830CE7A5 -:041389004785000490 -:04138A008763470529 -:04138B0047F200F62F -:04138C00FFA787131D -:04138D0000E0373312 -:04138E0046A14782AB -:04138F000187C78388 -:04139000F79317FDBB -:04139100E9630FF706 -:0413920066DD06F618 -:04139300F406869343 -:0413940097B6078A77 -:0413950067E943942D -:04139600A5C78793CD -:0413970056F98682FB -:041398006565665DC4 -:04139900E24606130F -:04139A00051345C52D -:04139B0010EF2145E9 -:04139C0065DD128079 -:04139D0046456565F7 -:04139E00B645859338 -:04139F00228505138B -:0413A00031B010EF69 -:0413A100D0EF45053F -:0413A200A0018A1FFD -:0413A300BFC956F573 -:0413A400B7F956F14E -:0413A500B7E956FD51 -:0413A600BDD9C602E5 -:0413A700C39857A2EE -:0413A800A023579295 -:0413A900B71D000765 -:0413AA004703676925 -:0413AB003733A2C76B -:0413AC00070900E04D -:0413AD0000E78023B2 -:0413AE00477247E259 -:0413AF00F793078524 -:0413B000CC3E0FF729 -:0413B10007634799EE -:0413B200079300F7A6 -:0413B300F793001795 -:0413B400CE3E0FF723 -:0413B500A72FB0EFBF -:0413B600C62A478577 -:0413B7006465CA3E61 -:0413B800DABE109CED -:0413B90025840793ED -:0413BA00001787137E -:0413BB008713C13A99 -:0413BC00C33A002709 -:0413BD0065DDC53AEB -:0413BE00003787135A -:0413BF00DEBEDCBEF4 -:0413C0000791C73A90 -:0413C100462DC93AB2 -:0413C2008593109867 -:0413C30010C8F34516 -:0413C400CD3ECB3A15 -:0413C5000023CF3AF8 -:0413C600B0EF06017D -:0413C7004782EACFA0 -:0413C80047254685EA -:0413C9000187C7834E -:0413CA00C236DA222B -:0413CB0025840493DE -:0413CC0000E786634D -:0413CD00F793078506 -:0413CE00C23E0FF715 -:0413CF00470147C2C9 -:0413D000439045E918 -:0413D100879357E2C5 -:0413D200D6831DC7DA -:0413D3001A63000792 -:0413D40047E904D60B -:0413D50062E7E16387 -:0413D600179366DD26 -:0413D70086930027D2 -:0413D80097B6F64688 -:0413D9008782439C28 -:0413DA00E719468544 -:0413DB004683676579 -:0413DC00B6930F07AE -:0413DD00069100165F -:0413DE0000D7802391 -:0413DF004699BF3537 -:0413E000C683DF657C -:0413E100BFCD000775 -:0413E200B72D471DBF -:0413E30047036769EC -:0413E4003733A2D722 -:0413E500072100E0FC -:0413E6004699BF3134 -:0413E7004685FF71C7 -:0413E8000789BFE1D1 -:0413E9003AB703E329 -:0413EA00B7450705F7 -:0413EB0047224785C9 -:0413EC00431846A2BA -:0413ED00100747138B -:0413EE005712C29838 -:0413EF00431866C178 -:0413F000C2918EF91F -:0413F10006B7479262 -:0413F2008F750002F1 -:0413F300C703CF015C -:0413F4004605011495 -:0413F5006563468165 -:0413F600070500E601 -:0413F7000FF77693E3 -:0413F80000D488A3F2 -:0413F90047296469B3 -:0413FA000413C20214 -:0413FB008663A5C49C -:0413FC00002300E7E3 -:0413FD00478500F42C -:0413FE0047A2C23E02 -:0413FF00F713439C01 -:0414000047A2FF37C9 -:0414010067E9C3983C -:04140200A417C683E2 -:04140300A417879310 -:04140400EA81C0BEFB -:04140500C78367E949 -:04140600078AA407A6 -:0414070047228FD910 -:0414080047A2C31C18 -:0414090017B7439836 -:04140A00A023000219 -:04140B0047860EE71B -:04140C000007C7838B -:04140D004501C7814D -:04140E00DC0FE0EF20 -:04140F0047034782C6 -:04141000C78300048A -:041411008463018768 -:04141200479200E716 -:0414130047D2E7993C -:041414004782CF85B7 -:041415000127C78361 -:0414160067E9CB8532 -:04141700A457C7838C -:04141800C39DCA3E68 -:04141900879367E569 -:04141A0097BA1A87DC -:04141B000007C503FE -:04141C00CA02578227 -:04141D000007C7837A -:04141E0000A7886338 -:04141F00458157822A -:0414200000A780237E -:041421009D8FD0EFDC -:0414220047834702B3 -:0414230046830004F8 -:04142400676901876C -:04142500A5E707131D -:0414260000F69E63CB -:041427000007460371 -:04142800F963468995 -:0414290046821EC613 -:04142A000444C603AD -:04142B0005D6C68399 -:04142C001ED6026363 -:04142D0006136469D5 -:04142E000EA3A5D490 -:04142F000023A404EE -:04143000468D0007DE -:04143100F9E3C2B267 -:04143200C6032AF6CD -:0414330045850444A3 -:0414340028B610E3E3 -:04143500FFA78593F5 -:041436000FF5F59326 -:041437007463440D89 -:04143800006F00B68B -:0414390085936A70BD -:04143A00F513FFF7B0 -:04143B0045A10FF5C3 -:04143C0002A5E163C1 -:04143D00859365E14D -:04143E0095AAB54571 -:04143F000005C6835B -:04144000D6364599BE -:0414410028B78AE35B -:0414420026F5ECE3BC -:0414430081E3458577 -:04144400470228B77C -:041445000C23CCB2F6 -:04144600092300F77F -:04144700C0EF0007EB -:041448004666B64FEF -:0414490075934789C7 -:04144A001BE30D850E -:04144B00E59326F609 -:04144C00450500153D -:04144D00C0EFCCAE72 -:04144E0045E6894F97 -:04144F00C0EF45099C -:0414500045E688CF16 -:04145100C0EF450D96 -:04145200C0EF884F10 -:04145300B0EFFD6F8A -:0414540067E9D01F55 -:04145500A437C7836E -:0414560007136769A8 -:04145700C39DA5E7A5 -:0414580095B345857E -:04145900E593008592 -:04145A00F5930405FD -:04145B0045190FF52B -:04145C00C0EFCCAE63 -:04145D0045E6818F50 -:04145E00C0EF451D79 -:04145F0067E9810FA9 -:04146000A5E7871362 -:041461004403479663 -:0414620045810007B9 -:041463000007C68335 -:04146400E66347896B -:041465001593008754 -:0414660005E2006437 -:04146700971385E171 -:041468009793002630 -:041469008FD90046D1 -:04146A008DDD8FD5B0 -:04146B000FF5F593F1 -:04146C00C0EF456523 -:04146D0045418A2F3C -:04146E00852FC0EF17 -:04146F00478D57321C -:041470000F857593DC -:0414710000F7146309 -:041472000055E593A9 -:04147300C0EF454140 -:041474004789886FAD -:041475001C87FEE3EF -:041476000593479102 -:04147700F4630520F5 -:041478000593008751 -:0414790045390530BC -:04147A0086CFC0EF6A -:04147B00051365618F -:04147C00A0EF6A056E -:04147D004551F0DF06 -:04147E00812FC0EF0B -:04147F00478D57320C -:04148000036345C1FC -:04148100458100F7AA -:04148200C0EF456111 -:04148300458184AF6C -:0414840003600513E9 -:04148500840FC0EF21 -:0414860056B2478291 -:04148700A62347054C -:0414880047A2000770 -:04148900F793439CF6 -:04148A008CE3BFF739 -:04148B00472218E6F6 -:04148C00C31C4645F2 -:04148D00439847A297 -:04148E00000217B78A -:04148F000EE7A023A1 -:04149000C783478245 -:041491009713018725 -:0414920067E10027E7 -:04149300B60787937E -:04149400438C97BA34 -:04149500851347C6AE -:0414960010EF2147EB -:0414970047D67400C0 -:04149800464565DD83 -:04149900228785130E -:04149A00F145859300 -:04149B0072E010EFFC -:04149C00D0EF450543 -:04149D004792CB4F58 -:04149E0067E9CF919A -:04149F00A387C70355 -:0414A000156347A9E0 -:0414A100453D00F7CE -:0414A200D35FC0EF65 -:0414A300EBBFA0EF0C -:0414A400CC02C62A86 -:0414A500C7034782B0 -:0414A600C7830404F0 -:0414A700076305973B -:0414A80067E902F7F7 -:0414A9009EC78793C0 -:0414AA0000B7C503BF -:0414AB00460146812F -:0414AC00B0EF4581D7 -:0414AD00C503DE7F16 -:0414AE00C0EF040483 -:0414AF004702B32F0E -:0414B0000404C783E6 -:0414B10000072623E7 -:0414B20004F70CA38C -:0414B3000404C583E5 -:0414B4008A63478977 -:0414B500478204F571 -:0414B6000414C60351 -:0414B70005A7C7833B -:0414B80002C7836381 -:0414B900879367E9C5 -:0414BA00C5039EC701 -:0414BB00C19100B724 -:0414BC0057F2458915 -:0414BD000127C683BA -:0414BE00DA1FB0EF92 -:0414BF000414C783C7 -:0414C0000D234702AF -:0414C100478204F763 -:0414C2000424C50336 -:0414C30005B7C7831F -:0414C40000A78B638F -:0414C500450DC111FF -:0414C600AAFFB0EFDA -:0414C7000424C783AF -:0414C8000DA3470227 -:0414C900470204F7DB -:0414CA000444C7838C -:0414CB0005D74703F7 -:0414CC0000F70563BD -:0414CD000EA3470221 -:0414CE0067E504F7D3 -:0414CF001007C683B9 -:0414D0004703676502 -:0414D1003633101787 -:0414D20046B700D049 -:0414D300A7830002E9 -:0414D4008B853C06C2 -:0414D50000F61863A2 -:0414D6003C06A783A6 -:0414D7008B8D838DE9 -:0414D80002E78C6338 -:0414D900000247B70F -:0414DA0038078793B5 -:0414DB008B0D43B47E -:0414DC009AF9070E64 -:0414DD00C3B48ED135 -:0414DE009A9D43B4DC -:0414DF00C3B88F55AA -:0414E000C7834786F1 -:0414E100CB890007AC -:0414E200A02347C23A -:0414E300C0EF00074F -:0414E40045058E5FCD -:0414E500A64FE0EF3F -:0414E600C7834782EF -:0414E7008DE3018709 -:0414E800543734073A -:0414E900A0EF000A66 -:0414EA000413DA1FEE -:0414EB00942ACB84F0 -:0414EC00D97FA0EF15 -:0414ED0000857A6399 -:0414EE00000217B72A -:0414EF000D07A783BB -:0414F00000100737AA -:0414F100D7ED8FF9AB -:0414F200000227B716 -:0414F3004380439857 -:0414F4000007A30347 -:0414F50043DC43D4BD -:0414F6008B058331AE -:0414F70000B35313D8 -:0414F800C23A06B23C -:0414F9007FF47413F5 -:0414FA000013731355 -:0414FB00D29383D134 -:0414FC00471300C6CC -:0414FD00F79300134E -:0414FE0036930FF71B -:0414FF0004630C84F2 -:04150000369300031B -:041501004602190481 -:041502000016C69376 -:041503000126460374 -:041504007A061D63E3 -:041505000363461224 -:04150600C2027C069B -:041507007C06826379 -:0415080046854602CC -:0415090000D60923DC -:04150A00C23646910E -:04150B00429446823E -:04150C0002D41C6386 -:04150D00C6834682C9 -:04150E0097630106D8 -:04150F00468202E628 -:04151000861342D428 -:04151100E163FCE6B0 -:04151200869302C2F8 -:04151300ED6303265B -:0415140046820056B5 -:0415150086B34694BF -:04151600D61340D7D1 -:041517008EB141F65A -:0415180046218E9149 -:0415190000D65C6339 -:04151A0046094592A7 -:04151B0000414683C2 -:04151C0000C5F363B0 -:04151D00F693468972 -:04151E00C2360FF6CC -:04151F0057D2CEBE13 -:04152000464545A651 -:041521002587851382 -:04152200C2BACC96E7 -:0415230010EFC09A6B -:0415240043064B80AF -:0415250042E647163D -:04152600E51947F686 -:04152700C60366E9A8 -:041528004685A766E7 -:0415290000D61C6369 -:04152A004609459297 -:04152B0000414683B2 -:04152C0000C5F363A0 -:04152D00F693468962 -:04152E00C2360FF6BC -:04152F00460556F225 -:041530000136868377 -:0415310002D65C631F -:04153200000285B777 -:041533004519419085 -:041534008A3D826DFD -:0415350002A60463A3 -:0415360016FD4190CD -:04153700826D06E2D9 -:0415380086E18A3D81 -:0415390000C68C63F9 -:04153A004609459287 -:04153B0000414683A2 -:04153C0000C5F36390 -:04153D00F693468952 -:04153E00C2360FF6AC -:04153F00C28046829E -:0415400000645433BC -:041541000282D4331B -:041542000056A2238A -:041543008823C69C97 -:04154400C6C000E637 -:041545004789A5F13C -:04154600478DBC59B8 -:041547004791BC49C3 -:041548004795B47996 -:041549004799B469A1 -:04154A00479DB459AC -:04154B0047A1B449B7 -:04154C0047A5BCBD36 -:04154D006769BCAD61 -:04154E00A4170713C4 -:04154F0000074683C8 -:041550000002463718 -:041551000016B79336 -:0415520000F700237B -:0415530000279713C3 -:041554003C062783A7 -:041555008FD99BEDA2 -:041556003CF620231C -:04155700C7035782ED -:0415580067E9000738 -:04155900A4E783A3DD -:04155A003A069A6350 -:04155B00F06FC0EF7E -:04155C00E0EF450572 -:04155D0047A9886FA3 -:04155E0067E9BC1D60 -:04155F00A417C78383 -:041560000613FBFD76 -:0415610045813C0084 -:041562000002453707 -:04156300876FB0EFEF -:0415640045855782E0 -:041565000002443705 -:041566000007C503B2 -:04156700CC1FC0EFE6 -:041568000613665DA3 -:0415690045C1E3464F -:04156A0000024537FF -:04156B001EB000EFBF -:04156C00665D47B6BB -:04156D00BEC60613DD -:04156E009EC78693FB -:04156F00051345C15A -:0415700000EF010483 -:04157100665D1D5046 -:04157200E446061332 -:04157300051345C156 -:0415740000EF02047E -:0415750067E91C50B6 -:041576009EC7871372 -:04157700010756838F -:04157800064007938F -:04157900F733665D81 -:04157A00061302F65C -:04157B0045C1E5463B -:04157C00030405134C -:04157D0002F6D6B3E9 -:04157E0019F000EF71 +:04121700455145D127 +:04121800894FD0EF3B +:04121900051345D1A3 +:04121A00D0EF0710FA +:04121B0045D188AF82 +:04121C0005E00513D1 +:04121D00880FD0EF77 +:04121E00051345D19E +:04121F00D0EF06D036 +:0412200045D1876FBE +:04122100D0EF453194 +:04122200059386EFBB +:04122300450532004B +:04122400864FD0EF32 +:04122500451945D151 +:0412260085CFD0EFB1 +:0412270032000593F9 +:04122800D0EF4509B5 +:0412290067E9852FBD +:04122A00AA078793F5 +:04122B0046014394A1 +:04122C00E69345817F +:04122D00C39404065C +:04122E000513D0B420 +:04122F00B0EF040414 +:041230004529C41F69 +:0412310083BFB0EFD8 +:04123200450545E148 +:041233008FFFC0EF7A +:04123400450945E142 +:041235008F7FC0EFF8 +:04123600450D45E13C +:041237008EFFC0EF77 +:04123800BA3FC0EF0A +:0412390007136369CB +:04123A0047E1AA03DB +:04123B001D63C43A31 +:04123C0045015AF519 +:04123D00917FC0EFEE +:04123E000FF0079313 +:04123F005CF50D63EA +:041240001A8087B7D2 +:041241000807879380 +:041242006789DABE20 +:04124300A1A78413C8 +:0412440047A1456910 +:0412450006F10D237E +:0412460006811C23DE +:041247008EFFC0EF67 +:041248000F55759336 +:0412490000A5E59384 +:04124A00C0EF456943 +:04124B00458592BF84 +:04124C000350051333 +:04124D00921FC0EF3D +:04124E00051345A59A +:04124F00C0EF0220CA +:041250006561917FC4 +:04125100BD4505137F +:04125200A25FC0EFE8 +:04125300C0EF4501A2 +:041254004599B13FC8 +:0412550003D00513AA +:041256008FDFC0EF77 +:0412570004400593B7 +:04125800C0EF454559 +:0412590045858F3FF9 +:04125A00C0EF454953 +:04125B0045818EBF7C +:04125C00C0EF454D4D +:04125D0018C88E3FE0 +:04125E0099DFC0EF65 +:04125F00455D458123 +:041260008D5FC0EFEF +:04126100F9DFC0EF02 +:04126200C0EF45098B +:041263007513BB0F35 +:0412640047CD0FF56E +:0412650054F51363C6 +:0412660004136465A4 +:04126700458318049F +:041268004515002404 +:04126900BE0FC0EF05 +:04126A000024478392 +:04126B0003D0059314 +:04126C00839D451108 +:04126D0000279713AC +:04126E0000C44783EE +:04126F008FD99BED8B +:0412700000F406235D +:04127100BC0FC0EFFF +:041272003E800513A2 +:04127300F32FB0EFB6 +:04127400451145F5E6 +:04127500BB0FC0EFFC +:0412760003000593D9 +:041277000610051345 +:04127800BA4FC0EFBA +:041279000B200593AE +:04127A00C0EF452557 +:04127B000593B9AF6F +:04127C0045290F8071 +:04127D00B90FC0EFF6 +:04127E000370059361 +:04127F00C0EF452D4A +:041280004581B86F7D +:04128100C0EF453D38 +:041282004581B7EFFC +:041283000C900513B3 +:04128400B74FC0EFB1 +:041285000513458187 +:04128600C0EF0CA009 +:041287004581B6AF38 +:041288000CB005138E +:04128900B60FC0EFED +:04128A000513458182 +:04128B00C0EF0CC0E4 +:04128C004581B56F74 +:04128D000CD0051369 +:04128E00B4CFC0EF2A +:04128F00051345817D +:04129000C0EF0CE0BF +:041291004581B42FB0 +:041292000CF0051344 +:04129300B38FC0EF66 +:041294000513458178 +:04129500C0EF0D0099 +:041296004583B2EFEB +:0412970005130044F7 +:04129800C0EF0E1085 +:041299004515B22F16 +:04129A00EDAFC0EF05 +:04129B00086007934D +:04129C0006F51263DE +:04129D00075005935E +:04129E00070005132D +:04129F00F0EFC0EFBD +:0412A00009000593A9 +:0412A100020005132F +:0412A200F02FC0EF7A +:0412A3000513458169 +:0412A400C0EF021085 +:0412A5004581EF8F01 +:0412A600022005130A +:0412A700EEEFC0EFB7 +:0412A8000513458D58 +:0412A900C0EF023060 +:0412AA004581EE4F3D +:0412AB0002800513A5 +:0412AC00EDAFC0EFF3 +:0412AD000B0005939A +:0412AE00071005130D +:0412AF00ECEFC0EFB1 +:0412B000070005939B +:0412B100070005131A +:0412B200EC2FC0EF6E +:0412B300470567E99B +:0412B400A8E785A37F +:0412B500A78367E5BF +:0412B600676911C78C +:0412B700A8F722234F +:0412B8003E078F63FB +:0412B900B71FB0EFBC +:0412BA00851362E551 +:0412BB0065E11902CE +:0412BC0004600613B1 +:0412BD00D40585933C +:0412BE00B0EF056523 +:0412BF006365ACDFD8 +:0412C000859366E1CB +:0412C1000513D086BB +:0412C20006132243AA +:0412C300B0EF036025 +:0412C40067E5AB9F90 +:0412C5000280071389 +:0412C6000AE784238C +:0412C700000247B723 +:0412C80038078793C9 +:0412C900767943B837 +:0412CA007FF6061392 +:0412CB00C3B88F71A4 +:0412CC00766943B844 +:0412CD008F71167D8A +:0412CE0043B8C3B8A6 +:0412CF00167D7621F1 +:0412D000F1F77713A8 +:0412D1000607671392 +:0412D20043B8C3B8A2 +:0412D300453D4581CF +:0412D4008FF7771306 +:0412D5003007671364 +:0412D60043B8C3B89E +:0412D700646964E9F9 +:0412D8000017671381 +:0412D90043B8C3B89B +:0412DA0067139B1DDE +:0412DB00C3B800870D +:0412DC008F7143B813 +:0412DD008F516621A6 +:0412DE00D0EFC3B8D2 +:0412DF006769EE2F1E +:0412E000A8E7450333 +:0412E100D0EF458184 +:0412E2008793ED6F92 +:0412E30065699F4456 +:0412E4000007A0233C +:0412E5000007A22339 +:0412E6000007A42336 +:0412E7000007A62333 +:0412E8000007A82330 +:0412E90002C0061326 +:0412EA000513458122 +:0412EB00B0EFA0853B +:0412EC0065E1A55FB4 +:0412ED0017C5859309 +:0412EE0002C0061321 +:0412EF00A3440513FC +:0412F000A07FB0EF3C +:0412F100A344079378 +:0412F20080A346117E +:0412F300460D02C7DB +:0412F40002C7812389 +:0412F500D3FFB0EF84 +:0412F600C0EF4501FF +:0412F70017B7A13F45 +:0412F800A603000247 +:0412F90062E50D0796 +:0412FA00000207B730 +:0412FB00190287133A +:0412FC0066658FF1A3 +:0412FD000713C03AD9 +:0412FE00C4BA1A96BE +:0412FF000713676901 +:04130000D03AA8E750 +:041301009F4487136B +:041302006769DE3AFF +:04130300A90707131C +:041304006769C83A13 +:04130500A78707139C +:041306006769D23A07 +:0413070066E16365D3 +:04130800A7C7071359 +:04130900C636DC1AEE +:04130A00D43AC6A269 +:04130B0014079263CE +:04130C00871366E1FC +:04130D004481C9C688 +:04130E006465C23A16 +:04130F00464565DD0D +:04131000E385859359 +:041311001FC40513DD +:0413120010EFCA3ED0 +:04131300479259B0F4 +:041314000024969388 +:0413150096BE6565B6 +:041316004645428C7A +:041317002105051394 +:04131800585010EF2A +:041319000002463751 +:04131A003C062683E4 +:04131B00E69345050B +:04131C002023004644 +:04131D00D0EF3CD6FB +:04131E0047D2A05FB3 +:04131F0016374681B6 +:04132000250300029F +:0413210067410D060D +:0413220075B3177D0B +:04132300474200E558 +:041324000003033788 +:041325002603C30CCC +:0413260057120D0647 +:04132700FFF6461374 +:0413280000667633B2 +:04132900C985C3109F +:04132A0002F58763DE +:04132B00879367E558 +:04132C0096132247AB +:04132D0097B200145F +:04132E0065DDEAADE2 +:04132F0000A7902360 +:041330008593464516 +:041331000513E405B7 +:0413320010EF1FC4D5 +:04133300450551B06B +:041334009ABFD0EF9D +:041335005792468500 +:041336000007A30306 +:04133700439C57A2DA +:0413380067C1E39D09 +:0413390002F3116347 +:04133A0047B2E0BD19 +:04133B000360061332 +:04133C008593CA1AB1 +:04133D0057E2D0871C +:04133E00851344EDE2 +:04133F00B0EF2247A2 +:0413400043528C9FE9 +:041341005722468960 +:04134200460947C24F +:0413430000672023FC +:04134400439CCC36C4 +:0413450004C68063F7 +:04134600051365091D +:04134700CA3E710524 +:04134800BDEFB0EF56 +:0413490046E247D25F +:04134A00D783BF99ED +:04134B0046890007C8 +:04134C00FAF583E348 +:04134D00464565DDCF +:04134E00E48585931A +:04134F001FC405139F +:041350004A5010EF00 +:04135100D0EF45058F +:041352004681935FDE +:0413530014F9B76969 +:04135400BF554689B2 +:0413550046E90485DC +:04135600EE96D1E35B +:04135700D0EF453D51 +:041358004737A5EF7F +:0413590027830002E4 +:04135A009BED3C07C4 +:04135B003CF7202318 +:04135C00665D64E581 +:04135D004685472555 +:04135E00E586061307 +:04135F00851345C5E8 +:0413600010EF25C4A1 +:04136100646525E0BA +:04136200464565DDBA +:04136300F6858593F3 +:041364002704051342 +:04136500833FB0EF23 +:04136600D0EF45057A +:04136700A53798DF2F +:041368000513000762 +:04136900B0EF1205CA +:04136A004799B58F5B +:04136B00CC02CE3EA4 +:04136C00C602CA02E9 +:04136D00C8A6D60236 +:04136E00B0EFCAA270 +:04136F0017B7B8CF25 +:04137000A78300024D +:0413710046C20D075C +:04137200177D67413B +:04137300C2988F7D10 +:04137400FFF7C69326 +:041375000003073733 +:0413760056928F7587 +:041377000187D61301 +:04137800C298D82A15 +:0413790087B266E9E8 +:04137A00A946869367 +:04137B001679CA19FC +:04137C000FF67613DF +:04137D00F663458D41 +:04137E00C60300C5DD +:04137F0015630006EC +:04138000464200F6EB +:04138100000620231F +:0413820000F68023CE +:04138300439C57A28E +:0413840057A2EBF988 +:041385006469C3983C +:04138600A764041341 +:041387000004478394 +:041388001007846363 +:04138900C78347824D +:04138A008F630187E5 +:04138B0047820E0780 +:04138C004703676943 +:04138D00C783A897D3 +:04138E008FD90127CB +:04138F000FF7F793CA +:041390000E0794634D +:04139100B02FB0EFDA +:04139200B0EF84AA8A +:041393005793B22F8B +:04139400051300A598 +:0413950087B312C048 +:04139600473202A731 +:04139700E56397BAB9 +:0413980047620CF4A8 +:041399000630079380 +:04139A000CE7E06319 +:04139B000004468381 +:04139C004705478535 +:04139D0000F687636C +:04139E00871347F278 +:04139F003733FFA73A +:0413A000478200E0A0 +:0413A100C78346A117 +:0413A20017FD0187AB +:0413A3000FF7F793B6 +:0413A40006F6E963FD +:0413A500869366DDE8 +:0413A600078AF88634 +:0413A700439497B61E +:0413A800879367E9D7 +:0413A9008682AA4747 +:0413AA00665D56F92D +:0413AB00061365655B +:0413AC0045C5E6C687 +:0413AD0025C505133A +:0413AE00128010EFAA +:0413AF00656565DD2E +:0413B0008593464596 +:0413B1000513BAC5A1 +:0413B20010EF27050C +:0413B300450531B00B +:0413B400857FD0EF72 +:0413B50056F5A00148 +:0413B60056F1BFC964 +:0413B70056FDB7F92F +:0413B800C602B7E9C9 +:0413B90057A2BDD9A1 +:0413BA005792C398EB +:0413BB000007A02364 +:0413BC006769B71D89 +:0413BD00A7474703F4 +:0413BE0000E03733E1 +:0413BF008023070977 +:0413C00047E200E719 +:0413C10007854772E3 +:0413C2000FF7F79397 +:0413C3004799CC3E3C +:0413C40000F70763C4 +:0413C5000017079373 +:0413C6000FF7F79393 +:0413C700B0EFCE3E77 +:0413C8004785A28F24 +:0413C900CA3EC62A28 +:0413CA00109C6465AA +:0413CB000793DABEEC +:0413CC0087132A0455 +:0413CD00C13A00170A +:0413CE00002787135A +:0413CF00C53AC33A1E +:0413D000871365DD3D +:0413D100DCBE003747 +:0413D200C73ADEBE7A +:0413D300C93A07917B +:0413D4001098462DFA +:0413D500F7C5859340 +:0413D600CB3A10C836 +:0413D700CF3ACD3EFE +:0413D80006010023E7 +:0413D900E62FB0EF5C +:0413DA00468547827B +:0413DB00C783472558 +:0413DC00DA22018789 +:0413DD000493C2367D +:0413DE0086632A04F4 +:0413DF00078500E797 +:0413E0000FF7F79379 +:0413E10047C2C23EFF +:0413E20045E9470191 +:0413E30057E24390FA +:0413E4002247879382 +:0413E5000007D683A4 +:0413E60004D61A63AC +:0413E700E16347E98E +:0413E80066DD62E775 +:0413E900002717932F +:0413EA00FAC6869326 +:0413EB00439C97B6D2 +:0413EC004685878229 +:0413ED006765E71930 +:0413EE001387468398 +:0413EF000016B6939B +:0413F00080230691BF +:0413F100BF3500D72D +:0413F200DF654699D4 +:0413F3000007C683A6 +:0413F400471DBFCD05 +:0413F5006769B72D40 +:0413F600A7574703AB +:0413F70000E03733A8 +:0413F800BF310721D9 +:0413F900FF714699A1 +:0413FA00BFE1468584 +:0413FB0003E3078978 +:0413FC0007053AB7F0 +:0413FD004785B74524 +:0413FE0046A247229A +:0413FF004713431835 +:04140000C298100777 +:0414010066C1571257 +:041402008EF9431804 +:041403004792C291B9 +:04140400000206B725 +:04140500CF018F750F +:041406000114C70303 +:0414070046814605CF +:0414080000E6656332 +:0414090076930705CA +:04140A0088A30FF7AD +:04140B00646900D43C +:04140C00C2024729A8 +:04140D00AA440413D6 +:04140E0000E786630A +:04140F0000F40023C2 +:04141000C23E47850C +:04141100439C47A20F +:04141200FF37F71396 +:04141300C39847A291 +:04141400C68367E93B +:041415008793A8977A +:04141600C0BEA89715 +:0414170067E9EA8116 +:04141800A887C78357 +:041419008FD9078AD6 +:04141A00C31C472286 +:04141B00439847A209 +:04141C00000217B7FC +:04141D000EE7A02313 +:04141E00C7834786B3 +:04141F00C78100077A +:04142000E0EF4501B3 +:041421004782DC0F13 +:041422000004470378 +:041423000187C783F3 +:0414240000E78463F6 +:04142500E79947926A +:04142600CF8547D255 +:04142700C7834782AE +:04142800CB85012748 +:04142900C78367E925 +:04142A00CA3EA8D737 +:04142B0067E5C39D11 +:04142C001F0787937C +:04142D00C50397BAA2 +:04142E0057820007DA +:04142F00C783CA02A3 +:0414300088630007C6 +:04143100578200A737 +:04143200802345814D +:04143300D0EF00A74F +:04143400470298EFE4 +:0414350000044783E5 +:041436000187468361 +:0414370007136769C7 +:041438009E63AA679E +:04143900460300F670 +:04143A0046890007D8 +:04143B001EC6F9636D +:04143C00C60346821B +:04143D00C68304441A +:04143E00026305D66A +:04143F0064691ED6E8 +:04144000AA54061391 +:04144100AA0402A354 +:04144200000700237C +:04144300C2B2468D5E +:041444002AF6F9E3A8 +:041445000444C60392 +:0414460010E34585E5 +:04144700859328B6AB +:04144800F593FFA772 +:04144900440D0FF54A +:04144A0000B6746311 +:04144B006A70006F54 +:04144C00FFF785938E +:04144D000FF5F5138F +:04144E00E16345A170 +:04144F0065E102A5AC +:04145000B9C5859302 +:04145100C68395AA0F +:0414520045990005B3 +:041453008AE3D6361C +:04145400ECE328B7E6 +:04145500458526F5AE +:0414560028B781E34F +:04145700CCB24702CA +:0414580000F70C236A +:04145900000709235C +:04145A00B1AFC0EF7F +:04145B004789466611 +:04145C000D857593F2 +:04145D0026F61BE371 +:04145E000015E593FD +:04145F00CCAE4505C5 +:0414600084AFC0EFA6 +:04146100450945E60E +:04146200842FC0EF24 +:04146300450D45E608 +:0414640083AFC0EFA3 +:04146500F8CFC0EF0D +:04146600CB7FB0EF99 +:04146700C78367E9E7 +:041468006769A8B751 +:04146900AA67071354 +:04146A004585C39D54 +:04146B00008595B3B0 +:04146C000405E593FB +:04146D000FF5F593EF +:04146E00CCAE4519A2 +:04146F00FCFFB0EFDF +:04147000451D45E6EB +:04147100FC7FB0EF5D +:04147200871367E98C +:041473004796AA6787 +:041474000007440326 +:04147500C683458164 +:04147600478900079B +:041477000087E663A1 +:041478000064159364 +:0414790085E105E222 +:04147A00002697139E +:04147B0000469793FD +:04147C008FD58FD9A0 +:04147D00F5938DDD79 +:04147E0045650FF5BC +:04147F00858FC0EFA6 +:04148000C0EF454133 +:041481005732808FCF +:041482007593478D8A +:0414830014630F855A +:04148400E59300F7F5 +:041485004541005588 +:0414860083CFC0EF61 +:04148700FEE34789B0 +:0414880047911C87E5 +:0414890005200593A2 +:04148A000087F46380 +:04148B000530059390 +:04148C00C0EF45392F +:04148D006561822FE4 +:04148E006A050513D3 +:04148F00EC3FA0EF9F +:04149000B0EF455123 +:041491005732FC9F33 +:0414920045C1478D7C +:0414930000F70363F8 +:0414940045614581E8 +:04149500800FC0EF15 +:041496000513458174 +:04149700B0EF03604F +:041498004782FF7F09 +:04149900470556B2FB +:04149A000007A6237E +:04149B00439C47A285 +:04149C00BFF7F7930C +:04149D0018E68CE3DE +:04149E004645472256 +:04149F0047A2C31C81 +:0414A00017B743989F +:0414A100A023000282 +:0414A20047820EE788 +:0414A3000187C78373 +:0414A4000027971373 +:0414A500879367E1E1 +:0414A60097BABA87B0 +:0414A70047C6438C65 +:0414A80025C78513BC +:0414A900740010EFCC +:0414AA0065DD47D6DF +:0414AB00851346451A +:0414AC0085932707F6 +:0414AD0010EFF5C582 +:0414AE00450572E09E +:0414AF00C6AFD0EF05 +:0414B000CF914792FF +:0414B100C70367E91D +:0414B20047A9A80797 +:0414B30000F71563C6 +:0414B400C0EF453D03 +:0414B500A0EFCEBF17 +:0414B600C62AE71F3C +:0414B7004782CC029A +:0414B8000404C7035E +:0414B9000597C78349 +:0414BA0002F70763CB +:0414BB00879367E9C3 +:0414BC00C503A3477A +:0414BD00468100B7AD +:0414BE00458146011D +:0414BF00D9DFB0EFD2 +:0414C0000404C50358 +:0414C100AE8FC0EF3B +:0414C200C783470293 +:0414C30026230404D4 +:0414C4000CA300076E +:0414C500C58304F7E0 +:0414C600478904044A +:0414C70004F58A633B +:0414C800C60347828E +:0414C900C7830414BD +:0414CA00836305A78C +:0414CB0067E902C704 +:0414CC00A347879318 +:0414CD0000B7C5039C +:0414CE004589C191FA +:0414CF00C68357F287 +:0414D000B0EF012751 +:0414D100C783D57F79 +:0414D20047020414B5 +:0414D30004F70D23EA +:0414D400C503478283 +:0414D500C7830424A1 +:0414D6008B6305B768 +:0414D700C11100A798 +:0414D800B0EF450D1F +:0414D900C783A65FC0 +:0414DA00470204249D +:0414DB0004F70DA362 +:0414DC00C783470279 +:0414DD004703044479 +:0414DE00056305D7C6 +:0414DF00470200F7C9 +:0414E00004F70EA35C +:0414E100C68367E572 +:0414E200676514879F +:0414E3001497470310 +:0414E40000D03633CB +:0414E500000246B704 +:0414E6003C06A78396 +:0414E70018638B8576 +:0414E800A78300F6E0 +:0414E900838D3C06AD +:0414EA008C638B8DF7 +:0414EB0047B702E716 +:0414EC0087930002E0 +:0414ED0043B43807C5 +:0414EE00070E8B0D4D +:0414EF008ED19AF907 +:0414F00043B4C3B48A +:0414F1008F559A9DDC +:0414F2004786C3B8AE +:0414F3000007C783A4 +:0414F40047C2CB8997 +:0414F5000007A02329 +:0414F60089BFC0EFFB +:0414F700E0EF4505D8 +:0414F8004782A64F32 +:0414F9000187C7831D +:0414FA0034078DE343 +:0414FB00000A543758 +:0414FC00D57FA0EF09 +:0414FD00CB84041385 +:0414FE00A0EF942A9D +:0414FF007A63D4DF59 +:0415000017B7008594 +:04150100A7830002BA +:0415020007370D0793 +:041503008FF900104C +:0415040027B7D7ED41 +:041505004398000205 +:04150600A303438078 +:0415070043D40007C2 +:04150800833143DC0C +:0415090053138B05E8 +:04150A0006B200B372 +:04150B007413C23A59 +:04150C0073137FF4E2 +:04150D0083D1001373 +:04150E0000C6D293AE +:04150F00001347136B +:041510000FF7F79347 +:041511000C8436937D +:04151200000304636B +:0415130019043693EE +:04151400C693460232 +:041515004603001673 +:041516001D6301262A +:0415170046127A06F8 +:041518007C060363E7 +:041519008263C20225 +:04151A0046027C0603 +:04151B0009234685D5 +:04151C00469100D61E +:04151D004682C2360A +:04151E001C63429474 +:04151F00468202D42A +:041520000106C68377 +:0415210002E69763E4 +:0415220042D44682E7 +:04152300FCE6861349 +:0415240002C2E163BB +:041525000326869380 +:041526000056ED631B +:04152700469446821E +:0415280040D786B36F +:0415290041F6D6139E +:04152A008E918EB15F +:04152B005C63462196 +:04152C00459200D60E +:04152D0046834609A2 +:04152E00F363004122 +:04152F00468900C524 +:041530000FF6F69329 +:04153100CEBEC23632 +:0415320045A657D2A1 +:041533008513464591 +:04153400CC962A0720 +:04153500C09AC2BADC +:041536004B8010EFE7 +:04153700471643060A +:0415380047F642E64A +:0415390066E9E51961 +:04153A00ABE6C60353 +:04153B001C63468562 +:04153C00459200D6FE +:04153D004683460992 +:04153E00F363004112 +:04153F00468900C514 +:041540000FF6F69319 +:0415410056F2C23666 +:041542008683460551 +:041543005C630136AE +:0415440085B702D68F +:0415450041900002CF +:04154600826D451954 +:0415470004638A3D72 +:04154800419002A626 +:0415490006E216FDA3 +:04154A008A3D826DE7 +:04154B008C6386E146 +:04154C00459200C6FE +:04154D004683460982 +:04154E00F363004102 +:04154F00468900C504 +:041550000FF6F69309 +:041551004682C236D6 +:041552005433C280CC +:04155300D433006429 +:04155400A22302824A +:04155500C69C0056DA +:0415560000E6882300 +:04155700A5F1C6C074 +:04155800BC594789AA +:04155900BC49478DB5 +:04155A00B479479188 +:04155B00B469479593 +:04155C00B45947999E +:04155D00B449479DA9 +:04155E00BCBD47A128 +:04155F00BCAD47A533 +:04156000071367699D +:041561004683A8977E +:041562004637000701 +:04156300B793000238 +:04156400002300164A +:04156500971300F7E1 +:0415660027830027B0 +:041567009BED3C06B6 +:0415680020238FD9D4 +:0415690057823CF673 +:04156A000007C703AC +:04156B0087A367E902 +:04156C009A63A8E7EF +:04156D00C0EF3A068B +:04156E004505EBCF75 +:04156F00886FE0EFB2 +:04157000BC1D47A9AE +:04157100C78367E9DC +:04157200FBFDA8973E +:041573003C0006131F +:041574004537458131 +:04157500B0EF0002D1 +:04157600578282CF47 +:04157700443745852B +:04157800C5030002A5 +:04157900C0EF0007B8 +:04157A00665DC77F64 +:04157B00E7C60613A6 +:04157C00453745C1E9 +:04157D0000EF000279 +:04157E0047B61EB09E :04157F000613665D8C -:0415800045C1E60675 -:041581000404051346 -:0415820018F000EF6E -:04158300871367E97A -:0415840086939EC7E5 -:0415850047039EC7B3 -:04158600C68301D740 -:04158700665D01C6D6 -:04158800E706061359 -:04158900051345C140 -:04158A0000EF050465 -:04158B00665D16D0B3 -:04158C00E7C6061395 -:04158D00051345C13C -:04158E0000EF060460 -:04158F0067E915D023 -:041590009EC7871358 -:041591009EC78693D8 -:0415920001A7570353 -:041593000186D68374 -:041594000613665D77 -:0415950045C1E7065F -:04159600070405132E -:0415970013B000EF9E -:041598000613665D73 -:0415990045C1E8C69A -:04159A000804051329 -:04159B0012B000EF9B -:04159C00871367E961 -:04159D0086939EC7CC -:04159E0057039EC78A -:04159F00D68300E708 -:0415A000665D00C6BE -:0415A100E706061340 -:0415A200051345C127 -:0415A30000EF090448 -:0415A400665D1090E0 -:0415A500E9860613BA -:0415A600051345C123 -:0415A70000EF0A0443 -:0415A80067E90F9050 -:0415A9009EC787133F -:0415AA009EC78793BE -:0415AB000127D683BB -:0415AC000167570379 -:0415AD000613665D5E -:0415AE0045C1E70646 -:0415AF000B04051311 -:0415B0000D7000EFCB -:0415B1000613665D5A -:0415B20045C1EA46FF -:0415B3000E0405130A -:0415B4000C7000EFC8 -:0415B500676557828D -:0415B6000F04051306 -:0415B7000007C683E0 -:0415B80024874783BA -:0415B9002487071369 -:0415BA00675DE78101 -:0415BB00BE0707134D -:0415BC000613665D4F -:0415BD0045C1BE86E0 -:0415BE0009F000EF41 -:0415BF0000024437AB -:0415C0000613665D4B -:0415C10045C1EB062F -:0415C20010040513F9 -:0415C30008B000EF7D -:0415C4004721665DF8 -:0415C500061346853E -:0415C60045C1EB46EA -:0415C70011040513F3 -:0415C800077000EFB9 -:0415C9003804079348 -:0415CA00671343B8A8 -:0415CB00C3B800277A -:0415CC003C04262392 -:0415CD001FF00713F1 -:0415CE00C7B8C3F8DF -:0415CF0047A2BD2D45 -:0415D000439C4722CF -:0415D1000107C793B4 -:0415D200B535C31C4C -:0415D3000114C783B5 -:0415D4004701468500 -:0415D50000F6E563D4 -:0415D600F71307857B -:0415D70067E90FF7BA -:0415D800A417C7830A -:0415D90000E488A3FF -:0415DA006465E7A9B4 -:0415DB00BEC4041373 -:0415DC004641400C38 -:0415DD00000245378C -:0415DE00222010EFC8 -:0415DF000114C70329 -:0415E000070A481C92 -:0415E100438C97BAE6 -:0415E2004437464103 -:0415E30005130002EA -:0415E40010EF0204FE -:0415E50027832080B8 -:0415E600E7933C0447 -:0415E7002023002796 -:0415E80026233CF486 -:0415E900478D3C04EA -:0415EA003CF4222388 -:0415EB003C04242375 -:0415EC0067E9B3D91F -:0415ED00A427C783E5 -:0415EE000037971318 -:0415EF00879367E592 -:0415F00097BAF707A8 -:0415F1000713676114 -:0415F200439C54477B -:0415F300DAE795E3BB -:0415F400CA2FC0EF4B -:0415F500C783B34DA8 -:0415F6004685012401 -:0415F700E563470160 -:0415F800078500F66D -:0415F9000FF7F713DE -:0415FA00C78367E953 -:0415FB008923A41785 -:0415FC00F3E100E433 -:0415FD00041364650A -:0415FE00506CBEC4AB -:0415FF0045374641E5 -:0416000010EF0002E5 -:04160100C703198082 -:04160200587C0124EB -:0416030046D9BF9D68 -:041604000164C78333 -:0416050002D71E6387 -:0416060017FDC78184 -:041607000FF7F7934F -:0416080000F48B233C -:04160900C78367E943 -:04160A00F7C1A41769 -:04160B0004136465FB -:04160C00484CBEC4C4 -:04160D0045374641D6 -:04160E0010EF0002D7 -:04160F00505C160015 -:041610000164C503A9 -:0416110065E5978272 -:041612008593464135 -:04161300BF351C853E -:04161400873E46B90E -:0416150000F6F36385 -:041616000705473944 -:0416170000E48B233D -:0416180065DDB7D104 -:041619004645656578 -:04161A00ECC5859303 -:04161B001B45051353 -:04161C0012A010EF19 -:04161D0065DD6465BE -:04161E008593464525 -:04161F000513EDC5FD -:0416200010EF1C8427 -:0416210047371180B6 -:041622002783000218 -:0416230045053C0736 -:041624000047E79301 -:041625003CF720234B -:04162600DE3FC0EFF4 -:04162700831367E5DD -:0416280017B72A07BF -:04162900A703000211 -:04162A0067C10D0780 -:04162B008F7D17FD9B -:04162C00879367E554 -:04162D0046811DC70E -:04162E00D583466DAD -:04162F000663000747 -:04163000068500B774 -:041631009AE30789A8 -:041632004782FEC627 -:0416330002C00713D7 -:041634000117878390 -:0416350002E787B38E -:04163600979A47290F -:041637000247C7831C -:0416380002F76463EE -:0416390042634715AC -:04163A00111806D7A6 -:04163B004703973E8C -:04163C005733FC47DD -:04163D008B0540D702 -:04163E00078AC7153B -:04163F0097BA11182D -:04164000FD47A78338 -:0416410000D780232B -:0416420080A367E931 -:041643004737A4077A -:0416440027830002F6 -:041645009BED3C07D6 -:041646003CF720232A -:04164700C0EF4501AA -:04164800B991E09FD5 -:041649000685665D4F -:04164A00EE8606130F -:04164B00051345C579 -:04164C0000EF1C840B -:04164D0045056640A9 -:04164E00D43FC0EFD6 -:04164F000007A537B4 -:041650001205051367 -:04165100FBAFA0EF5C -:0416520047B1B7C124 -:04165300FAF68EE332 -:04165400051365090C -:04165500A0EF71058C -:04165600B789FA8FC7 -:04165700C78367E9F5 -:041658008AE3A44736 -:041659004782C007FD -:04165A0002C00613B1 -:04165B0086836465B9 -:04165C0007930117D8 -:04165D0004132A0444 -:04165E0086332A04A1 -:04165F0097B202C676 -:04166000C783466591 -:041661001C630207FD -:04166200467D08C7F2 -:0416630017FDC78127 -:041664000FF7F61373 -:0416650002C00713A5 -:0416660002E68733DE -:0416670002C0079323 -:0416680002F687B34C -:0416690000239722A1 -:04166A0097A202C77A -:04166B000207C503AA -:04166C00C78367E9E0 -:04166D009563A77763 -:04166E0067E900F632 -:04166F00A6A7962371 -:04167000B0EF45850D -:0416710067E98D5F39 -:04167200A417C7836F -:0416730067E1EFB983 -:041674007A07A583C9 -:04167500453746416E -:0416760000EF00027F -:0416770047827C101A -:0416780002C0071392 -:041679008783668D70 -:04167A00869301173B -:04167B00665DBF26C3 -:04167C0002E787B347 -:04167D00BC0606138E -:04167E00943E45C58C -:04167F000204478397 -:041680000513646585 -:0416810087B31C848B -:04168200069302D7F2 -:04168300C6B33E802C -:0416840000EF02D79A -:041685004641584042 -:041686001C84059328 -:041687004779B3BD2F -:0416880069E34601CB -:041689000785F6F7E4 -:04168A0067E9B7A5B0 -:04168B00A427C78346 -:04168C000037971379 -:04168D00879367E5F3 -:04168E0097BAF70709 -:04168F000713676175 -:04169000B3615047AB -:04169100430142854A -:0416920065DD67E5C6 -:041693001B47851359 -:0416940085934645AF -:04169500C296EF8585 -:0416960000EFC09A07 -:041697004306741082 -:04169800479546A983 -:0416990002D306B3BF -:04169A0087364296B7 -:04169B0000D7D3633E -:04169C006465471525 -:04169D000725665D5A -:04169E00F0860613B9 -:04169F00051345C525 -:0416A000C2961C844E -:0416A10000EFC09AFC -:0416A2004737510075 -:0416A3002783000297 -:0416A40045053C07B5 -:0416A5000047E79380 -:0416A6003CF72023CA -:0416A700BDFFC0EFD4 -:0416A8001737429618 -:0416A90043060002F2 -:0416AA00228387967A -:0416AB0067410D077F -:0416AC00F2B3177D01 -:0416AD00E38500E2EF -:0416AE0000028F6344 -:0416AF00871367E551 -:0416B00046ED1DC71F -:0416B1005603478114 -:0416B2008E6300073C -:0416B300078500C2E5 -:0416B4009AE30709A5 -:0416B5006509FED7EE -:0416B60071050513A2 -:0416B700C09AC2967D -:0416B800E1EFA0EFCF -:0416B9004725BF758D -:0416BA0000E788635A -:0416BB00136347115D -:0416BC0047250003BB -:0416BD0002E7DA6303 -:0416BE00078547292C -:0416BF0002E7E7B3A4 -:0416C00002E303330B -:0416C100979A676924 -:0416C200A4F703A3E3 -:0416C3009D3FC0EF98 -:0416C40066DDC90115 -:0416C500DE868693A4 -:0416C6000613665D44 -:0416C700B539BEC6AD -:0416C800869366DDC2 -:0416C900BFCDB98652 -:0416CA009563476974 -:0416CB00431300E7DE -:0416CC00BF1900132F -:0416CD0090E347312E -:0416CE00B3F9FAE78B -:0416CF00C70367E9FD -:0416D0004792A41782 -:0416D100C6070563E0 -:0416D20047A9B43D33 -:0416D300C6EFF06FFF -:0416D400FE634695D6 -:0416D500468942F60A -:0416D60000D61863BF -:0416D700FFA7869350 -:0416D8000FF6F69380 -:0416D90042D5F5639E -:0416DA0046894616E1 -:0416DB00002344059F -:0416DC00460900D6E5 -:0416DD00D72FF06FA4 -:0416DE004605440D6C -:0416DF00D6AFF06F23 -:0416E0008D63459D34 -:0416E100458900B780 -:0416E20000B700232A -:0416E300D86FF06F5D -:0416E40000F70023E8 -:0416E500D7EFF06FDC -:0416E600B7FD458D7A -:0416E700B7ED459581 -:0416E8008DD1061684 -:0416E9000FF5F59371 -:0416EA000045E5933F -:0416EB00D86FF06F55 -:0416EC0005934539E4 -:0416ED00B0EF05B0A5 -:0416EE000513E9EF08 -:0416EF00F06F3E80DA -:0416F000E793E34F4A -:0416F100F06F40074F -:0416F2004612E68F27 -:0416F3009963C2191C -:0416F400460228067C -:0416F50000060923BF -:0416F600C2324611A5 -:0416F700840698E3EA -:0416F800466547827A -:0416F9000114851340 -:0416FA0002A785932B -:0416FB0055B000EFF7 -:0416FC004712C909BF -:0416FD0000414783DE -:0416FE004785E31128 -:0416FF000FF7F79357 -:041700004782C23E1C -:0417010002A4C40377 -:041702000437C7835E -:0417030000878D636B -:04170400B0EF4541BC -:04170500891DDF8FCC -:041706008C49040EF8 -:041707000FF47593D3 -:04170800B0EF4541B8 -:041709004782E32F01 -:04170A0002B4C583DD -:04170B000447C78345 -:04170C0000B7866339 -:04170D0003D00513ED -:04170E00E1CFB0EF88 -:04170F00C5834782C5 -:04171000C78302C4C5 -:041711008563045791 -:04171200454500B792 -:04171300E08FB0EFC4 -:04171400C5834782C0 -:04171500C40302D433 -:04171600C78302E49F -:041717009763046769 -:04171800478200B74D -:041719000477C78307 -:04171A000087896358 -:04171B00B0EF45499D -:04171C0085A2DE6F55 -:04171D00B0EF454D97 -:04171E004782DDEF32 -:04171F0002F4C50308 -:041720000487C783F0 -:0417210002A7846334 -:04172200F86347859C -:0417230067E900A7CB -:04172400A5F7C5035D -:0417250035131571F2 -:0417260047E1001582 -:0417270002F505338F -:04172800879367E15B -:04172900953EB8C76A -:04172A00EC4FB0EFE1 -:04172B00C503478229 -:04172C00C783030468 -:04172D008463049736 -:04172E00B0EF00A771 -:04172F006465839FCB -:041730001484079383 -:041731000314C503D5 -:0417320004A7C783BE -:041733001484041303 -:0417340000A7846323 -:04173500F8CFB0EF4A -:041736000324C703BE -:0417370004B447832C -:041738008163DA3AB5 -:04173900456902E715 -:04173A00D22FB0EF0B -:04173B007513575279 -:04173C001793FCF50E -:04173D00E5B30047C9 -:04173E00F59300A778 -:04173F0045690FF5F4 -:04174000D54FB0EFE2 -:041741000334C78323 -:0417420004C4470391 -:041743000344C58313 -:0417440000F7166331 -:0417450004D447037E -:0417460000B70A637B -:041747008DDD058EA1 -:041748000FF5F59311 -:041749000280051302 -:04174A00D2CFB0EF5B -:04174B000354879329 -:04174C00461D853E73 -:04174D0004E4059318 -:04174E0040F000EF78 -:04174F00879367E530 -:04175000C50128D7D0 -:04175100B0EF853E32 -:04175200C703DCEFFE -:04175300478303C401 -:0417540018630554BD -:04175500C70300F7CF -:04175600478303D4EE -:0417570009630564B9 -:0417580067E500F74A -:0417590025878793C6 -:04175A000407C503B8 -:04175B00928FB0EFCA -:04175C00849367E922 -:04175D00C703A437E3 -:04175E00066300041A -:04175F0067E5100723 -:0417600025878793BF -:0417610003E7C58352 -:0417620005744703C0 -:041763000CB71D633F -:04176400879367E51B -:04176500C70325870A -:04176600478303F7BB -:04176700046305848E -:04176800B0EF0EF7D9 -:0417690067E58ACFD7 -:04176A0025878793B5 -:04176B0003F7C703B6 -:04176C00849364DD21 -:04176D0007B7A58491 -:04176E008793070650 -:04176F0046411007D8 -:0417700009848593D0 -:04177100C0BA10C822 -:04177200A0EFD0BE56 -:041773004641FFCF1D -:041774000A848593CB -:04177500A0EF18C801 -:041776004706FF0F14 -:04177700DA3E18DC62 -:0417780010DCE31985 -:041779004585DA3E8A -:04177A00B0EF450186 -:04177B004481BA0FDC -:04177C008733109C03 -:04177D004583009709 -:04177E004509000712 -:04177F00B8EFB0EF20 -:04178000971357D292 -:0417810045110024EA -:041782004318973E33 -:041783000107559372 -:041784000FF5F593D5 -:04178500B0EFC0BA47 -:041786004706B74F0C -:04178700559345151C -:04178800F59300874E -:04178900B0EF0FF5B9 -:04178A004706B64F09 -:04178B0075934519F4 -:04178C00B0EF0FF7B4 -:04178D004585B58F4A -:04178E00B0EF45056E -:04178F004505B50F48 -:04179000B02FB0EFD7 -:04179100FD65891554 -:041792004711048572 -:04179300FAE492E3FF -:04179400B0EF4581EC -:041795004503B38FC6 -:04179600B0EF059417 -:04179700A02583AF57 -:04179800F06FC2022A -:0417990015D1DCAFDB -:04179A00F593058638 -:04179B0045050FF5FC -:04179C00B0EFDA2EA2 -:04179D0055D2B18FE1 -:04179E00B0EF45095A -:04179F00C783B10F3C -:0417A00097E30004C7 -:0417A10067E5F00701 -:0417A200258785937F -:0417A30004600613C5 -:0417A4000194051394 -:0417A500F32FA0EF8F -:0417A60067E9471296 -:0417A700A6078B23E3 -:0417A8000D634789FD -:0417A90047910CF761 -:0417AA0000F70B63D6 -:0417AB0015634785F6 -:0417AC00478304F774 -:0417AD00C3A90124A7 -:0417AE00A5BFA0EF44 -:0417AF004783A8358F -:0417B000CBA50124A0 -:0417B100071367694A -:0417B200431CA587A8 -:0417B300455945C58A -:0417B4000027E79390 -:0417B5001737C31C03 -:0417B60020230002EA -:0417B700B0EF0EF78A -:0417B8000513B76FEF -:0417B900458102B0B4 -:0417BA00B6CFB0EF07 -:0417BB008A7FC0EF72 -:0417BC000594450348 -:0417BD00FA1FA0EF80 -:0417BE00243757C2B3 -:0417BF00041300040B -:0417C000943EEB0464 -:0417C100A42FA0EFC2 -:0417C200FE856EE34F -:0417C300C46357C2E2 -:0417C400E06F0007CB -:0417C500A0EFE5FFAD -:0417C60057C2A0CF97 -:0417C700E46347325E -:0417C800E06F00E7E7 -:0417C90087BAF77F65 -:0417CA008F9957425A -:0417CB00E06FC63EC7 -:0417CC002623E43FAD -:0417CD00B0EF000475 -:0417CE0047839EBFF0 -:0417CF0065650184C7 -:0417D00097134645E0 -:0417D10067E10027A5 -:0417D200B60787933C -:0417D300438C97BAF2 -:0417D4002145051393 -:0417D500247000EF8D -:0417D600656565DD03 -:0417D700859346456B -:0417D8000513F145BF -:0417D90000EF228576 -:0417DA00450523504E -:0417DB00FBAFC0EFB1 -:0417DC009D6FA0EF6E -:0417DD00CE02C62A48 -:0417DE00BFBDCC02BD -:0417DF000124478317 -:0417E000C0EFDFA5D2 -:0417E100BF8D811F18 -:0417E200EFE3469556 -:0417E3004409BCF603 -:0417E400F06F46015B -:0417E5000113954F08 -:0417E600D6A6F7C1CB -:0417E700DA86C13E9F -:0417E800D8A267E537 -:0417E900DEBADCB6D2 -:0417EA001347A4837A -:0417EB000005DC63B6 -:0417EC0008B00793A7 -:0417ED00557DC09CCA -:0417EE00544650D637 -:0417EF00011354B6D8 -:0417F00080820841AA -:0417F10020800793BA -:0417F20000F11823C7 -:0417F300CA2AC22A12 -:0417F4004781842E77 -:0417F5008793C1997C -:0417F600C63EFFF5F7 -:0417F70018B4CC3E18 -:0417F800004C57FD4D -:0417F9001923852605 -:0417FA00C03600F104 -:0417FB0057FD2C5911 -:0417FC0000F555633C -:0417FD0008B0079396 -:0417FE00DC5DC09C52 -:0417FF00802347926A -:04180000BF5D0007C1 -:04180100A783C5C52F -:041802001141FFC5CC -:04180300C606C4222F -:04180400FFC5841385 -:041805000007D363A2 -:04180600C02A943E22 -:041807000BB000EF33 -:041808002783676962 -:041809004502A807E5 -:04180A00EB91863A9E -:04180B000004222390 -:04180C00A887202366 -:04180D0040B244227F -:04180E00006F014125 -:04180F007F6309F0FA -:04181000401400F48C -:0418110000D40733C5 -:0418120000E79663F2 -:0418130043DC4398D7 -:04181400C01897362B -:041815002023C05C70 -:04181600BFE9A886F8 -:0418170043DC873EE9 -:041818007DE3C39910 -:041819004314FEF482 -:04181A0000D70633BA -:04181B0000861F63C1 -:04181C0096B2401030 -:04181D000633C314B7 -:04181E009DE300D76F -:04181F004390FAC731 -:0418200096B243DC5D -:04182100C35CC314CD -:041822007563B775BE -:0418230047B100C405 -:04182400B74DC11CDF -:0418250006B34010B6 -:04182600966300C401 -:04182700439400D70F -:0418280096B243DC55 -:04182900C05CC014CB -:04182A00B769C34097 -:04182B001141808265 -:04182C008493C226B9 -:04182D0098F10035F9 -:04182E00C422C60604 -:04182F00473104A198 -:04183000FC6387AA24 -:0418310044B104E4D6 -:0418320004B4EB63AC -:04183300C03E853EF0 -:04183400007000EF51 -:041835002683676936 -:041836004782A80736 -:04183700A8070613E5 -:04183800E4318436DD -:0418390004136469C7 -:04183A004018A84466 -:04183B00853EEB01FA -:04183C00C03E4581E4 -:04183D0079C000EF7F -:04183E00C008478215 -:04183F0085A6853EB7 -:0418400000EFC03EB7 -:04184100577D78E077 -:041842001663478260 -:04184300473106E53E -:04184400853EC39882 -:041845007C4000EFF4 -:04184600D7E3A0291B -:041847004731FA0427 -:041848004501C398FB -:04184900442240B243 -:04184A000141449282 -:04184B00401880823F -:04184C004F638F0552 -:04184D0045AD02079C -:04184E0000E5F66358 -:04184F00943AC018EF -:04185000A029C00407 -:041851009363405805 -:04185200C218028630 -:0418530000EF853EDF -:04185400051378A060 -:04185500079300B441 -:041856009961004450 -:0418570040F507331E -:04185800FCF502E3B6 -:041859008F89943AA5 -:04185A00BF6DC01C82 -:04185B00BFF9C2D837 -:04185C00404086A2E0 -:04185D000413B7BDFC -:04185E009871003548 -:04185F00FC8502E31F -:0418600040A405B3E8 -:04186100C03E853EC2 -:04186200577D272166 -:0418630019E34782BC -:04186400BFB5FAE52D -:04186500C232111169 -:04186600C8264590BB -:04186700CA22CC06BF -:04186800E46384AE03 -:0418690082AA08C681 -:04186A0000C5D503DD -:04186B0048057713A2 -:04186C0048D0CB3D58 -:04186D004080470D63 -:04186E0002C7073373 -:04186F004609498C51 -:0418700040B407B3C6 -:041871004433C03EFE -:04187200861302C710 -:04187300963E001687 -:0418740000C47363D6 -:041875007513843231 -:04187600C63640052D -:041877008516C535D8 -:04187800C41685A26B -:04187900ECBFF0EFE1 -:04187A0046B242A28E -:04187B00CD254731FF -:04187C00488C46024C -:04187D00C42AC6367D -:04187E00BCEFA0EF2C -:04187F0000C4D603C8 -:0418800046B2432207 -:04188100B7F676132D -:0418820008066613DB -:0418830000C49623E4 -:04188400A8234782CC -:04188500C8C0006473 -:041886000733933E53 -:04188700A02340F466 -:04188800863600643C -:04188900F363C498A9 -:04188A00863600C6D8 -:04188B0040884592BA -:04188C00A0EFC032D7 -:04188D004498BAAF12 -:04188E0045014602C8 -:04188F00C4988F1159 -:04189000963A4098AC -:04189100A80DC0904E -:04189200862285160F -:041893002571C416E1 -:0418940046B242A274 -:04189500FD4D832A58 -:041896008516488CDF -:04189700F0EFC01698 -:041898004282DA7F2F -:04189900A023473110 -:04189A00D70300E28E -:04189B00557D00C4B3 -:04189C0004076713C3 -:04189D0000E49623AA -:04189E00445240E28E -:04189F00017144C2CD -:0418A000D703808268 -:0418A100011300C56A -:0418A200C122F781E7 -:0418A3000793DEA623 -:0418A400C30601F185 -:0418A500C02EC22A65 -:0418A60008077713A5 -:0418A700F41384B200 -:0418A800CB1DFF87CE -:0418A900EB0D499862 -:0418AA00040005939E -:0418AB00F0EFC6365E -:0418AC004782E01F70 -:0418AD00C38846B2F4 -:0418AE00E919CB88E1 -:0418AF0047B14712E4 -:0418B000C31C557D83 -:0418B100440A409A0B -:0418B200011354F6D4 -:0418B30080820881A6 -:0418B400071347824D -:0418B500CBD8040088 -:0418B6000200071312 -:0418B70000E40CA39A -:0418B800030007130F -:0418B90000042A23DA -:0418BA0000E40D2316 -:0418BB008726CA367C -:0418BC0002500613BD -:0418BD000007468357 -:0418BE009663C299D2 -:0418BF0006B30AC69C -:0418C00001634097E9 -:0418C10045820297C3 -:0418C200862645121F -:0418C300C636C83A23 -:0418C400E85FF0EFFA -:0418C5000A63567DDF -:0418C60048501AC5A7 -:0418C700474246B29C -:0418C800C850963638 -:0418C900000746834B -:0418CA001A06816316 -:0418CB00001704936B -:0418CC002023577D01 -:0418CD0026230004CA -:0418CE00C0580004FA -:0418CF0000042423CA -:0418D000040401A368 -:0418D10004042C23BC -:0418D2000004C583C6 -:0418D300461567E16E -:0418D40046C785136B -:0418D500401423A5F3 -:0418D6000014871360 -:0418D700F613E539E6 -:0418D800C609010636 -:0418D90002000613F0 -:0418DA0004C401A39E -:0418DB000086F6137A -:0418DC000613C60920 -:0418DD0001A302B0B1 -:0418DE00C58304C4F6 -:0418DF0006130004E8 -:0418E0008F6302A070 -:0418E100445402C5A4 -:0418E200458187268F -:0418E30042A94525AC -:0418E40000074603B0 -:0418E50000170313D2 -:0418E600FD060613E2 -:0418E70006C5776358 -:0418E800C454C98596 -:0418E9000705A0351A -:0418EA0067E1B7B14A -:0418EB0046C7861353 -:0418EC0046058D110F -:0418ED0000A6153309 -:0418EE00C0148EC9CB -:0418EF00B76984BA97 -:0418F00005934652C4 -:0418F100421000465B -:0418F2004963CA2E4E -:0418F300C4500206D5 -:0418F40000074603A0 -:0418F50002E0069374 -:0418F60006D611639E -:0418F700001746038D -:0418F80002A00693B1 -:0418F90002D61B6395 -:0418FA00070946D2C2 -:0418FB00004686130A -:0418FC00CA32429416 -:0418FD000206C163BB -:0418FE00A081C054B1 -:0418FF0040C00633AC -:041900000026E69344 -:04190100C014C450FA -:0419020086B3B7E110 -:04190300871A0256E7 -:0419040096B24585CD -:0419050056FDBFB517 -:041906000705B7C555 -:041907000004222393 -:04190800468145814E -:0419090042A9452585 -:04190A000007460389 -:04190B0000170313AB -:04190C00FD060613BB -:04190D0006C5736335 -:04190E004583F1E13B -:04190F0064E1000788 -:041910008513460DE8 -:04191100C63A474447 -:04191200473229959A -:041913008493CD01EB -:041914008D054744B2 -:041915000400069331 -:0419160000A696B3DE -:041917000705400878 -:04191800C0088D5521 -:0419190000074583FB -:04191A0046196561A4 -:04191B0047850513E4 -:04191C000017049319 -:04191D0000B40C23E3 -:04191E00C13521911D -:04191F0000000713AA -:041920004014E70D7B -:04192100F6934752A0 -:04192200CE9110064C -:04192300CA3A0711A4 -:0419240047A2485836 -:04192500C858973EC9 -:0419260086B3BD992E -:04192700871A0256C3 -:0419280096B24585A9 -:041929000721B7518A -:04192A004602B7DDDD -:04192B0066D9451222 -:04192C00869308583E -:04192D0085A2194630 -:04192E00000000971E -:04192F00000000E7CD -:0419300047A2C42ADC -:0419310095E3577D66 -:041932004782FCE705 -:04193300D703557D04 -:04193400771300C75E -:0419350017E30407A9 -:041936004848DE0738 -:041937004602B3E5CC -:0419380066D9451215 -:041939008693085831 -:04193A0085A2194623 -:04193B00BFC92215E9 -:04193C0087AE110160 -:04193D0085B6CA267B -:04193E00479484BA8C -:04193F00CC224B98D3 -:04194000842ACE0621 -:041941005363833237 -:04194200873600D70D -:0419430000E320237A -:041944000437C6831B -:041945000705C6814B -:0419460000E3202377 -:041947007713439837 -:04194800C7110207BA -:04194900000327036D -:04194A002023070946 -:04194B00439800E3DA -:04194C000197861366 -:04194D00C3358B19FA -:04194E00C683439871 -:04194F0077130437CF -:0419500036B30207A1 -:04195100E75100D08A -:0419520004378613BD -:04195300C41A85220B -:04195400C02EC23EA1 -:04195500577D9482A4 -:0419560006E5076338 -:04195700432247924E -:0419580043944582ED -:04195900000326035E -:04195A008A99431112 -:04195B00470147C831 -:04195C000066976327 -:04195D0040C5073347 -:04195E0000075363C8 -:04195F004794470161 -:0419600054634B90F1 -:041961008E9100D68D -:041962004301973670 -:0419630001A786133F -:04196400066710639F -:04196500A80D450183 -:0419660047D4070556 -:041967000003250351 -:041968005BE38E8926 -:041969004685F8D7E0 -:04196A00C81A8522F0 -:04196B00C43AC63E76 -:04196C00C02EC23295 -:04196D0056FD94820D -:04196E004612458256 -:04196F0047B2472212 -:041970001BE34342F0 -:04197100557DFCD5CF -:04197200446240F299 -:04197300610544D2F4 -:0419740086338082B4 -:04197500051300D77F -:0419760001A30300C6 -:04197700C60304A6F9 -:041978008713045776 -:04197900973E00167F -:04197A0001A3068936 -:04197B00BFA904C735 +:041580008693C34645 +:0415810045C1A34776 +:041582000104051348 +:041583001D5000EF08 +:041584000613665D87 +:0415850045C1E8C6AE +:041586000204051343 +:041587001C5000EF05 +:04158800871367E975 +:041589005683A3479B +:04158A0007930107BB +:04158B00665D064053 +:04158C0002F6F73339 +:04158D00E9C6061392 +:04158E00051345C13B +:04158F00D6B30304C8 +:0415900000EF02F670 +:04159100665D19F08A +:04159200EA860613CC +:04159300051345C136 +:0415940000EF04045C +:0415950067E918F0FA +:04159600A3478713CD +:04159700A34786934D +:0415980001D747032D +:0415990001C6C6833E +:04159A000613665D71 +:04159B0045C1EB86D5 +:04159C00050405132A +:04159D0016D000EF75 +:04159E000613665D6D +:04159F0045C1EC4610 +:0415A0000604051325 +:0415A10015D000EF72 +:0415A200871367E95B +:0415A3008693A34741 +:0415A4005703A347FF +:0415A500D68301A741 +:0415A600665D0186F7 +:0415A700EB860613B6 +:0415A800051345C121 +:0415A90000EF070444 +:0415AA00665D13B0B7 +:0415AB00ED460613F0 +:0415AC00051345C11D +:0415AD0000EF08043F +:0415AE0067E912B027 +:0415AF00A3478713B4 +:0415B000A347869334 +:0415B10000E75703F5 +:0415B20000C6D68316 +:0415B3000613665D58 +:0415B40045C1EB86BC +:0415B500090405130D +:0415B600109000EFA2 +:0415B7000613665D54 +:0415B80045C1EE0635 +:0415B9000A04051308 +:0415BA000F9000EF9F +:0415BB00871367E942 +:0415BC008793A34727 +:0415BD00D683A347E7 +:0415BE0057030127A7 +:0415BF00665D0167FD +:0415C000EB8606139D +:0415C100051345C108 +:0415C20000EF0B0427 +:0415C300665D0D70E4 +:0415C400EEC6061356 +:0415C500051345C104 +:0415C60000EF0E0420 +:0415C70057820C70CB +:0415C800051367653B +:0415C900C6830F04C2 +:0415CA00478300074C +:0415CB0007132907D2 +:0415CC00E781290783 +:0415CD000713675D3C +:0415CE00665DC2870D +:0415CF00C306061336 +:0415D00000EF45C122 +:0415D100443709F0A2 +:0415D200665D000250 +:0415D300EF86061386 +:0415D400051345C1F5 +:0415D50000EF10040F +:0415D600665D08B096 +:0415D70046854725D9 +:0415D800EFC6061341 +:0415D900051345C1F0 +:0415DA0000EF110409 +:0415DB0007930770FB +:0415DC0043B83804D4 +:0415DD000027671369 +:0415DE002623C3B845 +:0415DF0007133C04AE +:0415E000C3F81FF03D +:0415E100BD2DC7B89D +:0415E200472247A2B3 +:0415E300C793439CCB +:0415E400C31C01071C +:0415E500C783B535CE +:0415E6004685011421 +:0415E700E563470170 +:0415E800078500F67D +:0415E9000FF7F713EE +:0415EA00C78367E963 +:0415EB0088A3A89792 +:0415EC00E7A900E487 +:0415ED00041364651A +:0415EE00400CC344A6 +:0415EF0045374641F5 +:0415F00010EF0002F6 +:0415F100C7032220EA +:0415F200481C01147C +:0415F30097BA070A92 +:0415F4004641438C9D +:0415F5000002443775 +:0415F60002040513D3 +:0415F700208010EF51 +:0415F8003C04278305 +:0415F9000027E7934D +:0415FA003CF420237A +:0415FB003C04262363 +:0415FC002223478DD2 +:0415FD0024233CF473 +:0415FE00B3D93C041D +:0415FF00C78367E94E +:041600009713A8A7ED +:0416010067E5003762 +:04160200FB87879348 +:04160300676197BACA +:0416040058C70713A9 +:0416050095E3439C8A +:04160600C0EFDAE770 +:04160700B34DC58F8B +:041608000124C7836F +:0416090047014685CA +:04160A0000F6E5639E +:04160B00F713078545 +:04160C0067E90FF784 +:04160D00A897C78350 +:04160E0000E4892348 +:04160F006465F3E13A +:04161000C3440413B8 +:041611004641506C92 +:041612000002453756 +:04161300198010EF3B +:041614000124C703E3 +:04161500BF9D587CA1 +:04161600C78346D967 +:041617001E630164E9 +:04161800C78102D7AD +:04161900F79317FD2F +:04161A008B230FF718 +:04161B0067E900F487 +:04161C00A897C78341 +:04161D006465F7C148 +:04161E00C3440413AA +:04161F004641484CAC +:041620000002453748 +:04162100160010EFB0 +:04162200C503505C50 +:041623009782016445 +:04162400464165E5F1 +:041625002105859383 +:0416260046B9BF35CD +:04162700F363873EA4 +:04162800473900F648 +:041629008B23070503 +:04162A00B7D100E450 +:04162B00656565DDAF +:04162C008593464517 +:04162D000513F1456B +:04162E0010EF1FC5D5 +:04162F00646512A03C +:04163000464565DDE9 +:04163100F245859366 +:041632002104051377 +:04163300118010EF23 +:041634000002473732 +:041635003C072783C4 +:04163600E7934505EC +:041637002023004725 +:04163800C0EF3CF7CC +:0416390067E5D99FE9 +:04163A002E87831361 +:04163B00000217B7DB +:04163C000D07A703EC +:04163D0017FD67C16D +:04163E0067E58F7D50 +:04163F002247879324 +:04164000466D46812C +:041641000007D58346 +:0416420000B7066384 +:041643000789068588 +:04164400FEC69AE361 +:0416450007134782BE +:04164600878302C0D4 +:0416470087B301174D +:04164800472902E745 +:04164900C783979A22 +:04164A00646302478C +:04164B00471502F746 +:04164C0006D7426318 +:04164D00973E11189B +:04164E00FC4747030B +:04164F0040D75733F6 +:04165000C7158B052A +:041651001118078ADB +:04165200A78397BA19 +:041653008023FD47AC +:0416540067E900D76B +:04165500A80784A3BB +:041656000002473710 +:041657003C072783A2 +:0416580020239BEDC3 +:0416590045013CF714 +:04165A00DBFFC0EF03 +:04165B00665DB9917E +:04165C0006130685E6 +:04165D0045C5F30686 +:04165E00210405134B +:04165F00664000EFF2 +:04166000C0EF45058D +:04166100A537CF9F3B +:041662000513000765 +:04166300A0EF1205DD +:04166400B7C1F70F04 +:041665008EE347B118 +:041666006509FAF622 +:0416670071050513F1 +:04166800F5EFA0EF0B +:0416690067E9B789ED +:04166A00A8C7C783C3 +:04166B00C0078AE347 +:04166C000613478298 +:04166D00646502C0EE +:04166E000117868357 +:04166F002E8407932B +:041670002E840413AD +:0416710002C68633F4 +:04167200466597B280 +:041673000207C78320 +:0416740008C71C6324 +:04167500C781467D66 +:04167600F61317FD53 +:0416770007130FF74F +:04167800873302C0F2 +:04167900079302E6EB +:04167A0087B302C070 +:04167B00972202F6BA +:04167C0002C700237E +:04167D00C50397A268 +:04167E0067E902070F +:04167F00ABF7C7837B +:0416800000F6956378 +:041681009A2367E958 +:041682004585AAA749 +:0416830088BFB0EF7D +:04168400C78367E9C8 +:04168500EFB9A8977A +:04168600A58367E1F0 +:0416870046417E87D3 +:0416880000024537E0 +:041689007C1000EFE2 +:04168A000713478279 +:04168B00668D02C0A6 +:04168C000117878338 +:04168D00BF2686935B +:04168E0087B3665D5B +:04168F00061302E755 +:0416900045C5C08606 +:041691004783943EB9 +:041692006465020485 +:041693002104051316 +:0416940002D787B33F +:041695003E800693FA +:0416960002D7C6B3FE +:04169700584000EFC8 +:04169800059346412F +:04169900B3BD2104B8 +:04169A004601477945 +:04169B00F6F769E312 +:04169C00B7A5078562 +:04169D00C78367E9AF +:04169E009713A8A74F +:04169F0067E50037C4 +:0416A000FB878793AA +:0416A100676197BA2C +:0416A20054C707130F +:0416A3004285B36168 +:0416A40067E54301B2 +:0416A500851365DD67 +:0416A60046451FC7CF +:0416A700F40585932E +:0416A800C09AC2968C +:0416A900741000EFCA +:0416AA0046A9430604 +:0416AB0006B34795A6 +:0416AC00429602D38D +:0416AD00D363873646 +:0416AE00471500D705 +:0416AF00665D6465AB +:0416B00006130725F1 +:0416B10045C5F50630 +:0416B20021040513F7 +:0416B300C09AC29681 +:0416B400510000EFF2 +:0416B50000024737B1 +:0416B6003C07278343 +:0416B700E79345056B +:0416B80020230047A4 +:0416B900C0EF3CF74B +:0416BA004296B95F3C +:0416BB0000021737DB +:0416BC0087964306C4 +:0416BD000D07228370 +:0416BE00177D6741EC +:0416BF0000E2F2B3A0 +:0416C0008F63E385CC +:0416C10067E50002D7 +:0416C2002247871321 +:0416C300478146ED28 +:0416C40000075603C2 +:0416C50000C28E636E +:0416C6000709078584 +:0416C700FED79AE3CD +:0416C8000513650998 +:0416C900C29671054F +:0416CA00A0EFC09A33 +:0416CB00BF75DD4FBB +:0416CC0088634725C3 +:0416CD00471100E7DA +:0416CE00000313639F +:0416CF00DA6347256E +:0416D000472902E7BD +:0416D100E7B30785EF +:0416D200033302E7F5 +:0416D300676902E35E +:0416D40007A3979A37 +:0416D500C0EFA8F7C3 +:0416D600C901989F0F +:0416D700869366DDB3 +:0416D800665DE30662 +:0416D900C3460613EB +:0416DA0066DDB539DB +:0416DB00BE0686932E +:0416DC004769BFCDCE +:0416DD0000E795632A +:0416DE00001343139F +:0416DF004731BF19B7 +:0416E000FAE790E3B2 +:0416E10067E9B3F909 +:0416E200A897C703FB +:0416E30005634792C2 +:0416E400B43DC60744 +:0416E500F06F47A9B2 +:0416E6004695C6EF70 +:0416E70042F6FE6366 +:0416E80018634689B4 +:0416E900869300D60E +:0416EA00F693FFA7CD +:0416EB00F5630FF69E +:0416EC00461642D587 +:0416ED0044054689E1 +:0416EE0000D60023FF +:0416EF00F06F460949 +:0416F000440DD72F9F +:0416F100F06F46054B +:0416F200459DD6AF8D +:0416F30000B78D634C +:0416F4000023458901 +:0416F500F06F00B7DB +:0416F6000023D86F86 +:0416F700F06F00F799 +:0416F800458DD7EF56 +:0416F9004595B7FD5F +:0416FA000616B7ED2C +:0416FB00F5938DD105 +:0416FC00E5930FF56E +:0416FD00F06F004545 +:0416FE004539D86F23 +:0416FF0005B005939A +:04170000E54FB0EF12 +:041701003E8005130E +:04170200E34FF06F52 +:041703004007E79321 +:04170400E68FF06F0D +:04170500C2194612AD +:0417060028069963B5 +:04170700092346026A +:041708004611000680 +:0417090098E3C2326D +:04170A004782840688 +:04170B008513466597 +:04170C0085930114AC +:04170D0000EF02A740 +:04170E00C90955B000 +:04170F0047834712B3 +:04171000E3110041A0 +:04171100F79347857E +:04171200C23E0FF7CD +:04171300C403478242 +:04171400C78302A4E1 +:041715008D630437A5 +:0417160045410087C2 +:04171700DAEFB0EF66 +:04171800040E891D15 +:0417190075938C49EF +:04171A0045410FF442 +:04171B00DE8FB0EFBE +:04171C00C5834782B8 +:04171D00C78302B4C8 +:04171E008663044793 +:04171F00051300B7F7 +:04172000B0EF03D053 +:041721004782DD2FEF +:0417220002C4C583B5 +:041723000457C7831D +:0417240000B7856322 +:04172500B0EF454597 +:041726004782DBEF2C +:0417270002D4C583A0 +:0417280002E4C40310 +:041729000467C78307 +:04172A0000B797630A +:04172B00C7834782A7 +:04172C008963047752 +:04172D0045490087A3 +:04172E00D9CFB0EF70 +:04172F00454D85A2FD +:04173000D94FB0EFEE +:04173100C503478223 +:04173200C78302F473 +:041733008463048740 +:04173400478502A73C +:0417350000A7F863AE +:04173600C50367E997 +:041737001571AA7707 +:041738000015351350 +:04173900053347E14C +:04173A0067E102F56C +:04173B00BD4787938C +:04173C00B0EF953E37 +:04173D004782E7AF49 +:04173E000304C503D8 +:04173F000497C783C1 +:0417400000A7846317 +:04174100FEEFB0EF18 +:041742000793646540 +:04174300C5031904BD +:04174400C783031440 +:04174500041304A7DE +:04174600846319049B +:04174700B0EF00A758 +:04174800C703F42FB0 +:0417490047830324AB +:04174A00DA3A04B4CF +:04174B0002E78163CD +:04174C00B0EF45694C +:04174D005752CD8F93 +:04174E00FCF575131E +:04174F0000471793A5 +:0417500000A7E5B356 +:041751000FF5F59308 +:04175200B0EF456946 +:04175300C783D0AFC9 +:041754004703033410 +:04175500C58304C480 +:0417560016630344CF +:04175700470300F74D +:041758000A6304D448 +:04175900058E00B742 +:04175A00F5938DDD99 +:04175B0005130FF56E +:04175C00B0EF028068 +:04175D008793CE2F71 +:04175E00853E03546D +:04175F000593461D8B +:0417600000EF04E4AE +:0417610067E540F008 +:041762002D578793E5 +:04176300853EC501F9 +:04176400D84FB0EFBB +:0417650003C4C703EF +:04176600055447835C +:0417670000F718630C +:0417680003D4C703DC +:041769000564478349 +:04176A0000F7096318 +:04176B00879367E514 +:04176C00C5032A0780 +:04176D00B0EF0407CE +:04176E0067E98DEFAB +:04176F00A8B7849300 +:041770000004C703A7 +:0417710010070663F4 +:04177200879367E50D +:04177300C5832A07F9 +:04177400470303E73D +:041775001D63057477 +:0417760067E50CB760 +:041777002A07879323 +:0417780003F7C703A9 +:041779000584478319 +:04177A000EF70463FF +:04177B00862FB0EF16 +:04177C00879367E503 +:04177D00C7032A076D +:04177E0064DD03F72C +:04177F00AA048493A1 +:04178000070607B79A +:041781001007879333 +:0417820085934641C4 +:0417830010C80984FD +:04178400D0BEC0BA59 +:04178500FB2FA0EFA7 +:0417860085934641C0 +:0417870018C80A84F0 +:04178800FA6FA0EF65 +:0417890018DC47061B +:04178A00E319DA3E47 +:04178B00DA3E10DC56 +:04178C004501458549 +:04178D00B56FB0EF95 +:04178E00109C4481E6 +:04178F000097873305 +:041790000007458386 +:04179100B0EF450967 +:0417920057D2B44F27 +:041793000024971384 +:04179400973E451126 +:04179500559343180D +:04179600F5930107BF +:04179700C0BA0FF5D0 +:04179800B2AFB0EF4D +:0417990045154706A5 +:04179A0000875593DC +:04179B000FF5F593BE +:04179C00B1AFB0EF4A +:04179D00451947069D +:04179E000FF7759339 +:04179F00B0EFB0EF08 +:0417A0004505458531 +:0417A100B06FB0EF86 +:0417A200B0EF45055A +:0417A3008915AB8F6A +:0417A4000485FD6556 +:0417A50092E3471173 +:0417A6004581FAE49B +:0417A700AEEFB0EF02 +:0417A800059445035C +:0417A900FF1FA0EF8F +:0417AA00C202A025B2 +:0417AB00DCAFF06F50 +:0417AC00058615D1C8 +:0417AD000FF5F593AC +:0417AE00DA2E4505E5 +:0417AF00ACEFB0EFFC +:0417B000450955D2C0 +:0417B100AC6FB0EF7A +:0417B2000004C783E5 +:0417B300F00797E3C1 +:0417B400859367E5CD +:0417B50006132A07E6 +:0417B60005130460B3 +:0417B700A0EF01940A +:0417B8004712EE8F57 +:0417B9008F2367E92A +:0417BA004789AA07AA +:0417BB000CF70D63B7 +:0417BC000B634791E3 +:0417BD00478500F765 +:0417BE0004F71563B4 +:0417BF000124478337 +:0417C000A0EFC3A92A +:0417C100A835A11F87 +:0417C2000124478334 +:0417C3006769CBA5E2 +:0417C400AA07071356 +:0417C50045C5431CB7 +:0417C600E793455907 +:0417C700C31C002718 +:0417C80000021737CD +:0417C9000EF72023D4 +:0417CA00B2CFB0EFFB +:0417CB0002B0051350 +:0417CC00B0EF4581B4 +:0417CD00C0EFB22F88 +:0417CE00450385DF6B +:0417CF00A0EF0594EE +:0417D00057C2F57F88 +:0417D10000042437B5 +:0417D200EB0404130D +:0417D300A0EF943EB1 +:0417D4006EE39F8F92 +:0417D50057C2FE8574 +:0417D6000007C463E1 +:0417D700E5FFE06FDB +:0417D8009C2FA0EFB3 +:0417D900473257C27A +:0417DA0000E7E463DD +:0417DB00F77FE06F45 +:0417DC00574287BA2F +:0417DD00C63E8F99DC +:0417DE00E43FE06F95 +:0417DF0000042623B9 +:0417E0009A1FB0EFAD +:0417E10001844783B5 +:0417E20046456565AE +:0417E3000027971331 +:0417E400879367E19F +:0417E50097BABA876E +:0417E6000513438C18 +:0417E70000EF25C525 +:0417E80065DD247027 +:0417E90046456565A7 +:0417EA00F5C5859329 +:0417EB0027050513B6 +:0417EC00235000EF97 +:0417ED00C0EF4505FF +:0417EE00A0EFF70F62 +:0417EF00C62A98CF9F +:0417F000CC02CE0257 +:0417F1004783BFBDAE +:0417F200DFA501244A +:0417F300FC6FC0EFD8 +:0417F4004695BF8DCA +:0417F500BCF6EFE36C +:0417F600460144095B +:0417F700954FF06FAB +:0417F800F7C1011321 +:0417F900C13ED6A671 +:0417FA0067E5DA863F +:0417FB00DCB6D8A2DE +:0417FC00A483DEBA2A +:0417FD00DC6317C7CB +:0417FE000793000548 +:0417FF00C09C08B0D2 +:0418000050D6557DEC +:0418010054B654463F +:041802000841011385 +:041803000793808245 +:041804001823208005 +:04180500C22A00F102 +:04180600842ECA2A38 +:04180700C1994781BB +:04180800FFF58793CE +:04180900CC3EC63ECD +:04180A0057FD18B4BA +:04180B008526004CE2 +:04180C0000F11923AB +:04180D002C59C0365C +:04180E00556357FDCA +:04180F00079300F546 +:04181000C09C08B0C0 +:041811004792DC5DC1 +:041812000007802328 +:04181300C5C5BF5D2B +:04181400FFC5A783E2 +:04181500C422114197 +:041816008413C6066B +:04181700D363FFC5D3 +:04181800943E0007F3 +:0418190000EFC02AF2 +:04181A0067690BB03F +:04181B00AC872783EC +:04181C00863A4502C1 +:04181D002223EB9106 +:04181E00242300047B +:04181F004422AC872C +:04182000014140B290 +:0418210009F0006F5B +:0418220000F47F63EC +:041823000733401433 +:04182400966300D4F3 +:04182500439800E7FD +:04182600973643DCD2 +:04182700C05CC018C9 +:04182800AC86242343 +:04182900873EBFE94E +:04182A00C39943DC3F +:04182B00FEF47DE367 +:04182C000633431428 +:04182D001F6300D75E +:04182E0040100086E0 +:04182F00C31496B296 +:0418300000D70633A4 +:04183100FAC79DE372 +:0418320043DC4390C0 +:04183300C31496B292 +:04183400B775C35C65 +:0418350000C4756313 +:04183600C11C47B1D9 +:041837004010B74D59 +:0418380000C406B32F +:0418390000D79663DB +:04183A0043DC4394B4 +:04183B00C01496B28D +:04183C00C340C05C89 +:04183D008082B76985 +:04183E00C22611416C +:04183F000035849359 +:04184000C60698F14F +:0418410004A1C42218 +:0418420087AA4731F9 +:0418430004E4FC635A +:04184400EB6344B15D +:04184500853E04B424 +:0418460000EFC03EB1 +:04184700676900705D +:04184800AC872683C0 +:0418490006134782B9 +:04184A008436AC87AD +:04184B006469E431B7 +:04184C00ACC4041311 +:04184D00EB01401853 +:04184E004581853E0D +:04184F0000EFC03EA8 +:04185000478279C092 +:04185100853EC00808 +:04185200C03E85A669 +:0418530078E000EF4A +:041854004782577DF3 +:0418550006E516632B +:04185600C3984731BB +:0418570000EF853EDB +:04185800A0297C4007 +:04185900FA04D7E3D3 +:04185A00C3984731B7 +:04185B0040B2450151 +:04185C00449244224C +:04185D008082014143 +:04185E008F0540189A +:04185F0002074F63CA +:04186000F66345AD39 +:04186100C01800E5C6 +:04186200C004943AF0 +:041863004058A02920 +:041864000286936302 +:04186500853EC218E2 +:0418660078A000EF77 +:0418670000B40513B1 +:04186800004407939E +:041869000733996147 +:04186A0002E340F560 +:04186B00943AFCF5BA +:04186C00C01C8F8984 +:04186D00C2D8BF6DB1 +:04186E0086A2BFF996 +:04186F00B7BD404081 +:041870000035041328 +:0418710002E3987185 +:0418720005B3FC8539 +:04187300853E40A4CA +:041874002721C03E2A +:041875004782577DD2 +:04187600FAE519E393 +:041877001111BFB5D7 +:041878004590C232A3 +:04187900CC06C826AB +:04187A0084AECA224C +:04187B0008C6E46354 +:04187C00D50382AA64 +:04187D00771300C518 +:04187E00CB3D480511 +:04187F00470D48D0F9 +:04188000073340806A +:04188100498C02C7C5 +:0418820007B3460959 +:04188300C03E40B46F +:0418840002C7443320 +:0418850000168613B0 +:041886007363963EB4 +:04188700843200C4E3 +:04188800400575138F +:04188900C535C63665 +:04188A0085A2851698 +:04188B00F0EFC416A0 +:04188C0042A2ECBFC9 +:04188D00473146B2E7 +:04188E004602CD251C +:04188F00C636488C85 +:04189000A0EFC42AD7 +:04189100D603B84F73 +:04189200432200C429 +:04189300761346B2D0 +:041894006613B7F62A +:041895009623080688 +:04189600478200C4C1 +:041897000064A8231E +:04189800933EC8C0F3 +:0418990040F40733DD +:04189A000064A02323 +:04189B00C498863631 +:04189C0000C6F3632C +:04189D0045928636B4 +:04189E00C03240888C +:04189F00B60FA0EFF1 +:0418A0004602449820 +:0418A1008F1145015D +:0418A2004098C4980E +:0418A300C090963A21 +:0418A4008516A80DF0 +:0418A500C4168622BD +:0418A60042A22571C4 +:0418A700832A46B298 +:0418A800488CFD4D1E +:0418A900C0168516CA +:0418AA00DA7FF0EF02 +:0418AB0047314282FD +:0418AC0000E2A02393 +:0418AD0000C4D70399 +:0418AE006713557DEA +:0418AF009623040771 +:0418B00040E200E42E +:0418B10044C2445297 +:0418B20080820171BE +:0418B30000C5D70392 +:0418B400F7810113A4 +:0418B500DEA6C122C8 +:0418B60001F10793A2 +:0418B700C22AC30678 +:0418B8007713C02EB4 +:0418B90084B20807E6 +:0418BA00FF87F4139D +:0418BB004998CB1D60 +:0418BC000593EB0D98 +:0418BD00C636040027 +:0418BE00E01FF0EF48 +:0418BF0046B2478264 +:0418C000CB88C38886 +:0418C1004712E919C8 +:0418C200557D47B158 +:0418C300409AC31C68 +:0418C40054F6440A88 +:0418C5000881011382 +:0418C6004782808253 +:0418C70004000713FF +:0418C8000713CBD85F +:0418C9000CA302006A +:0418CA00071300E41C +:0418CB002A230300C9 +:0418CC000D230004E4 +:0418CD00CA3600E433 +:0418CE000613872650 +:0418CF0046830250FA +:0418D000C2990007B2 +:0418D1000AC696634A +:0418D200409706B382 +:0418D3000297016314 +:0418D40045124582F2 +:0418D500C83A862661 +:0418D600F0EFC63633 +:0418D700567DE85FF3 +:0418D8001AC50A63C0 +:0418D90046B248507B +:0418DA0096364742B5 +:0418DB004683C85028 +:0418DC00816300071D +:0418DD0004931A0650 +:0418DE00577D00171B +:0418DF0000042023BE +:0418E00000042623B7 +:0418E1002423C058A4 +:0418E20001A300045A +:0418E3002C230404AA +:0418E400C5830404B0 +:0418E50067E10004B3 +:0418E600851346150B +:0418E70023A54B47A3 +:0418E800871340140E +:0418E900E5390014C9 +:0418EA000106F613EA +:0418EB000613C60911 +:0418EC0001A3020052 +:0418ED00F61304C426 +:0418EE00C6090086A1 +:0418EF0002B006132A +:0418F00004C401A388 +:0418F1000004C583A7 +:0418F20002A0061337 +:0418F30002C58F6338 +:0418F40087264454AB +:0418F50045254581BF +:0418F600460342A9BA +:0418F70003130007D0 +:0418F80006130017BC +:0418F9007763FD060E +:0418FA00C98506C5D1 +:0418FB00A035C454FC +:0418FC00B7B1070574 +:0418FD00861367E106 +:0418FE008D114B47B6 +:0418FF001533460552 +:041900008EC900A6E6 +:0419010084BAC014D0 +:041902004652B76929 +:041903000046059302 +:04190400CA2E421095 +:04190500020649632A +:041906004603C45080 +:04190700069300073C +:04190800116302E085 +:04190900460306D6B5 +:04190A000693001729 +:04190B001B6302A0B8 +:04190C0046D202D6E7 +:04190D00861307092D +:04190E0042940046B9 +:04190F00C163CA32B4 +:04191000C0540206B7 +:041911000633A08178 +:04191200E69340C058 +:04191300C450002696 +:04191400B7E1C01463 +:04191500025686B33D +:041916004585871A62 +:04191700BFB596B210 +:04191800B7C556FDFC +:041919002223070579 +:04191A0045810004FF +:04191B004525468197 +:04191C00460342A993 +:04191D0003130007A9 +:04191E000613001795 +:04191F007363FD06EB +:04192000F1E106C526 +:0419210000074583F3 +:04192200460D64E129 +:041923004BC4851319 +:041924002995C63A01 +:04192500CD01473277 +:041926004BC4849397 +:0419270006938D0591 +:0419280096B304006E +:04192900400800A6CC +:04192A008D550705CB +:04192B004583C00828 +:04192C0065610007EA +:04192D00051346193F +:04192E0004934C05CD +:04192F000C2300176E +:04193000219100B44D +:041931000713C135A2 +:04193200E70D0000BD +:0419330047524014C3 +:041934001006F69310 +:041935000711CE9137 +:041936004858CA3A09 +:04193700973E47A2EE +:04193800BD99C85835 +:04193900025686B319 +:04193A004585871A3E +:04193B00B75196B258 +:04193C00B7DD0721EB +:04193D004512460207 +:04193E00085866D906 +:04193F001DE6869388 +:04194000009785A2E5 +:0419410000E70000BB +:04194200C42A0000B3 +:04194300577D47A2E3 +:04194400FCE795E344 +:04194500557D478203 +:0419460000C7D703FC +:041947000407771307 +:04194800DE0717E3BC +:04194900B3E5484872 +:04194A0045124602FA +:04194B00085866D9F9 +:04194C001DE686937B +:04194D00221585A238 +:04194E001101BFC9FB +:04194F00CA2687AE6F +:0419500084BA85B61A +:041951004B984794D4 +:04195200CE06CC22CF +:041953008332842A2D +:0419540000D7536302 +:04195500202387368E +:04195600C68300E361 +:04195700C68104370A +:04195800202307053C +:04195900439800E3CC +:04195A0002077713F6 +:04195B002703C71186 +:04195C000709000374 +:04195D0000E3202360 +:04195E008613439811 +:04195F008B19019748 +:041960004398C335B0 +:041961000437C683FE +:0419620002077713EE +:0419630000D036B3C7 +:041964008613E751AE +:04196500852204379C +:04196600C23EC41A9F +:041967009482C02E78 +:041968000763577D3D +:04196900479206E5B6 +:04196A00458243224D +:04196B002603439478 +:04196C004311000320 +:04196D0047C88A9944 +:04196E009763470133 +:04196F0007330066D4 +:04197000536340C5B8 +:041971004701000723 +:041972004B904794BB +:0419730000D65463E3 +:0419740097368E9183 +:041975008613430191 +:04197600106301A752 +:0419770045010667B9 +:041978000705A80DAA +:04197900250347D427 +:04197A008E8900034F +:04197B00F8D75BE35B :04197C0085224685F5 -:04197D00C43AC61A88 -:04197E00C02EC23283 -:04197F0057FD9482FA -:04198000FCF503E38C -:041981004722433284 -:041982004582461242 -:04198300B749030558 -:04198400CC2211015F -:04198500CE06CA269A -:04198600842EC02AC1 -:04198700043585138B -:041988000185C5838D -:04198900C23284B62C -:04198A000780069339 -:04198B0000B6EC6353 -:04198C000620069398 -:04198D0000B6ED6350 -:04198E001C058C6345 -:04198F000580069336 -:0419900012D58D637C -:041991000424031314 -:0419920004B4012375 -:041993008693A8058A -:04199400F693F9D5F8 -:0419950046550FF6AE -:04199600FED666E330 -:04199700068A6661F5 -:041998004A86061362 -:04199900429496B22C -:04199A0043148682EA -:04199B00042403130A -:04199C000046861368 -:04199D004298C31099 -:04199E0004E4012339 -:04199F00AA754705D9 -:0419A00023034014C9 -:0419A100F613000732 -:0419A200059308069B -:0419A300C20D00432E -:0419A4000003268393 -:0419A5006661C30CA8 -:0419A6000006D863FC -:0419A70002D0071350 -:0419A80040D006B372 -:0419A90004E401A3AE -:0419AA0048060613D2 -:0419AB00A0B947296F -:0419AC000406F61324 -:0419AD00000326838A -:0419AE00DE71C30C17 -:0419AF0086C106C225 -:0419B0004010BFD94B -:0419B10072934314D6 -:0419B200831308068D -:0419B3008663004601 -:0419B40020230002EA -:0419B50042940067F1 -:0419B6007613A801FB -:0419B70020230406DF -:0419B800DA75006775 -:0419B9000006D683CB -:0419BA000713666148 -:0419BB00061306F019 -:0419BC0087634806EF -:0419BD0047290EE5C3 -:0419BE00040401A379 -:0419BF00C40C404CC8 -:0419C0000005C863F3 -:0419C10000042303F8 -:0419C200FFB37313E9 -:0419C3000064202379 -:0419C400832AE299F7 -:0419C500832ACD990B -:0419C60002E6F5B38D -:0419C70095B2137D45 -:0419C8000005C583CE -:0419C90000B3002344 -:0419CA00D6B385B655 -:0419CB00F5E302E658 -:0419CC0046A1FEE54D -:0419CD0000D71E63BE -:0419CE008B0540182D -:0419CF004054CB11A4 -:0419D0004763481809 -:0419D100071300D721 -:0419D2000FA303005C -:0419D300137DFEE39F -:0419D4004065053332 -:0419D5004692C80866 -:0419D6008726450219 -:0419D70085A20810CD -:0419D800F0EFC41A4E -:0419D900577DD8FF5F -:0419DA001C63432225 -:0419DB00557D0CE545 -:0419DC00446240F22F -:0419DD00610544D28A -:0419DE00666180823C -:0419DF0004B402A3A7 -:0419E000480606139C -:0419E1002303400C90 -:0419E200F293000775 -:0419E300268308054A -:0419E40003110003E8 -:0419E50002028D630A -:0419E6000067202353 -:0419E7000015F713DD -:0419E800E593C701BB -:0419E900C00C020527 -:0419EA00F6B94741C2 -:0419EB00F593400C24 -:0419EC00C00CFDF539 -:0419ED004014B7915A -:0419EE000206E69374 -:0419EF000693C01487 -:0419F00066610780A5 -:0419F10004D402A375 -:0419F2004946061349 -:0419F300F293BF6547 -:0419F40020230405A3 -:0419F50083E3006721 -:0419F60006C2FC0227 -:0419F700BF7D82C16D -:0419F800BF194721AB -:0419F9004314401043 -:0419FA007293484C50 -:0419FB008313080644 -:0419FC0087630046B7 -:0419FD0020230002A1 -:0419FE0042980067A4 -:0419FF00A809C30C64 -:041A00000067202338 -:041A0100040676134E -:041A0200DA6D4298BF -:041A030000B71023F5 -:041A0400000428238F -:041A0500B781832AF8 -:041A060045814314BF -:041A070000468613FC -:041A0800A303C31061 -:041A09004050000643 -:041A0A00C41A851A5B -:041A0B004322284109 -:041A0C000533C501D8 -:041A0D00C048406528 -:041A0E00C81840585C -:041A0F00040401A327 -:041A10004814BF199E -:041A110045024592B3 -:041A12009482861A1A -:041A130000E3577D18 -:041A14004018F2E59F -:041A1500EB0D8B0941 -:041A160044484742B7 -:041A1700F0E55AE3B9 -:041A1800B739853A1B -:041A190045024592AB -:041A1A00C63A4685FD -:041A1B009482C432BB -:041A1C000EE356FD82 -:041A1D004732EED589 -:041A1E000705462250 -:041A1F0045C2445424 -:041A200041E38E8D83 -:041A2100BFC9FED764 -:041A2200061347015F -:041A2300B7FD019476 -:041A2400C222115178 -:041A2500842AC02629 -:041A2600852E64E9BC -:041A2700AE23C40620 -:041A28002A15A604D1 -:041A2900166357FDEC -:041A2A00A78300F599 -:041A2B00C391A7C4F8 -:041A2C0040A2C01CF8 -:041A2D004482441299 -:041A2E008082013180 -:041A2F000FF5F59327 -:041A30001463962A7B -:041A3100450100C5A6 -:041A320047838082E4 -:041A33008DE300053A -:041A34000505FEB7EF -:041A35008082B7FDF7 -:041A3600E581808244 -:041A3700F06F85B215 -:041A38001131FD0F5C -:041A3900C622C806F3 -:041A3A00EA11C426C3 -:041A3B00F18FF0EF48 -:041A3C0040C24781DC -:041A3D0044A2443249 -:041A3E000151853E8F -:041A3F00842E8082EF -:041A400084AAC03282 -:041A41004602203DFC -:041A420074E387A220 -:041A430085B2FEC5A5 -:041A4400C2328526FF -:041A4500F9AFF0EF16 -:041A4600DD6187AA2D -:041A470085A246121C -:041A480090EFC02A31 -:041A490085A2CA5F49 -:041A4A00F0EF85260E -:041A4B004782EDAF32 -:041A4C00A783B7C9EC -:041A4D008513FFC539 -:041A4E00D563FFC796 -:041A4F0095AA00074D -:041A5000953E419CE2 -:041A51004701808247 -:041A520000E6146333 -:041A53008082450147 -:041A540000E507B3EF -:041A550086B3070548 -:041A5600C78300E55D -:041A5700C68300073B -:041A580083E3FFF62F -:041A59008533FED7FC -:041A5A00808240D76F -:041A5B00167DC60D21 -:041A5C0007B3470184 -:041A5D0086B300E567 -:041A5E00C78300E555 -:041A5F00C683000733 -:041A60009463000685 -:041A6100156300D732 -:041A6200853300C701 -:041A6300808240D766 -:041A6400F3E507059A -:041A65004501BFDD9B -:041A660087AA808249 -:041A6700C703CA09DE -:041A680005850005EB -:041A69008FA30785BB -:041A6A00167DFEE700 -:041A6B00963EFB6543 -:041A6C0000C79363B9 -:041A6D0007858082E7 -:041A6E00FE078FA33D -:041A6F0095AABFD5A0 -:041A7000856387AA59 -:041A7100C70300B7F0 -:041A7200E701000781 -:041A730040A78533D0 -:041A740007858082E0 -:041A750063E9B7FD6D -:041A7600A883A78317 -:041A7700C222115125 -:041A7800842AC406F2 -:041A7900A883839328 -:041A7A004501E79D9E -:041A7B00460145815A -:041A7C004701468157 -:041A7D000D60029363 -:041A7E0000000073F1 -:041A7F001A6357FD92 -:041A8000283500F510 -:041A8100C11C47B18C -:041A820040A2557DAC -:041A830001314412D7 -:041A8400A023808299 -:041A8500A30300A314 -:041A86004581000393 -:041A870005334601DC -:041A8800468100642F -:041A89004781470149 -:041A8A000D60029356 -:041A8B0000000073E4 -:041A8C0018E3941AAD -:041A8D00A023FC8511 -:041A8E00851A00A312 -:041A8F0067E5B7F957 -:041A90001347A50350 -:041A9100000080824F -:041A92000000000050 -:041A9300000000004F -:041A9400000000004E -:041A9500000000004D -:041A96004320445352 -:041A97002044524154 -:041A98004C4C495712 -:041A990000454220A2 -:041A9A005245564F0C -:041A9B005449525701 -:041A9C00214E45543E -:041A9D0045002121BE -:041A9E00726F70787B -:041A9F0031203F743F -:041AA000202C593D60 -:041AA100004E3D3284 -:041AA20073657250A6 -:041AA300203120735B -:041AA4003220726F0B +:04197D00C63EC81A80 +:04197E00C232C43A73 +:04197F009482C02E60 +:04198000458256FD49 +:0419810047224612A1 +:04198200434247B2E3 +:04198300FCD51BE391 +:0419840040F2557D5B +:0419850044D24462A2 +:0419860080826105F5 +:0419870000D78633CC +:041988000300051340 +:0419890004A601A30C +:04198A000457C60335 +:04198B0000168713A8 +:04198C000689973EF3 +:04198D0004C701A3E7 +:04198E004685BFA922 +:04198F00C61A8522CD +:04199000C232C43A61 +:041991009482C02E4E +:0419920003E357FD17 +:041993004332FCF5EA +:04199400461247228E +:04199500030545827F +:041996001101B7493B +:04199700CA26CC226E +:04199800C02ACE068D +:041999008513842E00 +:04199A00C5830435C8 +:04199B0084B6018588 +:04199C000693C232BA +:04199D00EC63078070 +:04199E00069300B6F6 +:04199F00ED630620CE +:0419A0008C6300B69E +:0419A10006931C0588 +:0419A2008D630580CC +:0419A300031312D543 +:0419A40001230424F3 +:0419A500A80504B4D9 +:0419A600F9D5869356 +:0419A7000FF6F693AE +:0419A80066E3465557 +:0419A9006661FED69F +:0419AA000613068A90 +:0419AB0096B24F069B +:0419AC008682429459 +:0419AD0003134314C9 +:0419AE008613042474 +:0419AF00C31000461B +:0419B0000123429835 +:0419B100470504E4FE +:0419B2004014AA75BE +:0419B3000007230303 +:0419B4000806F61318 +:0419B5000043059353 +:0419B6002683C20DB5 +:0419B700C30C00035A +:0419B800D863666129 +:0419B900071300060A +:0419BA0006B302D09E +:0419BB0001A340D074 +:0419BC00061304E426 +:0419BD0047294C86E4 +:0419BE00F613A0B9C3 +:0419BF002683040671 +:0419C000C30C000351 +:0419C10006C2DE710B +:0419C200BFD986C142 +:0419C3004314401079 +:0419C400080672930C +:0419C5000046831342 +:0419C6000002866332 +:0419C7000067202372 +:0419C800A80142949C +:0419C9000406761387 +:0419CA00006720236F +:0419CB00D683DA7570 +:0419CC00666100064A +:0419CD0006F0071306 +:0419CE004C8606132A +:0419CF000EE5876337 +:0419D00001A34729FF +:0419D100404C04047E +:0419D200C863C40C16 +:0419D30023030005E5 +:0419D4007313000485 +:0419D5002023FFB319 +:0419D600E29900642E +:0419D700CD99832AF9 +:0419D800F5B3832AB6 +:0419D900137D02E692 +:0419DA00C58395B27A +:0419DB0000230005E0 +:0419DC0085B600B319 +:0419DD0002E6D6B395 +:0419DE00FEE5F5E34A +:0419DF001E6346A19C +:0419E000401800D7D4 +:0419E100CB118B0596 +:0419E200481840540D +:0419E30000D747637F +:0419E40003000713E2 +:0419E500FEE30FA36B +:0419E6000533137D35 +:0419E700C808406587 +:0419E80045024692DC +:0419E9000810872635 +:0419EA00C41A85A2F4 +:0419EB00D8FFF0EF42 +:0419EC004322577DBE +:0419ED000CE51C6386 +:0419EE0040F2557DF1 +:0419EF0044D2446238 +:0419F000808261058B +:0419F10002A3666186 +:0419F200061304B420 +:0419F300400C4C86D2 +:0419F40000072303C2 +:0419F5000805F2935C +:0419F6000003268341 +:0419F7008D630311E8 +:0419F80020230202A4 +:0419F900F713006779 +:0419FA00C70100150C +:0419FB000205E59369 +:0419FC004741C00C93 +:0419FD00400CF6B9EB +:0419FE00FDF5F5936B +:0419FF00B791C00CD0 +:041A0000E693401415 +:041A0100C014020605 +:041A020007800693C0 +:041A030002A3666173 +:041A0400061304D4ED +:041A0500BF654DC6A6 +:041A06000405F2934E +:041A07000067202331 +:041A0800FC0283E376 +:041A090082C106C2CE +:041A0A004721BF7D34 +:041A0B004010BF19AF +:041A0C00484C4314EB +:041A0D0008067293C2 +:041A0E0000468313F8 +:041A0F0000028763E7 +:041A10000067202328 +:041A1100C30C429828 +:041A12002023A809DC +:041A130076130067DF +:041A140042980406EA +:041A15001023DA6D53 +:041A1600282300B7CA +:041A1700832A00041A +:041A18004314B7813B +:041A1900861345816A +:041A1A00C3100046AF +:041A1B000006A3031B +:041A1C00851A405097 +:041A1D002841C41A7E +:041A1E00C501432299 +:041A1F0040650533E6 +:041A20004058C04822 +:041A210001A3C8183D +:041A2200BF190404E0 +:041A2300459248148C +:041A2400861A4502D7 +:041A2500577D9482D3 +:041A2600F2E500E302 +:041A27008B094018CF +:041A28004742EB0D39 +:041A29005AE34448F0 +:041A2A00853AF0E524 +:041A2B004592B739F0 +:041A2C0046854502A4 +:041A2D00C432C63ABF +:041A2E0056FD94824B +:041A2F00EED50EE3FF +:041A300046224732D1 +:041A3100445407050D +:041A32008E8D45C28E +:041A3300FED741E3B6 +:041A34004701BFC9DE +:041A350001940613FF +:041A36001151B7FD96 +:041A3700C026C222E1 +:041A380064E9842AAF +:041A3900C406852E2C +:041A3A00AC04A22333 +:041A3B0057FD2A1514 +:041A3C0000F5166338 +:041A3D00AC44A7838B +:041A3E00C01CC39174 +:041A3F00441240A26B +:041A400001314482AA +:041A4100F593808217 +:041A4200962A0FF5DC +:041A430000C5146363 +:041A44008082450156 +:041A450000054783CE +:041A4600FEB78DE377 +:041A4700B7FD0505DD +:041A48008082808296 +:041A490085B2E581FC +:041A4A00FD0FF06F2D +:041A4B00C806113187 +:041A4C00C426C622C4 +:041A4D00F0EFEA11BB +:041A4E004781F18F4C +:041A4F00443240C21B +:041A5000853E44A2E9 +:041A5100808201513D +:041A5200C032842EEC +:041A5300203D84AA04 +:041A540087A246021D +:041A5500FEC574E373 +:041A5600852685B2AA +:041A5700F0EFC232B8 +:041A580087AAF9AFB1 +:041A59004612DD61F3 +:041A5A00C02A85A277 +:041A5B00C5BF90EF84 +:041A5C00852685A2B4 +:041A5D00EDAFF0EF0A +:041A5E00B7C947823B +:041A5F00FFC5A78395 +:041A6000FFC7851324 +:041A61000007D56342 +:041A6200419C95AA64 +:041A63008082953EAA +:041A640014634701BF +:041A6500450100E651 +:041A660007B38082C0 +:041A6700070500E58A +:041A680000E586B35C +:041A69000007C78328 +:041A6A00FFF6C6833A +:041A6B00FED783E33C +:041A6C0040D78533A7 +:041A6D00C60D8082A0 +:041A6E004701167D99 +:041A6F0000E507B3D4 +:041A700000E586B354 +:041A71000007C78320 +:041A72000006C68321 +:041A730000D79463A1 +:041A740000C715632F +:041A750040D785339E +:041A7600070580825E +:041A7700BFDDF3E5F7 +:041A78008082450122 +:041A7900CA0987AA65 +:041A7A000005C70399 +:041A7B000785058551 +:041A7C00FEE78FA34F +:041A7D00FB65167D72 +:041A7E009363963E9A +:041A7F00808200C79A +:041A80008FA30785A4 +:041A8100BFD5FE07C8 +:041A820087AA95AAF0 +:041A830000B78563C0 +:041A84000007C7038D +:041A85008533E701BD +:041A8600808240A773 +:041A8700B7FD07851B +:041A8800A78363E9E4 +:041A89001151AD0347 +:041A8A00C406C222AA +:041A8B008393842A93 +:041A8C00E79DAD0322 +:041A8D004581450149 +:041A8E004681460146 +:041A8F000293470176 +:041A900000730D6072 +:041A910057FD0000FD +:041A920000F51A63DE +:041A930047B12835FA +:041A9400557DC11C9F +:041A9500441240A215 +:041A96008082013118 +:041A970000A3A023E5 +:041A98000003A303A1 +:041A9900460145813C +:041A9A0000640533AC +:041A9B004701468138 +:041A9C0002934781E9 +:041A9D0000730D6065 +:041A9E00941A000096 +:041A9F00FC8518E3C7 +:041AA00000A3A023DC +:041AA100B7F9851AF2 +:041AA200A50367E54C +:041AA300808217C75F +:041AA400000000003E :041AA500000000003D -:041AA6004D9000EB74 -:041AA7004E495753FA -:041AA80000312E34A7 -:041AA90000800402B3 -:041AAA00000800022E -:041AAB000020F8809F -:041AAC0000FF003FF8 -:041AAD00F429008098 -:041AAE004F04C6CF4C -:041AAF0050435353FA -:041AB00049464F5202 -:041AB1004146534C0B -:041AB2002036315455 -:041AB30000002020EF -:041AB4004353534FF6 -:041AB500464F5250F6 -:041AB600204E494233 -:041AB700B5048E00E4 -:041AB800516F516FAA -:041AB9008917000089 -:041ABA000002516F66 -:041ABB000010020015 -:041ABC000010000016 -:041ABD000000000025 -:041ABE000000000024 -:041ABF000010000013 -:041AC000000804DC3A -:041AC100000804DC39 -:041AC200000804DC38 -:041AC300000804DC37 -:041AC40000010454C5 -:041AC5000001045ABE -:041AC60000010460B7 -:041AC70000010466B0 -:041AC800000104080D -:041AC90000010428EC -:041ACA0000010428EB -:041ACB0000010428EA -:041ACC0000010428E9 -:041ACD0000010428E8 -:041ACE0000010428E7 -:041ACF000001042CE2 -:041AD00000010432DB -:041AD1006C206F4EC8 -:041AD200006B6E69CE -:041AD3006D206425F9 -:041AD40000000056B8 -:041AD500252E752520 -:041AD6002075322E17 -:041AD7000000737523 -:041AD8002525752526 -:041AD9000000000009 -:041ADA006F7475416F -:041ADB0000002820BF -:041ADC0075257325D4 -:041ADD00000073256D -:041ADE006C207525DE -:041ADF0073656E6954 -:041AE0000000000002 -:041AE10064206425F4 -:041AE20000000042BE -:041AE30070207525D5 -:041AE4006C6578694C -:041AE500000000738A -:041AE600656E6F4476 -:041AE70000000000FB -:041AE8006C6961467E -:041AE90028206465E8 -:041AEA000029642546 -:041AEB0000011C10CA -:041AEC0000011C2AAF -:041AED0000011C34A4 -:041AEE0000011C6671 -:041AEF0000011C4294 -:041AF00064206425E5 -:041AF1000000676525 -:041AF200252E752503 -:041AF3000075322E1A -:041AF4006573753C65 -:041AF50000003E644B -:041AF60044525355AE -:041AF7000041544115 -:041AF800706D653C6C -:041AF900003E7974BE -:041AFA00203A7525F4 -:041AFB00000073254F -:041AFC002520732509 -:041AFD0063252D75BB -:041AFE0000000000E4 -:041AFF00252E7525F6 -:041B00006B75322EA1 -:041B010025207A48D9 -:041B02002E252E75E9 -:041B03007A48753275 -:041B040000000000DD -:041B050078207325AC -:041B06000000752541 -:041B0700FFFFFFFFDE -:041B0800FFFFFFFFDD -:041B09000000FFFFDA -:041B0A00636E614362 -:041B0B00656C6C6534 -:041B0C000000006471 -:041B0D00462F4453C8 -:041B0E006873616C2B -:041B0F007272652069 -:041B10000000726FF0 -:041B110061766E4942 -:041B12002064696C76 -:041B1300617261702A -:041B1400002E736DBF -:041B150053206F4E9C -:041B160061632044A3 -:041B17006420647270 -:041B1800002E7465C2 -:041B1900636375533A -:041B1A00007373657C -:041B1B0046204453C9 -:041B1C00616D726F16 -:041B1D000000007450 -:041B1E0041463D31CE -:041B1F002C363154DB -:041B2000523D3220E0 -:041B21000000574128 -:041B22006F70784523 -:041B23006E69747201 -:041B24002E2E2E67CC -:041B250000000000BC -:041B260073616C4635 -:041B27006576206857 -:041B28002066697258 -:041B29006C6961661C -:041B2A0000000000B7 -:041B2B0061766E4928 -:041B2C002064696C5C -:041B2D002072646856 -:041B2E0000435243DB -:041B2F0061766E4924 -:041B30002064696C58 -:041B31006174616416 -:041B320043524320B7 -:041B330000000000AE -:041B34006164705523 -:041B35006320657450 -:041B360065636E6114 -:041B370064656C6C09 -:041B380000000000A9 -:041B390061766E491A -:041B3A002064696C4E -:041B3B0067616D6908 -:041B3C000000006540 +:041AA600000000003C +:041AA700000000003B +:041AA8004320445340 +:041AA9002044524142 +:041AAA004C4C495700 +:041AAB000045422090 +:041AAC005245564FFA +:041AAD0054495257EF +:041AAE00214E45542C +:041AAF0045002121AC +:041AB000726F707869 +:041AB10031203F742D +:041AB200202C593D4E +:041AB300004E3D3272 +:041AB4007365725094 +:041AB5002031207349 +:041AB6003220726FF9 +:041AB700000000002B +:041AB8004D9000EB62 +:041AB9004E495753E8 +:041ABA0000312E3495 +:041ABB0000800402A1 +:041ABC00000800021C +:041ABD000020F8808D +:041ABE0000FF003FE6 +:041ABF00F429008086 +:041AC0004F04C6CF3A +:041AC10050435353E8 +:041AC20049464F52F0 +:041AC3004146534CF9 +:041AC4002036315443 +:041AC50000002020DD +:041AC6004353534FE4 +:041AC700464F5250E4 +:041AC800204E494221 +:041AC900B5048E00D2 +:041ACA00516F516F98 +:041ACB008917000077 +:041ACC000002516F54 +:041ACD000010020003 +:041ACE000010000004 +:041ACF000000000013 +:041AD0000000000012 +:041AD1000010000001 +:041AD200000804DC28 +:041AD300000804DC27 +:041AD400000804DC26 +:041AD500000804DC25 +:041AD60000010454B3 +:041AD7000001045AAC +:041AD80000010460A5 +:041AD900000104669E +:041ADA0000010408FB +:041ADB0000010428DA +:041ADC0000010428D9 +:041ADD0000010428D8 +:041ADE0000010428D7 +:041ADF0000010428D6 +:041AE00000010428D5 +:041AE1000001042CD0 +:041AE20000010432C9 +:041AE3006C206F4EB6 +:041AE400006B6E69BC +:041AE5006D206425E7 +:041AE60000000056A6 +:041AE700252E75250E +:041AE8002075322E05 +:041AE9000000737511 +:041AEA002525752514 +:041AEB0000000000F7 +:041AEC006F7475415D +:041AED0000002820AD +:041AEE0075257325C2 +:041AEF00000073255B +:041AF0006C207525CC +:041AF10073656E6942 +:041AF20000000000F0 +:041AF30064206425E2 +:041AF40000000042AC +:041AF50070207525C3 +:041AF6006C6578693A +:041AF7000000007378 +:041AF800656E6F4464 +:041AF90000000000E9 +:041AFA006C6961466C +:041AFB0028206465D6 +:041AFC000029642534 +:041AFD0000011C10B8 +:041AFE0000011C2A9D +:041AFF0000011C3492 +:041B000000011C665E +:041B010000011C4281 +:041B020064206425D2 +:041B03000000676512 +:041B0400252E7525F0 +:041B05000075322E07 +:041B06006573753C52 +:041B070000003E6438 +:041B0800445253559B +:041B09000041544102 +:041B0A00706D653C59 +:041B0B00003E7974AB +:041B0C00203A7525E1 +:041B0D00000073253C +:041B0E0025207325F6 +:041B0F0063252D75A8 +:041B100000000000D1 +:041B1100252E7525E3 +:041B12006B75322E8F +:041B130025207A48C7 +:041B14002E252E75D7 +:041B15007A48753263 +:041B160000000000CB +:041B1700782073259A +:041B1800000075252F +:041B1900FFFFFFFFCC +:041B1A00FFFFFFFFCB +:041B1B000000FFFFC8 +:041B1C00636E614350 +:041B1D00656C6C6522 +:041B1E00000000645F +:041B1F00462F4453B6 +:041B20006873616C19 +:041B21007272652057 +:041B22000000726FDE +:041B230061766E4930 +:041B24002064696C64 +:041B25006172617018 +:041B2600002E736DAD +:041B270053206F4E8A +:041B28006163204491 +:041B2900642064725E +:041B2A00002E7465B0 +:041B2B006363755328 +:041B2C00007373656A +:041B2D0046204453B7 +:041B2E00616D726F04 +:041B2F00000000743E +:041B300041463D31BC +:041B31002C363154C9 +:041B3200523D3220CE +:041B33000000574116 +:041B34006F70784511 +:041B35006E697472EF +:041B36002E2E2E67BA +:041B370000000000AA +:041B380073616C4623 +:041B39006576206845 +:041B3A002066697246 +:041B3B006C6961660A +:041B3C0000000000A5 :041B3D0061766E4916 :041B3E002064696C4A -:041B3F006461656810 -:041B400000007265CA -:041B41004353534F68 -:041B4200000000009F -:041B4300696C615612 -:041B440069746164FB -:041B45006420676E43 -:041B46000061746165 -:041B4700622075257E -:041B480073657479D4 -:041B49000000000098 -:041B4A00252E7525AA -:041B4B002575322E9C -:041B4C00007325738A -:041B4D00616470550A -:041B4E00676E6974E1 -:041B4F0000574620D5 -:041B500061656C70EF -:041B51007720657321 -:041B52002E74696123 -:041B530000002E2E32 -:041B540069726556F7 -:041B55006E697966D6 -:041B56006C66206732 -:041B5700006873614E -:041B580061656C70E7 -:041B5900722065731E -:041B5A0061747365DA -:041B5B0000007472A0 -:041B5C0072746552E8 -:041B5D00676E6979CD -:041B5E00647075201A -:041B5F000065746148 -:041B600061647055F7 -:041B6100203F657448 -:041B62002C593D318C -:041B63004E3D3220A1 -:041B6400000000007D -:041B65006D726946EE -:041B660065726177CC -:041B67006470752011 -:041B680064657461DB -:041B69000000000078 -:041B6A000001456CC5 -:041B6B00000145AE82 -:041B6C00000145B679 -:041B6D00000145BE70 -:041B6E0064616F4CF3 -:041B6F002E676E6906 -:041B700000002E2E15 -:041B71007320642554 -:041B720073746F6CAD -:041B7300616F6C2012 -:041B74000064656440 -:041B75006F706D49D7 -:041B7600203F747226 -:041B77002C593D3177 -:041B78004E3D32208C -:041B79000000000068 -:041B7A006C696146EB -:041B7B00000064659D -:041B7C0073657250CB -:041B7D0000000073F1 -:041B7E00666E6F43DD -:041B7F00006D72691A -:041B80006D73694DCB -:041B810068637461C0 -:041B82006572202C3C -:041B830000797274FF -:041B84004353534F25 -:041B8500776620203F -:041B86007525202E73 -:041B8700322E252EA7 -:041B88000000617583 -:041B890074696E49C4 -:041B8A0072726520EE -:041B8B002020726F35 -:041B8C0000006425CC -:041B8D0065646F4DCF -:041B8E0065727020EC -:041B8F003A746573CC -:041B90000000000051 -:041B910072666552C1 -:041B920020687365EF -:041B930065746172A2 -:041B94000000003A13 -:041B9500252E75255F -:041B96004875322E2E -:041B97000000007AD0 -:041B980020562F485C -:041B9900636E79738B -:041B9A003A6E656CCE -:041B9B000000000046 -:041B9C0075352D2549 -:041B9D00352D25209D -:041B9E0000000075CE -:041B9F0020562F4855 -:041BA0006B636162B0 -:041BA10063726F708C -:041BA20000003A689D -:041BA30020562F4851 -:041BA400697463619C -:041BA500003A657627 -:041BA60020562F484E -:041BA70061746F7482 -:041BA80000003A6C93 -:041BA900666F7250A1 -:041BAA003A656C69C3 -:041BAB000000000036 -:041BAC00003A57465E -:041BAD00252E752547 -:041BAE006175322EFD -:041BAF004F20402063 -:041BB0003220746308 -:041BB1003032203975 -:041BB20000003332CA -:041BB300656E694CA6 -:041BB400746C756D6B -:041BB500646F6D20CC -:041BB60000003A658C -:041BB7007365727070 -:041BB8002D31207338 -:041BB90000000036F2 -:041BBA0020787525F5 -:041BBB0075736E755B -:041BBC00726F707064 -:041BBD0000646574E7 -:041BBE00666F72508C -:041BBF0020656C69C8 -:041BC00064616F6C81 -:041BC1000000003AE6 -:041BC2007365727065 -:041BC30075252073F1 -:041BC4000075252D56 -:041BC500202020209C -:041BC60053204F4E0B -:041BC70000434E5930 -:041BC8003431303252 -:041BC9003230322D57 -:041BCA006D20203337 -:041BCB00737172615F -:041BCC000000000015 -:041BCD00073F3F018E -:041BCE0003030F0FEF -:041BCF00000103010D -:041BD00000014EA81A -:041BD10000014F6858 -:041BD20000014F6857 -:041BD30000014F7E40 -:041BD40000014F7E3F -:041BD50000014F8834 -:041BD60000014F8C2F -:041BD70000014F9A20 -:041BD80000014F9A1F -:041BD90000014FAC0C -:041BDA000001551E93 -:041BDB00000155268A -:041BDC000001551699 -:041BDD00000155228C -:041BDE000001552A83 -:041BDF000001551A92 -:041BE0000001557635 -:041BE1000001552E7C -:041BE2000001553277 -:041BE3000001553672 -:041BE4000001557631 -:041BE5000001557630 -:041BE600000155762F -:041BE700000155762E -:041BE800000155762D -:041BE90000015B3C60 -:041BEA000001557A27 -:041BEB000001573E60 -:041BEC000001574C51 -:041BED00000157D6C6 -:041BEE000001580E8C -:041BEF000001580E8B -:041BF0000001586236 -:041BF1000001595C3A -:041BF2000001595C39 -:041BF30000015A444F -:041BF4006E692048AE -:041BF500767265742B -:041BF60000006C611E -:041BF7006E6920569D -:041BF8007672657428 -:041BF90000006C611B -:041BFA002D62755390 -:041BFB00656E696C3E -:041BFC007320312001 -:041BFD0000007274FE -:041BFE002D6275538C -:041BFF00656E696C3A -:041C000073203220FB -:041C010000007274F9 -:041C02002D62755387 -:041C0300656E696C35 -:041C040073203320F6 -:041C050000007274F5 -:041C06002D62755383 -:041C0700656E696C31 -:041C080073203420F1 -:041C090000007274F1 -:041C0A002D6275537F -:041C0B00656E696C2D -:041C0C0073203520EC -:041C0D0000007274ED -:041C0E002D6275537B -:041C0F00656E696C29 -:041C100073203620E7 -:041C110000007274E9 -:041C12002D62755377 -:041C1300756C6F631A -:041C140031206E6DA0 -:041C15007274732052 -:041C160000000000CA -:041C17002D62755372 -:041C1800756C6F6315 -:041C190032206E6D9A -:041C1A00727473204D -:041C1B0000000000C5 +:041B3F002072646844 +:041B400000435243C9 +:041B410061766E4912 +:041B42002064696C46 +:041B43006174616404 +:041B440043524320A5 +:041B4500000000009C +:041B46006164705511 +:041B4700632065743E +:041B480065636E6102 +:041B490064656C6CF7 +:041B4A000000000097 +:041B4B0061766E4908 +:041B4C002064696C3C +:041B4D0067616D69F6 +:041B4E00000000652E +:041B4F0061766E4904 +:041B50002064696C38 +:041B510064616568FE +:041B520000007265B8 +:041B53004353534F56 +:041B5400000000008D +:041B5500696C615600 +:041B560069746164E9 +:041B57006420676E31 +:041B58000061746153 +:041B5900622075256C +:041B5A0073657479C2 +:041B5B000000000086 +:041B5C00252E752598 +:041B5D002575322E8A +:041B5E000073257378 +:041B5F0061647055F8 +:041B6000676E6974CF +:041B610000574620C3 +:041B620061656C70DD +:041B6300772065730F +:041B64002E74696111 +:041B650000002E2E20 +:041B660069726556E5 +:041B67006E697966C4 +:041B68006C66206720 +:041B6900006873613C +:041B6A0061656C70D5 +:041B6B00722065730C +:041B6C0061747365C8 +:041B6D00000074728E +:041B6E0072746552D6 +:041B6F00676E6979BB +:041B70006470752008 +:041B71000065746136 +:041B720061647055E5 +:041B7300203F657436 +:041B74002C593D317A +:041B75004E3D32208F +:041B7600000000006B +:041B77006D726946DC +:041B780065726177BA +:041B790064707520FF +:041B7A0064657461C9 +:041B7B000000000066 +:041B7C00000145B669 +:041B7D00000145F826 +:041B7E00000146001C +:041B7F000001460813 +:041B800064616F4CE1 +:041B81002E676E69F4 +:041B820000002E2E03 +:041B83007320642542 +:041B840073746F6C9B +:041B8500616F6C2000 +:041B8600006465642E +:041B87006F706D49C5 +:041B8800203F747214 +:041B89002C593D3165 +:041B8A004E3D32207A +:041B8B000000000056 +:041B8C006C696146D9 +:041B8D00000064658B +:041B8E0073657250B9 +:041B8F0000000073DF +:041B9000666E6F43CB +:041B9100006D726908 +:041B92006D73694DB9 +:041B930068637461AE +:041B94006572202C2A +:041B950000797274ED +:041B96004353534F13 +:041B9700776620202D +:041B98007525202E61 +:041B9900322E252E95 +:041B9A000000617571 +:041B9B0074696E49B2 +:041B9C0072726520DC +:041B9D002020726F23 +:041B9E0000006425BA +:041B9F0065646F4DBD +:041BA00065727020DA +:041BA1003A746573BA +:041BA200000000003F +:041BA30072666552AF +:041BA40020687365DD +:041BA5006574617290 +:041BA6000000003A01 +:041BA700252E75254D +:041BA8004875322E1C +:041BA9000000007ABE +:041BAA0020562F484A +:041BAB00636E797379 +:041BAC003A6E656CBC +:041BAD000000000034 +:041BAE0075352D2537 +:041BAF00352D25208B +:041BB00000000075BC +:041BB10020562F4843 +:041BB2006B6361629E +:041BB30063726F707A +:041BB40000003A688B +:041BB50020562F483F +:041BB600697463618A +:041BB700003A657615 +:041BB80020562F483C +:041BB90061746F7470 +:041BBA0000003A6C81 +:041BBB00666F72508F +:041BBC003A656C69B1 +:041BBD000000000024 +:041BBE00003A57464C +:041BBF00252E752535 +:041BC0006175322EEB +:041BC1004A20402056 +:041BC20020206E6110 +:041BC3003032203765 +:041BC40000003432B7 +:041BC500656E694C94 +:041BC600746C756D59 +:041BC700646F6D20BA +:041BC80000003A657A +:041BC900736572705E +:041BCA002D31207326 +:041BCB0000000036E0 +:041BCC0020787525E3 +:041BCD0075736E7549 +:041BCE00726F707052 +:041BCF0000646574D5 +:041BD000666F72507A +:041BD10020656C69B6 +:041BD20064616F6C6F +:041BD3000000003AD4 +:041BD4007365727053 +:041BD50075252073DF +:041BD6000075252D44 +:041BD700202020208A +:041BD80053204F4EF9 +:041BD90000434E591E +:041BDA003431303240 +:041BDB003230322D45 +:041BDC006D20203325 +:041BDD00737172614D +:041BDE000000000003 +:041BDF00073F3F017C +:041BE00003030F0FDD +:041BE10000010301FB +:041BE20000014EF2BE +:041BE30000014FB2FC +:041BE40000014FB2FB +:041BE50000014FC8E4 +:041BE60000014FC8E3 +:041BE70000014FD2D8 +:041BE80000014FD6D3 +:041BE90000014FE4C4 +:041BEA0000014FE4C3 +:041BEB0000014FF6B0 +:041BEC000001556837 +:041BED00000155702E +:041BEE00000155603D +:041BEF000001556C30 +:041BF0000001557427 +:041BF1000001556436 +:041BF200000155C0D9 +:041BF3000001557820 +:041BF4000001557C1B +:041BF5000001558016 +:041BF600000155C0D5 +:041BF700000155C0D4 +:041BF800000155C0D3 +:041BF900000155C0D2 +:041BFA00000155C0D1 +:041BFB0000015B8604 +:041BFC00000155C4CB +:041BFD000001578804 +:041BFE0000015796F5 +:041BFF000001582069 +:041C0000000158582F +:041C0100000158582E +:041C0200000158ACD9 +:041C0300000159A6DD +:041C0400000159A6DC +:041C050000015A8EF2 +:041C06006E6920489B +:041C07007672657418 +:041C080000006C610B +:041C09006E6920568A +:041C0A007672657415 +:041C0B0000006C6108 +:041C0C002D6275537D +:041C0D00656E696C2B +:041C0E0073203120EE +:041C0F0000007274EB +:041C10002D62755379 +:041C1100656E696C27 +:041C120073203220E9 +:041C130000007274E7 +:041C14002D62755375 +:041C1500656E696C23 +:041C160073203320E4 +:041C170000007274E3 +:041C18002D62755371 +:041C1900656E696C1F +:041C1A0073203420DF +:041C1B0000007274DF :041C1C002D6275536D -:041C1D00756C6F6310 -:041C1E0033206E6D94 -:041C1F007274732048 -:041C200000000000C0 -:041C21002D62755368 -:041C2200756C6F630B -:041C230034206E6D8E -:041C24007274732043 -:041C250000000000BB -:041C26002D62755363 -:041C2700756C6F6306 -:041C280035206E6D88 -:041C2900727473203E -:041C2A0000000000B6 -:041C2B002D6275535E -:041C2C00756C6F6301 -:041C2D0036206E6D82 -:041C2E007274732039 -:041C2F0000000000B1 -:041C300073202E48A7 -:041C31006C706D6105 -:041C32007461726502 -:041C33000000006548 -:041C340073202E48A3 -:041C35007461722E36 -:041C3600726620654D -:041C370000006361E5 -:041C380073202E489F -:041C39006C636E79F1 -:041C3A0000006E65D3 -:041C3B0062202E48AD -:041C3C00706B636105 -:041C3D006863726FF7 -:041C3E0000000000A2 -:041C3F0061202E48AA -:041C400076697463EA -:041C4100000000653A -:041C420073202E5687 -:041C43006C636E79E7 -:041C440000006E65C9 -:041C450062202E5695 -:041C4600706B6361FB -:041C47006863726FED -:041C48000000000098 -:041C490061202E5692 -:041C4A0076697463E0 -:041C4B000000006530 -:041C4C0062202E489C -:041C4D006564726FE9 -:041C4E000000007220 -:041C4F0062202E568B -:041C50006564726FE6 -:041C5100000000721D -:041C5200706D6153FD -:041C5300676E696CE3 -:041C54006168702033 -:041C550000006573B3 -:041C560000007332E5 -:041C570000007335E1 -:041C580000733031B4 -:041C59000066664F6C -:041C5A006C6C7546F3 -:041C5B000000000085 -:041C5C00706D6953EB -:041C5D000000656CB2 -:041C5E0000007333DC -:041C5F0000733033AB -:041C600072727543E4 -:041C610020746E6518 -:041C620075706E69C2 -:041C63000000007409 -:041C6400206C6C4143 -:041C650075706E69BF -:041C66000000737493 -:041C67002066664F3E -:041C68002073662857 -:041C69003639203DAB -:041C6A00297A486B20 -:041C6B000000000075 -:041C6C00202078328A -:041C6D002073662852 -:041C6E003834203DA9 -:041C6F00297A486B1B -:041C70000000000070 -:041C710000315641A7 -:041C720000325641A5 -:041C730063616C42FB -:041C74000000006B01 -:041C750065756C42E3 -:041C7600000000006A -:041C770065657247E6 -:041C78000000006EFA -:041C79006E617943DC -:041C7A000000000066 -:041C7B00006465524A -:041C7C006567614DEA -:041C7D000061746E20 -:041C7E006C6C6559CC -:041C7F000000776F7B -:041C800074696857C4 -:041C810000000065FA -:041C820072472D4137 -:041C8300656C6C69B7 -:041C8400000000005C -:041C850000005654B1 -:041C8600004D565067 -:041C870069726F48C7 -:041C8800746E6F7A8D -:041C890000006C618A -:041C8A0074726556B5 -:041C8B006C616369BC +:041C1D00656E696C1B +:041C1E0073203520DA +:041C1F0000007274DB +:041C20002D62755369 +:041C2100656E696C17 +:041C220073203620D5 +:041C230000007274D7 +:041C24002D62755365 +:041C2500756C6F6308 +:041C260031206E6D8E +:041C27007274732040 +:041C280000000000B8 +:041C29002D62755360 +:041C2A00756C6F6303 +:041C2B0032206E6D88 +:041C2C00727473203B +:041C2D0000000000B3 +:041C2E002D6275535B +:041C2F00756C6F63FE +:041C300033206E6D82 +:041C31007274732036 +:041C320000000000AE +:041C33002D62755356 +:041C3400756C6F63F9 +:041C350034206E6D7C +:041C36007274732031 +:041C370000000000A9 +:041C38002D62755351 +:041C3900756C6F63F4 +:041C3A0035206E6D76 +:041C3B00727473202C +:041C3C0000000000A4 +:041C3D002D6275534C +:041C3E00756C6F63EF +:041C3F0036206E6D70 +:041C40007274732027 +:041C4100000000009F +:041C420073202E4895 +:041C43006C706D61F3 +:041C440074617265F0 +:041C45000000006536 +:041C460073202E4891 +:041C47007461722E24 +:041C4800726620653B +:041C490000006361D3 +:041C4A0073202E488D +:041C4B006C636E79DF +:041C4C0000006E65C1 +:041C4D0062202E489B +:041C4E00706B6361F3 +:041C4F006863726FE5 +:041C50000000000090 +:041C510061202E4898 +:041C520076697463D8 +:041C53000000006528 +:041C540073202E5675 +:041C55006C636E79D5 +:041C560000006E65B7 +:041C570062202E5683 +:041C5800706B6361E9 +:041C59006863726FDB +:041C5A000000000086 +:041C5B0061202E5680 +:041C5C0076697463CE +:041C5D00000000651E +:041C5E0062202E488A +:041C5F006564726FD7 +:041C6000000000720E +:041C610062202E5679 +:041C62006564726FD4 +:041C6300000000720B +:041C6400706D6153EB +:041C6500676E696CD1 +:041C66006168702021 +:041C670000006573A1 +:041C680000007332D3 +:041C690000007335CF +:041C6A0000733031A2 +:041C6B000066664F5A +:041C6C006C6C7546E1 +:041C6D000000000073 +:041C6E00706D6953D9 +:041C6F000000656CA0 +:041C700000007333CA +:041C71000073303399 +:041C720072727543D2 +:041C730020746E6506 +:041C740075706E69B0 +:041C750000000074F7 +:041C7600206C6C4131 +:041C770075706E69AD +:041C78000000737481 +:041C79002066664F2C +:041C7A002073662845 +:041C7B003639203D99 +:041C7C00297A486B0E +:041C7D000000000063 +:041C7E002020783278 +:041C7F002073662840 +:041C80003834203D97 +:041C8100297A486B09 +:041C8200000000005E +:041C83000031564195 +:041C84000032564193 +:041C850063616C42E9 +:041C86000000006BEF +:041C870065756C42D1 +:041C88000000000058 +:041C890065657247D4 +:041C8A000000006EE8 +:041C8B006E617943CA :041C8C000000000054 -:041C8D0069726F48C1 -:041C8E002B202E7A5F -:041C8F007265562004 -:041C900000002E74AE -:041C910074737543B0 -:041C920000006D6F72 -:041C930000706F541A -:041C940074746F42B3 -:041C950000006D6F6F -:041C9600746C754DA8 -:041C9700696C70699B -:041C980069746163A7 -:041C990000006E6F6A -:041C9A0074627553A8 -:041C9B00746361729B -:041C9C00006E6F69FE -:041C9D006F747541AA +:041C8D000064655238 +:041C8E006567614DD8 +:041C8F000061746E0E +:041C90006C6C6559BA +:041C91000000776F69 +:041C920074696857B2 +:041C930000000065E8 +:041C940072472D4125 +:041C9500656C6C69A5 +:041C9600000000004A +:041C9700000056549F +:041C9800004D565055 +:041C990069726F48B5 +:041C9A00746E6F7A7B +:041C9B0000006C6178 +:041C9C0074726556A3 +:041C9D006C616369AA :041C9E000000000042 -:041C9F0000006E4F84 -:041CA000494D44481E -:041CA100475228205E -:041CA20000002942D3 -:041CA300494D44481B -:041CA4004359282058 -:041CA50034724362F0 -:041CA60000293434A9 -:041CA7000049564456 -:041CA800756573509B -:041CA90034206F6410 -:041CAA004420333A65 -:041CAB0000005241A2 -:041CAC0020313A3178 -:041CAD000052415050 -:041CAE003032393166 -:041CAF003830317820 -:041CB0000000003000 -:041CB1003030363168 -:041CB2003032317823 -:041CB30000000030FD -:041CB4003032393160 -:041CB5003032317820 -:041CB60000000030FA -:041CB700656E6547AA -:041CB80020636972CA -:041CB900393A36314D -:041CBA000000000026 -:041CBB00656E6547A6 -:041CBC0020636972C6 -:041CBD0000333A3482 -:041CBE007832313512 -:041CBF00203034326B -:041CC0006974706F64 -:041CC10000002E6D84 -:041CC2007834383307 -:041CC3002030343267 -:041CC4006974706F60 -:041CC50000002E6D80 -:041CC600783032330D -:041CC7002030343263 -:041CC8006974706F5C -:041CC90000002E6D7C -:041CCA007836353201 -:041CCB00203034325F -:041CCC006974706F58 -:041CCD0000002E6D78 -:041CCE00737361507B -:041CCF00757268744E -:041CD0000000000010 -:041CD100656E694C87 -:041CD200282078321C -:041CD30029626F62B1 -:041CD400000000000C -:041CD500656E694C83 -:041CD6000000783260 -:041CD700656E694C81 -:041CD8002820783315 -:041CD9006563616C72 -:041CDA000000296479 -:041CDB00656E694C7D -:041CDC002820783410 -:041CDD0029626F62A7 -:041CDE000000000002 -:041CDF00656E694C79 -:041CE00047207833EE -:041CE10072656E6555 -:041CE2000000636932 +:041C9F0069726F48AF +:041CA0002B202E7A4D +:041CA10072655620F2 +:041CA20000002E749C +:041CA300747375439E +:041CA40000006D6F60 +:041CA50000706F5408 +:041CA60074746F42A1 +:041CA70000006D6F5D +:041CA800746C754D96 +:041CA900696C706989 +:041CAA006974616395 +:041CAB0000006E6F58 +:041CAC007462755396 +:041CAD007463617289 +:041CAE00006E6F69EC +:041CAF006F74754198 +:041CB0000000000030 +:041CB10000006E4F72 +:041CB200494D44480C +:041CB300475228204C +:041CB40000002942C1 +:041CB500494D444809 +:041CB6004359282046 +:041CB70034724362DE +:041CB8000029343497 +:041CB9000049564444 +:041CBA007565735089 +:041CBB0034206F64FE +:041CBC004420333A53 +:041CBD000000524190 +:041CBE0020313A3166 +:041CBF00005241503E +:041CC0003032393154 +:041CC100383031780E +:041CC20000000030EE +:041CC3003030363156 +:041CC4003032317811 +:041CC50000000030EB +:041CC600303239314E +:041CC700303231780E +:041CC80000000030E8 +:041CC900656E654798 +:041CCA0020636972B8 +:041CCB00393A36313B +:041CCC000000000014 +:041CCD00656E654794 +:041CCE0020636972B4 +:041CCF0000333A3470 +:041CD0007832313500 +:041CD1002030343259 +:041CD2006974706F52 +:041CD30000002E6D72 +:041CD40078343833F5 +:041CD5002030343255 +:041CD6006974706F4E +:041CD70000002E6D6E +:041CD80078303233FB +:041CD9002030343251 +:041CDA006974706F4A +:041CDB0000002E6D6A +:041CDC0078363532EF +:041CDD00203034324D +:041CDE006974706F46 +:041CDF0000002E6D66 +:041CE0007373615069 +:041CE100757268743C +:041CE20000000000FE :041CE300656E694C75 -:041CE4003220783200 -:041CE50033783034EC -:041CE6000000303694 +:041CE400282078320A +:041CE50029626F629F +:041CE60000000000FA :041CE700656E694C71 -:041CE80032207833FB -:041CE90033783034E8 -:041CEA000000303690 -:041CEB00656E694C6D -:041CEC000000783349 +:041CE800000078324E +:041CE900656E694C6F +:041CEA002820783303 +:041CEB006563616C60 +:041CEC000000296467 :041CED00656E694C6B -:041CEE000000783446 -:041CEF00656E694C69 -:041CF0000000783543 +:041CEE0028207834FE +:041CEF0029626F6295 +:041CF00000000000F0 :041CF100656E694C67 -:041CF2000000783640 -:041CF3004D382E3406 -:041CF40028207A48E2 -:041CF50056544448B5 -:041CF6002943502FFF -:041CF70000000000E9 -:041CF8004D352E3008 -:041CF90028207A48DD -:041CFA0056544453A5 -:041CFB0000000029BC -:041CFC004D372E3101 -:041CFD0028207A48D9 -:041CFE0056544445AF -:041CFF0000000029B8 -:041D00004D352E32FD -:041D010028207A48D4 -:041D02002978616D6E -:041D030000000000DC -:041D0400484D3031E5 -:041D05006D28207AAB -:041D060000296465E7 -:041D0700484D3333DD -:041D08006D28207AA8 -:041D090000296E69D6 -:041D0A0020414756D7 -:041D0B0078303436C2 -:041D0C0040303034FF -:041D0D00000030376B -:041D0E0020414756D3 -:041D0F0078303237BF -:041D100040303034FB -:041D11000000303767 -:041D120020565444BF -:041D130070303834C0 -:041D140000000000CB -:041D1500415345569B -:041D1600303436200F -:041D170030383478B4 -:041D18000030364021 -:041D190020505350B3 -:041D1A0078303834B1 -:041D1B000032373229 -:041D1C002E6365527B -:041D1D00313036200B -:041D1E0000000000C1 -:041D1F002E63655278 -:041D200039303720FF -:041D210000000000BE -:041D2200484D3539BA -:041D23004828207AB2 +:041CF20047207833DC +:041CF30072656E6543 +:041CF4000000636920 +:041CF500656E694C63 +:041CF60032207832EE +:041CF70033783034DA +:041CF8000000303682 +:041CF900656E694C5F +:041CFA0032207833E9 +:041CFB0033783034D6 +:041CFC00000030367E +:041CFD00656E694C5B +:041CFE000000783337 +:041CFF00656E694C59 +:041D00000000783433 +:041D0100656E694C56 +:041D02000000783530 +:041D0300656E694C54 +:041D0400000078362D +:041D05004D382E34F3 +:041D060028207A48CF +:041D070056544448A2 +:041D08002943502FEC +:041D090000000000D6 +:041D0A004D352E30F5 +:041D0B0028207A48CA +:041D0C005654445392 +:041D0D0000000029A9 +:041D0E004D372E31EE +:041D0F0028207A48C6 +:041D1000565444459C +:041D110000000029A5 +:041D12004D352E32EB +:041D130028207A48C2 +:041D14002978616D5C +:041D150000000000CA +:041D1600484D3031D3 +:041D17006D28207A99 +:041D180000296465D5 +:041D1900484D3333CB +:041D1A006D28207A96 +:041D1B0000296E69C4 +:041D1C0020414756C5 +:041D1D0078303436B0 +:041D1E0040303034ED +:041D1F000000303759 +:041D200020414756C1 +:041D210078303237AD +:041D220040303034E9 +:041D23000000303755 :041D240020565444AD -:041D250000294949FF -:041D2600484D3533BC -:041D27004828207AAE -:041D280020565444A9 -:041D29000000294944 -:041D2A00484D3631B9 -:041D2B004528207AAD -:041D2C00295654449C -:041D2D0000000000B2 -:041D2E007A484D3969 -:041D2F0044532820D1 -:041D300000295654DC -:041D31006B6E694C20 -:041D32006F7270203C -:041D3300693E2D6672 -:041D34007475706EE4 -:041D350000000000AA -:041D36006B6E694C1B -:041D3700706E692041 -:041D38003E2D747553 -:041D3900666F7270EF -:041D3A0000000000A5 -:041D3B0074696E4910 -:041D3C00206C61694D -:041D3D0075706E69E6 -:041D3E00000000742D -:041D3F006F74754107 -:041D400065746564FD -:041D4100692074633E -:041D42007475706ED6 -:041D4300000000009C -:041D44006F74754102 -:041D450031564120B2 -:041D4600472F5920AA -:041D47000000007325 -:041D48006F747541FE -:041D490032564120AD -:041D4A00472F5920A6 -:041D4B000000007321 -:041D4C006F747541FA -:041D4D0033564120A8 -:041D4E00472F5920A2 -:041D4F00000000731D -:041D50002044434C9C -:041D510074204C426C -:041D52006F656D69E3 -:041D530000007475A3 -:041D54000044534FA5 -:041D55002044534F84 -:041D560074617473CD -:041D5700642073751C -:041D58002E7073690D -:041D59000000000086 -:041D5A0073616850F9 -:041D5B006F68206528 -:041D5C0079656B74C6 -:041D5D000000000082 -:041D5E00616F4C3C29 -:041D5F00727020641A -:041D60006C69666FD5 -:041D6100003E2065BB -:041D62007661533C17 -:041D63007270206515 -:041D64006C69666FD1 -:041D6500003E2065B7 -:041D66007365523C13 -:041D6700732074650C -:041D680069747465C1 -:041D69003E73676EF0 -:041D6A000000000075 -:041D6B00706D493C12 -:041D6C002074726FFE -:041D6D0074746573B2 -:041D6E003E20202EC5 +:041D250070303834AE +:041D260000000000B9 +:041D27004153455689 +:041D280030343620FD +:041D290030383478A2 +:041D2A00003036400F +:041D2B0020505350A1 +:041D2C00783038349F +:041D2D000032373217 +:041D2E002E63655269 +:041D2F0031303620F9 +:041D300000000000AF +:041D31002E63655266 +:041D320039303720ED +:041D330000000000AC +:041D3400484D3539A8 +:041D35004828207AA0 +:041D3600205654449B +:041D370000294949ED +:041D3800484D3533AA +:041D39004828207A9C +:041D3A002056544497 +:041D3B000000294932 +:041D3C00484D3631A7 +:041D3D004528207A9B +:041D3E00295654448A +:041D3F0000000000A0 +:041D40007A484D3957 +:041D410044532820BF +:041D420000295654CA +:041D43006B6E694C0E +:041D44006F7270202A +:041D4500693E2D6660 +:041D46007475706ED2 +:041D47000000000098 +:041D48006B6E694C09 +:041D4900706E69202F +:041D4A003E2D747541 +:041D4B00666F7270DD +:041D4C000000000093 +:041D4D0074696E49FE +:041D4E00206C61693B +:041D4F0075706E69D4 +:041D5000000000741B +:041D51006F747541F5 +:041D520065746564EB +:041D5300692074632C +:041D54007475706EC4 +:041D5500000000008A +:041D56006F747541F0 +:041D570031564120A0 +:041D5800472F592098 +:041D59000000007313 +:041D5A006F747541EC +:041D5B00325641209B +:041D5C00472F592094 +:041D5D00000000730F +:041D5E006F747541E8 +:041D5F003356412096 +:041D6000472F592090 +:041D6100000000730B +:041D62002044434C8A +:041D630074204C425A +:041D64006F656D69D1 +:041D65000000747591 +:041D66000044534F93 +:041D67002044534F72 +:041D680074617473BB +:041D6900642073750A +:041D6A002E707369FB +:041D6B000000000074 +:041D6C0073616850E7 +:041D6D006F68206516 +:041D6E0079656B74B4 :041D6F000000000070 -:041D70007078453C06 -:041D71002074726FF9 -:041D720074746573AD -:041D73003E20202EC0 -:041D7400000000006B -:041D75002E77463C43 -:041D76006470752000 -:041D7700206574610E -:041D78003E202020C9 -:041D79000000000066 -:041D7A006E776F44CD -:041D7B006D61732DF6 -:041D7C006E696C70B0 -:041D7D0000000067FB -:041D7E0070617753C6 -:041D7F0066656C2009 -:041D800069722F74E1 -:041D8100007468671B -:041D82006F6E6F4DC4 -:041D8300646F6D20FC -:041D840000000065F6 -:041D85002D65725006 -:041D86002043444171 -:041D87006E696167B9 -:041D88000000000057 -:041D89006C6C7546C3 -:041D8A002058542069 -:041D8B007574657393 -:041D8C0000000070E3 -:041D8D002033564168 -:041D8E0020657375E4 -:041D8F002E746C61E1 -:041D90004247522054 -:041D9100000000004E -:041D920061666544DD -:041D930020746C75D7 -:041D9400494D444829 -:041D95004349562048 -:041D96000000000049 -:041D970064616853C8 -:041D98006D20776FD4 -:041D9900006B736107 -:041D9A0064726F42BE -:041D9B0063207265EA -:041D9C00726F6C6F87 -:041D9D000000000042 -:041D9E0064726F42BA -:041D9F0062207265E7 -:041DA0006867697295 -:041DA100002E6E742E -:041DA2006E616353B8 -:041DA300656E696C94 -:041DA40000000073C8 -:041DA500202E6C532D -:041DA600657274737B -:041DA7006874676E87 +:041D7000616F4C3C17 +:041D71007270206408 +:041D72006C69666FC3 +:041D7300003E2065A9 +:041D74007661533C05 +:041D75007270206503 +:041D76006C69666FBF +:041D7700003E2065A5 +:041D78007365523C01 +:041D790073207465FA +:041D7A0069747465AF +:041D7B003E73676EDE +:041D7C000000000063 +:041D7D00706D493C00 +:041D7E002074726FEC +:041D7F0074746573A0 +:041D80003E20202EB3 +:041D8100000000005E +:041D82007078453CF4 +:041D83002074726FE7 +:041D8400747465739B +:041D85003E20202EAE +:041D86000000000059 +:041D87002E77463C31 +:041D880064707520EE +:041D890020657461FC +:041D8A003E202020B7 +:041D8B000000000054 +:041D8C006E776F44BB +:041D8D006D61732DE4 +:041D8E006E696C709E +:041D8F0000000067E9 +:041D900070617753B4 +:041D910066656C20F7 +:041D920069722F74CF +:041D93000074686709 +:041D94006F6E6F4DB2 +:041D9500646F6D20EA +:041D960000000065E4 +:041D97002D657250F4 +:041D9800204344415F +:041D99006E696167A7 +:041D9A000000000045 +:041D9B006C6C7546B1 +:041D9C002058542057 +:041D9D007574657381 +:041D9E0000000070D1 +:041D9F002033564156 +:041DA00020657375D2 +:041DA1002E746C61CF +:041DA2004247522042 +:041DA300000000003C +:041DA40061666544CB +:041DA50020746C75C5 +:041DA600494D444817 +:041DA7004349562036 :041DA8000000000037 -:041DA900202E6C5329 -:041DAA007262796880 -:041DAB0073206469D4 -:041DAC00002E72741F -:041DAD00202E6C5325 -:041DAE006874656D83 -:041DAF000000646F5D -:041DB000202E6C5322 -:041DB10065746C6188 -:041DB20074616E7278 -:041DB30000676E69EE -:041DB400202E6C531E -:041DB50067696C618D -:041DB6006E656D6E7B -:041DB70000000074B4 -:041DB800202E6C531A -:041DB9006570797464 +:041DA90064616853B6 +:041DAA006D20776FC2 +:041DAB00006B7361F5 +:041DAC0064726F42AC +:041DAD0063207265D8 +:041DAE00726F6C6F75 +:041DAF000000000030 +:041DB00064726F42A8 +:041DB10062207265D5 +:041DB2006867697283 +:041DB300002E6E741C +:041DB4006E616353A6 +:041DB500656E696C82 +:041DB60000000073B6 +:041DB700202E6C531B +:041DB8006572747369 +:041DB9006874676E75 :041DBA000000000025 -:041DBB004320203C65 -:041DBC006F74737558 -:041DBD006C53206DD6 -:041DBE003E20202E75 -:041DBF000000000020 -:041DC0007030343219 -:041DC1003838322F4D -:041DC20072702070AB -:041DC3000000636F4A -:041DC400703438330C -:041DC5003030342F57 -:041DC60072702070A7 -:041DC7000000636F46 -:041DC8006930383412 -:041DC9003637352F45 -:041DCA0072702069AA -:041DCB000000636F42 -:041DCC007030383407 -:041DCD003637352F41 -:041DCE00727020709F -:041DCF000000636F3E -:041DD0006930363907 -:041DD1003830312F46 -:041DD20070206930E4 -:041DD30000636F72C8 -:041DD400656E694C83 -:041DD5006D207832D3 -:041DD6000065646FD1 -:041DD700656E694C80 -:041DD8006D207833CF -:041DD9000065646FCE -:041DDA00656E694C7D -:041DDB006D207834CB -:041DDC000065646FCB -:041DDD00656E694C7A -:041DDE006D207835C7 -:041DDF000065646FC8 -:041DE000656E694C77 -:041DE1006D207836C3 -:041DE2000065646FC5 -:041DE300656E694C74 -:041DE40066207835C8 -:041DE500616D726F4B -:041DE6000000007485 -:041DE70078363532E3 -:041DE8002030343241 -:041DE900657073614D -:041DEA00000074631E -:041DEB006D205854BB -:041DEC000065646FBB -:041DED00494D4448D0 -:041DEE0043544920F1 -:041DEF0000000000F0 -:041DF000494D4448CD -:041DF10052444820F0 -:041DF200616C66209A -:041DF3000000006785 -:041DF4006C616E416F -:041DF5007320676F81 -:041DF60020636E797F -:041DF7000046504C06 -:041DF8006C616E416B -:041DF9005320676F9D -:041DFA004C204354E2 -:041DFB00000046504E -:041DFC006C616E4167 -:041DFD007320676F79 -:041DFE0020636E7977 -:041DFF0000687456AE -:041E00006E7973483C -:041E01006F74206377 -:041E02006172656C38 -:041E03000065636EA5 -:041E04006E7973562A -:041E0500687420637A -:041E06006873657226 -:041E070000646C6F98 -:041E08004C502D48C5 -:041E09007250204CA7 -:041E0A006F432D6590 -:041E0B00007473618B -:041E0C004C502D48C1 -:041E0D006F50204CA6 -:041E0E00432D747379 -:041E0F007473616F18 -:041E100000000000CE -:041E110070303834C1 -:041E1200206E6920B5 -:041E1300706D61731A -:041E14000072656C87 -:041E150070303034C5 -:041E1600206E6920B1 -:041E1700706D617316 -:041E18000072656C83 -:041E19006F6C6C413D -:041E1A007075207748 -:041E1B00706D617312 -:041E1C007832656C47 -:041E1D0000000000C1 -:041E1E007664413C69 -:041E1F006974202E94 -:041E2000676E696D13 -:041E21003E2020201F +:041DBB00202E6C5317 +:041DBC00726279686E +:041DBD0073206469C2 +:041DBE00002E72740D +:041DBF00202E6C5313 +:041DC0006874656D71 +:041DC1000000646F4B +:041DC200202E6C5310 +:041DC30065746C6176 +:041DC40074616E7266 +:041DC50000676E69DC +:041DC600202E6C530C +:041DC70067696C617B +:041DC8006E656D6E69 +:041DC90000000074A2 +:041DCA00202E6C5308 +:041DCB006570797452 +:041DCC000000000013 +:041DCD004320203C53 +:041DCE006F74737546 +:041DCF006C53206DC4 +:041DD0003E20202E63 +:041DD100000000000E +:041DD2007030343207 +:041DD3003838322F3B +:041DD4007270207099 +:041DD5000000636F38 +:041DD60070343833FA +:041DD7003030342F45 +:041DD8007270207095 +:041DD9000000636F34 +:041DDA006930383400 +:041DDB003637352F33 +:041DDC007270206998 +:041DDD000000636F30 +:041DDE0070303834F5 +:041DDF003637352F2F +:041DE000727020708D +:041DE1000000636F2C +:041DE20069303639F5 +:041DE3003830312F34 +:041DE40070206930D2 +:041DE50000636F72B6 +:041DE600656E694C71 +:041DE7006D207832C1 +:041DE8000065646FBF +:041DE900656E694C6E +:041DEA006D207833BD +:041DEB000065646FBC +:041DEC00656E694C6B +:041DED006D207834B9 +:041DEE000065646FB9 +:041DEF00656E694C68 +:041DF0006D207835B5 +:041DF1000065646FB6 +:041DF200656E694C65 +:041DF3006D207836B1 +:041DF4000065646FB3 +:041DF500656E694C62 +:041DF60066207835B6 +:041DF700616D726F39 +:041DF8000000007473 +:041DF90078363532D1 +:041DFA00203034322F +:041DFB00657073613B +:041DFC00000074630C +:041DFD006D205854A9 +:041DFE000065646FA9 +:041DFF00494D4448BE +:041E000043544920DE +:041E010000000000DD +:041E0200494D4448BA +:041E030052444820DD +:041E0400616C662087 +:041E05000000006772 +:041E06006C616E415C +:041E07007320676F6E +:041E080020636E796C +:041E09000046504CF3 +:041E0A006C616E4158 +:041E0B005320676F8A +:041E0C004C204354CF +:041E0D00000046503B +:041E0E006C616E4154 +:041E0F007320676F66 +:041E100020636E7964 +:041E1100006874569B +:041E12006E7973482A +:041E13006F74206365 +:041E14006172656C26 +:041E15000065636E93 +:041E16006E79735618 +:041E17006874206368 +:041E18006873657214 +:041E190000646C6F86 +:041E1A004C502D48B3 +:041E1B007250204C95 +:041E1C006F432D657E +:041E1D000074736179 +:041E1E004C502D48AF +:041E1F006F50204C94 +:041E2000432D747367 +:041E21007473616F06 :041E220000000000BC -:041E23006564695633 -:041E2400504C206F8F -:041E25000000004673 -:041E26006576655226 -:041E2700206573724D -:041E28000046504CD4 -:041E2900506250595A -:041E2A006E6920724B -:041E2B006C6F432075 -:041E2C00006170538E -:041E2D0072502F526E -:041E2E0066666F2055 -:041E2F000074657363 -:041E300020592F47BF -:041E31007366666FFF -:041E320000007465D3 -:041E330062502F4288 -:041E340066666F204F -:041E3500007465735D -:041E360072502F5265 -:041E37006961672056 -:041E38000000006E38 -:041E390020592F47B6 -:041E3A006E69616705 -:041E3B0000000000A3 -:041E3C0062502F427F -:041E3D006961672050 -:041E3E000000006E32 -:041E3F002D6572504B -:041E400020434441B6 -:041E41006E6961471E -:041E4200000000009C -:041E43006D616C431E -:041E44004C412F706E -:041E4500666F204361 -:041E460074657366E6 -:041E47000000000097 -:041E480020434C41A6 -:041E49006966205650 -:041E4A007265746CDD -:041E4B000000000093 -:041E4C0020434C41A2 -:041E4D00696620485A -:041E4E007265746CD9 -:041E4F00000000008F -:041E50006564695606 -:041E51006E69206F27 -:041E52006F7270201B -:041E53003E202063AA +:041E230070303834AF +:041E2400206E6920A3 +:041E2500706D617308 +:041E26000072656C75 +:041E270070303034B3 +:041E2800206E69209F +:041E2900706D617304 +:041E2A000072656C71 +:041E2B006F6C6C412B +:041E2C007075207736 +:041E2D00706D617300 +:041E2E007832656C35 +:041E2F0000000000AF +:041E30007664413C57 +:041E31006974202E82 +:041E3200676E696D01 +:041E33003E2020200D +:041E340000000000AA +:041E35006564695621 +:041E3600504C206F7D +:041E37000000004661 +:041E38006576655214 +:041E3900206573723B +:041E3A000046504CC2 +:041E3B005062505948 +:041E3C006E69207239 +:041E3D006C6F432063 +:041E3E00006170537C +:041E3F0072502F525C +:041E400066666F2043 +:041E41000074657351 +:041E420020592F47AD +:041E43007366666FED +:041E440000007465C1 +:041E450062502F4276 +:041E460066666F203D +:041E4700007465734B +:041E480072502F5253 +:041E49006961672044 +:041E4A000000006E26 +:041E4B0020592F47A4 +:041E4C006E696167F3 +:041E4D000000000091 +:041E4E0062502F426D +:041E4F00696167203E +:041E50000000006E20 +:041E51002D65725039 +:041E520020434441A4 +:041E53006E6961470C :041E5400000000008A -:041E5500706D6153F8 -:041E5600676E696CDE -:041E570074706F2014 -:041E58003E20202EDA +:041E55006D616C430C +:041E56004C412F705C +:041E5700666F20434F +:041E580074657366D4 :041E59000000000085 -:041E5A00636E7953E7 -:041E5B0074706F2010 -:041E5C002020202EF4 -:041E5D003E202020E3 -:041E5E000000000080 -:041E5F007074754FD7 -:041E60006F20747506 -:041E6100202E74704B -:041E62003E202020DE -:041E6300000000007B -:041E64006E616353F5 -:041E6500656E696CD1 -:041E660074706F2005 -:041E67003E20202ECB -:041E68000000000076 -:041E690074736F50CF -:041E6A006F72702DF6 -:041E6B0020202E63A2 -:041E6C003E202020D4 -:041E6D000000000071 -:041E6E00706D6F43E1 -:041E6F0062697461CF -:041E700074696C69BC -:041E71003E20207976 -:041E7200000000006C -:041E730069647541E8 -:041E7400706F206FFC -:041E75006E6F6974AF -:041E76003E20207377 -:041E77000000000067 -:041E780074746553C6 -:041E790073676E69B4 -:041E7A0074706F20F1 -:041E7B003E202020C5 -:041E7C000000000062 -:041E7D0074736554C1 -:041E7E0074617020FB -:041E7F006E726574A6 -:041E8000000000005E -:041E81005F31564136 -:041E8200534247522E -:041E8300000000005B -:041E84005F31564133 -:041E8500427347520B -:041E86000000000058 -:041E87005F31564130 -:041E880050625059FB -:041E890000000072E3 -:041E8A005F3256412C -:041E8B0050625059F8 -:041E8C0000000072E0 -:041E8D005F32564129 -:041E8E004273475202 -:041E8F00000000004F -:041E90005F33564125 -:041E9100484247522A -:041E920000000056F6 -:041E93005F33564122 +:041E5A0020434C4194 +:041E5B00696620563E +:041E5C007265746CCB +:041E5D000000000081 +:041E5E0020434C4190 +:041E5F006966204848 +:041E60007265746CC7 +:041E6100000000007D +:041E620065646956F4 +:041E63006E69206F15 +:041E64006F72702009 +:041E65003E20206398 +:041E66000000000078 +:041E6700706D6153E6 +:041E6800676E696CCC +:041E690074706F2002 +:041E6A003E20202EC8 +:041E6B000000000073 +:041E6C00636E7953D5 +:041E6D0074706F20FE +:041E6E002020202EE2 +:041E6F003E202020D1 +:041E7000000000006E +:041E71007074754FC5 +:041E72006F207475F4 +:041E7300202E747039 +:041E74003E202020CC +:041E75000000000069 +:041E76006E616353E3 +:041E7700656E696CBF +:041E780074706F20F3 +:041E79003E20202EB9 +:041E7A000000000064 +:041E7B0074736F50BD +:041E7C006F72702DE4 +:041E7D0020202E6390 +:041E7E003E202020C2 +:041E7F00000000005F +:041E8000706D6F43CF +:041E810062697461BD +:041E820074696C69AA +:041E83003E20207964 +:041E8400000000005A +:041E850069647541D6 +:041E8600706F206FEA +:041E87006E6F69749D +:041E88003E20207365 +:041E89000000000055 +:041E8A0074746553B4 +:041E8B0073676E69A2 +:041E8C0074706F20DF +:041E8D003E202020B3 +:041E8E000000000050 +:041E8F0074736554AF +:041E900074617020E9 +:041E91006E72657494 +:041E9200000000004C +:041E93005F31564124 :041E9400534247521C :041E95000000000049 -:041E96005F3356411F +:041E96005F31564121 :041E970042734752F9 :041E98000000000046 -:041E99005F3356411C +:041E99005F3156411E :041E9A0050625059E9 :041E9B0000000072D1 -:041E9C007473614CAE -:041E9D0065737520D4 -:041E9E0000000064DC -:041E9F00000000310E -:041EA000000000320C -:041EA100000000330A -:041EA2000000003408 -:041EA3000000003506 -:041EA4000000003703 -:041EA5000000003801 -:041EA60000000039FF -:041EA700554E454D02 -:041EA8000000000036 -:041EA90000004B4F9B -:041EAA004B43414223 -:041EAB000000000033 -:041EAC00000050558D -:041EAD004E574F44F9 -:041EAE000000000030 -:041EAF005446454C04 -:041EB000000000002E -:041EB1004847495203 -:041EB20000000054D8 -:041EB3004F464E49FF -:041EB400000000002A -:041EB5005F44434CF7 -:041EB6004B43414217 -:041EB7004847494C03 -:041EB80000000054D2 -:041EB9004E41435300 -:041EBA00454E494CFC -:041EBB00444F4D5FE4 -:041EBC0000000045DD -:041EBD004E414353FC -:041EBE00454E494CF8 -:041EBF005059545FC3 -:041EC00000000045D9 -:041EC1004E414353F8 -:041EC200454E494CF4 -:041EC300544E495FD1 -:041EC4000000002BEF -:041EC5004E414353F4 -:041EC600454E494CF0 -:041EC700544E495FCD -:041EC8000000002DE9 -:041EC900454E494CED -:041ECA00544C554DD2 -:041ECB00444F4D5FD4 -:041ECC0000000045CD -:041ECD0053414850E5 -:041ECE0000002B45A0 -:041ECF0053414850E3 -:041ED00000002D459C -:041ED100464F5250D6 -:041ED2005F454C49D3 -:041ED3004B544F48D5 -:041ED400000059456C -:041ED5000303020001 -:041ED6000200010203 -:041ED7000000000304 -:041ED800000179F498 -:041ED90000017A0486 -:041EDA0000017A1079 -:041EDB0000017A1C6C -:041EDC0000017A285F -:041EDD0000017A3452 -:041EDE0000017A4045 -:041EDF0000017A4C38 -:041EE00000017A582B -:041EE10000017A641E -:041EE20000017A7011 -:041EE3000001747016 -:041EE40000002000DA -:041EE50020002CE5C8 -:041EE600E926F4FDF8 -:041EE70038BC2000E3 -:041EE80000000000F6 -:041EE9000001747C04 -:041EEA0000002000D4 -:041EEB002000323E63 -:041EEC00F113FA04F0 -:041EED003B61200035 -:041EEE0000000000F0 -:041EEF000D80600002 -:041EF000004020107E -:041EF1000880002045 -:041EF20000080002E2 -:041EF30000000000EB -:041EF4000D806000FD -:041EF5000040200881 -:041EF6000480002044 -:041EF70000080002DD -:041EF80000000000E6 -:041EF9000D806000F8 -:041EFA0000441C077D -:041EFB000280002041 -:041EFC0000080002D8 -:041EFD0000000000E1 -:041EFE000D806000F3 -:041EFF00004020047B -:041F0000028000203B -:041F010000080002D2 -:041F020000000000DB -:041F03000D806000ED -:041F040000441C0574 -:041F05000180002037 -:041F060000080002CD -:041F070000000000D6 -:041F08000D806000E8 -:041F0900003018028A -:041F0A000180002032 -:041F0B0000080002C8 -:041F0C0000000000D1 -:041F0D000E40600022 -:041F0E00002814078C -:041F0F00028000202C -:041F100000080002C3 -:041F110000000000CC -:041F120000019A47E9 -:041F13000000000EBC -:041F1400000125445F -:041F150000017A7CD1 -:041F160000017A80CC -:041F170000017A84C7 -:041F180000017A88C2 -:041F190000017A8CBD -:041F1A0000016EE470 -:041F1B0000017A90B7 -:041F1C0000017A94B2 -:041F1D0000017A98AD -:041F1E00000172CC80 -:041F1F0000017A9CA7 -:041F200000017AA49E -:041F210000017AA899 -:041F220000017AB090 -:041F230000017AB48B -:041F240000017ABC82 -:041F250000017AC479 -:041F260000017ACC70 -:041F270000017AD467 -:041F280000017AE456 -:041F290000017AF445 -:041F2A0000017B0433 -:041F2B0000017B1422 -:041F2C0000017B2411 -:041F2D0000017B3400 -:041F2E0000017B3CF7 -:041F2F0000017B44EE -:041F30003EA93E295F -:041F31003EE93E69DE -:041F32003E993E197D -:041F33003ED93E59FC -:041F34003EC93E392B -:041F35003E1D3E4DC2 -:041F36003E2D3EED11 -:041F37003EAD3ECDB0 -:041F38003E653E6D57 -:041F39001C483E0101 -:041F3A001C501C1803 -:041F3B001CC81CD0D2 -:041F3C005ED85E58B5 -:041F3D0000003EB9A9 -:041F3E00000101019C -:041F3F00000100019C -:041F4000000000009D -:041F4100000000009C -:041F4200000000801B -:041F43000000010099 -:041F44000000000099 -:041F45000000000098 -:041F46000000000097 -:041F4700000800008E -:041F4800060B000084 -:041F4900000001444F -:041F4A000300000090 -:041F4B008080800909 -:041F4C00081A1A1A3B -:041F4D00000C000183 -:041F4E00000000008F -:041F4F0000000A0084 -:041F500030303631C6 -:041F5100303432787E +:041E9C005F3256411A +:041E9D0050625059E6 +:041E9E0000000072CE +:041E9F005F32564117 +:041EA00042734752F0 +:041EA100000000003D +:041EA2005F33564113 +:041EA3004842475218 +:041EA40000000056E4 +:041EA5005F33564110 +:041EA600534247520A +:041EA7000000000037 +:041EA8005F3356410D +:041EA90042734752E7 +:041EAA000000000034 +:041EAB005F3356410A +:041EAC0050625059D7 +:041EAD0000000072BF +:041EAE007473614C9C +:041EAF0065737520C2 +:041EB00000000064CA +:041EB10000000031FC +:041EB20000000032FA +:041EB30000000033F8 +:041EB40000000034F6 +:041EB50000000035F4 +:041EB60000000037F1 +:041EB70000000038EF +:041EB80000000039ED +:041EB900554E454DF0 +:041EBA000000000024 +:041EBB0000004B4F89 +:041EBC004B43414211 +:041EBD000000000021 +:041EBE00000050557B +:041EBF004E574F44E7 +:041EC000000000001E +:041EC1005446454CF2 +:041EC200000000001C +:041EC30048474952F1 +:041EC40000000054C6 +:041EC5004F464E49ED +:041EC6000000000018 +:041EC7005F44434CE5 +:041EC8004B43414205 +:041EC9004847494CF1 +:041ECA0000000054C0 +:041ECB004E414353EE +:041ECC00454E494CEA +:041ECD00444F4D5FD2 +:041ECE0000000045CB +:041ECF004E414353EA +:041ED000454E494CE6 +:041ED1005059545FB1 +:041ED20000000045C7 +:041ED3004E414353E6 +:041ED400454E494CE2 +:041ED500544E495FBF +:041ED6000000002BDD +:041ED7004E414353E2 +:041ED800454E494CDE +:041ED900544E495FBB +:041EDA000000002DD7 +:041EDB00454E494CDB +:041EDC00544C554DC0 +:041EDD00444F4D5FC2 +:041EDE0000000045BB +:041EDF0053414850D3 +:041EE00000002B458E +:041EE10053414850D1 +:041EE20000002D458A +:041EE300464F5250C4 +:041EE4005F454C49C1 +:041EE5004B544F48C3 +:041EE600000059455A +:041EE70003030200EF +:041EE80002000102F1 +:041EE90000000003F2 +:041EEA0000017A3C3D +:041EEB0000017A4C2C +:041EEC0000017A581F +:041EED0000017A6412 +:041EEE0000017A7005 +:041EEF0000017A7CF8 +:041EF00000017A88EB +:041EF10000017A94DE +:041EF20000017AA0D1 +:041EF30000017AACC4 +:041EF40000017AB8B7 +:041EF500000174B8BC +:041EF60000002000C8 +:041EF70020002CE5B6 +:041EF800E926F4FDE6 +:041EF90038BC2000D1 +:041EFA0000000000E4 +:041EFB00000174C4AA +:041EFC0000002000C2 +:041EFD002000323E51 +:041EFE00F113FA04DE +:041EFF003B61200023 +:041F000000000000DD +:041F01000D806000EF +:041F0200004020106B +:041F03000880002032 +:041F040000080002CF +:041F050000000000D8 +:041F06000D806000EA +:041F0700004020086E +:041F08000480002031 +:041F090000080002CA +:041F0A0000000000D3 +:041F0B000D806000E5 +:041F0C0000441C076A +:041F0D00028000202E +:041F0E0000080002C5 +:041F0F0000000000CE +:041F10000D806000E0 +:041F11000040200468 +:041F12000280002029 +:041F130000080002C0 +:041F140000000000C9 +:041F15000D806000DB +:041F160000441C0562 +:041F17000180002025 +:041F180000080002BB +:041F190000000000C4 +:041F1A000D806000D6 +:041F1B000030180278 +:041F1C000180002020 +:041F1D0000080002B6 +:041F1E0000000000BF +:041F1F000E40600010 +:041F2000002814077A +:041F2100028000201A +:041F220000080002B1 +:041F230000000000BA +:041F240000019A8F8F +:041F25000000000EAA +:041F2600000125444D +:041F270000017AC477 +:041F280000017AC872 +:041F290000017ACC6D +:041F2A0000017AD068 +:041F2B0000017AD463 +:041F2C0000016F2C15 +:041F2D0000017AD85D +:041F2E0000017ADC58 +:041F2F0000017AE053 +:041F30000001731425 +:041F310000017AE44D +:041F320000017AEC44 +:041F330000017AF03F +:041F340000017AF836 +:041F350000017AFC31 +:041F360000017B0427 +:041F370000017B0C1E +:041F380000017B1415 +:041F390000017B1C0C +:041F3A0000017B2CFB +:041F3B0000017B3CEA +:041F3C0000017B4CD9 +:041F3D0000017B5CC8 +:041F3E0000017B6CB7 +:041F3F0000017B7CA6 +:041F400000017B849D +:041F410000017B8C94 +:041F42003EA93E294D +:041F43003EE93E69CC +:041F44003E993E196B +:041F45003ED93E59EA +:041F46003EC93E3919 +:041F47003E1D3E4DB0 +:041F48003E2D3EEDFF +:041F49003EAD3ECD9E +:041F4A003E653E6D45 +:041F4B001C483E01EF +:041F4C001C501C18F1 +:041F4D001CC81CD0C0 +:041F4E005ED85E58A3 +:041F4F0000003EB997 +:041F5000000101018A +:041F5100000100018A :041F5200000000008B -:041F530000F0064054 -:041F540007FE1770FD -:041F55000106000081 -:041F5600000F00CAAE -:041F570000000396ED -:041F58000100001074 -:041F59000000000183 -:041F5A000020000063 -:041F5B0030383231B7 -:041F5C003034327873 -:041F5D000000000080 -:041F5E0000F005008A -:041F5F0006181770D9 -:041F60000106000076 -:041F6100000F00AAC3 -:041F62000000034830 -:041F63000100001069 -:041F64000000000178 -:041F65000001020075 -:041F66007830363960 -:041F670000303432E0 -:041F68000000000075 -:041F690000F003C0C1 -:041F6A000492177056 -:041F6B00010600006B -:041F6C00000F0080E2 -:041F6D000000033637 -:041F6E00010000105E -:041F6F00000000016D -:041F70000400040065 -:041F7100783231355C -:041F720000303432D5 -:041F7300000000006A -:041F740000F0020077 -:041F750002AA177035 -:041F76000106000060 -:041F7700000E004D0B -:041F78000000033230 -:041F79000100001053 -:041F7A000000000162 -:041F7B000842081000 -:041F7C00783438334A -:041F7D0000303432CA -:041F7E00000000005F -:041F7F0000F00180ED -:041F800002001770D4 -:041F81000106000055 -:041F8200000E003B12 -:041F83000000032532 -:041F84000100001048 -:041F85000000000157 -:041F86001084102093 -:041F87007830323349 -:041F880000303432BF -:041F89000000000054 -:041F8A0000F0014022 -:041F8B0001AA177020 -:041F8C00010600004A -:041F8D00000E003111 -:041F8E000000031F2D -:041F8F00010000103D -:041F9000000000014C -:041F910021082040C3 -:041F92007836353236 -:041F930000303432B4 -:041F94000000000049 -:041F950000F0010057 -:041F9600015517706A -:041F9700010600003F -:041F9800000E002710 -:041F99000000031928 -:041F9A000100001032 -:041F9B000000000141 -:041F9C00421040802F -:041F9D00703034323A -:041F9E00000000003F -:041F9F000800000036 -:041FA00000F002D07B -:041FA100035A177553 -:041FA2000106000034 -:041FA300000F0039F2 -:041FA4000000033EF8 -:041FA5000100001027 -:041FA6000000000136 -:041FA7000000000C2A -:041FA800303832316A -:041FA900383832781A -:041FAA000000000033 -:041FAB00012005000C -:041FAC000618138878 -:041FAD0001380000F7 -:041FAE00001300AA72 -:041FAF0000000348E3 -:041FB000010000101C -:041FB100000000022A -:041FB2000401020024 -:041FB3007830363913 -:041FB4000038383287 -:041FB5000000000028 -:041FB600012003C043 -:041FB70004921388F5 -:041FB80001380000EC -:041FB9000013008091 -:041FBA0000000336EA -:041FBB000100001011 -:041FBC00000000021F -:041FBD0000200400FC -:041FBE00783231350F -:041FBF004C3034323C -:041FC00000000042DB -:041FC10000F002002A -:041FC20002AA1388D4 -:041FC30001380000E1 -:041FC4000029004DA3 -:041FC50000000332E3 -:041FC6000100001006 -:041FC7000000000214 -:041FC80008420810B3 -:041FC90078343833FD -:041FCA004C30343231 -:041FCB0000000042D0 -:041FCC0000F00180A0 -:041FCD000200138873 -:041FCE0001380000D6 -:041FCF000029003BAA -:041FD00000000325E5 -:041FD10001000010FB -:041FD2000000000209 -:041FD3001084102046 -:041FD40078303233FC -:041FD5004C30343226 -:041FD60000000042C5 -:041FD70000F00140D5 -:041FD80001AA1388BF -:041FD90001380000CB -:041FDA0000290031A9 -:041FDB000000031FE0 -:041FDC0001000010F0 -:041FDD0000000002FE -:041FDE002108204076 -:041FDF0078363532E9 -:041FE0004C3034321B -:041FE10000000042BA -:041FE20000F001000A -:041FE3000155138809 -:041FE40001380000C0 -:041FE50000290027A8 -:041FE60000000319DB -:041FE70001000010E5 -:041FE80000000002F3 -:041FE90042104080E2 -:041FEA0070383832E1 -:041FEB0000000000F2 -:041FEC0017000000DA -:041FED00012002D0FD -:041FEE0003601390E9 -:041FEF0001380000B5 -:041FF0000013004595 -:041FF1000000033FAA -:041FF20001000010DA -:041FF30000000002E8 -:041FF4000000000CDD -:041FF50078303834D4 -:041FF600003036334E -:041FF70000000000E6 -:041FF800016801E09B -:041FF9000258177003 -:041FFA00017700006B -:041FFB00000A003F99 -:041FFC0000000326B8 -:041FFD0002000010CE -:041FFE0000000003DC -:041FFF000000000CD2 -:0420000078303432CE -:042001000030363342 -:0420020000000000DA -:04200300016801006F -:04200400012C177024 -:04200500017700005F -:04200600000A0018B4 -:0420070000000312C0 -:0420080002000010C2 -:0420090000000003D0 -:04200A000000810051 -:04200B0070343833C2 -:04200C0000000000D0 -:04200D0000000000CF -:04200E00018001F05C -:04200F000280157CBA -:0420100001A7000024 -:04201100001D00327C -:042012000000033E89 -:0420130002000010B7 -:0420140000000003C5 -:042015000000000CBB -:0420160030303631FF -:0420170030303478B9 -:0420180000000000C4 -:0420190001900640EC -:04201A0007D01B5878 -:04201B0001C10000FF -:04201C000022007826 -:04201D00000002F0CD -:04201E0008000010A6 -:04201F0000000003BA -:0420200000000200BA -:0420210078303237AA -:042022005F303034C7 -:042023000000303752 -:04202400019002D055 -:0420250003841B58BD -:0420260001C10000F4 -:042027000022004053 -:042028000000026052 -:04202900080000109B -:04202A0000000003AF -:04202B000000000CA5 -:04202C00783034369E -:04202D005F303034BC -:04202E000000303747 -:04202F00019002809A -:0420300003201B5816 -:0420310001C10000E9 -:042032000022003058 -:042033000000026047 -:042034000800001090 -:0420350000000003A4 -:042036000000000C9A -:042037007830343693 -:042038000034383305 -:0420390000000000A3 -:04203A00018002809F -:04203B000320157CED -:04203C0001EC0000B3 -:04203D00003F003030 -:04203E00000002603C -:04203F000800001085 -:042040000000000399 -:042041000000000C8F -:042042006930383495 -:042043000000000099 -:042044000600000092 -:0420450000F002D0D5 -:04204600035A176AB8 -:04204700020D000086 -:04204800000F00394C -:042049000001033E51 -:04204A000100001081 -:04204B00000000048D -:04204C000001020C81 -:04204D007030383483 -:04204E00000000008E -:04204F00020000008B -:0420500001E002D0D9 -:04205100035A176AAD -:04205200020D00007B -:04205300001E003C2F -:042054000000063E44 -:042055000200001075 -:042056000000000680 -:042057000000000C79 -:042058007830343672 -:042059005F30383488 -:04205A00010030361B -:04205B0001E002801E -:04205C0003201770D6 -:04205D00020D000070 -:04205E00002100302D -:04205F00000002601B -:042060000800001064 -:042061000000000675 -:042062000000000C6E -:042063007830383465 -:0420640000323732DD -:042065000300000074 -:04206600011001E084 -:04206700035A177091 -:04206800020D000065 -:04206900008600B13C -:04206A000000063E2E -:04206B00020000105F -:04206C00000000066A -:04206D000000000C63 -:04206E00783034365C -:04206F0000323135D5 -:04207000000000006C -:0420710002000280E7 -:0420720003201770C0 -:04207300023800002F -:042074000022003016 -:042075000000066001 -:04207600080000104E -:04207700000000065F -:042078000000000C58 -:042079006936373558 -:04207A000000000062 -:04207B00150000004C -:04207C00012002D06D -:04207D000360138861 -:04207E0002710000EB -:04207F000013004505 -:042080000001033F19 -:04208100010000104A -:042082000000000555 -:042083000001020C4A -:042084007036373546 -:042085000000000057 -:042086001100000045 -:04208700024002D041 -:042088000360138856 -:0420890002710000E0 -:04208A0000270044E7 -:04208B00000005400C -:04208C00020000103E -:04208D000000000748 -:04208E000000000C42 -:04208F00783030383D -:042090005F30303657 -:0420910000003036E5 -:0420920002580320CD -:04209300042017709E -:0420940002740000D2 -:0420950000170058D8 -:0420960000000480C2 -:04209700080000102D -:042098000000000044 -:04209900000000043F -:04209A007030323739 -:04209B000030355F7D -:04209C00130000002D -:04209D0002D0050068 -:04209E0007BC1388E0 -:04209F0002EE00004D -:0420A000001400DC4C -:0420A100000005280E -:0420A2000C0000101E -:0420A3000000000831 -:0420A4000000000434 -:0420A500703032372E -:0420A6000030365F71 -:0420A7000400000031 -:0420A80002D005005D -:0420A9000672177034 -:0420AA0002EE000042 -:0420AB00001400DC41 -:0420AC000000052803 -:0420AD000C00001013 -:0420AE000000000826 -:0420AF000000000429 -:0420B0003432303165 -:0420B100383637780E -:0420B200000000002A -:0420B3000300040022 -:0420B400054017705C -:0420B50003260000FE -:0420B600001D00A069 -:0420B7000000068897 -:0420B800080000100C -:0420B9000000000023 -:0420BA00000000041E -:0420BB003038323156 -:0420BC003036397809 -:0420BD00000000001F -:0420BE0003C0050056 -:0420BF000708177087 -:0420C00003E8000031 -:0420C10000240138BE -:0420C20000000370A7 -:0420C3000800001001 +:041F5300000000008A +:041F54000000008009 +:041F55000000010087 +:041F56000000000087 +:041F57000000000086 +:041F58000000000085 +:041F5900000800007C +:041F5A00060B000072 +:041F5B00000001443D +:041F5C00030000007E +:041F5D0080808009F7 +:041F5E00081A1A1A29 +:041F5F00000C000171 +:041F6000000000007D +:041F610000000A0072 +:041F620030303631B4 +:041F6300303432786C +:041F64000000000079 +:041F650000F0064042 +:041F660007FE1770EB +:041F6700010600006F +:041F6800000F00CA9C +:041F690000000396DB +:041F6A000100001062 +:041F6B000000000171 +:041F6C000020000051 +:041F6D0030383231A5 +:041F6E003034327861 +:041F6F00000000006E +:041F700000F0050078 +:041F710006181770C7 +:041F72000106000064 +:041F7300000F00AAB1 +:041F7400000003481E +:041F75000100001057 +:041F76000000000166 +:041F77000001020063 +:041F7800783036394E +:041F790000303432CE +:041F7A000000000063 +:041F7B0000F003C0AF +:041F7C000492177044 +:041F7D000106000059 +:041F7E00000F0080D0 +:041F7F000000033625 +:041F8000010000104C +:041F8100000000015B +:041F82000400040053 +:041F8300783231354A +:041F840000303432C3 +:041F85000000000058 +:041F860000F0020065 +:041F870002AA177023 +:041F8800010600004E +:041F8900000E004DF9 +:041F8A00000003321E +:041F8B000100001041 +:041F8C000000000150 +:041F8D0008420810EE +:041F8E007834383338 +:041F8F0000303432B8 +:041F9000000000004D +:041F910000F00180DB +:041F920002001770C2 +:041F93000106000043 +:041F9400000E003B00 +:041F95000000032520 +:041F96000100001036 +:041F97000000000145 +:041F98001084102081 +:041F99007830323337 +:041F9A0000303432AD +:041F9B000000000042 +:041F9C0000F0014010 +:041F9D0001AA17700E +:041F9E000106000038 +:041F9F00000E0031FF +:041FA0000000031F1B +:041FA100010000102B +:041FA200000000013A +:041FA30021082040B1 +:041FA4007836353224 +:041FA50000303432A2 +:041FA6000000000037 +:041FA70000F0010045 +:041FA8000155177058 +:041FA900010600002D +:041FAA00000E0027FE +:041FAB000000031916 +:041FAC000100001020 +:041FAD00000000012F +:041FAE00421040801D +:041FAF007030343228 +:041FB000000000002D +:041FB1000800000024 +:041FB20000F002D069 +:041FB300035A177541 +:041FB4000106000022 +:041FB500000F0039E0 +:041FB6000000033EE6 +:041FB7000100001015 +:041FB8000000000124 +:041FB9000000000C18 +:041FBA003038323158 +:041FBB003838327808 +:041FBC000000000021 +:041FBD0001200500FA +:041FBE000618138866 +:041FBF0001380000E5 +:041FC000001300AA60 +:041FC10000000348D1 +:041FC200010000100A +:041FC3000000000218 +:041FC4000401020012 +:041FC5007830363901 +:041FC6000038383275 +:041FC7000000000016 +:041FC800012003C031 +:041FC90004921388E3 +:041FCA0001380000DA +:041FCB00001300807F +:041FCC0000000336D8 +:041FCD0001000010FF +:041FCE00000000020D +:041FCF0000200400EA +:041FD00078323135FD +:041FD1004C3034322A +:041FD20000000042C9 +:041FD30000F0020018 +:041FD40002AA1388C2 +:041FD50001380000CF +:041FD6000029004D91 +:041FD70000000332D1 +:041FD80001000010F4 +:041FD9000000000202 +:041FDA0008420810A1 +:041FDB0078343833EB +:041FDC004C3034321F +:041FDD0000000042BE +:041FDE0000F001808E +:041FDF000200138861 +:041FE00001380000C4 +:041FE1000029003B98 +:041FE20000000325D3 +:041FE30001000010E9 +:041FE40000000002F7 +:041FE5001084102034 +:041FE60078303233EA +:041FE7004C30343214 +:041FE80000000042B3 +:041FE90000F00140C3 +:041FEA0001AA1388AD +:041FEB0001380000B9 +:041FEC000029003197 +:041FED000000031FCE +:041FEE0001000010DE +:041FEF0000000002EC +:041FF0002108204064 +:041FF10078363532D7 +:041FF2004C30343209 +:041FF30000000042A8 +:041FF40000F00100F8 +:041FF50001551388F7 +:041FF60001380000AE +:041FF7000029002796 +:041FF80000000319C9 +:041FF90001000010D3 +:041FFA0000000002E1 +:041FFB0042104080D0 +:041FFC0070383832CF +:041FFD0000000000E0 +:041FFE0017000000C8 +:041FFF00012002D0EB +:0420000003601390D6 +:0420010001380000A2 +:042002000013004582 +:042003000000033F97 +:0420040001000010C7 +:0420050000000002D5 +:042006000000000CCA +:0420070078303834C1 +:04200800003036333B +:0420090000000000D3 +:04200A00016801E088 +:04200B0002581770F0 +:04200C000177000058 +:04200D00000A003F86 +:04200E0000000326A5 +:04200F0002000010BB +:0420100000000003C9 +:042011000000000CBF +:0420120078303432BC +:042013000030363330 +:0420140000000000C8 +:04201500016800F06E +:04201600012C177012 +:04201700017700004D +:04201800000A00209A +:0420190000000312AE +:04201A0002000010B0 +:04201B0000000003BE +:04201C00000081003F +:04201D0070343833B0 +:04201E0000000000BE +:04201F0000000000BD +:04202000018001F04A +:042021000280157CA8 +:0420220001A7000012 +:04202300001D00326A +:042024000000033E77 +:0420250002000010A5 +:0420260000000003B3 +:042027000000000CA9 +:0420280030303631ED +:0420290030303478A7 +:04202A0000000000B2 +:04202B0001900640DA +:04202C0007D01B5866 +:04202D0001C10000ED +:04202E000022007814 +:04202F00000002F0BB +:042030000800001094 +:0420310000000003A8 +:0420320000000200A8 +:042033007830323798 +:042034005F303034B5 +:042035000000303740 +:04203600019002D043 +:0420370003841B58AB +:0420380001C10000E2 +:042039000022004041 +:04203A000000026040 +:04203B000800001089 +:04203C00000000039D +:04203D000000000C93 +:04203E00783034368C +:04203F005F303034AA +:042040000000303735 +:042041000190028088 +:0420420003201B5804 +:0420430001C10000D7 +:042044000022003046 +:042045000000026035 +:04204600080000107E +:042047000000000392 +:042048000000000C88 +:042049007830343681 +:04204A0000343833F3 +:04204B000000000091 +:04204C00018002808D +:04204D000320157CDB +:04204E0001EC0000A1 +:04204F00003F00301E +:04205000000002602A +:042051000800001073 +:042052000000000387 +:042053000000000C7D +:042054006930383483 +:042055000000000087 +:042056000600000080 +:0420570000F002D0C3 +:04205800035A176AA6 +:04205900020D000074 +:04205A00000F00393A +:04205B000001033E3F +:04205C00010000106F +:04205D00000000047B +:04205E000001020C6F +:04205F007030383471 +:04206000000000007C +:042061000200000079 +:0420620001E002D0C7 +:04206300035A176A9B +:04206400020D000069 +:04206500001E003C1D +:042066000000063E32 +:042067000200001063 +:04206800000000066E +:042069000000000C67 +:04206A007830343660 +:04206B005F30383476 +:04206C000100303609 +:04206D0001E002800C +:04206E0003201770C4 +:04206F00020D00005E +:04207000002100301B +:042071000000026009 +:042072000800001052 +:042073000000000663 +:042074000000000C5C +:042075007830383453 +:0420760000323732CB +:042077000300000062 +:04207800011001E072 +:04207900035A17707F +:04207A00020D000053 +:04207B00008600B12A +:04207C000000063E1C +:04207D00020000104D +:04207E000000000658 +:04207F000000000C51 +:04208000783034364A +:0420810000323135C3 +:04208200000000005A +:0420830002000280D5 +:0420840003201770AE +:04208500023800001D +:042086000022003004 +:0420870000000660EF +:04208800080000103C +:04208900000000064D +:04208A000000000C46 +:04208B006936373546 +:04208C000000000050 +:04208D00150000003A +:04208E00012002D05B +:04208F00036013884F +:0420900002710000D9 +:0420910000130045F3 +:042092000001033F07 +:042093000100001038 +:042094000000000543 +:042095000001020C38 +:042096007036373534 +:042097000000000045 +:042098001100000033 +:04209900024002D02F +:04209A000360138844 +:04209B0002710000CE +:04209C0000270044D5 +:04209D0000000540FA +:04209E00020000102C +:04209F000000000736 +:0420A0000000000C30 +:0420A100783030382B +:0420A2005F30303645 +:0420A30000003036D3 +:0420A40002580320BB +:0420A500042017708C +:0420A60002740000C0 +:0420A70000170058C6 +:0420A80000000480B0 +:0420A900080000101B +:0420AA000000000032 +:0420AB00000000042D +:0420AC007030323727 +:0420AD000030355F6B +:0420AE00130000001B +:0420AF0002D0050056 +:0420B00007BC1388CE +:0420B10002EE00003B +:0420B200001400DC3A +:0420B30000000528FC +:0420B4000C0000100C +:0420B500000000081F +:0420B6000000000422 +:0420B700703032371C +:0420B8000030365F5F +:0420B900040000001F +:0420BA0002D005004B +:0420BB000672177022 +:0420BC0002EE000030 +:0420BD00001400DC2F +:0420BE0000000528F1 +:0420BF000C00001001 +:0420C0000000000814 +:0420C1000000000417 +:0420C2003432303153 +:0420C30038363778FC :0420C4000000000018 -:0420C5000000000413 -:0420C600303832314B -:0420C700323031780A -:0420C80000000034E0 -:0420C900040005000A -:0420CA0006981770ED -:0420CB00042A0000E3 -:0420CC00002600F8F2 -:0420CD00000003709C -:0420CE0008000010F6 +:0420C5000300040010 +:0420C600054017704A +:0420C70003260000EC +:0420C800001D00A057 +:0420C9000000068885 +:0420CA0008000010FA +:0420CB000000000011 +:0420CC00000000040C +:0420CD003038323144 +:0420CE0030363978F7 :0420CF00000000000D -:0420D0000000000408 -:0420D10078303436F9 -:0420D2006930363902 -:0420D3000000000009 -:0420D40001E00280A5 -:0420D500032017705D -:0420D600041A0000E8 -:0420D70000210030B4 -:0420D80000010260A1 -:0420D90002000010F1 -:0420DA0000000009F9 -:0420DB000000000CF5 -:0420DC003038303137 -:0420DD0030355F69D2 -:0420DE0014000000EA -:0420DF00021C078058 -:0420E0000A50138807 -:0420E1000465000092 -:0420E200000F009457 -:0420E3000001052CC7 -:0420E4000C000010DC -:0420E50000000009EE -:0420E6000000000CEA -:0420E700303830312C -:0420E80030365F69C6 -:0420E90005000000EE -:0420EA00021C07804D -:0420EB0008981770CA -:0420EC000465000087 -:0420ED00000F00944C -:0420EE000001052CBC -:0420EF000C000010D1 -:0420F00000000009E3 -:0420F1000000000CDF -:0420F2003038303121 -:0420F30030355F70B5 -:0420F4001F000000C9 -:0420F5000438078024 -:0420F6000A501388F1 -:0420F700046500007C -:0420F800002400942C -:0420F9000000052CB2 -:0420FA000C000010C6 -:0420FB000000000AD7 -:0420FC0000000004DC -:0420FD003038303116 -:0420FE0030365F70A9 -:0420FF0010000000CD -:042100000438078018 -:0421010008981770B3 -:042102000465000070 -:042103000024009420 -:042104000000052CA6 -:042105000C000010BA -:042106000000000ACB -:0421070000000004D0 -:04210800303036310C -:0421090030323178C7 -:04210A0000000030A1 -:04210B0004B00640D6 -:04210C0008701770D0 -:04210D0004E20000E8 -:04210E00002E01306E -:04210F00000003C009 -:0421100008000010B3 -:0421110000000000CA -:0421120000000004C5 -:042113007665642F5A -:042114006370652F60 -:042115006F635F7124 -:042116006F72746E02 -:0421170072656C6C15 -:042118005F305F32A3 -:042119005F6C766120 -:04211A00006D656D82 -:04211B002B302D2315 -:04211C00000000209F -:04211D00004C6C689E -:04211E004567666546 -:04211F00000047462F -:0421200033323130F5 -:0421210037363534E4 -:0421220042413938C5 -:0421230046454443A6 -:0421240000000000B7 -:0421250033323130F0 -:0421260037363534DF -:042127006261393880 -:042128006665646321 -:0421290000000000B2 -:04212A000001666AE0 -:04212B0000016680C9 -:04212C000001664404 -:04212D000001664403 -:04212E000001664402 -:04212F000001664401 -:0421300000016680C4 -:0421310000016644FF -:0421320000016644FE -:0421330000016644FD -:0421340000016644FC -:04213500000167E45A -:04213600000166C27C -:04213700000167B686 -:0421380000016644F8 -:0421390000016644F7 -:04213A000001681820 -:04213B0000016644F5 -:04213C00000166C276 -:04213D0000016644F3 -:04213E0000016644F2 -:04213F00000167BE76 -:04214000C896554B9D -:042141000000000B8F -:042142000001870011 -:042143000000000494 -:04214400000187DC33 -:042145000000000393 -:042146000001882CE0 -:042147000000000E86 -:0421480000018868A2 -:042149000000000989 -:04214A000001898087 -:04214B000000000F81 -:04214C0000018A34D0 -:04214D00000000038B -:04214E0000018B60A1 -:04214F000000000488 -:0421500000018B9C63 -:042151000000000882 -:0421520000018BEC11 -:042153000000001177 -:0421540000018C8C6E -:04215500000000077F -:0421560000018DE017 -:042157000000000D77 -:0421580000018E6C88 -:042159000000000082 -:04215A000000000081 -:04215B000001844CAF -:04215C00000000007F -:04215D00000000007E -:04215E00000102EA90 -:04215F00000103A2D6 -:04216000000101AACF -:04216100000101C8B0 -:042162000001022056 -:0421630000800000F8 -:042164000020000057 -:042165000000000076 -:042166000000000075 -:042167000000000074 -:042168000000000073 -:042169000000000072 -:04216A000000000071 +:0420D00003C0050044 +:0420D1000708177075 +:0420D20003E800001F +:0420D30000240138AC +:0420D4000000037095 +:0420D50008000010EF +:0420D6000000000006 +:0420D7000000000401 +:0420D8003038323139 +:0420D90032303178F8 +:0420DA0000000034CE +:0420DB0004000500F8 +:0420DC0006981770DB +:0420DD00042A0000D1 +:0420DE00002600F8E0 +:0420DF00000003708A +:0420E00008000010E4 +:0420E10000000000FB +:0420E20000000004F6 +:0420E30078303436E7 +:0420E40069303639F0 +:0420E50000000000F7 +:0420E60001E0028093 +:0420E700032017704B +:0420E800041A0000D6 +:0420E90000210030A2 +:0420EA00000102608F +:0420EB0002000010DF +:0420EC0000000009E7 +:0420ED000000000CE3 +:0420EE003038303125 +:0420EF0030355F69C0 +:0420F00014000000D8 +:0420F100021C078046 +:0420F2000A501388F5 +:0420F3000465000080 +:0420F400000F009445 +:0420F5000001052CB5 +:0420F6000C000010CA +:0420F70000000009DC +:0420F8000000000CD8 +:0420F900303830311A +:0420FA0030365F69B4 +:0420FB0005000000DC +:0420FC00021C07803B +:0420FD0008981770B8 +:0420FE000465000075 +:0420FF00000F00943A +:042100000001052CA9 +:042101000C000010BE +:0421020000000009D0 +:042103000000000CCC +:04210400303830310E +:0421050030355F70A2 +:042106001F000000B6 +:042107000438078011 +:042108000A501388DE +:042109000465000069 +:04210A000024009419 +:04210B000000052C9F +:04210C000C000010B3 +:04210D000000000AC4 +:04210E0000000004C9 +:04210F003038303103 +:0421100030365F7096 +:0421110010000000BA +:042112000438078006 +:0421130008981770A1 +:04211400046500005E +:04211500002400940E +:042116000000052C94 +:042117000C000010A8 +:042118000000000AB9 +:0421190000000004BE +:04211A0030303631FA +:04211B0030323178B5 +:04211C00000000308F +:04211D0004B00640C4 +:04211E0008701770BE +:04211F0004E20000D6 +:04212000002E01305C +:04212100000003C0F7 +:0421220008000010A1 +:0421230000000000B8 +:0421240000000004B3 +:042125007665642F48 +:042126006370652F4E +:042127006F635F7112 +:042128006F72746EF0 +:0421290072656C6C03 +:04212A005F305F3291 +:04212B005F6C76610E +:04212C00006D656D70 +:04212D002B302D2303 +:04212E00000000208D +:04212F00004C6C688C +:042130004567666534 +:04213100000047461D +:0421320033323130E3 +:0421330037363534D2 +:0421340042413938B3 +:042135004645444394 +:0421360000000000A5 +:0421370033323130DE +:0421380037363534CD +:04213900626139386E +:04213A00666564630F +:04213B0000000000A0 +:04213C00000166B484 +:04213D00000166CA6D +:04213E000001668EA8 +:04213F000001668EA7 +:042140000001668EA6 +:042141000001668EA5 +:04214200000166CA68 +:042143000001668EA3 +:042144000001668EA2 +:042145000001668EA1 +:042146000001668EA0 +:042147000001682EFD +:042148000001670C1F +:042149000001680029 +:04214A000001668E9C +:04214B000001668E9B +:04214C0000016862C4 +:04214D000001668E99 +:04214E000001670C19 +:04214F000001668E97 +:042150000001668E96 +:042151000001680819 +:04215200C896554B8B +:042153000000000B7D +:0421540000018748B7 +:042155000000000482 +:0421560000018824D8 +:042157000000000381 +:042158000001887486 +:042159000000000E74 +:04215A00000188B048 +:04215B000000000977 +:04215C00000189C82D +:04215D000000000F6F +:04215E0000018A7C76 +:04215F000000000379 +:0421600000018BA847 +:042161000000000476 +:0421620000018BE409 +:042163000000000870 +:0421640000018C34B6 +:042165000000001165 +:0421660000018CD414 +:04216700000000076D +:0421680000018E28BC +:042169000000000D65 +:04216A0000018EB42E :04216B000000000070 :04216C00000000006F -:04216D00000000006E +:04216D000001849455 :04216E00000000006D :04216F00000000006C -:04217000000000006B -:04217100000000006A -:042172000000000069 -:042173000000000068 -:042174000000000067 -:042175000000000066 -:042176000000000065 +:04217000000102EA7E +:04217100000103A2C4 +:04217200000101AABD +:04217300000101C89E +:042174000001022044 +:0421750000800000E6 +:042176000020000045 :042177000000000064 :042178000000000063 :042179000000000062 @@ -8580,716 +8580,734 @@ :042183000000000058 :042184000000000057 :042185000000000056 -:0421860000010174DF -:0421870000800000D4 -:0421880000A00000B3 -:04218900000201004F -:04218A000020000031 +:042186000000000055 +:042187000000000054 +:042188000000000053 +:042189000000000052 +:04218A000000000051 :04218B000000000050 -:04218C00000000202F -:04218D00000100004D -:04218E00000001004C +:04218C00000000004F +:04218D00000000004E +:04218E00000000004D :04218F00000000004C -:042190000001716475 -:042191000001718058 -:042192000001719047 -:042193000001716472 -:04219400000171C411 -:04219500000171C80C -:04219600000179F4D7 -:0421970000017A04C5 -:0421980000017A10B8 -:0421990000017A1CAB -:04219A0000017A289E -:04219B0000017A3491 -:04219C0000017A4084 -:04219D0000017A4C77 -:04219E0000017A586A -:04219F0000017A645D -:0421A00000017A7050 -:0421A100020E04091D -:0421A2003D0E00C826 -:0421A3003F6E038305 -:0421A4003ED03DAC40 -:0421A50000000383B0 -:0421A600000172ECD6 -:0421A700000172F8C9 -:0421A80000017308B7 -:0421A90000017318A6 -:0421AA000001732895 -:0421AB00000172DCE1 -:0421AC00000172ECD0 -:0421AD00000172F8C3 -:0421AE0000017308B1 -:0421AF0000017318A0 -:0421B000000173288F -:0421B100000172B8FF -:0421B200000172C4F2 -:0421B300000172D0E5 -:0421B4000001716451 -:0421B500000171783C -:0421B6000001716053 -:0421B7000001717C36 -:0421B800000171CCE5 -:0421B900000171D4DC -:0421BA00000171DCD3 -:0421BB00000171E4CA -:0421BC00000171ECC1 -:0421BD00000171F0BC -:0421BE00000171F8B3 -:0421BF0000017200A9 -:0421C000000170C0EA -:0421C1000000000218 -:0421C20000019A6618 -:0421C3000AF0012CF1 -:0421C40000011D3ABF -:0421C500000170D0D5 -:0421C6000000000213 -:0421C70000019A6811 -:0421C8000013000000 -:0421C90000011D3ABA -:0421CA00000170E0C0 -:0421CB00000000020E -:0421CC0000019A6A0A -:0421CD0000FF000A05 -:0421CE0000011D3AB5 -:0421CF00000170ECAF -:0421D0000000000209 -:0421D10000019A620D -:0421D20001FF000009 -:0421D30000011D3AB0 -:0421D400000170FC9A -:0421D5000000000204 -:0421D60000019A600A -:0421D700080000C834 -:0421D80000011D3AAB -:0421D9000001710888 -:0421DA0000000002FF -:0421DB0000019A74F1 -:0421DC00000F0001EF -:0421DD0000011D3AA6 -:0421DE000001711477 -:0421DF0000000002FA -:0421E00000019A70F0 -:0421E10001FF0000FA -:0421E20000011D3AA1 -:0421E3000001712462 -:0421E40000000002F5 -:0421E50000019A6EED -:0421E60006C000A08F -:0421E70000011D3A9C -:0421E8000001713051 -:0421E90000000002F0 -:0421EA0000019A64F2 -:0421EB0000FF0000F1 -:0421EC0000011D3A97 -:0421ED000001713C40 -:0421EE0000000002EB -:0421EF0000019A72DF -:0421F000003F0000AC -:0421F10000011D3A92 -:0421F200000171482F -:0421F30000000002E6 -:0421F40000019A6CE0 -:0421F500001F0000C7 -:0421F60000011D3A8D -:0421F700000175E886 -:0421F80000000000E3 -:0421F90000019294BB -:0421FA0000010001DF -:0421FB00000190E867 -:0421FC00000175F871 -:0421FD0000000000DE -:0421FE0000019295B5 -:0421FF0000010001DA -:04220000000190F851 -:04220100000176085A -:0422020000000000D8 -:0422030000019297AD -:0422040000010001D4 -:04220500000190F84C -:042206000001761449 -:0422070000000001D2 -:0422080000019296A9 -:0422090000180000B9 -:04220A0000011BAA0A -:04220B000001762434 -:04220C0000000000CE -:04220D000001929B9F -:04220E0000010001CA -:04220F00000190F842 -:04221000000176341F -:0422110000000000C9 -:042212000001929C99 -:0422130000020001C4 -:042214000001864CF3 -:042215000001764806 -:0422160000000001C3 -:0422170000019267C9 -:04221800001F0000A3 -:0422190000011B7C29 -:04221A0000016FD080 -:04221B0000000001BE -:04221C000001927CAF -:04221D0000040000B9 -:04221E0000011AF8A9 -:04221F0000016FDC6F -:0422200000000001B9 -:042221000001927DA9 -:0422220000050000B3 -:0422230000011B306B -:0422240000016FE85E -:0422250000000001B4 -:0422260000019270B1 -:0422270000100000A3 -:0422280000011AD4C3 -:0422290000016FF849 -:04222A0000000001AF -:04222B0000019271AB -:04222C00001000009E -:04222D0000011AD4BE -:04222E000001700833 -:04222F0000000001AA -:0422300000019272A5 -:042231000010000099 -:0422320000011AD4B9 -:04223300000170181E -:0422340000000001A5 -:04223500000192739F -:042236000010000094 -:0422370000011AD4B4 -:042238000001702809 -:0422390000000001A0 -:04223A000001927499 -:04223B00001000008F -:04223C0000011AD4AF -:04223D0000017038F4 -:04223E00000000019B -:04223F000001927593 -:04224000001000008A -:0422410000011AD4AA -:0422420000017048DF -:042243000000000196 -:04224400000192768D -:042245000010000085 -:0422460000011AD4A5 -:042247000001705CC6 -:042248000000000191 -:042249000001927787 -:04224A000010000080 -:04224B0000011AD4A0 -:04224C0000017070AD -:04224D00000000018C -:04224E000001927881 -:04224F00001000007B -:0422500000011AD49B -:042251000001708494 -:042252000000000187 -:04225300000192797B -:042254000010000076 -:0422550000011AD496 -:04225600000170987B -:042257000000000182 -:042258000001927A75 -:042259000010000071 -:04225A0000011AD491 -:04225B00000170AC62 -:04225C00000000017D -:04225D000001927B6F -:04225E00001000006C -:04225F0000011AD48C -:0422600000017940C0 -:042261000000000376 -:042262000001855C96 -:042263000000000077 -:042264000000000076 -:0422650000017954A7 -:042266000000000371 -:042267000001853CB1 -:042268000000000072 -:042269000000000071 -:04226A00000179688E -:04226B00000000036C -:04226C000001855494 -:04226D00000000006D -:04226E00000000006C -:04226F000001797C75 -:042270000000000367 -:042271000001852CB7 -:042272000000000068 -:042273000000000067 -:04227400000179905C -:042275000000000362 -:04227600000185449A -:042277000000000063 -:042278000000000062 -:04227900000179A443 -:04227A00000000035D -:04227B0000018534A5 -:04227C00000000005E -:04227D00000000005D -:04227E00000179B82A -:04227F000000000358 -:0422800000018514C0 -:042281000000000059 -:042282000000000058 -:04228300000179CC11 -:042284000000000353 -:042285000001850CC3 -:042286000000000054 -:042287000000000053 -:04228800000179E0F8 -:04228900000000034E -:04228A000001854C7E -:04228B00000000004F -:04228C00000000004E -:04228D0000017700D5 +:04219000000000004B +:04219100000000004A +:042192000000000049 +:042193000000000048 +:042194000000000047 +:042195000000000046 +:042196000000000045 +:042197000000000044 +:0421980000010174CD +:0421990000800000C2 +:04219A0000A00000A1 +:04219B00000201003D +:04219C00002000001F +:04219D00000000003E +:04219E00000000201D +:04219F00000100003B +:0421A000000001003A +:0421A100000000003A +:0421A200000171AC1B +:0421A300000171C8FE +:0421A400000171D8ED +:0421A500000171AC18 +:0421A6000001720CB6 +:0421A70000017210B1 +:0421A80000017A3C7C +:0421A90000017A4C6B +:0421AA0000017A585E +:0421AB0000017A6451 +:0421AC0000017A7044 +:0421AD0000017A7C37 +:0421AE0000017A882A +:0421AF0000017A941D +:0421B00000017AA010 +:0421B10000017AAC03 +:0421B20000017AB8F6 +:0421B300020E04090B +:0421B4003D0E00C814 +:0421B5003F6E0383F3 +:0421B6003ED03DAC2E +:0421B700000003839E +:0421B800000173347B +:0421B900000173406E +:0421BA00000173505D +:0421BB00000173604C +:0421BC00000173703B +:0421BD000001732486 +:0421BE000001733475 +:0421BF000001734068 +:0421C0000001735057 +:0421C1000001736046 +:0421C2000001737035 +:0421C30000017300A4 +:0421C4000001730C97 +:0421C500000173188A +:0421C600000171ACF7 +:0421C700000171C0E2 +:0421C800000171A8F9 +:0421C900000171C4DC +:0421CA00000172148A +:0421CB000001721C81 +:0421CC000001722478 +:0421CD000001722C6F +:0421CE000001723466 +:0421CF000001723861 +:0421D0000001724058 +:0421D100000172484F +:0421D200000171088F +:0421D3000000000206 +:0421D40000019AAEBE +:0421D5000AF0012CDF +:0421D60000011D3AAD +:0421D700000171187A +:0421D8000000000201 +:0421D90000019AB0B7 +:0421DA0000130000EE +:0421DB0000011D3AA8 +:0421DC000001712865 +:0421DD0000000002FC +:0421DE0000019AB2B0 +:0421DF0000FF000AF3 +:0421E00000011D3AA3 +:0421E1000001713454 +:0421E20000000002F7 +:0421E30000019AAAB3 +:0421E40001FF0000F7 +:0421E50000011D3A9E +:0421E600000171443F +:0421E70000000002F2 +:0421E80000019AA8B0 +:0421E900080000C822 +:0421EA0000011D3A99 +:0421EB00000171502E +:0421EC0000000002ED +:0421ED0000019ABC97 +:0421EE00000F0001DD +:0421EF0000011D3A94 +:0421F0000001715C1D +:0421F10000000002E8 +:0421F20000019AB896 +:0421F30001FF0000E8 +:0421F40000011D3A8F +:0421F5000001716C08 +:0421F60000000002E3 +:0421F70000019AB693 +:0421F80006C000A07D +:0421F90000011D3A8A +:0421FA0000017178F7 +:0421FB0000000002DE +:0421FC0000019AAC98 +:0421FD0000FF0000DF +:0421FE0000011D3A85 +:0421FF0000017184E6 +:0422000000000002D8 +:0422010000019ABA84 +:04220200003F000099 +:0422030000011D3A7F +:0422040000017190D4 +:0422050000000002D3 +:0422060000019AB485 +:04220700001F0000B4 +:0422080000011D3A7A +:04220900000176302A +:04220A0000000000D0 +:04220B00000192DC60 +:04220C0000010001CC +:04220D00000191300B +:04220E000001764015 +:04220F0000000000CB +:04221000000192DD5A +:0422110000010001C7 +:0422120000019140F6 +:042213000001765000 +:0422140000000000C6 +:04221500000192DF53 +:0422160000010001C2 +:0422170000019140F1 +:042218000001765CEF +:0422190000000001C0 +:04221A00000192DE4F +:04221B0000180000A7 +:04221C0000011BAAF8 +:04221D000001766CDA +:04221E0000000000BC +:04221F00000192E345 +:0422200000010001B8 +:0422210000019140E7 +:042222000001767CC5 +:0422230000000000B7 +:04222400000192E43F +:0422250000020001B2 +:042226000001869499 +:0422270000017690AC +:0422280000000001B1 +:04222900000192AF6F +:04222A00001F000091 +:04222B0000011B7C17 +:04222C000001701825 +:04222D0000000001AC +:04222E00000192C455 +:04222F0000040000A7 +:0422300000011AF897 +:042231000001702414 +:0422320000000001A7 +:04223300000192C54F +:0422340000050000A1 +:0422350000011B3059 +:042236000001703003 +:0422370000000001A2 +:04223800000192B857 +:042239000010000091 +:04223A0000011AD4B1 +:04223B0000017040EE +:04223C00000000019D +:04223D00000192B951 +:04223E00001000008C +:04223F0000011AD4AC +:0422400000017050D9 +:042241000000000198 +:04224200000192BA4B +:042243000010000087 +:0422440000011AD4A7 +:0422450000017060C4 +:042246000000000193 +:04224700000192BB45 +:042248000010000082 +:0422490000011AD4A2 +:04224A0000017070AF +:04224B00000000018E +:04224C00000192BC3F +:04224D00001000007D +:04224E0000011AD49D +:04224F00000170809A +:042250000000000189 +:04225100000192BD39 +:042252000010000078 +:0422530000011AD498 +:042254000001709085 +:042255000000000184 +:04225600000192BE33 +:042257000010000073 +:0422580000011AD493 +:04225900000170A46C +:04225A00000000017F +:04225B00000192BF2D +:04225C00001000006E +:04225D0000011AD48E +:04225E00000170B853 +:04225F00000000017A +:04226000000192C027 +:042261000010000069 +:0422620000011AD489 +:04226300000170CC3A +:042264000000000175 +:04226500000192C121 +:042266000010000064 +:0422670000011AD484 +:04226800000170E021 +:042269000000000170 +:04226A00000192C21B +:04226B00001000005F +:04226C0000011AD47F +:04226D00000170F408 +:04226E00000000016B +:04226F00000192C315 +:04227000001000005A +:0422710000011AD47A +:042272000001798866 +:042273000000000364 +:04227400000185A43C +:042275000000000065 +:042276000000000064 +:042277000001799C4D +:04227800000000035F +:042279000001858457 +:04227A000000000060 +:04227B00000000005F +:04227C00000179B034 +:04227D00000000035A +:04227E000001859C3A +:04227F00000000005B +:04228000000000005A +:04228100000179C41B +:042282000000000355 +:04228300000185745D +:042284000000000056 +:042285000000000055 +:04228600000179D802 +:042287000000000350 +:042288000001858C40 +:042289000000000051 +:04228A000000000050 +:04228B00000179ECE9 +:04228C00000000034B +:04228D000001857C4B :04228E00000000004C -:04228F000001925860 -:042290000005000144 -:0422910000018FA415 -:0422920000017710C0 +:04228F00000000004B +:0422900000017A00CF +:042291000000000346 +:042292000001855C66 :042293000000000047 -:04229400000192595A -:042295000004000140 -:0422960000018FBCF8 -:0422970000017720AB +:042294000000000046 +:0422950000017A14B6 +:042296000000000341 +:042297000001855469 :042298000000000042 -:042299000001925A54 -:04229A00000300013C -:04229B0000018FD0DF -:04229C000001773096 +:042299000000000041 +:04229A0000017A289D +:04229B00000000033C +:04229C000001859424 :04229D00000000003D -:04229E000001925B4E -:04229F000001000139 -:0422A0000001910C9C -:0422A1000001774081 -:0422A2000000000038 -:0422A3000001925C48 -:0422A4000001000134 -:0422A500000191049F -:0422A600000177506C -:0422A7000000000033 -:0422A8000001925D42 -:0422A900000400012C -:0422AA000001869811 -:0422AB000001775C5B -:0422AC00000000002E -:0422AD000001925E3C -:0422AE000005000126 -:0422AF00000186ACF8 -:0422B000000177684A -:0422B1000000000029 -:0422B2000001925F36 -:0422B3000004000122 -:0422B4000001869807 -:0422B5000001777439 -:0422B6000000000024 -:0422B7000001926030 -:0422B800000400011D -:0422B9000001869802 -:0422BA000001778028 -:0422BB00000000001F -:0422BC00000192612A -:0422BD000004000118 -:0422BE0000018698FD -:0422BF000001778C17 -:0422C000000000001A -:0422C1000001926224 -:0422C2000002000115 -:0422C300000186C4CC -:0422C4000001779C02 -:0422C5000000000015 -:0422C600000192661B -:0422C7000001000111 -:0422C800000190E0A1 -:0422C900000177ACED -:0422CA000000000010 -:0422CB0000019298E4 -:0422CC00000200010B -:0422CD000001903844 -:0422CE00000177B4E0 -:0422CF00000000000B -:0422D00000019299DE -:0422D1000001000107 -:0422D200000190F87F -:0422D300000177C0CF -:0422D4000000000006 -:0422D5000001929AD8 -:0422D6000001000102 -:0422D700000190F87A -:0422D8000001765C2F -:0422D9000000000001 -:0422DA0000019281EC -:0422DB0000030001FB -:0422DC0000018FF07E -:0422DD00000176681E -:0422DE0000000000FC -:0422DF000001927FE9 -:0422E00000070000F3 -:0422E100000186E092 -:0422E2000001767809 -:0422E30000000001F6 -:0422E4000001927EE5 -:0422E500000F0000E6 -:0422E60000011B7C5C -:0422E7000001784436 -:0422E80000000000F2 -:0422E90000019263FB -:0422EA0000030001EC -:0422EB0000018FE07F -:0422EC000001785421 -:0422ED0000000000ED -:0422EE0000019264F5 -:0422EF0000010001E9 -:0422F0000001911C3C -:0422F100000178640C -:0422F20000000000E8 -:0422F30000019265EF -:0422F40000010001E4 -:0422F500000190F85C -:0422F60000017878F3 -:0422F70000000003E0 -:0422F8000001850458 -:0422F9000001905CF4 -:0422FA00000106A435 -:0422FB0000017688E0 -:0422FC0000000000DE -:0422FD0000019269E1 -:0422FE0000020001D9 -:0422FF00000190004A -:0423000000017694CE -:0423010000000001D7 -:042302000001926ED6 -:04230300000F0000C7 -:0423040000011AB00A -:04230500000176A4B9 -:0423060000000001D2 -:042307000001926BD4 -:04230800001C0000B5 -:0423090000011AF6BF -:04230A00000176B4A4 -:04230B0000000000CE -:04230C000001926CCE -:04230D0000010001CA -:04230E000001912C0D -:04230F00000176C093 -:0423100000000000C9 -:042311000001926DC8 -:0423120000010001C5 -:04231300000190F83D -:04231400000176D07E -:0423150000000000C4 -:042316000001926FC1 -:0423170000010001C0 -:04231800000191240B -:04231900000176E069 -:04231A0000000000BF -:04231B000001926AC1 -:04231C0000030001B9 -:04231D000001900C1F -:04231E00000176EC58 -:04231F0000000003B7 -:042320000001851C17 -:0423210000000000B8 +:04229E00000000003C +:04229F00000177487B +:0422A000000000003A +:0422A100000192A006 +:0422A2000005000132 +:0422A30000018FECBB +:0422A4000001775866 +:0422A5000000000035 +:0422A600000192A100 +:0422A700000400012E +:0422A800000190049D +:0422A9000001776851 +:0422AA000000000030 +:0422AB00000192A2FA +:0422AC00000300012A +:0422AD000001901884 +:0422AE00000177783C +:0422AF00000000002B +:0422B000000192A3F4 +:0422B1000001000127 +:0422B2000001915442 +:0422B3000001778827 +:0422B4000000000026 +:0422B500000192A4EE +:0422B6000001000122 +:0422B7000001914C45 +:0422B8000001779812 +:0422B9000000000021 +:0422BA00000192A5E8 +:0422BB00000400011A +:0422BC00000186E0B7 +:0422BD00000177A401 +:0422BE00000000001C +:0422BF00000192A6E2 +:0422C0000005000114 +:0422C100000186F49E +:0422C200000177B0F0 +:0422C3000000000017 +:0422C400000192A7DC +:0422C5000004000110 +:0422C600000186E0AD +:0422C700000177BCDF +:0422C8000000000012 +:0422C900000192A8D6 +:0422CA00000400010B +:0422CB00000186E0A8 +:0422CC00000177C8CE +:0422CD00000000000D +:0422CE00000192A9D0 +:0422CF000004000106 +:0422D000000186E0A3 +:0422D100000177D4BD +:0422D2000000000008 +:0422D300000192AACA +:0422D4000002000103 +:0422D5000001870C71 +:0422D600000177E4A8 +:0422D7000000000003 +:0422D800000192AEC1 +:0422D90000010001FF +:0422DA000001912846 +:0422DB00000177F493 +:0422DC0000000000FE +:0422DD00000192E08A +:0422DE0000020001F9 +:0422DF0000019080EA +:0422E000000177FC86 +:0422E10000000000F9 +:0422E200000192E184 +:0422E30000010001F5 +:0422E4000001914024 +:0422E5000001780874 +:0422E60000000000F4 +:0422E700000192E27E +:0422E80000010001F0 +:0422E900000191401F +:0422EA00000176A4D5 +:0422EB0000000000EF +:0422EC00000192C992 +:0422ED0000030001E9 +:0422EE000001903823 +:0422EF00000176B0C4 +:0422F00000000000EA +:0422F100000192C78F +:0422F20000070000E1 +:0422F3000001872837 +:0422F400000176C0AF +:0422F50000000001E4 +:0422F600000192C68B +:0422F700000F0000D4 +:0422F80000011B7C4A +:0422F9000001788CDC +:0422FA0000000000E0 +:0422FB00000192ABA1 +:0422FC0000030001DA +:0422FD000001902824 +:0422FE000001789CC7 +:0422FF0000000000DB +:04230000000192AC9A +:0423010000010001D6 +:0423020000019164E1 +:04230300000178ACB1 +:0423040000000000D5 +:04230500000192AD94 +:0423060000010001D1 +:042307000001914000 +:04230800000178C098 +:0423090000000003CD +:04230A000001854CFD +:04230B00000190A499 +:04230C00000106A422 +:04230D00000176D085 +:04230E0000000000CB +:04230F00000192B186 +:0423100000020001C6 +:0423110000019048EF +:04231200000176DC74 +:0423130000000001C5 +:04231400000192B67C +:04231500000F0000B5 +:0423160000011AB0F8 +:04231700000176EC5F +:0423180000000001C0 +:04231900000192B37A +:04231A00001C0000A3 +:04231B0000011AF6AD +:04231C00000176FC4A +:04231D0000000000BC +:04231E00000192B474 +:04231F0000010001B8 +:0423200000019174B3 +:042321000001770838 :0423220000000000B7 -:04232300000174C47D -:0423240000000001B4 -:042325000001929D84 -:04232600000A0101A7 -:04232700000115C6D6 -:04232800000174D864 -:0423290000000000B0 -:04232A0000019A45CF -:04232B0000010001AC -:04232C00000190F824 -:04232D00000174EC4B -:04232E0000000000AB -:04232F0000019A38D7 -:04233000000A00019E -:0423310000018658C9 -:04233200000174FC36 +:04232300000192B56E +:0423240000010001B3 +:0423250000019140E2 +:042326000001771823 +:0423270000000000B2 +:04232800000192B767 +:0423290000010001AE +:04232A000001916CB1 +:04232B00000177280E +:04232C0000000000AD +:04232D00000192B267 +:04232E0000030001A7 +:04232F0000019054C5 +:0423300000017734FD +:0423310000000003A5 +:0423320000018564BD :0423330000000000A6 -:0423340000019A2EDC -:0423350000020001A1 -:0423360000018640DC -:04233700000175101C -:0423380000000000A1 -:0423390000019A2CD9 -:04233A00000100019D -:04233B0000019114F8 -:04233C000001752007 -:04233D00000000009C -:04233E00000190F01A -:04233F000001000198 -:0423400000019114F3 -:0423410000017530F2 -:042342000000000097 -:0423430000019A2DCE -:042344000001000193 -:0423450000019114EE -:0423460000017540DD -:042347000000000092 -:0423480000019A40B6 -:04234900000300018C -:04234A00000186D038 -:04234B0000017550C8 -:04234C00000000008D -:04234D0000019100FA -:04234E000002000188 -:04234F0000018F8872 -:0423500000017554BF -:042351000000000088 -:0423520000019101F4 -:042353000003000182 -:0423540000018F9461 -:0423550000017568A6 -:042356000000000083 -:0423570000019A44A3 -:04235800000100017F -:04235900000190F8F7 -:04235A000001757891 -:04235B00000000047A -:04235C00000124DE7A -:04235D0000017C48B7 +:0423340000000000A5 +:042335000001750C22 +:0423360000000001A2 +:04233700000192E52A +:04233800000A010195 +:04233900000115C6C4 +:04233A000001752009 +:04233B00000000009E +:04233C0000019A8D75 +:04233D00000100019A +:04233E0000019140C9 +:04233F0000017534F0 +:042340000000000099 +:0423410000019A807D +:04234200000A00018C +:04234300000186A06F +:0423440000017544DB +:042345000000000094 +:0423460000019A7682 +:04234700000200018F +:042348000001868882 +:0423490000017558C2 +:04234A00000000008F +:04234B0000019A747F +:04234C00000100018B +:04234D000001915C9E +:04234E0000017568AD +:04234F00000000008A +:0423500000019138BF +:042351000001000186 +:042352000001915C99 +:042353000001757898 +:042354000000000085 +:0423550000019A7574 +:042356000001000181 +:042357000001915C94 +:042358000001758883 +:042359000000000080 +:04235A0000019A885C +:04235B00000300017A +:04235C0000018718DD +:04235D00000175986E :04235E00000000007B -:04235F00000175887C -:042360000000000475 -:042361000001220A4B -:0423620000017C48B2 +:04235F0000019148A0 +:042360000002000176 +:0423610000018FD018 +:042362000001759C65 :042363000000000076 -:042364000001759867 -:042365000000000470 -:042366000001065418 -:042367000000000072 +:04236400000191499A +:042365000003000170 +:0423660000018FDC07 +:04236700000175B04C :042368000000000071 -:04236900000175AC4E -:04236A00000000046B -:04236B00000145F632 -:04236C00000000006D -:04236D00000000006C -:04236E00000175C035 -:04236F000000000466 -:0423700000013D8C9F -:042371000000000068 -:042372000000000067 -:04237300000175D41C -:042374000000000461 -:04237500000142061B -:042376000000000063 -:042377000000000062 -:04237800000177D019 +:0423690000019A8C49 +:04236A00000100016D +:04236B00000191409C +:04236C00000175C037 +:04236D000000000468 +:04236E00000124DE68 +:04236F0000017C905D +:042370000000000069 +:04237100000175D022 +:042372000000000463 +:042373000001220A39 +:0423740000017C9058 +:042375000000000064 +:04237600000175E00D +:04237700000000045E +:042378000001065406 :042379000000000060 -:04237A000001928943 -:04237B00000300015A -:04237C0000019028A4 -:04237D00000177E004 +:04237A00000000005F +:04237B00000175F4F4 +:04237C000000000459 +:04237D0000014640D5 :04237E00000000005B -:04237F000001928A3D -:042380000002000156 -:042381000001901CAB -:04238200000177F0EF -:042383000000000155 -:042384000001928240 -:04238500001F000035 -:0423860000011A4CEC -:0423870000017800D9 -:042388000000000150 -:04238900000192833A -:04238A0000FF000050 -:04238B0000011A6EC5 -:04238C0000017810C4 -:04238D00000000014B -:04238E000001928434 -:04238F0000C80A0078 -:0423900000011A6EC0 -:0423910000017820AF -:042392000000000146 -:04239300000192852E -:042394000005000040 -:0423950000011B68C0 -:04239600000178309A -:042397000000000141 -:042398000001928628 -:04239900000500003B -:04239A0000011B68BB -:04239B000001788C39 -:04239C00000000003D -:04239D000001928821 -:04239E000005000135 -:04239F000001904465 -:0423A0000001789828 -:0423A1000000000137 -:0423A2000001928024 -:0423A300001F000017 -:0423A40000011B7C9D -:0423A500000178A417 -:0423A6000000000033 -:0423A7000001928718 -:0423A800000200012E -:0423A9000001906837 -:0423AA00000178B402 -:0423AB00000000012D -:0423AC000001928D0D -:0423AD0000FF00002D -:0423AE0000011B7C93 -:0423AF00000178C0F1 -:0423B0000000000128 -:0423B1000001928E07 -:0423B20000FF000028 -:0423B30000011B7C8E -:0423B400000178CCE0 -:0423B5000000000123 -:0423B6000001928F01 -:0423B70000FF000023 -:0423B80000011B7C89 -:0423B900000178D8CF -:0423BA00000000011E -:0423BB0000019290FB -:0423BC0000FF00001E -:0423BD0000011B7C84 -:0423BE00000178E4BE -:0423BF000000000119 -:0423C00000019291F5 -:0423C10000FF000019 -:0423C20000011B7C7F -:0423C300000178F0AD -:0423C4000000000114 -:0423C50000019292EF -:0423C60000FF000014 -:0423C70000011B7C7A -:0423C800000178FC9C -:0423C900000000010F -:0423CA0000019293E9 -:0423CB00000F0000FF -:0423CC0000011B7C75 -:0423CD000001790C86 -:0423CE00000000010A -:0423CF00000192680F -:0423D00000E41C0009 -:0423D10000011B905C -:0423D200000179206D -:0423D3000000000105 -:0423D4000001928CE6 -:0423D500000A0000FA -:0423D60000011BC423 -:0423D7000001793058 -:0423D8000000000100 -:0423D9000001928BE2 -:0423DA0000070000F8 -:0423DB0000011BDC06 -:0423DC000001852453 -:0423DD0000000000FC -:0423DE0000000000FB -:0423DF0000000000FA -:0423E00000000000F9 -:0423E10000000000F8 -:0423E2000001716421 -:0423E300000171681C -:0423E4000001717013 -:0423E500000171582A -:0423E6000001715C25 -:0423E7000001716020 -:0423E800000171641B -:0423E9000001733844 -:0423EA000001735427 -:0423EB00000173ACCE -:0423EC00000173B4C5 -:0423ED00000173BCBC -:0423EE00000173C4B3 -:0423EF00000173383E -:0423F0000001735421 -:0423F1000001737CF8 -:0423F2000001738CE7 -:0423F3000001739CD6 -:0423F4000001733839 -:0423F500000173442C -:0423F6000001735C13 -:0423F7000001736C02 -:0423F80000017274FA -:0423F9000001744823 -:0423FA000001745416 -:0423FB000001746405 -:0423FC000001716407 -:0423FD000001720861 -:0423FE000001721454 -:0423FF00000172184F -:042400000001716402 -:0424010000017274F0 -:042402000001727CE7 -:042403000001721C46 -:042404000001722839 -:04240500000172342C -:04240600000172441B -:04240700000173CC91 -:04240800000173E07C -:04240900000173F06B -:04240A000001740059 -:04240B000001741048 -:04240C000001741C3B -:04240D0000017164F5 -:04240E0000017280D7 -:04240F000001728CCA -:042410000001729CB9 -:0424110000017274E0 -:0424120000017164F0 -:0424130000017488C8 -:0424140000017498B7 -:04241500000174A8A6 -:04241600000174B895 -:0424170000019A78AE -:0424180000000000C0 -:04241900000115AAFF -:04241A0000017470D9 -:04241B000001747CCC -:04241C0000017274D5 -:04241D0000000000BB -:04241E0000000000BA -:04241F0000000000B9 -:0424200000000000B8 -:0424210000000000B7 -:0424220000000000B6 -:0424230000000000B5 -:0424240000000000B4 -:0424250000000000B3 -:0424260000000000B2 -:0424270000000000B1 -:0424280000000000B0 -:0424290000000000AF +:04237F00000000005A +:0423800000017608DA +:042381000000000454 +:0423820000013DD643 +:042383000000000056 +:042384000000000055 +:042385000001761CC1 +:04238600000000044F +:0423870000014250BF +:042388000000000051 +:042389000000000050 +:04238A0000017818BE +:04238B00000000004E +:04238C00000192D1E9 +:04238D000003000148 +:04238E00000190704A +:04238F0000017828A9 +:042390000000000049 +:04239100000192D2E3 +:042392000002000144 +:042393000001906451 +:042394000001783894 +:042395000000000143 +:04239600000192CAE6 +:04239700001F000023 +:0423980000011A4CDA +:04239900000178487F +:04239A00000000013E +:04239B00000192CBE0 +:04239C0000FF00003E +:04239D0000011A6EB3 +:04239E00000178586A +:04239F000000000139 +:0423A000000192CCDA +:0423A10000C80A0066 +:0423A20000011A6EAE +:0423A3000001786855 +:0423A4000000000134 +:0423A500000192CDD4 +:0423A600000A000029 +:0423A70000011B68AE +:0423A8000001787840 +:0423A900000000012F +:0423AA00000192CECE +:0423AB00000A000024 +:0423AC0000011B68A9 +:0423AD00000178D4DF +:0423AE00000000002B +:0423AF00000192D0C7 +:0423B0000005000123 +:0423B1000001908C0B +:0423B200000178E0CE +:0423B3000000000125 +:0423B400000192C8CA +:0423B500001F000005 +:0423B60000011B7C8B +:0423B700000178ECBD +:0423B8000000000021 +:0423B900000192CFBE +:0423BA00000200011C +:0423BB00000190B0DD +:0423BC00000178FCA8 +:0423BD00000000011B +:0423BE00000192D5B3 +:0423BF0000FF00001B +:0423C00000011B7C81 +:0423C1000001790896 +:0423C2000000000116 +:0423C300000192D6AD +:0423C40000FF000016 +:0423C50000011B7C7C +:0423C6000001791485 +:0423C7000000000111 +:0423C800000192D7A7 +:0423C90000FF000011 +:0423CA0000011B7C77 +:0423CB000001792074 +:0423CC00000000010C +:0423CD00000192D8A1 +:0423CE0000FF00000C +:0423CF0000011B7C72 +:0423D0000001792C63 +:0423D1000000000107 +:0423D200000192D99B +:0423D30000FF000007 +:0423D40000011B7C6D +:0423D5000001793852 +:0423D6000000000102 +:0423D700000192DA95 +:0423D80000FF000002 +:0423D90000011B7C68 +:0423DA000001794441 +:0423DB0000000001FD +:0423DC00000192DB8F +:0423DD00000F0000ED +:0423DE0000011B7C63 +:0423DF00000179542C +:0423E00000000001F8 +:0423E100000192B0B5 +:0423E20000E41C00F7 +:0423E30000011B904A +:0423E4000001796813 +:0423E50000000001F3 +:0423E600000192D48C +:0423E700000A0000E8 +:0423E80000011BC411 +:0423E90000017978FE +:0423EA0000000001EE +:0423EB00000192D388 +:0423EC0000070000E6 +:0423ED0000011BDCF4 +:0423EE000001856CF9 +:0423EF0000000000EA +:0423F00000000000E9 +:0423F10000000000E8 +:0423F20000000000E7 +:0423F30000000000E6 +:0423F400000171ACC7 +:0423F500000171B0C2 +:0423F600000171B8B9 +:0423F700000171A0D0 +:0423F800000171A4CB +:0423F900000171A8C6 +:0423FA00000171ACC1 +:0423FB0000017380EA +:0423FC000001739CCD +:0423FD00000173F474 +:0423FE00000173FC6B +:0423FF000001740461 +:042400000001740C57 +:0424010000017380E3 +:042402000001739CC6 +:04240300000173C49D +:04240400000173D48C +:04240500000173E47B +:0424060000017380DE +:042407000001738CD1 +:04240800000173A4B8 +:04240900000173B4A7 +:04240A00000172BC9F +:04240B0000017490C8 +:04240C000001749CBB +:04240D00000174ACAA +:04240E00000171ACAC +:04240F000001725006 +:042410000001725CF9 +:0424110000017260F4 +:04241200000171ACA8 +:04241300000172BC96 +:04241400000172C48D +:0424150000017264EC +:0424160000017270DF +:042417000001727CD2 +:042418000001728CC1 +:042419000001741436 +:04241A000001742821 +:04241B000001743810 +:04241C0000017448FF +:04241D0000017458EE +:04241E0000017464E1 +:04241F00000171AC9B +:04242000000172C87D +:04242100000172D470 +:04242200000172E45F +:04242300000172BC86 +:04242400000171AC96 +:04242500000174D06E +:04242600000174E05D +:04242700000174F04C +:04242800000175003A +:0424290000019AC054 :04242A0000000000AE -:04242B0000000000AD -:04242C0000000000AC -:04242D0000000000AB -:04242E0000000000AA +:04242B00000115AAED +:04242C00000174B87F +:04242D00000174C472 +:04242E00000172BC7B :04242F0000000000A9 :0424300000000000A8 :0424310000000000A7 :0424320000000000A6 :0424330000000000A5 :0424340000000000A4 -:04243500000190D43E -:04243600000190D43D -:0424370000016A3EF8 -:04243800000172A08D -:04243900000172B07C -:04243A000001719C90 -:04243B00000171B07B -:04243C00000000019B -:04243D0000108010FB -:04243E0000017164C4 -:04243F000001727CAA -:042440000000010196 -:0424410000017338EB -:0424420000017344DE -:0424430000017338E9 -:0424440000017354CC -:0424450000017A1404 -:0424460000017A20F7 -:0424470000017428F4 -:0424480000017438E3 -:042449000001724CD0 -:04244A0000017250CB -:04244B0000017258C2 -:04244C0000017268B1 -:04244D000001907486 +:0424350000000000A3 +:0424360000000000A2 +:0424370000000000A1 +:0424380000000000A0 +:04243900000000009F +:04243A00000000009E +:04243B00000000009D +:04243C00000000009C +:04243D00000000009B +:04243E00000000009A +:04243F000000000099 +:042440000000000098 +:042441000000000097 +:042442000000000096 +:042443000000000095 +:042444000000000094 +:042445000000000093 +:042446000000000092 +:042447000001911CE3 +:042448000001911CE2 +:0424490000016A889C +:04244A00000172E833 +:04244B00000172F822 +:04244C00000171E436 +:04244D00000171F821 +:04244E000000000189 +:04244F0000108010E9 +:04245000000171AC6A +:04245100000172C450 +:042452000000010184 +:042453000001738091 +:042454000001738C84 +:04245500000173808F +:042456000001739C72 +:0424570000017A5CAA +:0424580000017A689D +:04245900000174709A +:04245A000001748089 +:04245B000001729476 +:04245C000001729871 +:04245D00000172A068 +:04245E00000172B057 +:04245F00000190BC2C :00000001FF diff --git a/software/sys_controller/ossc/avconfig.h b/software/sys_controller/ossc/avconfig.h index d3ba411..d2aaf88 100644 --- a/software/sys_controller/ossc/avconfig.h +++ b/software/sys_controller/ossc/avconfig.h @@ -36,7 +36,7 @@ #define VSYNC_THOLD_MIN 10 #define VSYNC_THOLD_MAX 200 #define SD_SYNC_WIN_MAX 255 -#define PLL_COAST_MAX 5 +#define PLL_COAST_MAX 10 #define REVERSE_LPF_MAX 31 #define COARSE_GAIN_MAX 15 #define ALC_H_FILTER_MAX 7 diff --git a/software/sys_controller/ossc/firmware.h b/software/sys_controller/ossc/firmware.h index e50c44f..edaf51b 100644 --- a/software/sys_controller/ossc/firmware.h +++ b/software/sys_controller/ossc/firmware.h @@ -24,7 +24,7 @@ #include "sysconfig.h" #define FW_VER_MAJOR 1 -#define FW_VER_MINOR 8 +#define FW_VER_MINOR 9 #define PROFILE_VER_MAJOR 1 #define PROFILE_VER_MINOR 6 diff --git a/software/sys_controller/ossc/video_modes.c b/software/sys_controller/ossc/video_modes.c index 9595bab..2b292a1 100644 --- a/software/sys_controller/ossc/video_modes.c +++ b/software/sys_controller/ossc/video_modes.c @@ -214,7 +214,7 @@ int get_pure_lm_mode(avconfig_t *cc, mode_data_t *vm_in, mode_data_t *vm_out, vm } } - if (mindiff_lines >= 110) + if (mindiff_lines >= 130) return -1; mode_preset = &video_modes_plm[mindiff_id]; @@ -356,7 +356,7 @@ int get_pure_lm_mode(avconfig_t *cc, mode_data_t *vm_in, mode_data_t *vm_out, vm vmode_hv_mult(vm_out, VM_OUT_XMULT, VM_OUT_YMULT); break; case MODE_L3_240x360: - vm_conf->x_rpt = vm_conf->h_skip = 6; + vm_conf->x_rpt = vm_conf->h_skip = 7; vmode_hv_mult(vm_out, VM_OUT_XMULT, VM_OUT_YMULT); break; default: @@ -377,8 +377,15 @@ int get_pure_lm_mode(avconfig_t *cc, mode_data_t *vm_in, mode_data_t *vm_out, vm else if (mindiff_lm & (MODE_L3_256_COL|MODE_L6_256_COL)) vm_conf->x_rpt = cc->ar_256col ? 2 : 3; - if (mindiff_lm == MODE_L3_320_COL) - vm_conf->x_rpt = 2; + if (mindiff_lm & (MODE_L3_320_COL|MODE_L2_240x360)) + vm_conf->x_rpt--; + else if (mindiff_lm & MODE_L3_240x360) + vm_conf->x_rpt -= 2; + + if (mindiff_lm == MODE_L2_240x360) { + vm_out->timings.h_active += 80; + vm_out->timings.h_backporch -= 40; + } // Force TX pixel-repeat for high bandwidth modes if (((mindiff_lm == MODE_L5_GEN_4_3) && (mode_preset->group == GROUP_288P)) || (mindiff_lm >= MODE_L6_GEN_4_3)) diff --git a/software/sys_controller/ossc/video_modes_list.c b/software/sys_controller/ossc/video_modes_list.c index b95b0de..a036623 100644 --- a/software/sys_controller/ossc/video_modes_list.c +++ b/software/sys_controller/ossc/video_modes_list.c @@ -41,7 +41,7 @@ const mode_data_t video_modes_plm_default[] = { { "288p", HDMI_288p50, { 720, 288, 5008, 864, 0, 312, 69, 19, 63, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_288P, (MODE_PT | MODE_L2), }, /* 360p: GBI */ { "480x360", HDMI_Unknown, { 480, 360, 6000, 600, 0, 375, 63, 10, 38, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_384P, (MODE_PT | MODE_L2), }, - { "240x360", HDMI_Unknown, { 256, 360, 6000, 300, 0, 375, 24, 10, 18, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_384P, (MODE_L2_240x360 | MODE_L3_240x360), }, + { "240x360", HDMI_Unknown, { 240, 360, 6000, 300, 0, 375, 32, 10, 18, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_384P, (MODE_L2_240x360 | MODE_L3_240x360), }, /* 384p: Sega Model 2 */ { "384p", HDMI_Unknown, { 496, 384, 5500, 640, 0, 423, 50, 29, 62, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_384P, (MODE_PT | MODE_L2), }, /* 400p line3x */