From 37650ca22b49feb17cc9eae0752dfb711f0d50aa Mon Sep 17 00:00:00 2001 From: marqs Date: Wed, 28 Mar 2018 20:09:40 +0300 Subject: [PATCH] misc improvements * add character LCD backlight timeout option * increase h.mask range * show accurate (fpga-calculated) timings on infoscreen --- ossc.qsf | 2 +- rtl/ossc.v | 48 +- rtl/scanconverter.v | 56 +- .../mem_init/sys_onchip_memory2_0.hex | 2382 ++++++++--------- software/sys_controller/ossc/av_controller.c | 23 +- software/sys_controller/ossc/av_controller.h | 22 +- software/sys_controller/ossc/avconfig.h | 3 +- software/sys_controller/ossc/controls.c | 40 +- software/sys_controller/ossc/firmware.c | 12 +- software/sys_controller/ossc/menu.c | 12 +- software/sys_controller/ossc/userdata.c | 3 + software/sys_controller/ossc/userdata.h | 3 +- software/sys_controller_bsp/libhal_bsp.a | Bin 104226 -> 104226 bytes software/sys_controller_bsp/settings.bsp | 28 +- software/sys_controller_bsp/system.h | 53 +- sys.qsys | 84 +- sys.sopcinfo | 931 ++++++- 17 files changed, 2392 insertions(+), 1310 deletions(-) diff --git a/ossc.qsf b/ossc.qsf index 9b5e5b3..cfcf844 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -224,7 +224,7 @@ set_global_assignment -name ENABLE_SIGNALTAP OFF set_global_assignment -name USE_SIGNALTAP_FILE output_files/ossc_la.stp set_global_assignment -name FITTER_EFFORT "AUTO FIT" -set_global_assignment -name SEED 3 +set_global_assignment -name SEED 8 set_global_assignment -name VERILOG_FILE rtl/videogen.v diff --git a/rtl/ossc.v b/rtl/ossc.v index b4a410b..3980c89 100644 --- a/rtl/ossc.v +++ b/rtl/ossc.v @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2017 Markus Hiienkari +// Copyright (C) 2015-2018 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -62,6 +62,8 @@ wire [1:0] pll_lock_lost; wire [31:0] h_info, h_info2, v_info, extra_info; wire [10:0] vmax, vmax_tvp; wire [1:0] fpga_vsyncgen; +wire ilace_flag; +wire [19:0] pcnt_frame; wire [15:0] ir_code; wire [7:0] ir_code_cnt; @@ -96,6 +98,12 @@ wire [15:0] lt_lat_result; wire [11:0] lt_stb_result; wire lt_finished; +wire remote_event = sys_ctrl[8]; +reg remove_event_prev; +reg [14:0] to_ctr, to_ctr_ms; +wire lcd_bl_timeout; + + // Latch inputs from TVP7002 (synchronized to PCLK_in) always @(posedge PCLK_in or negedge reset_n) begin @@ -163,7 +171,9 @@ assign LED_G = (ir_code == 0); assign SD_DAT[3] = sys_ctrl[7]; //SD_SPI_SS_N assign LCD_CS_N = sys_ctrl[6]; assign LCD_RS = sys_ctrl[5]; -assign LCD_BL = sys_ctrl[4]; //reset_n in v1.2 PCB +wire lcd_bl_on = sys_ctrl[4]; //reset_n in v1.2 PCB +wire [1:0] lcd_bl_time = sys_ctrl[3:2]; +assign LCD_BL = lcd_bl_on ? (~lcd_bl_timeout | lt_active) : 1'b0; `ifdef VIDEOGEN wire videogen_sel; @@ -187,6 +197,33 @@ assign HDMI_TX_PCLK = PCLK_out; assign HDMI_TX_DE = DE_out; `endif +// LCD backlight timeout counters +always @(posedge clk27) +begin + if (remote_event != remove_event_prev) begin + to_ctr <= 15'd0; + to_ctr_ms <= 15'd0; + end else begin + if (to_ctr == 27000-1) begin + to_ctr <= 0; + if (to_ctr_ms < 15'h7fff) + to_ctr_ms <= to_ctr_ms + 1'b1; + end else begin + to_ctr <= to_ctr + 1'b1; + end + end + + case (lcd_bl_time) + default: lcd_bl_timeout <= 0; //off + 2'b01: lcd_bl_timeout <= (to_ctr_ms >= 3000); //3s + 2'b10: lcd_bl_timeout <= (to_ctr_ms >= 10000); //10s + 2'b11: lcd_bl_timeout <= (to_ctr_ms >= 30000); //30s + endcase + + remove_event_prev <= remote_event; +end + + sys sys_inst( .clk_clk (clk27), .reset_reset_n (cpu_reset_n), @@ -198,12 +235,13 @@ sys sys_inst( .i2c_opencores_1_export_spi_miso_pad_i (SD_DAT[0]), .pio_0_sys_ctrl_out_export (sys_ctrl), .pio_1_controls_in_export ({ir_code_cnt, 5'b00000, HDMI_TX_MODE_LL, btn_LL, ir_code}), - .pio_2_status_in_export ({VSYNC_out, 2'b00, vmax_tvp, fpga_vsyncgen, 5'h0, vmax}), + .pio_2_status_in_export ({VSYNC_out, 2'b00, vmax_tvp, fpga_vsyncgen, 4'h0, ilace_flag, vmax}), .pio_3_h_info_out_export (h_info), .pio_4_h_info2_out_export (h_info2), .pio_5_v_info_out_export (v_info), .pio_6_extra_info_out_export (extra_info), - .pio_7_lt_results_in_export ({lt_finished, 3'h0, lt_stb_result, lt_lat_result}) + .pio_7_lt_results_in_export ({lt_finished, 3'h0, lt_stb_result, lt_lat_result}), + .pio_8_pcnt_vhz_in_export ({12'h000, pcnt_frame}) ); scanconverter scanconverter_inst ( @@ -233,6 +271,8 @@ scanconverter scanconverter_inst ( .pll_lock_lost (pll_lock_lost), .vmax (vmax), .vmax_tvp (vmax_tvp), + .pcnt_frame (pcnt_frame), + .ilace_flag (ilace_flag), .lt_active (lt_active), .lt_mode (lt_mode_synced) ); diff --git a/rtl/scanconverter.v b/rtl/scanconverter.v index 2c2b5bf..00e04f1 100644 --- a/rtl/scanconverter.v +++ b/rtl/scanconverter.v @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2017 Markus Hiienkari +// Copyright (C) 2015-2018 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -103,6 +103,8 @@ module scanconverter ( output [1:0] pll_lock_lost, output reg [10:0] vmax, output reg [10:0] vmax_tvp, + output reg [19:0] pcnt_frame, + output ilace_flag, input lt_active, input [1:0] lt_mode ); @@ -126,8 +128,8 @@ reg VSYNC_1x, VSYNC_2x, VSYNC_3x, VSYNC_4x, VSYNC_5x; reg DE_1x, DE_2x, DE_3x, DE_4x, DE_5x, DE_3x_prev4x; //registers indicating line/frame change and field type -reg FID_cur, FID_prev, FID_1x; -reg frame_change, line_change; +reg FID_cur, FID_last, FID_prev, FID_1x; +reg frame_change, frame_change_longpulse, line_change; //H+V counters wire [11:0] linebuf_hoffset; //Offset for line (max. 2047 pixels), MSB indicates which line is read/written @@ -179,7 +181,7 @@ reg [4:0] V_SCANLINEID; reg [5:0] V_MASK; reg [2:0] V_MULTMODE; reg [1:0] H_MULTMODE; -reg [9:0] H_MASK; +reg [10:0] H_MASK; reg [9:0] H_OPT_STARTOFF; reg [2:0] H_OPT_SCALE; reg [2:0] H_OPT_SAMPLE_MULT; @@ -215,12 +217,14 @@ reg [10:0] LT_POS_BOTTOMRIGHT_V_START; reg VSYNC_in_cc_L, VSYNC_in_cc_LL, VSYNC_in_cc_LLL; reg [21:0] clk27_ctr; // min. 6.5Hz reg [2:0] dbl_frame_ctr; +reg frame_change_longpulse_cc_L, frame_change_longpulse_cc_LL, frame_change_longpulse_cc_LLL; +reg [19:0] pcnt_ctr; assign pclk_1x = PCLK_in; assign PCLK_out = pclk_act; assign pclk_lock = {pclk_2x_lock, pclk_3x_lock}; - +assign ilace_flag = (FID_cur != FID_last); //Scanline generation reg [8:0] Y_rb_tmp; @@ -740,11 +744,34 @@ begin end end -//Buffer the inputs using input pixel clock and generate 1x signals +//Calculate exact vertical frequency +always @(posedge clk27 or negedge reset_n) +begin + if (!reset_n) begin + frame_change_longpulse_cc_L <= 1'b0; + frame_change_longpulse_cc_LL <= 1'b0; + frame_change_longpulse_cc_LLL <= 1'b0; + pcnt_ctr <= 1; + pcnt_frame <= 1; + end else begin + if (frame_change_longpulse_cc_LL & !frame_change_longpulse_cc_LLL) begin + pcnt_ctr <= 1; + pcnt_frame <= pcnt_ctr; + end else if (pcnt_ctr < 20'hfffff) begin + pcnt_ctr <= pcnt_ctr + 1'b1; + end + + frame_change_longpulse_cc_L <= frame_change_longpulse; + frame_change_longpulse_cc_LL <= frame_change_longpulse_cc_L; + frame_change_longpulse_cc_LLL <= frame_change_longpulse_cc_LL; + end +end + wire [11:0] H_L5BORDER_1920_tmp = (11'd1920-h_info[10:0]); wire [11:0] H_L5BORDER_1600_tmp = (11'd1600-h_info[10:0]); +//Buffer the inputs using input pixel clock and generate 1x signals always @(posedge pclk_1x or negedge reset_n) begin if (!reset_n) begin @@ -757,8 +784,10 @@ begin vmax_tvp <= 0; line_idx <= 0; FID_cur <= 1'b0; + FID_last <= 1'b0; line_change <= 1'b0; frame_change <= 1'b0; + frame_change_longpulse <= 1'b0; fpga_vsyncgen[`VSYNCGEN_CHOPMID_BIT] <= 1'b0; H_MULTMODE <= 0; V_MULTMODE <= 0; @@ -776,6 +805,7 @@ begin if (`HSYNC_LEADING_EDGE) begin if (`VSYNC_LEADING_EDGE) begin // non-interlace frame or even field (interlace) start FID_cur <= 1'b0; + FID_last <= FID_cur; vcnt_1x <= 0; frame_change <= 1'b1; vmax <= vcnt_1x; @@ -788,17 +818,19 @@ begin end else if (`VSYNC_LEADING_EDGE) begin // odd field (interlace) start if (!`FALSE_FIELD) begin FID_cur <= 1'b1; + FID_last <= FID_cur; vcnt_1x <= 11'h7ff; // -1 for 11 bit word frame_change <= 1'b1; - vmax <= vcnt_1x; + //vmax <= vcnt_1x; end vcnt_tvp <= 0; vmax_tvp <= vcnt_tvp; end else if ((fpga_vsyncgen[`VSYNCGEN_GENMID_BIT]) && (vcnt_tvp == (vmax_tvp>>1)) && (hcnt_1x == (hmax[~line_idx]>>1))) begin //VSM=1 FID_cur <= 1'b1; + FID_last <= FID_cur; vcnt_1x <= 11'h7ff; // -1 for 11 bit word frame_change <= 1'b1; - vmax <= vcnt_1x; + //vmax <= vcnt_1x; end else frame_change <= 1'b0; @@ -825,7 +857,7 @@ begin V_AVIDSTART <= v_info[16:11] + v_info[19:17]; // Vertical sync+backporch length (0...127) V_ACTIVE <= v_info[10:0]; // Vertical active length (0...2047) - H_MASK <= h_info2[28:19]; + H_MASK <= h_info2[29:19]; V_MASK <= v_info[25:20]; V_SCANLINEMODE <= v_info[28:27]; @@ -856,6 +888,12 @@ begin CALC_CONSTS <= 1'b1; end + // generate long pulse for hz counter + if (frame_change) + frame_change_longpulse <= 1'b1; + else if (vcnt_1x > 0) + frame_change_longpulse <= 1'b0; + if (CALC_CONSTS) begin H_AVIDSTOP <= H_AVIDSTART+H_ACTIVE; V_AVIDSTOP <= V_AVIDSTART+V_ACTIVE; diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index a40852a..55e0266 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -1,1141 +1,1141 @@ :020000020000FC :2000000000402074084008140800683A0000000000000000000000000000000000000000FE -:2000080006C02074DEE80014068020B4D68138140080207410A3871400C0207418E49F1442 -:2000100010C00326100000151080010410FFFD3608163F4008164D40003FFF06DEFFFB0473 +:2000080006C02074DEE80014068020B4D68394140080207410A60D1400C0207418E72814CF +:2000100010C00326100000151080010410FFFD360816C2000816D000003FFF06DEFFFB04ED :2000180000BFE084D880000500800084D880004500800344D880008500800484DFC0041543 :20002000D88000C531803FCCD8C005173000022600BFE004000001060080100439C03FCC99 :20002800380E91FAD900018518C000CC39C0021410800214D80B883A01000044D8800105E7 :20003000D9C00145D8C001C5D8000205D8000245D8000285D80002C5D8000305D800034577 -:20003800D8000385D80003C5081042C0DFC00417DEC00504F800283ADEFFFC04DC00001505 -:20004000040020B484041804DC80021504801304DC400115900B883A2023883A000D883AB3 -:200048008009883ADFC003150816C40089403FCC8009883A000D883A0816CA400440004416 -:20005000900B883A8009883A880D883A0816C400880B883A8009883ADFC00317DC800217E1 -:20005800DC400117DC000017DEC004040816C781DEFFFC04DC000015040020B4840418040B -:20006000DC800215DC4001152025883A2823883A8009883A000D883A01401304DFC003159E -:200068000816C40091403FCC8009883A000D883A0816CA400180004489403FCC8009883A94 -:20007000DFC00317DC800217DC400117DC000017DEC004040816CA41DEFFFF0421003FCC40 -:20007800DFC0001508100F80DFC00017DEC00104F800283ADEFFFF0429403FCC21003FCCDA -:20008000DFC00015081017000005883ADFC00017DEC00104F800283ADEFFFB04DCC0031573 -:20008800DC800215DC400115DC000015DFC004152825883A3027883A2023883A2821883AD2 -:200090008485C83A14C0060E8140000389003FCC84000044081017008C400044003FF806C1 -:200098000005883ADFC00417DCC00317DC800217DC400117DC000017DEC00504F800283A74 -:2000A000DEFFFF040140FA04DFC000150815B2801009883ADFC00017DEC00104081650017B -:2000A800DEFFFF04000B883A010003C4DFC0001508101F40000B883A01001844DFC00017B8 -:2000B000DEC0010408101F41DEFFFD04DC000015040020B484239D0481400083010001449C -:2000B800DFC00215DC40011508101F40808000838100030300FFFEC41004D1FA20C6703A8F -:2000C000044001041085883A1085883A1884B03A01400F448809883A8080030508101F403D -:2000C80001000044081028008809883A0140074408101F4001400C040100184408101F4018 -:2000D00001402C840100024408101F4001403E040100028408101F4001400DC4010002C407 -:2000D80008101F40000B883A010003C408101F40000B883A0100324408101F40000B883AFD -:2000E0000100328408101F40000B883A010032C408101F40000B883A0100330408101F401B -:2000E800000B883A0100334408101F40000B883A0100338408101F40000B883A010033C47B -:2000F00008101F40000B883A0100340408101F408140010301003844DFC00217DC400117CE -:2000F800DC000017DEC0030408101F41DEFFFE04DC000015040001048009883ADFC00115FF -:2001000008101D80108002148009883A11403FCC08101F4001400C0401001844DFC0011701 -:20010800DC000017DEC0020408101F41DEFFFE04DFC00115DC00001521003FCC2000021ED7 -:20011000000B883A00003B062821883A28003D2601400044010003C408101F40814000C3E3 -:200118000100160408101F40814001030100164408101F40814001430100168408101F4087 -:2001200081400183010016C408101F40814001C30100170408101F408140020301001784AE -:2001280008101F4081400243010017C408101F40814002830100180408101F40814002C387 -:200130000100184408101F40814003030100188408101F4081400343010018C408101F40A5 -:20013800814003830100190408101F40814003C30100194408101F40808000C4000B883ADE -:200140008400040410C000031080004428CBC83A143FFC1E29401BC429403FCC01001744F2 -:2001480008101F40000B883A010003C408101F40014000C40100334408101F40008000445C -:20015000000001060005883ADFC00117DC000017DEC00204F800283ADEFFFD04DC0000154A -:20015800000B883A2021883A010003C4DFC00215DC40011508101F40044030448809883A85 -:2001600008101D8084003FCC800AC03A10803F8C8809883A114AB03A08101F40014000C448 -:2001680001003184DFC00217DC400117DC000017DEC0030408101F41DEFFF904DCC0031537 -:20017000DC800215DC4001152025883A2823883A010001040140074404C020B49CE39D046C -:20017800DD000415DC000015DFC006153821883ADD4005153029883A08101F4098C0030384 -:2001800081C0004C00BFFF441884703A39CF883A11CEB03A99C00305988003171080008C48 -:2001880010000226010000440810558001001C049C0000C308101D80108000CC8D4000CCC3 -:2001900000C00044100B883AA8C0052600C00084A8C0041E017FE004114AB03A000001065D -:20019800114010148080020C10000126294008148080004C10000126294004148080008C78 -:2001A00010000126294002148400010C800001262940011429403FCC01001C0408101F40C7 -:2001A800A800131EA50000CC00800044A080082600800084A0800E1E88803FCC1080201CAC -:2001B00010BFE004100050160029883A00004F0688803FCC1080201C10BFE0048D00100C8B -:2001B80010004A0E00BFE8041528B03A000047060029883A0021883A01001C8408101D807C -:2001C0001080070CA420B03A140AB03A29403FCC01001C8408101F40988003171080008CEC -:2001C800100002260140004400000106000B883A0100300408101F4001400544010001044A -:2001D00008101F40014004040100184408101F4094803FCC008000849080081E01402204BB -:2001D8000100188408101F4001400404010018C408101F4001402104000007060140060498 -:2001E0000100188408101F4001400404010018C408101F40014003040100190408101F4071 -:2001E80001000044081028000100010408101D801140074C0100010408101F400100190478 -:2001F000081028000100010408101D801140054C0100010408101F4004001904010003842C -:2001F80008101D801080040C1000321E010000440810280080BFFFC41021883A10BFFFCC1E -:20020000103FF61E00002B06053FE8048C400C0C008008048880081E018000C4D16000C442 -:2002080001001CC4081021800140207401800484295F48840000090600800C0488800B1E3A -:20021000018000C4D16000C401001CC4081021800140207401800484295F3F8401001D842F -:200218000810218004000084003FA306008004048880081E018000C4D160000401001CC48C -:20022000081021800140207401800484295F3B04003FF206018000C4D160000401001CC4CE -:20022800081021800140207401800484295F4404003FEA06000B883A0100030408101F40D4 -:20023000014010040100034408101F40014000440100038408101F40000B883A01000384C1 -:2002380008101F4008102A0000800044DFC00617DD400517DD000417DCC00317DC80021711 -:20024000DC400117DC000017DEC00704F800283ADEFFFD04DC400115DC0000152823883A66 -:200248002021883A000B883A010003C4DFC0021508101F400100164408101D808C403FCCEA -:2002500010800BCC8800051E81403FCC280A91BA29400414114AB03A00000106100B883A89 -:2002580029403FCC01001644DFC00217DC400117DC000017DEC0030408101F41008020B467 -:2002600010A1010410C03217D0A250151800052610C03517008040041880021E0005883A36 -:20026800F800283A00BFCE04F800283ADEFFFD04DC400115280F883A2823883A200B883A2E -:20027000D1225017DC000015DFC002153021883A081699C010000B1E800D883A8463883AB2 -:2002780034400626308000031005C2721005D63A3180004430BFFFC5003FF9060005883AF8 -:200280000000010600BFCDC4DFC00217DC400117DC000017DEC00304F800283ADEFFFB044D -:20028800DC800315DC400215DC000115DFC0041530803FCC2023883A2825883A3021883A23 -:20029000100003268805883A8C89883A00000606300A923AD122501708166900103FF9268E -:2002980000BFCD84000010061100062610C000031807C2721807D63A1080004410FFFFC5E7 -:2002A000003FF906800AD23A800C923AD1225017280A943ADC800015880F883A081672401E -:2002A8001000012600BFCD44DFC00417DC800317DC400217DC000117DEC00504F800283AD5 -:2002B000DEFFF904DD400515DD000415DCC00315DC800215DC000015DFC00615DC40011523 -:2002B8002825883A3029883A3827883A0021883A0005883A0540400484800E2E9423C83AAF -:2002C000AC40012E04404004980D883A880B883A8009883A08109AC010000A1E800D003A03 -:2002C800880B883A9809883A0816B58084004004003FF1061500021E0005883A000001060A -:2002D00000BFCD04DFC00617DD400517DD000417DCC00317DC800217DC400117DC0000173F -:2002D800DEC00704F800283ADEFFFD04DC0001152021883A010020B42123A104DFC00215BC -:2002E0000815518010803FCC1000091E00808004010020B4D8800015000F883A000D883A58 -:2002E800800B883A2123A1040815734010803FCCDFC00217DC000117DEC00304F800283AAA -:2002F000014020B4010020B42963AF042123A4040813F501014020B4010020B42963C50489 -:2002F8002123A8440813F501DEFFFC04DC0001152021883A01000044DFC00315DC400215A4 -:200300000810558008103EC0000B883A0009883A081042C084003FCC800F003A000D883A01 -:20030800000B883A0100004408105B8080000D1E040020B48423B404044020B4808003C310 -:200310008C63C94489C00583D8800015000D883A000B883A0009883A081005C088800583BF -:2003180080800B450009883ADFC00317DC400217DC000117DEC0040408105581DEFFFD0456 -:20032000DFC00215DC400115DC00001521003FCC2000271ED0A2530300C0020410C01D26B2 -:2003280000C0040410C0062600C0010410C01C1E0009883A081486400100004400002E06FC -:20033000040020B48423B4048140011701006734213F30040815A6C0810002870140068415 -:200338001023883A0815B28000C020B418E3E58410C5883A1140000B8809883A0815B280D4 -:2003400000C072B418F0DFC418800236010003C4000001060009883A0814864000001506A5 -:200348000009883A081486400009883A00001206008020B410A3C94410C00803044000844E -:200350001021883A1C4002260009883A00000106010003C4081486408100080320803FCC63 -:200358008880042E008001441109C83A21003FCC00000106010000C4DFC00217DC40011786 -:20036000DC000017DEC0030408142401DEFFF50400C020B4DFC00A15DF000915DDC00815C5 -:20036800DD800715DD400615DD000515DCC00415DC800315DC400215DC0001150089C4042E -:2003700018C4340419800037054020B4AD4434043000020E10BFFFC4103FFA1E29403FCC9A -:2003780021003FCC040020B40814D3408423B404102D883A808002C310000426AC400037B2 -:200380008823D43A8C4000CC000001060023883A01000DC40814328001000E041027883A74 -:20038800081432801025883A108003CC1004923A01000E4414E6B03A9004D1BA1080004C1F -:20039000808002450814328001000E841029883A08143280A8C00037010000848C403FCCE1 -:2003980089000E26010020B42123B404210002C32000021E010063C424C0082E010000440A -:2003A0008900041E1827D4BA9CC1FFCC9927883A000005069480080C9000032698C032287D -:2003A800054000440000020698C06428002B883A814002C3048020B4B1003FCC94A3C944F5 -:2003B0002800131E2000212618002026D0E251030140008419003FCC2100201C213FE0047F -:2003B8002900070E00C020B40100004418E3B404D0225105190002C50440010400001606CE -:2003C00018C00044D0E251050023883A000012062000012618000D1ED1225103017FFF04A8 -:2003C80021803FCC3180201C31BFE0043140040ED0225105800002C5044001040000050642 -:2003D000213FFFC4D122510500000106D02251050023883A18008726108003CC1004923A69 -:2003D80080C000171528B03A19000044008020B410A3B40424C007369900004420C0053652 -:2003E00010C00117A0C0031E10C00203A8803FCC18800226D02256C500000C06D0E256C3E2 -:2003E8000080004419003FCC208008261887883AD0E256C518C03FCC1880041E88803FCC61 -:2003F00000C0008410C0012E1823883A91400303808008C3010020B400C020B42123C94451 -:2003F80018E3B4042880281E21400343188009032880251E21400383188009432880221E5B -:20040000214003C31880098328801F1E21400403188009C328801C1E214001C318800783B7 -:200408002880191E21400203188007C32880161E21400243188008032880131E2140028349 -:20041000188008432880101E210002C31880088320800D1E9140064381000C0300C020B401 -:20041800008020B418E3C94410A3B4042900061E1940068311000C432900031E18C0090340 -:2004200010800EC31880042688803FCC00C0008410C0012E1823883A90C005C380800B83A0 -:2004280018800F26008020B410A3B40411000287014006840815B28000C020B418E3E604FB -:2004300010C5883A10C0000B008083441880041E88803FCC00C0008410C0012E1823883AE6 -:20043800D0A257C31000202685C0028701400684058020B4B809883A0815B280B5A3E204C0 -:2004400087000403B085883A1140038BE009883A0815B280173FFFCC80800C03A0FFFFCCA4 -:2004480010000A2601400684B809883AD8C000150815B280B085883A1180060BD8C00017C2 -:20045000300CD07A3180004C00000106000D883A180B883AE009883A0814598088803FCC9B -:200458001000011E0440004484C00015850001158540020591400003810005C300C020B45C -:20046000008020B418E3C94410A3B40429001E1E194000431100060329001B1E194000835C -:20046800110006432900181E194000C3110006832900151E19400103110006C32900121E19 -:20047000194001431100070329000F1E1940048311000A4329000C1E194004C311000A830F -:200478002900091E1940050311000AC32900061E18C0044310800A031880031E90C0094377 -:2004800080800F031880032688803FCC1000011E044000449100060380800BC304C020B4BF -:200488009CE3B4042080062699400403081490009880044588803FCC1000011E044000449A -:200490009100070380800CC3208001260814A1009100074380800D0320800126081451C07F -:200498009100078380800D4320800126081452809100084380800E032080071E008020B41E -:2004A00010A3C94410C00883008020B410A3B40410800E43188002269140088308144E807B -:2004A800910006C380800C8320800626014006040815B28001002074211E68042089883A3A -:2004B000081474C09100080380800DC3208001260810C7C0910007C380800D832080012658 -:2004B80008148AC0014020B4010020B4018001842963C3442123D2C40815B5001000031E5E -:2004C000010020B42123C34408145340014020B4010020B401800B042963C9442123B9C479 -:2004C8000815B8008805883AD02257C5DFC00A17DF000917DDC00817DD800717DD400617B2 -:2004D000DD000517DCC00417DC800317DC400217DC000117DEC00B04F800283ADEFFF004E5 -:2004D800DC000615040020B48423B4048240028701400684DF000E154809883ADD400B156E -:2004E000DD000A15DCC00915DA400215DFC00F15DDC00D15DD800C15DC800815DC40071564 -:2004E8000815B280054020B480C005C3AD63E204A885883A010000848700040312C00503B2 -:2004F0001500030B14C004C3DA4002171900031E848006039480004400000E060100004403 -:2004F80019000B1E10C0060B1906703A810003031800032620000626048000C40000050691 -:2005000020000326148005C394800118000001060025883A80C0050B008020B40102000470 -:2005080010A3B40419001B2620C00D360100080419001A2620C00336008004041880152611 -:200510000000310601004004190019260100800419002D1E10800A0304400104000016060C -:20051800010800041900162620C00536010400041900251E10800A030440014400000E06A7 -:200520000110000419001326012000141900162600001D060440010400001E0614400A03D9 -:20052800008000C41463C83A8C63883A00001906044000C40000170688A3C83A00001506B9 -:20053000108008831000101EA53FFA049CC0030400000D061080088310000D1EA53FFA04C2 -:200538009CC0030400000A0610C00A03108008830440018488E3C83A1000061EA53FFA04EC -:200540009CC0030400000306E023883A0000010604400144D0E25303008002041880011E95 -:200548005AD7883A89803FCCE5C03FCCB985C83A4809883A01400684D9800515DA4002151A -:20055000DAC00115D88000150815B280A885883A1280028BD9000017500B883ADA80031592 -:200558000815B280102CD7FA81000A4389403FCCB085883A102DD07A0815B280DA40021785 -:20056000DAC00117B08F883A4809883A01400684D9C004155C7FFFCC0815B280A885883A54 -:2005680012C00483D94000178AC9883ADAC001150815B280B085883AB80B883A113FFFCC3F -:2005700008159740E1003FCC100B883A0815B28080C00883DAC00117B809883A1A400058AD -:200578004812977A580B883AD8800115DA4002150815B280DA80031787000343B809883A21 -:20058000500B883A10807FCCE03897BA102C92FA0815B2801081FFCC880B883AB809883AAF -:200588001738B03A0815B28080C00483DA400217B72CB03A10C5C83A10803FCC1004953AAF -:20059000B086B03A008020B41A46B03A1084300410C0003580800443D9800517D9C0041750 -:200598001006937A80800403300C943A380E94FA100492BADA0001171884B03A118CB03ADC -:2005A00031CCB03A423FFFCC008020B4320CB03A10842C041180003580C0030380800703B2 -:2005A800810002871806977A100496BA014006841886B03A80800A831004953A18A2B03A2F -:2005B0000815B280A885883A108005431004947A8886B03A900496FA18A4B03AA0BFFFCC07 -:2005B80090A4B03A98BFFFCC100492FA90A4B03A008020B4108428041480003580800F0336 -:2005C000100693BA80800AC3100492BA1884B03A80C006C310C4B03A80C006831806927A4B -:2005C80010C6B03A808006431004913A1884B03A00C020B418C4240418800035DFC00F177B -:2005D000DF000E17DDC00D17DD800C17DD400B17DD000A17DCC00917DC800817DC40071718 -:2005D800DC000617DEC01004F800283ADEFFF304DC000415040020B48423B404DC400515C8 -:2005E0008440011700800044DFC00C15DDC00B15DD800A15DD400915DD000815DCC0071575 -:2005E800DC800615D0A256C58800162684C000179800142601006734880B883A213F300479 -:2005F0000815A6C01025883A8080020301283BF4980B883A212EC004100004260815A6C0DF -:2005F800880B883A1009883A000003060815A6C0880B883A1089883A0815A6C01023883AFF -:20060000000002060445DC04048F550401000EC40814328001000F041027883A0814328041 -:200608008080058300C0207418DBDE041085883A1085883A1885883A11C000178080020327 -:2006100080C000171000021E00801A440000010600801C0401802074010020B4D8C0001527 -:20061800319B9604014004442123A844D88001150815BA80014019048809883A0815A6C0AA -:200620000140FA049009883A1029883A0815A6C0014019048809883A102B883A0815AD002A -:200628000140FA049009883AD8800215DD0001150815AD00014002841009883A0815A6C0C7 -:2006300001802074010020B4D8800015A80F883A319B9804014004442123C5040815BA8085 -:20063800D0A25D831000011E0810BD4081000017D1E2578381400203A00D883A044020B49A -:200640000814DA4011003FCC2100201C213FE00400FFFFC48C63B40420C0011E00800104BA -:20064800888002858480028301400684054020B4D4A2520594803FCC9480201C94BFE00423 -:200650009009883A0815B280AD63E204A885883AD0E25783144005838580040385000117EA -:2006580088E2703AD462530515C0038BB1403FCC9CC03FCCB93FFFCC0815B2809809883AA5 -:20066000100B883A0815B280A00B883A1009883A0815A6C0B1003FCCB80B883A1027883A49 -:200668000815B28015BFFFCC80800C038C403FCCA53FFFCC10000826014006849009883A8B -:200670000815B280A885883A11C0060B380ED07A39C0004C00000106000F883A80800D434D -:200678009CC03FCCA00D883AD880031580800E43B00B883A8809883AD880021580800E037B -:20068000DCC00015D88001150814AD8081000DC30810C7C081000BC381400403081490003F -:2006880080800445081135C080800B03810003831000051E008020B410A3B404114003C3DD -:20069000290B003A00000106000B883A0810910080C00E83008020B410A3B40481000B0340 -:2006980018000B26DFC00C17DDC00B17DD800A17DD400917DD000817DCC00717DC8006178E -:2006A000DC400517DC000417DEC00D040810BE812000061E11C00B43108003C3000D883A7D -:2006A800000B883AD8800015081005C0DFC00C17DDC00B17DD800A17DD400917DD000817E3 -:2006B000DCC00717DC800617DC400517DC000417DEC00D04F800283AD0A25643DEFFFE04D5 -:2006B800DFC00115DC00001510000426008020B410A3B40410800583000001060005883A9D -:2006C000D122560300C020B418E3AC841885883A1100000521003FCC0813BE001021883AA2 -:2006C8001000021E010003C40813A1808005883ADFC00117DC000017DEC00204F800283AEF -:2006D000D0A25643DEFFFE04DFC00115DC00001510000426008020B410A3B4041080058369 -:2006D800000001060005883AD122560300C020B418E3AC841885883A1100000521003FCC88 -:2006E0000813A1801021883A1000021E010003C40813A1808005883ADFC00117DC000017A6 -:2006E800DEC00204F800283ADEFFFD04DC000015D4225203DC40011501400684D42256850C -:2006F00084003FCC8009883A044020B4DFC002158C63E2040815B2808885883A10C0038BF1 -:2006F800014006848009883AD0E2558D10C00503D0E2588D10C00483D0E2518D10C0028B85 -:20070000D0E2550D10C00543D0E2538D108004C3D0A2570D0815B2808885883A1080030B32 -:20070800D0A2548DDFC00217DC400117DC000017DEC00304F800283ADEFFFA04008020B471 -:20071000DD000415DCC00315DC800215DC400115DC000015DFC0051510A3B40410C002C3D0 -:20071800040020B42029883AD46256838423E204D4E2518BD4A2570B180021261080028760 -:2007200014401F1E8809883A014006840815B2808085883A1100038BD0E2558B20C0161EAF -:2007280011000503D0E2588B20C0131E1100048398C03FCC20C0101E1100028BD0E2550B39 -:2007300020C00D1E11000543D0E2538B20C00A1E10C004C390803FCC1880071E0140068473 -:200738008809883A0815B2808085883A10C0030BD0A2548B1880022600800044D0A257C5F7 -:200740008809883A014006840815B280D0E2558B8085883A8809883A10C0038DD0E2588B8B -:2007480014C004850140068410C00505D0E2550B10C0028DD0E2538B148004C510C0054517 -:200750000815B280D0E2548B01802074010020B48085883AA1FFFFCC319CCB040140044468 -:200758002123AF0410C0030DDFC00517DD000417DCC00317DC800217DC400117DC000017A5 -:20076000DEC006040815BA81DEFFFE04DC000015040020B4DFC0011584043C04008004448C -:200768008080003580000035008020B41084300410000035008020B41084280410000035CD -:200770000109C4040816500000803444D0A2540D0080344480800035010000F421035004C4 -:2007780008165000018001B401406734010020B43186A004297F3004210418040816BCC0FA -:200780000813E68008141C80100022260009883A0814328000C03FC410C0202608149800A2 -:200788000100008408101D8010803FCC00C004C410C01C1E08102C80081097C01021883AC4 -:2007900010001A1E081260C001402074010020B401800D84295C4B842123D4840815B80046 -:20079800010003C40813BE00D12256030813BE00008020B41084380410800037108000AC54 -:2007A0001000011E081267C00009883A0810BE8000000706043FFF8400000506043FFF4444 -:2007A80000000306043FFF0400000106043FFFC48005883ADFC00117DC000017DEC0020440 -:2007B000F800283AD0A2524300E00004DEFFF1041080004410803FCC1008933AD0A2540BED -:2007B800DC000515040020B410C4B03A2084B03AD0A2540DDFC00E15DF000D15DDC00C15B3 -:2007C000DD800B15DD400A15DD000915DCC00815DC800715DC400615D900030D10BFFFCC7A -:2007C80084043C048080003501802074010020B4319B9E04014004442123AF040815BA80E5 -:2007D0000810BC00054020B404C020B4058020B405C020B400800044AD4438049CE3D484CA -:2007D800B5A3AF04BDC42004AC4000378C7FFFCC1000611E8800602698C0058BD0A2540B02 -:2007E00088C0551E00F3FFC41884703AD0A2540D10BFFFCC80800035B00000050810BC0017 -:2007E800010001B42106A00408165000D0A2540BD8C0030B1090001410C4B03AD0A2540D46 -:2007F00010BFFFCC808000350100FA040815910007000044081594C01700021EBC80003707 -:2007F800903FFC0E081596C090BFFFC410BFFFCC00FFFF541880072E01802074010020B4E0 -:20080000319BA104014004442123AF040815BA8000002F069038D43A953FFFCC0083FFC4A0 -:20080800E703FFCC01401904A009883AE0800F1E0815A6C0A009883A01401904173FFFCC58 -:200810000815AD0010BFFFCC01802074010020B4D8800015E00F883A319BA3040140044460 -:200818002123AF040815BA80000019060815A6C011FFFFCC01401904E009883AD9C004153A -:200820000815AD0010BFFFCC01401904E009883AD88002150815A6C010BFFFCC0140190461 -:20082800A009883AD88001150815AD00D9C0041710BFFFCC01802074010020B4D880001568 -:20083000319BA604014004442123AF040815BA800810BC000000020698C0060B88C00A269E -:20083800D0E2540B00AFFFC41884703AD0A2540D10BFFFCC808000350109C40408165000F5 -:200840008805883A003F98061083FFCCD0A2540D808000350005883ADFC00E17DF000D1778 -:20084800DDC00C17DD800B17DD400A17DD000917DCC00817DC800717DC400617DC000517B0 -:20085000DEC00F04F800283ADEFFFF04DFC00015081176C0081449C0008020B410A3C94461 -:2008580011000543DFC00017DEC001040810BE81DEFFF604DFC00915DDC00815DD800715B0 -:20086000DD400615DD000515DCC00415DC800315DC400215DC0001150811D88010001F1645 -:200868000080144401802074010020B4000F883A319BAB04014004442123A844D88000153C -:200870000815BA8001402074010020B401800444295BB7042123C5040815B8000810BD4068 -:200878000100023421284804058020B404C020B405402074050020B4081650000023883A9E -:200880000021883A0025883AB58438049CE3B404AD5BDE04A523C94400003A0601802074CE -:20088800010020B4100F883A319BB004014004442123A8440815BA8001402074010020B460 -:2008900001800444295CDA042123C5040815CC000810BD40003FFF0610FFFF8418C03FCC58 -:20089800010000C420C0302ED0E25A0310C02E2608128240D0A25D83100002260009883AD9 -:2008A00008135580D0A252C39900058305C020B410C03FCCBDE3B40420C0251EA0C0054309 -:2008A800B8800B0318800B26000F883A000D883A000B883A0009883AD8000015081005C01F -:2008B000A10005430810BE80A08005439800011598800B05008020B410A3C94410800543BF -:2008B80010008B26B88005831000951ED0A25C1701004B04D0A25915D0A25D03D0A25A0524 -:2008C00008165000B080003710FFFFCCD0E25B150086303A1004D63A18C000ECD0E25C154C -:2008C800D0A25D05103FCC1ED0225B15003FD00610BFFFC410803FCC010002042080393649 -:2008D000100490BA010020742108D6041105883A108000171000683A0081237C0081238C91 -:2008D800008123A4008123B4008123C4008123D8008123E8008123F800812410008003C458 -:2008E000D0A257850023883A00000306008003C4D0A2578504400084040000440025883A30 -:2008E80000002006008003C4D0A25785044000C4003FF906008003C4D0A25785044000C452 -:2008F00000000306008003C4D0A25785044000840021883A003FF10600800404D0A2578593 -:2008F8000440004400000D06008003C4D0A257850023883A0000090604400084008003C4AD -:20090000D0A257858821883A8825883A00000506008003C4D0A25785044000C4040000847F -:200908008025883AD0A2564310000926008020B410A3AC8410C7883A18800003D0E25603A8 -:2009100011003FCC20C00226D0A256050813BE00D0A252C3B9400DC3B80002C5B88005856C -:2009180000C0004428803FCC81003FCC1880042E00800144114BC83A29403FCC0000010614 -:20092000014000C408142AC00814410089403FCC91003FCC0814BFC0B8800583010020B4AF -:20092800018004441085883A1085883AA885883A114000172123A844B80001150815CC00FA -:2009300001402074010020B401800444295BB4042123C5040815CC00D0A25D831000011E81 -:200938000810BD40D0E252830080028418BF6B1ED0A25B171000031ED0A25C171080006CA7 -:20094000103F6626010003C40813A180003F6306A1C00583B8800B4338BF7226988003C334 -:20094800000D883A000B883AD88000150009883A081005C0A080058398800B45003F690620 -:2009500089403FCC91003FCC0810D8C010803FCC00C0008410C0252600C0010410C00326AF -:2009580000C0004410FF611E00002406988002C310000926D0A2540B00C020B418C43C0426 -:2009600010800094D0A2540D10BFFFCC1880003508121480003F55069800011508144100C6 -:2009680098800583010020B4018004441085883A1085883AA885883A114000172123A844FC -:200970000815CC0001402074010020B401800444295BB4042123C5040815CC00D0A25D8387 -:20097800103F421E0810BD40003F4006988002C3103F3E26081176C0003F3C06988002C3D9 -:20098000103F3A26081135C0003F380600C020B418E3C94401402074DEFFFF041809883AE9 -:2009880001800B04295BE904DFC000150815B8001007883A008020B4108438041080003701 -:20099000014020741004D4BA010020B40180BC841080004C295E74042123E2041880054553 -:200998000815B80000800044D0A257C50005883ADFC00017DEC00104F800283ADEFFF804C5 -:2009A000DD000415DCC00315DC80021505002074048020B404C020B4DD800615DC00001528 -:2009A800DFC00715DD400515DC400115002D883A0021883AA51C5904948438049CE3D484F0 -:2009B00001402074010020B401800444295BF4042123A4040815CC008405883A1085883AC1 -:2009B800A085883A11400017010020B4018004442123AF040815CC000810BC000023883A99 -:2009C000054000849080003710BFFFCCD0A25B1590C0003700C6303A18C000ECD0E25C15ED -:2009C80010001A26B08019268407883A98C7883A88000A1E01402074010020B401800444BF -:2009D000295BF6042123A4041880000D0815CC000810BC000440004400000C0618C0000BBE -:2009D80010C0092601402074010020B401800444295BF8042123A4040815CC000810BC0064 -:2009E0000023883A0000010604400084D0A2591710000F1ED0E25C170080007418800C1E49 -:2009E8008000091E01402074010020B401800D84295C4B842123D4840815B80004400084FF -:2009F000040006C400000206843FFF8404400084D0A25C17D5A25B17D0A259158D4003265F -:2009F8000109C40408165000003FC6068400004400800684143FB20E010003C4DFC007172A -:200A0000DD800617DD400517DD000417DCC00317DC800217DC400117DC000017DEC008042F -:200A08000813A181DEFFEF04D88009C4D8800115008020B410A3CC4410C00044D8C0031553 -:200A10000140207410C00084D8800215D8C00415018001C410C000C4295C49C4108001047C -:200A1800D9000804DFC01015DD400F15DD000E15DCC00D15DC800C15DC400B15DC000A1562 -:200A2000D80009C5D8C00515D8C00615D88007150815B800D1225B17014020B40005883A21 -:200A28002963D484018006841087883A1947883A18C0000B044020B48C63D48419000326C0 -:200A30001181122610800044003FF70600C0068418810E36100490BA00C0207418CA3B04D2 -:200A380010C5883A108000171000683A008129580081297400812984008129600081297C30 -:200A40000081298C0081296C00812D0C008129940081299C008129A400812D0C00812D0C4A -:200A480000812D0C00812D0C00812D0C00812D0C008129C800812A4400812A6400812A90FB -:200A500000812ADC00812ABC00812B0800812CA000812CC800812C18008000440000010692 -:200A580000800084D0A252C50000E806008000C4003FFC0600800104003FFA0600800144F5 -:200A6000003FF80600800184003FF606008001C4003FF40600800204003FF20600800244F8 -:200A6800003FF006D0A25D831007003AD0E25D851000031E01000044081355800000D306C3 -:200A70000810BD400000D106008020B410A3B40411000287014006840815B28001C020B472 -:200A780039E3E20401802074010020B4388F883A319BFC04014004442123A4040815BA8051 -:200A8000008020B41084340411C0003710800037018020741005D43A39C1FFCC010020B495 -:200A8800108000CC39C00044319BFF04014004442123AF04D88000150815BA800810BC00CE -:200A90000000B206D0A2540B00C020B418C43C041080041CD0A2540D10BFFFCC1880003523 -:200A98000000AA0600C020B418E3C944188000030140004411003FCC290002361145883A3D -:200AA000000001060005883A1880000500009F0600C020B418E3C94418800043014000442A -:200AA80011003FCC290002361145883A000001060005883A1880004500009406008020B400 -:200AB00010A3C94410C0010319003FCC2000012618FFFFC410C0010500008C0600C020B451 -:200AB80018E3C944188001030140038411003FCC290002361080004400000106008003C413 -:200AC000188001050000810601402074010020B401800444295C03042123A4040815CC001D -:200AC80001402074010020B401800444295C07042123AF040815CC00048020B4050020B4FA -:200AD000054020B40810BC009484380404C006C4A523E204AD63B404908000370021883A97 -:200AD80010BFFFCC8407883A1C47883A18C0000B10C002268400004484FFFA1EA900028782 -:200AE000014006840815B280A085883A108005C300C0014418803F3600C001041C0019167B -:200AE800D90008042087883A18C000031C07D83A18C0004C180007261085883A1085883A79 -:200AF000D8C001041885883A10800017140000050000300601802074010020B481C0004485 -:200AF800319C0A04014004442123AF040815BA800810BC000100023421284804081650001E -:200B00000000240600800304808022260109C40408165000003FD00601402074010020B4DD -:200B080001800444295C0E042123A4040815CC0001402074010020B401800444295C12048A -:200B10002123AF040815CC00040020B40810BC0084043804048006C404C002440500030411 -:200B1800808000370009883A10BFFFCC2107883A1C47883A18C0000B10C01D1E99001F1656 -:200B2000014002842100004408159F80D0A256050811AD800810BD40D0225D8500001B0630 -:200B280000C020B418E3C944188006030140078411003FCC290002361080004400000A0643 -:200B30000005883A0000080600C020B418E3C9441880060311003FCC2000022610BFFFC49D -:200B380000000106008007C418800605000007062100004424BFDD1E00000106253FE526E2 -:200B40000109C40408165000003FD506D0A259171000191ED0E25C171880006C10000A26A9 -:200B4800008020B410A3B404108005830100024411403FCC290002261080004400000106E7 -:200B500000800044D0A252C518C000AC18000A2600C020B418E3C9441880000301400044B0 -:200B580011003FCC290002361145883A000001060005883A18800005DFC01017DD400F1774 -:200B6000DD000E17DCC00D17DC800C17DC400B17DC000A17DEC01104F800283ADEFF6B04A0 -:200B6800D9000304DC008C15DFC09415DDC09315DD809215DD409115DD009015DCC08F15FA -:200B7000DC808E15DC408D150810B6801021883A08158B808000641E01800104D940030497 -:200B7800D90083040815CC000140207401800104295CA104D90083040815C60010002D1EF1 -:200B8000D8800403DCC08484D9400484D8808405D8800443018002049809883AD880844526 -:200B88000815CC00D880068BD8008645D8808B0DD880070BD8808B8DD9408B17280BC2322C -:200B9000D880078BD9408715D8808B0DD880080BD8808B8DD8808B171005C232D8808815E3 -:200B9800D880088BD8808B0DD880090BD8808B8DD8808B171005C232D8808915D88082179C -:200BA000D8808B151005C232D8808A1500C0788428BFF9841880093601800044D9000304A1 -:200BA8000816B580D8C08A1710C006260400198400002D060400190400002B06040019441E -:200BB0000000290601802074010020B4319CA304014004442123A4040815BA80D9C0881794 -:200BB80001802074010020B4319CA704014004442123AF040815BA800810BC00DD00881794 -:200BC000DD8089170023883A0005883A05C080048D00132EA465C83ABC80012E04808004D7 -:200BC8008D408004A80CD27A010020B4DC800015000F883AD94003042123A10408157340CC -:200BD00014003FCC8000081E880D003A900B883AD90003040816B580A823883A003FEC0623 -:200BD800B080761E00000206048000C400004906D8808487D9C08403D8C084431000C826BA -:200BE00000802074109C3D0401802074010020B4D8C00015319CAA04014004442123A4046D -:200BE800DCC00215D88001150815BA8001402074010020B401800444295CC0042123AF04C2 -:200BF0000815B800044020B4040020B40810BC008C4438048423D4848880003780C0000BB7 -:200BF80010BFFFCC10C0B11E08144100D0A2540B00C020B418C43C0410800094D0A2540DCF -:200C000010BFFFCC188000350109C4040816500001402074010020B401800444295CAD0484 -:200C08002123A4040815CC00048000C401402074010020B401800444295CB0042123AF040C -:200C10000815CC000810BC000027883A0580800405004004DC4088179C406E2E8CE3C83A28 -:200C1800B440012E044080049D408004A80DD27A010020B4DC400015000F883AD940030478 -:200C20002123A1040815734010803FCC10004E2600A1C83A08158B800080004480803626F1 -:200C280014000F1600BFCD4480802D261400051600BFCD0480802D1E01402074295C9A044E -:200C300000002F0600BFCD848080222600BFCDC48080261E01402074295C8E040000280669 -:200C38000080198480801226140007160080190480800C260080194480801C1E014020745B -:200C4000295C7C0400001E06008019C480800D2600801A048080151E01402074295C890423 -:200C48000000170601402074295C78040000140601402074295C80040000110608158B8062 -:200C5000048000C401402074040019C4295C840400000B0601402074295C9204000008066A -:200C580001402074295C96040000050601402074295C9F040000020601402074295C7404A6 -:200C6000010020B42123AF04018004440815CC000810BC00010003F42110900408165000F7 -:200C680080004A0E9000492601802074010020B4319CBC04014004442123A4040815BA8052 -:200C700094BFFFC4003F99069827D23A880B883AA440012E01404004980D883AD900030471 -:200C78000810A1C01021883A103FAA1EA4400236A827883A003F970699800044897FC00427 -:200C8000D90043040810A1C01021883A103FF826003FA00601402074010020B40180044403 -:200C8800295CB4042123A4040815CC0001402074010020B401800444295CB0042123AF0497 -:200C90000815CC000810BC00D9808917D9408817D9C003040009883A0810AC001021883AB4 -:200C9800103F8C1E08158B8001402074010020B401800444295CC5042123A4040815B80099 -:200CA00001402074010020B401800444295CB8042123AF040815CC000810BC00003FFF0688 -:200CA80000802074109CDA04003F370680C0008B10C003260109C40408165000003F460683 -:200CB000048000C404001A04003F720600BFFFC4DFC09417DDC09317DD809217DD40911725 -:200CB800DD009017DCC08F17DC808E17DC408D17DC008C17DEC09504F800283A21C03FCC99 -:200CC00001802074010020B4319CCA04014004442123AF040815BA81DEFFFF040141194438 -:200CC80021003FCCDFC000150815B280014019041009883A0815974001802074010020B4C6 -:200CD000100F883A319CCC04014004442123AF04DFC00017DEC001040815BA8121C03FCC69 -:200CD80001802074010020B4319CCE04014004442123AF040815BA81014003F4DEFFFC0486 -:200CE0002950900421003FCCDFC00315DC400215DC0001150815B280014018F4294BA80423 -:200CE8001009883A1021883A0815A6C08009883A014659041023883A0815A6C00140FA04F5 -:200CF0001009883A0815AD00014002841009883A0815A6C001802074010020B4D8800015C3 -:200CF800880F883A319CD104014004442123AF040815BA80DFC00317DC400217DC00011728 -:200D0000DEC00404F800283ADEFFFF04014119C421003FCCDFC000150815B2800140190447 -:200D08001009883A0815974001802074010020B4100F883A319CD404014004442123AF040C -:200D1000DFC00017DEC001040815BA8121C03FCC01802074010020B4319CD604014004440C -:200D18002123AF040815BA81DEFFFF0401409C4421003FCCDFC000150815B28001401904DE -:200D20001009883A0815974001802074010020B4100F883A319CD904014004442123AF04EF -:200D2800DFC00017DEC001040815BA8121003FCCDEFFFF0401409C4421000044DFC00015B4 -:200D30000815B280014019041009883A0815974001802074010020B4100F883A319CD904B2 -:200D3800014004442123AF04DFC00017DEC001040815BA81DEFFFF040140068421003FCC93 -:200D4000DFC000150815B280014020B42963E204010020B401800444288B883A2123AF04FF -:200D4800DFC00017DEC001040815CC0121003FCC2109883A00802074109E62042109883A1C -:200D50001109883A21400017010020B4018004442123AF040815CC01D0A25B17DEFFF704F4 -:200D580001C020B4DFC00815DDC00715DD800615DD400515DD000415DCC00315DC800215A5 -:200D6000DC400115DC00001500C002C439E3D4840180044418CB883A29CB883A2940000BBE -:200D68002880041E21003FCC1827883A2000051E0000030618C0004419BFF61E0001080611 -:200D700010010A26D5225D4304002074841F4D04A5C03FCCB82290FA014005048445883A56 -:200D7800148001031580001795403FCCA809883A0815B280B0C00117993FFD0421003FCCE8 -:200D80001885883A00C00104180B883A19002836200890BA00C0207418CD8A0420C9883AEF -:200D880020C000171800683A008136700081363C008136540081375400813754A800011E96 -:200D9000B480000394BFFFC48461883A8480010500008A06B14000038461883AA90000442D -:200D98008400010408159F808080000500008306B8000326A53FFFC4D5225D4500007F0642 -:200DA000D0225D85DFC00817DDC00717DD800617DD400517DD000417DCC00317DC80021710 -:200DA800DC400117DC000017DEC009040810BD4111000103014000C42140062620C06F1E2F -:200DB0001080021704000044103EE83A1023883A00006C061080041710000126103EE83A09 -:200DB800D4E25D43014005049C403FCC8C800044882290FA902490FA8445883A15400017DB -:200DC0001100010384AD883AAD0001170815B280B0C00017A085883A108002171880012621 -:200DC800B000010584A5883A8461883A81000103AC400117014005040815B2808885883AD2 -:200DD000108002179CC00044D4E25D459080001500004A0610C0010328C04836180690BA4B -:200DD80001002074210DDD041907883A18C000171800683A0081378800813788008137F0A4 -:200DE000008138300081383011C0021798C03FCC020003C4114003031100034339800003A1 -:200DE800108003831A00081E20C03FCC32003FCC1A00022E30BFFFC400000B0629403FCCEC -:200DF0002800091E0000070610C03FCC32003FCC40C0022E308000440000030629403FCCCE -:200DF800280001262005883A3880000500002306110002179CC03FCC014003C420C0000B3B -:200E00009940051E1080030B197FFFCC1140062E18FFFFC4000004061080038B197FFFCCEB -:200E08002880012E18C0004420C0000D0000130611400317290000172800102698C03FCC65 -:200E1000018003C4208000031980041E10C03FCC1800092610BFFFC40000070628C001036F -:200E180011803FCC19403FCC3140022E10800044000001061805883A208000050023883AD5 -:200E20000021883AD0A25D4300C0207418DF4D04100490FA014005041885883A11000103C5 -:200E280014C000170815B2801025883A98800117010020B42123A4041485883A11400017C5 -:200E3000018004440815CC0098800117010001041485883A10C0010320C03636180690BAD7 -:200E380001002074210E3D041907883A18C000171800683A00813908008139380081394458 -:200E40000081398C0081395410C00217110004170180044418C0000318C5883A1085883A8F -:200E48002085883A11400017010020B42123AF040815CC0000001F0610C00217108004174D -:200E500000001A0610C00217108004171900000B0000170680000D268800032601C02074D4 -:200E580039DCDD040000020601C0207439DCDB0401802074010020B4319CDF040140044410 -:200E60002123AF040815BA8000000A06108003171000041E008020B410A3AF041000000569 -:200E68000000040610C000171080021719000003103EE83ADFC00817DDC00717DD80061751 -:200E7000DD400517DD000417DCC00317DC800217DC400117DC000017DEC009040810BC015F -:200E780021003FCC203FA51E103FA41EDFC00817DDC00717DD800617DD400517DD000417D7 -:200E8000DCC00317DC800217DC400117DC000017DEC00904F800283A21003FCC008003C488 -:200E880011006336009114B4DEFFB8041094D544D88000150080107410951044DC40411515 -:200E9000D8800115244002B000801444DCC04315DC400285DFC04715DD804615DD40451525 -:200E9800DD004415DC804215DC004015D8000205D88002458C403FCC24C0040488001C1E1D -:200EA000014020B4008010C4018002842963AC84D9000344D88002C5D80003050815B80012 -:200EA800008020B410A3B40410800583014020B401800D84D8800605D0A252832963D4849E -:200EB000D9000684D8800645D0A25643D88005C50815B800980C923A01401404D809883AAE -:200EB8000810A1C01004C03A0085C83A0000320600BFFC84014020B401800B04D880034550 -:200EC0002963C94400800084D90003C4D98002C5D8000305D88003850815B800014020B40B -:200EC8009826923A018031442963E204D9000EC40815B800980D883A01404004D809883A09 -:200ED00004004004054020B40810A1C00480314405008B44AD63E204802D883A917FFFCC1B -:200ED800800D883AA94B883AD809883A0815B80089803FCC800B883A84A5883AA421C83A6A -:200EE00034CD883AD809883A8029883A843FFFCC0810A1C08C40004480000326B43FEF2E51 -:200EE80004004004003FED060005883A0000020600BFFFC4F800283ADFC04717DD8046170E -:200EF000DD404517DD004417DCC04317DC804217DC404117DC004017DEC04804F800283A9B -:200EF800DEFFB704DFC04815DDC04715DD804615DD404515DD004415DCC04315DC80421541 -:200F0000DC404115DC00401521003FCC008003C41100022E047FFFC400007706240004048B -:200F08008008943AD80D883A0140400408109AC0103FF81E0140207401800204295E650424 -:200F1000D809883A0815C6001023883A1000671ED88002031000671ED8C00243008014440A -:200F18001880641ED88002831000032600C0004410C02A2600006006D8800303D8C002C344 -:200F20001004923A10C4B03A00C010C410C05A1E01C020B4D8C003440005883A0180024435 -:200F280039E3AC84014002841900000322003FCC3200023611D1883A410000051080004425 -:200F300018C00044117FF81ED880064300C00244D0A2528511003FCC1900032ED880060328 -:200F380011003FCC19000136D0A252C5D88005C3010020B401800D84D0A25645008020B43C -:200F400010A3AC8410800003D94006842123D484D0A256050815B80000003706D88003178B -:200F4800D8C002C311803FCC300C923A30CCB03A00C00B0430C0301E1004D23A00C0BC8475 -:200F50001025883A10BFFFCC10C02B1E010020B48020923AD94003C42123C94405C020B4CC -:200F58000815B8000027883A002D883A05400EC405004004BDE3E204A97FFFCCA14DC83A03 -:200F600090BFFFCCB13FFFCCD94B883A11800F16B909883A0815B800990000442027883AC1 -:200F680021003FCC2409883A2008923AA56BC83AD80D883A01404004ADAD883A94BFC004E9 -:200F700008109AC0002B883A003FEB06100D883A008020B410A3E2041109883A0815B80055 -:200F780000800044D0A257C5000003060440004400000106044000848805883ADFC048175A -:200F8000DDC04717DD804617DD404517DD004417DCC04317DC804217DC404117DC00401799 -:200F8800DEC04904F800283ADEFFFD04D9000005010020B4DC000115018000442821883AB1 -:200F900021041804D80B883ADFC002150816D200813FFFCC08165000DFC00217DC00011710 -:200F9800DEC00304F800283AD0E2540BDEFFFC0400BFE7C41884703ADC400115044020B452 -:200FA000D0A2540DDFC00315DC800215DC00001510BFFFCC8C443C0488800035040005044F -:200FA8008009883A08165000800B883A01000E040813E280800B883A01000E440813E28076 -:200FB000800B883A8009883A0813E280800B883A01001C440813E280800B883A01001784F8 -:200FB8000813E280800B883A01001B440813E280800B883A010003040813E2800480C80450 -:200FC000900B883A010000440813E280800B883A010001840813E280900B883A01000084C0 -:200FC8000813E280D0A2540B10801014D0A2540D10BFFFCC88800035DFC00317DC8002172F -:200FD000DC400117DC000017DEC00404F800283AD0E2540BDEFFFA0400BFE7C41884703A3E -:200FD800DC000015040020B4DC800215DC400115D0A2540DDFC00515DD000415DCC0031555 -:200FE0002025883A2823883A10BFFFCC84043C04808000350140C804010000440813E28077 -:200FE800D0A2540B10800814D0A2540D10BFFFCC80800035014004049009883A0815D140F8 -:200FF0001029883A10803FCC1000091E014020749009883A01800444295E67040815CC0040 -:200FF80091000003014005040813E280000009060027883AA5003FCC94C5883A11000003A7 -:20100000014005049CC000440813E28098803FCC153FF936D0E2540B00BFF7C41884703AF2 -:20100800D0A2540D10BFFFCC8080003501400504010030040813E280D0A2540B10800814AD -:20101000D0A2540D10BFFFCC80800035014004048809883A0815D1401027883A10803FCCC0 -:201018001000091E014020748809883A01800444295E67040815CC008900000301400504DF -:201020000813E280000009060025883A9CC03FCC8C85883A1100000301400504948000444D -:201028000813E28090803FCC14FFF936D0A2540B10801014D0A2540D10BFFFCC80800035A7 -:20103000DFC00517DD000417DCC00317DC800217DC400117DC000017DEC00604F800283A99 -:20103800DEFFFB04DC000015040020B484041804DC80021504800B04DCC00315900B883A38 -:201040002027883A000D883A8009883ADFC00415DC4001150816C40004400044880D883AC2 -:2010480099403FCC8009883A0816CA40900B883A8009883A880D883A0816C400880B883AFB -:201050008009883ADFC00417DCC00317DC800217DC400117DC000017DEC005040816C7811C -:20105800DEFFFC04DC000015040020B484041804DC800215DC4001152025883A2823883A75 -:201060008009883A000D883A01400B04DFC003150816C40091403FCC8009883A000D883A77 -:201068000816CA400180004489403FCC8009883ADFC00317DC800217DC400117DC00001707 -:20107000DEC004040816CA41010020B4DEFFFD04000D883A000B883A21041804DFC002154B -:20107800DC400115DC0000150816CA4001000284081650000400060404400044800B883A35 -:201080008809883A08141600800B883A0100008408141600800B883A010000C40814160083 -:201088008809883A08140E001405003ADFC00217DC400117DC000017DEC00304F800283A9A -:20109000DEFFFD04DC400115DC000015044000442021883A84003FCC8809883ADFC002151C -:20109800802090FA08140E0000FFF9C410C4703A80A0B03A84003FCC800B883A8809883A71 -:2010A00008141600800B883A0100008408141600800B883A010000C4DFC00217DC400117FC -:2010A800DC000017DEC0030408141601DEFFFD04DC4001152023883A01000044DC00001512 -:2010B000DFC00215043FF60408140E001420703A89003FCC008000842080021E84000054F5 -:2010B800000003062008917A2080011480A0B03A84003FCC800B883A0100004408141600CA -:2010C000800B883A0100008408141600800B883A010000C4DFC00217DC400117DC0000171B -:2010C800DEC0030408141601DEFFFB04DC000015040020B484041804DC80021504801704D5 -:2010D000DCC00315900B883A2027883A000D883A8009883ADFC00415DC4001150816C40000 -:2010D80004400044880D883A99403FCC8009883A0816CA40900B883A8009883A880D883AC3 -:2010E0000816C400880B883A8009883ADFC00417DCC00317DC800217DC400117DC00001762 -:2010E800DEC005040816C781DEFFFC04DC000015040020B484041804DC800215DC400115EC -:2010F0002025883A2823883A8009883A000D883A01401704DFC003150816C40091403FCCE1 -:2010F8008009883A000D883A0816CA400180004489403FCC8009883ADFC00317DC80021784 -:20110000DC400117DC000017DEC004040816CA41DEFFFC04DC000015040008848009883A30 -:20110800DFC00315DC800215DC40011508143280014004C4010005841023883A0489C404C0 -:2011100008143A809009883A08165000014000C4010005C408143A809009883A08165000B2 -:20111800017FE004894AB03A29403FCC8009883A08143A800100FA040816500089401FCCE0 -:201120008009883ADFC00317DC800217DC400117DC000017DEC0040408143A81DEFFFE04B3 -:20112800DC0000150409C4048009883ADFC0011508165000014004440100058408143A808A -:201130008009883A0816500001400084010005C408143A808009883ADFC00117DC0000178C -:20113800DEC0020408165001DEFFFE04DC0000152821883A21403FCC01000484DFC00115FF -:2011400008143A8081403FCC010004C4DFC00117DC000017DEC0020408143A8121403FCC93 -:2011480001000F4408143A8121403FCC0100044408143A81DEFFFE04DFC00115DC0000154B -:20115000214000C32021883A0100028408143A80814001030100024408143A808140014314 -:201158000100020408143A80814000030100034408143A80814000430100030408143A80D6 -:2011600081400083010002C4DFC00117DC000017DEC0020408143A81DEFFFB04DC40011531 -:20116800DC0000152023883A2021883A01000104DCC00315DC8002153027883A2825883A19 -:20117000DFC0041508143280017FFE049CC03FCC1144703A9800092680FFFFCC0101FFC41B -:2011780020C006361140005429403FCC0100010408143A808423883A0000030611403FCC78 -:201180000100010408143A808C7FFFCC880AD13A0100004429403FCC08143A80880A913A14 -:201188000100008429403C0C08143A8001006734917FFFCC213F30040815A6C0880B883A58 -:201190001009883A0815B2800140FA041009883A0815A6C000E327D41880092E00C0007497 -:2011980018C45BC41880082E00C000B418C3D5C41885403A00C000C41885C83A0000030643 -:2011A0000005883A000001060080004414003FCCD0A024041405883A1100000301400A04A8 -:2011A8000815B2808808D07A880B883A1109883A08159740100B883A00C001C410803FCCDC -:2011B0001880012E180B883A29403FCC800491BA280A90FA010000C4288AB03A29403FCC9F -:2011B800DFC00417DCC00317DC800217DC400117DC000017DEC0050408143A81DEFFFE04AE -:2011C000DC0000152021883A01000684DFC0011508143280017FFE8484003FCC00C0004478 -:2011C8001144703A80C0021E10800094000001061080029411403FCC01000684DFC00117B9 -:2011D000DC000017DEC0020408143A812140028BDEFFFE04DC000015280AD23A2021883A92 -:2011D800010012C4DFC0011508143A80814002830100128408143A808140030B01001344BB -:2011E000280AD23A08143A80814003030100130408143A808140038B010013C4280AD23AC1 -:2011E80008143A80814003830100138408143A808140010B01001444280AD23A08143A8022 -:2011F000814001030100140408143A808140018B010014C4280AD23A08143A8081400183AC -:2011F8000100148408143A808140020B01001544280AD23A08143A8081400203010015044C -:2012000008143A808140040B010015C4280AD23A08143A80814004030100158408143A8002 -:201208008140048B01001644280AD23A08143A80814004830100160408143A808140050BFD -:20121000010016C4280AD23A08143A808140050301001684DFC00117DC000017DEC002041D -:2012180008143A81DEFFFD04DC00001504000FC4DC4001152023883A8009883ADFC0021501 -:201220000814328000FFFC0410C4703A888AB03A29403FCC8009883ADFC00217DC400117BC -:20122800DC000017DEC0030408143A81DEFFFD04DC40011504400684DC0000152021883A65 -:201230008809883ADFC002150814328080C03FCC014000C428C7C83A180691BA10800FCCB2 -:201238008809883A188AB03A29403FCCDFC00217DC400117DC000017DEC0030408143A81E8 -:20124000DEFFFB04DCC0031504C00104DC4001152023883A9809883ADFC00415DC80021570 -:20124800DC0000152825883A08143280900B883A8809883A1021883A0815B280108007CC63 -:20125000100A90FA840001CC9809883A2C0AB03A08143A8091403FCC89003FCC0815B28071 -:201258001004D17ADFC00417DCC00317DC800217DC400117DC000017DEC00504F800283A0A -:20126000DEFFFE04DFC00115DC000015081441000009883A08146FC004000044800B883AE1 -:2012680001000D4408143A8001002074211E6804081474C0800B883A0100040408149000AC -:201270000009883A081486400009883A08148AC001000184081451C0014000C401000D04B6 -:2012780008143A80010011040814528001402204010006C408143A80014002040100070421 -:20128000DFC00117DC000017DEC0020408143A81DEFFFD04DC0000152021883ADC40011525 -:2012880084003FCC044004048809883A802090FADFC0021508143280108001CC808AB03A19 -:2012900029403FCC8809883ADFC00217DC400117DC000017DEC0030408143A8121003FCCF0 -:2012980020001A26DEFFFD04DC400115010009842823883A01402004DC000015DFC002151F -:2012A0003021883A08143A8089403FCC0080004428800426008002042880041E8140168430 -:2012A8000000030681400244000001068140060429403FCC01000C44DFC00217DC40011793 -:2012B000DC000017DEC0030400000206000B883A0100098408143A81DEFFF704DCC00315C0 -:2012B8002827883AD9400917DDC00715DD800615DD400515DD000415DC800215DC400115C9 -:2012C000DC000015DFC0081524003FCC008000443029883A382B883ADC400A17DC800B1773 -:2012C800DD800C172DC03FCC808008260080020480800D1E29400C8429403FCC01000144FC -:2012D00008143A800140080400000C062940008429403FCC0100014408143A800140018486 -:2012D8002809883A000006062940018429403FCC0100014408143A800140040401000184AA -:2012E00008143A80B80D883A800B883A010000440814A5C0B1003FCC08145280008004044C -:2012E8008080021E0140030400000106014002040100088408143A80A17FFFCC993FFFCC3F -:2012F000A9803FCC0814598091403FCC89003FCCDFC00817DDC00717DD800617DD40051719 -:2012F800DD000417DCC00317DC800217DC400117DC000017DEC0090408144E81DEFFFD0418 -:2013000029BFFF84DC400115DC000015DFC0021531803FCC0080004424403FCC2C003FCC63 -:2013080011800436008000848880071E8805883A000008068800061E8005003A00C00084BD -:201310001885C83A000003060005883A0000010600800084880A913A8C47883A10803FCCB6 -:20131800100491BA18C7883A28CAB03A214AB03A288AB03A29403FCC0100064408143A8053 -:20132000008000C48080041E014017440100040408143A8000001206014016040100040450 -:2013280008143A800080008488800D1E0080004414000B368080021E01401484000001067F -:20133000014014C40100038408143A800100FA0408165000010005040814328000000B06D0 -:20133800014016C40100038408143A800100FA04081650000100050408143280008000C493 -:201340008080021E0140040400000106000B883A0100060408143A80000B883A01000D8410 -:20134800DFC00217DC400117DC000017DEC0030408143A81DEFFFD04DC4001152023883A15 -:2013500001000504DC000015DFC002152821883A081432808C403FCC00C0008488C00A1E68 -:2013580081403FCC00C0004428C0031E1080240C10802420000006062800031E1080220CF5 -:2013600010802220000002061004D07A1080004CDFC00217DC400117DC000017DEC00304D5 -:20136800F800283ADEFFEC04DC400B15044020B48C63B40400800104D880060D88800783C1 -:2013700002400204020010044884983A01810004028800041244B03AD880068D888007C350 -:2013780000C020B4DFC013154084983ADDC01115DD801015D880070D88800803DD400F155F -:20138000DD000E153084983ADF001215DCC00D15D880078D88800843DC800C15DC000A154C -:201388005084983AD800088518E3E604D880080DD8800884D8800015888008C4D880011555 -:2013900088800904D880021588800944D880031588800984D8800415888009C4D8800515A7 -:2013980088800B830029883A02C04004D8800905030400040382000403C080040340040422 -:2013A00007C02004054008040580010405C083441CC001C301800144A021883A9C803FCCCB -:2013A800188001833480EB36900C90BA028020745293B004328D883A318000173000683A84 -:2013B00000814F3000814F3000814F3000814ED800814EEC00814F3001801004D980070DB9 -:2013B80001810004D980078D000011061980000B35C00F1E1F3FFB43028000C4D98009037E -:2013C000E280051E3000021E01BFFBC40000040602800084000005063000031E01BFFEC4CB -:2013C8003084703A00000206028000443280C92611C4703A10803FCC1000C6269485883A47 -:2013D0001085883AD885883A108000171980020B108000031085883AD885883A1280060B8E -:2013D8005194703A50BFFFCC1000BA263180004C2F003FCC3180005CE180B61E1980000B7F -:2013E000318007843100B33607000044018020B488000385880003C58F00040588000485EE -:2013E8008A80050D31A3B40412C0762658800E3613405D26688003361580202612402E263B -:2013F0000000A40612005C2617C069261540A11E00800044888003050080008488800345FD -:2013F8000080018400007206130082266080093602810004128070261380682613C0951E28 -:2014000000800084888003058880034500800144000067060710000417007C2601A00014AD -:20140800118083260288000412808A1E00800104888003058800034500008306300003059C -:20141000300003459CC03F4C008000449880871E89000C4300C020B418E3B4042000032674 -:2014180001000084190004050000010618800385888003C500007D060080004488800305BF -:2014200088800EC3054020B4AD63B4041000101E01400684A009883A0815B28000C020B49B -:2014280018E3E58410C5883A1080000B00C15DC4188007369CFFFFC49CC03FCC008000848E -:2014300014C00336A8800345A880040500000106880003450080008490800D26008001044B -:2014380090800B26008001449080601E01400684A009883A0815B28000C020B418E3E58483 -:2014400010C5883A1080000B00C12BC41880573688C00C43008020B410A3B40418003226BF -:201448001000034500002D06008000443080030500800084000015060080008430800305A2 -:201450003000034500C000C490C0481E89000C4300C020B418E3B4042000022618800405C2 -:2014580000004206008000441880038500003F0600800084888003050080004488800345DB -:2014600000003A06008000843080030530800345008001043080040500003406008000C4BC -:20146800888003050080008488800345008001048880040500002D06008000C4308003053B -:2014700030000345008000C49080281E88C00C43008020B410A3B4041800032600C000846F -:2014780010C004050000210600C0004410C0038500001E06008000C43080030500800084D4 -:201480003080034500800144003FE20600800104308003050080008430800345008001446A -:201488003080040500801E043080048500000F0600800104888003050080008488800345B2 -:20149000008001848880040500801E048880048500000606A50000440080074418C00684D1 -:20149800A0BF0B1E00BFFFC4000001068005883ADFC01317DF001217DDC01117DD801017C2 -:2014A000DD400F17DD000E17DCC00D17DC800C17DC400B17DC000A17DEC01404F800283A5D -:2014A80020803FCCDEFFF9041080201CDC400415DFC00615DC800515DC00031510BFE004C7 -:2014B0002823883A10000A0E2025883A000B883A01001DC408152A00D880028510C03FCC30 -:2014B8000100004420C02E3694001FCC000001062021883A08158B80014001040009883AC9 -:2014C000081587C0081589408804D63ADC000005DC400105D88000458804D43A84003FCC5D -:2014C800D88000858804D23AD88000C50080100480800426008012048080041E00BFE1C498 -:2014D0000000030600BFE544000001060080004401400184D809883AD88001450815868016 -:2014D80001000144081591001021883A0440004401400044D9000284081587C0D88002875C -:2014E000100003168000051E081596C000000306081594C0147FF626003FFA06D880028368 -:2014E800DFC00617DC800517DC400417DC000317DEC00704F800283ADEFFF604DC000515B3 -:2014F000000B883A2021883A01001244DFC00915DCC00815DC800715DC40061508152A0049 -:2014F80010803FCC100002260005883A0000430601000144081591001023883A0480004440 -:2015000004C03FC401400044D9000484081587C0D880048314C003268800051E081596C0C0 -:2015080000000306081594C014BFF626003FFA06D8C0048300803F8418BFEB1E0140048410 -:20151000D809883A081587C08080010310C0008C18001326D8800183D8C001C3D94001436E -:20151800108000CC1004923A294003CC10C8B03AD88002031006D1BA2105883A1085883A40 -:20152000D900028310C4B03AD8C002432008D1FA18C000CC18C7883A20C8B03A0000100692 -:201528001080010C10000B26D88001C3D9000203000B883A10800FCC1004923A1104B03AB4 -:201530001006923AD8800243010004441884B03A00000306000B883A0009883A0005883AE5 -:2015380010BFFFCC10C0004421003FCC28803FCC2085883A10BFFE441884983ADFC0091761 -:20154000DCC00817DC800717DC400617DC000517DEC00A04F800283ADEFFF6040140207473 -:20154800DCC00715018002842027883A295F3084D809883ADD000815DC400515DFC00915EF -:20155000DC800615DC000415050000C40815B80004400044081584C008158B8008158F4074 -:2015580001400284D809883A08158680000B883A980000150100100408152A0010803FCC75 -:201560001440511E01406A840100120408152A0010803FCC1440271E01400104D900028442 -:20156800081587C0D88003031440471ED8C0034300802A841880441E0100FA040815910035 -:20157000081594C014400326081594C014400C1E000006060150003401003A4408152A0027 -:2015780010803FCC103FF61E003FF706000B883A01001E8408152A0010803FCC100002268F -:201580000021883A0000090601400104D9000284081587C0D88002831080100C1000021E97 -:20158800040001040000010604000304081596C000002606000B883A01003A4408152A00F6 -:2015900010803FCC8880032E0400004404801044000002060400008404BFFA4401003E84F3 -:201598000815910094803FCC081594C014400426081594C01000081E0021883A00000606E1 -:2015A000000B883A9009883A08152A0010803FCC103FF51E003FF606081596C0000B883A44 -:2015A80001001EC408152A0010803FCC100001260021883A014080040100140408152A001F -:2015B00010803FCC100001260021883AA0BFFFC41029883A10803FCC84803FCC10000A265F -:2015B800903F9C26008000449C000105988000159809883A08153B8010BFFFC498800215F3 -:2015C00008158D8000000106903FF61E081589009005003ADFC00917DD000817DCC007170D -:2015C800DC800617DC400517DC000417DEC00A04F800283A20800217DEFFF804DC000115D1 -:2015D000DFC00715DD400615DD000515DCC00415DC800315DC400215DC00081711803136C2 -:2015D80084BFFFCC90002F26208001032827883A3823883A1080020C300B883A1000011E64 -:2015E000300A927A0100144408152A0010803FCC100002260400008400001F060100190467 -:2015E800081591000500004405403FC401400044D809883A081587C0D8800003154005263D -:2015F000081596C0D8C0000300803F8418BFF11E00000306081594C0153FF426003FF8067F -:2015F800008080841445C83A897FFFCC1421C83A280002260009883A081587C0900B883A0E -:201600009809883A081587C0817FFFCC0009883A081587C00021883A081589008005883A39 -:2016080000000106008000C4DFC00717DD400617DD000517DCC00417DC800317DC40021725 -:20161000DC000117DEC00804F800283A018001B401406734010020B43186A004297F3004A4 -:20161800210410040816BCC1280D883A200B883A010020B4210410040816D201280D883A04 -:20162000200B883A010020B4210410040816CE01F800283AD0E2540B00BFDFC41884703AAF -:2016280000C020B4D0A2540D18C43C0410BFFFCC18800035F800283AD0A2540B00C020B4F9 -:2016300018C43C0410802014D0A2540D10BFFFCC18800035F800283A01800A74014067344B -:20163800010020B4318CB804297F3004210410040816BCC1018001B401406734010020B4AD -:201640003186A004297F3004210410040816BCC1D0A25E1710000B1EDEFFFF04015A5E04C2 -:20164800213FFFCCDFC000150815B280D0A25E15081654800005883ADFC00017DEC001045D -:20165000F800283A00800044F800283ADEFFFF04DFC0001508165840D0E25E1710C5803A02 -:20165800DFC00017DEC00104F800283AD0225E15F800283A20001B16000F883A280016168A -:20166000200D883A29001A2E0080080400C000440000010610000D26294B883A10BFFFC468 -:2016680018C7883A293FFB360005883A180007260005883A31400236314DC83A10C4B03A6E -:201670001806D07A280AD07A183FFA1E380001260085C83AF800283A014BC83A39C0005C24 -:20167800003FE7060109C83A01C00044003FE30600C00044003FEE0620001716000F883A98 -:201680002005883A280012162900162E0180080400C000440000010630000A26294B883A78 -:2016880031BFFFC418C7883A293FFB36180005261806D07A114001361145C83A280AD07A4E -:20169000183FFB1E380001260085C83AF800283A014BC83A003FEC060109C83A01C00044FA -:20169800003FE70600C00044003FF106200D883A2900152E280014160080080400C000448F -:2016A0000000020610000E2628000516294B883A10BFFFC418C7883A293FFA361800082654 -:2016A8000005883A31400236314DC83A10C4B03A1806D07A280AD07A183FFA1EF800283AC7 -:2016B0000005883AF800283A00C00044003FF4062005883A2900122E280011160180080490 -:2016B80000C000440000020630000C2628000516294B883A31BFFFC418C7883A293FFA363F -:2016C000180006261806D07A114001361145C83A280AD07A183FFB1EF800283AF800283ADE -:2016C80000C00044003FF7060005883A2000072620C0004C2008D07A180001261145883AB9 -:2016D000294B883A203FFA1EF800283AF800283A218D883A218008262080000328C00003CC -:2016D80010C0022610C5C83AF800283A2100004429400044003FF7060005883AF800283A5A -:2016E0002005883A2007883A218D883A198005262900000318C0004429400044193FFFC5D5 -:2016E800003FFA06F800283ADEFFF504DFC00915DC400815DC000715D9C00A15008020B479 -:2016F00010A15D04144000172800040E008022C48880001500BFFFC400001C0600C08204B6 -:2016F800D8C0000DD9000415D90002152800022628FFFFC4000001060007883AD8C005158F -:20170000D8C003151100001700FFFFC4D8C0008D00C0207418D8B4042821883AD9C00A045C -:20170800D80B883AD8C00115D80006150815DA4000FFFFC410C0020E00C022C488C000159F -:2017100080000226D8C0041718000005DFC00917DC400817DC000717DEC00B04F800283A46 -:201718003000152631BFFFC4218D883A2080000328C0000311C03FCC1A003FCC39C0201C5F -:201720004200201C39FFE004423FE0043A00061E218004263800032621000044294000440E -:20172800003FF2061007883A18C03FCC10803FCC10C5C83AF800283A0005883AF800283AC1 -:201730002005883A200F883A30000C262A00000338C0004431BFFFC43A00000542003FCCB7 -:201738004200201C423FE00429400044180F883A403FF51E198D883A00000106F800283ABD -:2017400030C003261800000518C00044003FFC06F800283A214B883A2005883A1140021E16 -:201748001105C83AF800283A10C00007183FFC2610800044003FF906DEFFFB04DC8003155D -:20175000DC400215DC000115DFC004152025883A2823883AD98000053821883A04000A0EF3 -:201758008880011701C00044D80D883A880B883A9009883A103EE83A843FFFC4103FF72693 -:2017600000BFFFC4000001060005883ADFC00417DC800317DC400217DC000117DEC0050419 -:20176800F800283ADEFFE504D8C00804DDC01815DD801715DD401615DD001515DCC0141536 -:20177000DC801315DC401215DC001115DFC01A15DF0019152029883A2823883A382D883A76 -:20177800D9800F150021883AD8000E15D8000A15002B883A0027883A0025883AD8000C1549 -:20178000D8000B15002F883AD8C00915D8C00F171900000320803FCC1080201C10BFE004A6 -:2017880010011E2600C00044B8C014261DC00216B80006260001150601400084B9401D2640 -:20179000014000C4B9402B2600011006014009441140FC2688800117D900000501C00044CF -:20179800D80D883A880B883AA009883A103EE83A1000D81E840000440001040601400C0430 -:2017A0001140FA260140094411400A1ED880000588800117B80F883AD80D883A880B883AAF -:2017A800A009883A103EE83A1000CA1E840000440000F50625FFF404BDC03FCC00C00244E1 -:2017B0001DC0093600BFFFC490800426014002849009883A0815B280000001060005883A02 -:2017B800B8A5883A0000E20601400B841140E42605C00084213FF40427003FCC00C0024406 -:2017C0001F00093600BFFFC498800426014002849809883A0815B280000001060005883AA0 -:2017C800E0A7883A0000D90600C01B0410C0D226013FFFC499000226D8000B15000001066F -:2017D00004C0004401001A441100162620800916010018C411008826010019041100112684 -:2017D800010016041100C81E00C00044D8C00E150000150601001CC411009826208004169B -:2017E00001001BC41100C01E0540020400000F0601001D4411000D2601001E0411000A26B0 -:2017E8000000B906D8C00A17B700010418000726DF000D15B5C00017B800080E05EFC83A17 -:2017F000024000440000060605400404B0C00104D8C00D15B5C00017D8000A150013883A73 -:2017F800D839883AB8001726A80B883AB809883ADA4010150815A6C0A80B883A1009883AFF -:20180000102D883A0815B280B885C83A00C00244DA4010171880021610800C040000050699 -:20180800D8C00E171800022610800DC400000106108015C4E0800005B02F883AE7000044C1 -:20181000003FE806E6EFC83A9DC5C83A0080090EE085883A01400C04D8C00917E009883ADE -:20181800E0C0032EE700004421400005E0BFFA1EE6EFC83AD8C00B174DD1883A922DC83A65 -:201820001800162648000A2600800B44D88008058880011701C00044D9800804880B883AC9 -:20182800A009883A103EE83A10004A1E840000440580070EB00F883A01800C04880B883A84 -:20183000A009883A0815D3801000421E85A1883AE02D883ABF2FC83A000020060580090EE4 -:20183800B00F883A01800804880B883AA009883ADA4010150815D380DA4010171000351E6F -:2018400085A1883A483FF22600800B44D88008058880011701C00044D9800804880B883AEE -:20184800A009883A103EE83A10002A1E84000044003FE706B5BFFFC4B080000301C00044EA -:20185000D9800804D880080588800117880B883AA009883A103EE83A10001E1E8585C83A06 -:20185800B5C9883AE085883A013FF2161021883ADD800D1700004406008000441480080E95 -:2018600095FFFFC4B80F883A01800804880B883AA009883A0815D38010000E1E85E1883A67 -:20186800B080001701C00044D80D883AD880000588800117880B883AA009883AB5C00104B6 -:20187000103EE83A1000031E84000044B82D883A00002D0600BFFFC400003106B5C00017D0 -:20187800B7000104B809883A08163D809091C83A102D883A0200090E400F883A018008045D -:20188000880B883AA009883ADA0010150815D380DA001017103FEF1E8221883A8880011737 -:20188800B00F883AB80D883A880B883AA009883A103EE83A103FE71E85A1883AE02D883AFD -:201890000000110600C0004404FFFFC4D8000E15D8C00A15054002849825883AD8000C1562 -:20189800D8000B15182F883A00000806DDC00B1505C000840000050600C00044D8C00C1553 -:2018A00005C000C400000106002F883AD8C00F1718C00044D8C00F15003EDC068005883AAA -:2018A800DFC01A17DF001917DDC01817DD801717DD401617DD001517DCC01417DC8013174A -:2018B000DC401217DC001117DEC01B04F800283A2880000B10C0020C1800202628C0008F52 -:2018B800DEFFFD04DC000015DFC00215DC4001152821883A1800150E10C0800C1800132666 -:2018C0002C40051789C0030E10C0200C1800032600000E063C40010E3823883A8100041791 -:2018C800300B883A880D883A08163780808005171445C83A80800515808004171463883AF2 -:2018D000844004150005883A00000606108010148080000D00BFFFC40000020600BFFFC47B -:2018D800F800283ADFC00217DC400117DC000017DEC00304F800283A2005883A218F883A5F -:2018E000290002361007883A00000C062987883A20FFFC2E380B883A30CDC83A1989883A79 -:2018E8002000052618FFFFC419000003297FFFC429000005003FF906F800283A19C005266A -:2018F0002900000318C0004429400044193FFFC5003FFA06F800283A2005883A10C0000770 -:2018F8001800022610800044003FFC061105C83AF800283ADEFFFF0401002074014020B47F -:20190000DFC00015211F3B04296161042140061E0100207401402074210008042940080414 -:201908002140121E00000B0600C020B418E161041907C83A0005883A10FFF526114F883AF6 -:2019100039C00017110D883A1080010431C00015003FF9060100207401402074211B9604AE -:20191800295B96042140101E00000B0600C0207418C008041907C83A0005883A10FFF526A6 -:20192000114F883A39C00017110D883A1080010431C00015003FF9060816DDC0DFC000174B -:20192800DEC001040816E4C100C0207418DF3B041907C83A0005883A18BFF726114F883AB0 -:2019300039C00017110D883A1080010431C00015003FF906DEFFFF040009883ADFC000156F -:201938000816504008165240D1A25F17D1626017D1226117DFC00017DEC0010408121701A8 -:201940000816D5C1DEFFFF04DFC000150816E500008000441001703ADFC00017DEC0010464 -:20194800F800283A008020B410840004D0A26315010020B40080673410BF30042121010415 -:20195000D0A2621508169EC1D0E26217D0A2631718000A2610C00104010002041900003588 -:20195800013FFFD4110002351100033500800104188000350005883AF800283A00BFFFC4D6 -:20196000F800283AD0A2621710000926D0E2631718800404100000351080003718C00537F7 -:201968001806943A10BFFFCC1884303AF800283A00BFFFC4F800283AD0A26217F800283A58 -:2019700020001D262804923A20C03017DEFFFD04DC400115DC000015DFC002152823883A11 -:201978002021883A108000D41880033580C03017188000371080004C100003260100004468 -:201980000816D5C0003FF906198000373007D0BA3009D0FA18C001CC2100020C1908B03AE3 -:201988003007D07A18C0040C1906B03A88C0042600BFF4840000020600BFFA84F800283A85 -:20199000DFC00217DC400117DC000017DEC00304F800283A20000A26280009263000082654 -:2019980020800C173080001520800C171000062621000D04290000150005883AF800283A17 -:2019A00000BFFA84F800283A00BFFEC4F800283A2005883A20001D262809883A28001B161F -:2019A80010C0311728C0192E1140341728FFFFC41906703A1800151EDEFFFE04DC0000156E -:2019B000DFC001151021883A0815A6C01004923A00C0403418FFC00410C4703A80C03017F8 -:2019B800108000941880033580C0301718C00404188000371080004C100006260080004409 -:2019C0001880003500BFFEC40000020600BFFA84F800283ADFC00117DC000017DEC00204CC -:2019C800F800283ADEFFF504DC000115DFC00A15DF000915DDC00815DD800715DD400615B7 -:2019D000DD000515DCC00415DC800315DC400215DC000B1728003A163023883A300038169B -:2019D8002027883A20003626382B883A38003426208031173080322E2880312E20803417C9 -:2019E0003147C83A10C7C83A1C002D3680002C1610BFFFC4114A703A2800291E0029883A62 -:2019E80005BFFFC405C000C40700010480002226DD800015890000CC20000626E105C83A00 -:2019F0008025883A1400012E1025883A8923C83A00000406BC0002368025883A000001061C -:2019F80004800104AD0B883A900D883AD909883A0815B80098802E17D8C00017A4A9883AD9 -:201A000084A1C83A8885883A10C00035988030171080040410C0003718C0008C1800042627 -:201A080000C0008410C0003500BFFEC4000005068C400104003FDD060005883A0000010628 -:201A100000BFFA84DFC00A17DF000917DDC00817DD800717DD400617DD000517DCC0041799 -:201A1800DC800317DC400217DC000117DEC00B04F800283A20001626DEFFF604DD000515DE -:201A2000DFC00915DDC00815DD800715DD400615DCC00415DC800315DC400215DC00011530 -:201A28003029883A30000C262021883A20802E1780C02F172823883A2885883A382B883A12 -:201A30003889883A10C0042E20C005360000020600BFFA84F800283A00BFFA8400002406F0 -:201A3800814034178809883A002D883A0815A6C0102F883A80803317B8801C2EA8001B2607 -:201A400084C0341704E7C83A9C66703A8CC002268CE5C83A000001060025883A980B883A24 -:201A48008009883A081669001000111E8080341714A5C83AAC80012EA825883ADC8000150C -:201A5000A58F883A880D883A980B883A8009883A081672401000061EACABC83AB4AD883AF9 -:201A58008CA3883ABDC00044003FE2060005883ADFC00917DDC00817DD800717DD4006179E -:201A6000DD000517DCC00417DC800317DC400217DC000117DEC00A04F800283A20000826C3 -:201A68003007883A3000062620802E17288B883A20802F17394D883A2880012E30800236C2 -:201A700000BFFA84F800283ADEFFFF04380D883A1809883ADFC000150815B8000005883AA5 -:201A7800DFC00017DEC00104F800283A20004E262080301710004E2620C03217DEFFFB0497 -:201A8000DFC00415DCC00315DC800215DC400115DC00001518001E1E1480023700C003045C -:201A880094803FCC90BFFAC418804336100490BA00C0207418DA910410C5883A108000178A -:201A90001000683A00816AB000816AC000816AC800816AB800816A7800816B3800816B383D -:201A980000816B3800816B3800816B3800816B3800816A8000816A880440800400001306DF -:201AA00004410004000011060442000400000F06148001370080058494803FCC90800A2633 -:201AA8000080060490800426008005049080221E0440080400000506044040040000030695 -:201AB00004401004000001060440200424C034172021883A8809883A980B883A0815B28016 -:201AB80080C0311710C0021E80C0331788C0022680000C150000100600C000448480361592 -:201AC00080C00C1580000D1580800E1584400F1584C01015D16027048009883A0816DE00EC -:201AC8000005883A0000050600BFFA84F800283A00BFFB44F800283A00BFFB44DFC0041785 -:201AD000DCC00317DC800217DC400117DC000017DEC00504F800283A300001260005C03255 -:201AD80000FFFF042005883A28C6703A110DC83A30C0042E11800017300DC0F210800104FF -:201AE000003FFA06294000CC00C000C428C0041E10C0000B1807C0B2108000830000080657 -:201AE80000C0008428C0031E1080000B1005C0B20000040600C0004428C0021E10800003C6 -:201AF0001005C0720005C132F800283ADEFFFD04DC400115DC0000152823883A2021883A2C -:201AF800014001443009883ADFC002150815B280100B883A8809883A0815A6C010BFFFC403 -:201B000080C0020418000035010000448100043511003FCC810000351004D23A10803FCCA6 -:201B0800808001350080200418800035DFC00217DC400117DC000017DEC00304F800283A38 -:201B10003180004C294B883A298B883A2140033521000404008024042080003520800037F6 -:201B18001080008C103FFD1E208000371004D1FA1080004CF800283A20C004042800022603 -:201B200000801A04000001060080080418800035188000371080008C103FFD1E2080033778 -:201B2800F800283A29403FCC2140033521000404300002260080140400000106008004048E -:201B300020800035208000371080008C103FFD1E208000371004D1FA1080004CF800283A77 -:201B380021C004042805883A02000B04210003041147C83A1980090E3A00003538C00037D4 -:201B400018C0008C183FFD1E2800022620C0003710C0000510800044003FF506F800283A0B -:201B480021C003042805883A21000404020007041147C83A1980080E10C0000338C0003567 -:201B50002200003520C0003718C0008C183FFD1E10800044003FF606F800283A014AAAF4DF -:201B5800DEFFFE04296AAA84DC000015DFC001152021883A0815A6C010000F2601600034C7 -:201B6000013555740007883A297FFFC421155584297FFFC4283FFE1E18C000448121883AB5 -:201B680018BFFB168405883A1421883A843FFFC4803FFE1E000004068405883A1421883A86 -:201B7000843FFFC4043FFE160005883ADFC00117DC000017DEC00204F800283AF800283AAF -:201B780020000226208002171000101ED0A0261710000926DEFFFF04DFC00015103EE83A1E -:201B800000C0058410C0001500BFFA84DFC00017DEC00104F800283AD0A2640400C0058404 -:201B880010C0001500BFFA84F800283A2880001721400115208000152880001711000115F0 -:201B9000290000150005883AF800283AF800283A000170FAF800283A2520732500632575DD -:201B9800252E75256B75322E25207A482E252E757A4875320000000074204B4F6E69206F06 -:201BA00000007469656D69540074756F252E75256D75322E00000073252E75256D75322E90 -:201BA80075252F73322E252E00736D754353534F776620207525202E322E252E0000007574 -:201BB00074696E49727265202020726F000064252020202053204F4E00434E59343130322D -:201BB8003130322D6D202038737172610000000074736554746170206E72657400000000F3 -:201BC0003A31564142475220000000533A31564173475220000000423A3156416250592018 -:201BC800000072503A32564162505920000072503A32564173475220000000423A335641D6 -:201BD00042475220000056483A33564142475220000000533A335641734752200000004298 -:201BD8003A33564162505920000072507473614C657375200000006400816EF000816F00C8 -:201BE00000816F0C00816F1800816F2400816F3000816F3C00816F4800816F5400816F60B5 -:201BE80000816F6C000000000000000000000001000101010000000100000000000001106B -:201BF0000344060B00000100808000001A1A1A807365725000000073666E6F43006D7269D3 -:201BF8006D73694D686374616572202C00797274646F4D567325203A00000000203A434CC4 -:201C000056207525203A4D5300007525656E694C746C756D646F6D2000003A65736572701D -:201C08002D312073000000352078752575736E75726F707000646574666F725020656C69AA -:201C100064616F6C0000003A736572702D3020730000003900000031000000320000003361 -:201C180000000034000000360000003700000038554E454D0000000000004B4F4B434142F3 -:201C200000000000000050554E574F44000000005446454C0000000048474952000000541E -:201C28004F464E49000000005F44434C4B4341424847494C000000544E414353454E494C68 -:201C3000444F4D5F000000454E414353454E494C5059545F000000454E414353454E494CD5 -:201C3800544E495F0000002B4E414353454E494C544E495F0000002D454E494C544C554DE9 -:201C4000444F4D5F000000455341485000002B455341485000002D45464F52505F454C4956 -:201C48004B544F4801005945030F031F3E2903033E693EA93E193EE93E593E993E393ED9FE -:201C50003E4D3EC93EED3E1D3ECD3E2D3E6D3EAD3E013E651C181C481CD01C505E581CC8E4 -:201C58003EB95ED800817054008170580081705C0081706000817024008170640081706850 -:201C60000081706C008170500081787C00817070008170780081707C00817084008170882C -:201C68000081709000817098008170A0008170A8008170B8008170C8008170D8008170E824 -:201C7000008170F800817108008171100081711853206F4E6163204464206472002E7465AC -:201C780061766E492064696C67616D690000006561766E492064696C646165680000726512 -:201C800061766E492064696C207264680043524361766E492064696C6174616443524320AE -:201C880000000000616470556320657465636E6164656C6C0000000073616C466572206839 -:201C9000652064610000727273616C4672652068206573610072726573616C467277206888 -:201C9800206574690072726573616C4665762068206669726C696166000000006F72724503 -:201CA000000000724353534F00000000696C6156697461646420676E0061746162207525A1 -:201CA8007365747900000000252E75252575322E0073257361647055676E6974005746206C -:201CB00061656C70772065732E74696100002E2E697265566E6979666C662067006873615A -:201CB80061656C7072206573617473650000747272746552676E6979647075200065746176 -:201CC00061647055203F65742C593D314E3D3220000000006D7269466572617764707520CC -:201CC8006465746100000000202020200000752564206425000067656C20752573656E6996 -:201CD00000000000252E75252075322E000073756D20642500000056702075256C657869E2 -:201CD800000000732525752500000000656E6F44000000006C696146000064650000732532 -:201CE000656469566E69206F6F7270203E20206300000000706D6153676E696C74706F20F6 -:201CE8003E20202E00000000636E795374706F202020202E3E202020000000007074754F4C -:201CF0006F207475202E74703E2020200000000074736F506F72702D20202E633E202020F9 -:201CF80000000000706D6F436269746174696C693E202079000000007474655373676E69A3 -:201D000074706F203E20202000000000616F4C3C727020646C69666F003E20657661533C21 -:201D0800727020656C69666F003E20657365523C73207465697474653E73676E00000000D9 -:201D100074696E49206C616975706E69000000746B6E694C706E6920702F747500666F72D5 -:201D18002E77463C64707520206574613E202020000000006C6C75462058542075746573E3 -:201D2000000000702033564165746E6963616C7278696665000000006E616353656E696C1E -:201D2800000000736E616353656E696C727473200000002E202E6C53726279687320646932 -:201D3000002E72746E616353656E696C74656D2000646F686E616353656E696C7079742007 -:201D3800000000656E616353656E696C696C61202E6D6E670000000069726F48746E6F7AD6 -:201D40006D206C61006B7361747265566C61636973616D200000006B6B73614D69726220FB -:201D48006E7468670073736565766552206573720046504C5949443C74616C206574202EF7 -:201D5000003E7473703034323838322F727020700000636F703438336F7270200000006350 -:201D5800693038343637352F727020690000636F703038343637352F727020700000636F37 -:201D6000693036393830312F7020693000636F72656E694C6D2078320065646F656E694CA7 -:201D68006D2078330065646F656E694C6D2078340065646F656E694C6D2078350065646FF8 -:201D7000656E694C66207835616D726F00000074783635322030343265707361000074632A -:201D78006D2058540065646F494D444843544920000000006C616E417320676F20636E7969 -:201D80000046504C6C616E417320676F20636E79006874566E7973486F7420636172656C34 -:201D88000065636E6E797356687420636873657200646C6F4C502D487250204C6F432D6582 -:201D9000007473614C502D486F50204C432D74737473616F00000000706D6153676E696C66 -:201D9800616870200000657370303834206E6920706D61730072656C6F6C6C41565420771A -:201DA0005048205078324C4C000000006F6C6C4170752077706D61737832656C00000000A9 -:201DA8007664413C6974202E676E696D3E2020200000000065646956504C206F0000004657 -:201DB000506250596E6920726C6F43200061705372502F5266666F200074657320592F4714 -:201DB8007366666F0000746562502F4266666F200074657372502F52696167200000006EB8 -:201DC00020592F476E6961670000000062502F42696167200000006E73202E486C706D61E0 -:201DC800746172650000006573202E486C636E7900006E6562202E48706B63616863726F15 -:201DD0000000000061202E48766974630000006573202E566C636E7900006E6562202E563B -:201DD800706B63616863726F0000000061202E5676697463000000652D706F547466656C75 -:201DE00000000000746E65430000726574746F42722D6D6F746867690000000000706F548F -:201DE80074746F4200006D6F69726F48746E6F7A00006C61747265566C6163690000000002 -:201DF00065746C4174616E7200676E69746C754D696C70696974616300006E6F74627553EE -:201DF80074636172006E6F690066664F6F74754100000000756E614D00006C61494D4448A7 -:201E0000000000000049564400373A38737361507572687400000000656E694C2820783262 -:201E080029626F6200000000656E694C00007832656E694C282078336563616C000029648F -:201E1000656E694C2820783429626F6200000000656E694C00007833656E694C0000783473 -:201E1800656E694C0000783530323931383031780000003030303631303231780000003066 -:201E2000303239313032317800000030656E65472063697200333A3478303233203034325A -:201E28006974706F00002E6D78363532203034326974706F00002E6D656E65472063697244 -:201E3000393A363100000000484D33336D28207A00296E69484D30316D28207A0029646577 -:201E38004D352E3228207A482978616D0000000020565444703038340000000041534556E6 -:201E40003034362030383478003036402E63655231303620000000002E6365523930372007 -:201E480000000000484D35394828207A2056544400294949484D35334828207A205654448F -:201E500000002949484D36314528207A29565444000000007A484D394453282000295654EC -:201E580000006E4F00818E29000000020081352C00818E3800000009008132FC00818E285B -:201E60000000001C008134F400817778008177840081778C445253550041544100000020F9 -:201E68000081790C0000200020002CE5E926F4FD38BC2000000000000081791800002000BD -:201E70002000323EF113FA043B6120000000000036333531303432780600000007FE00F02C -:201E78000FEA01060112039632312002327830380000303400F005000106061803480FAA85 -:201E800004420112783036390030343203C00000049200F00F80010601120336323300822A -:201E8800343278300000003000F00140010601AA031F0E31491001127836353200303432A1 -:201E900001000000015500F00E2701060112031934329220000070300000000000F002D006 -:201E98000106035A033E0F39000E011236333531303432780600004C07FE00F029EA0138AC -:201EA00001120396323120023278303800003838012005000138061803480FAA0442011295 -:201EA800783036390038383203C00000049201200F800138011203363233008234327830DE -:201EB00000424C3000F00140013801AA031F293149100112783635324C303432010000421D -:201EB800015500F02927013801120319383292200000703800000000012002D001380360B9 -:201EC000033F1345000E0112703438330000000001F00000028001801D3201A70204033E06 -:201EC8003436000E30347830000000300190028001C1032002602430000C02107830343668 -:201ED0000034383302800000032001803F3001EC021002603834000E00006930000000004A -:201ED80000F002D0020D035A033E0F39044F0312703038340000000002D00000035A01E0AF -:201EE0001E3C020D0414063E3436000C383478300000003001E00280020D0320026021301B -:201EE800000C0414783034360032313502800000032002001C300238041402603735000CED -:201EF0000000693600000000012002D002710360033F1345044F0312703637350000000056 -:201EF80002D000000360024027440271040405403038000C303678300000003002580320F9 -:201F0000027404200480175800040010703032370000000005000000067202D014DC02EEE8 -:201F080000180528303100043778343200003836030004000326054006881DA000040010B8 -:201F10003038323132303178050000340698040026F8042A001003703436000436397830AC -:201F18000000693001E00280041A032002602130000D0514303830310000006907800000DA -:201F20000898021C109404650518052C3031000D0070303800000000043807800465089876 -:201F2800052C249400040018303036313032317806400030087004B02EFF04E2001003F109 -:201F3000FFFF0004FFFFFFFFFFFFFFFF7665642F6370652F6F635F716F72746E72656C6CAE -:201F3800615F305F6D5F6C7600006D650000000000000000000000000000000000000000BA -:201F4000000000000000000000000000000000000000000000000000000000000000000081 -:201F4800000000000000000000000000000000000000000000000000000000000000000079 -:201F5000000000000000000000000000000000000000000000000000000000000000000071 -:201F5800000000000000000000000000000000000000000000000000000000000000000069 -:201F6000000000000000000000000000000000000000000000000000000000000000000061 -:201F6800000000000000000000000000000000000000000000000000000000000000000059 -:201F7000000000000000000000000000000000000000000000000000000000000000000051 -:201F7800000000000000000000000000000000000000000000000000000000000000000049 -:201F8000000000000000000000000000000000000000000000000000000000000000000041 -:201F8800000000000000000000000000000000000000000000000000000000000000000039 -:201F9000000000000000000000000000000000000000000000000000000000000000000031 -:201F9800000000000000000000000000000000000000000000000000000000000000000029 -:201FA000000000000000000000000000000000000000000000000000000000000000000021 -:201FA800000000000000000000000000000000000000000000000000000000000000000019 -:201FB000000000000000000000000000000000000000000000000000000000000000000011 -:201FB800000000000000000000000000000000000000000000000000000000000000000009 -:201FC000000000000000000000000000000000000000000000000000000000000000000001 -:201FC8000000000000000000000000000000000000000000000000000000000000000000F9 -:201FD0000000000000000000000000000000000000000000000000000000000000000000F1 -:201FD8000000000000000000000000000000000000000000000000000000000000000000E9 -:201FE0000000000000000000000000000000000000000000000000000000000000000000E1 -:201FE8000000000000000000000000000000000000000000000000000000000000000000D9 -:201FF0000000000000000000000000000000000000000000000000000000000000000000D1 -:201FF8000000000000000000000000000000000000000000000000000000000000000000C9 -:202000000000000000000000000000000000000000000000000000000000000000000000C0 -:202008000000000000000000000000000000000000000000000000000000000000000000B8 -:202010000000000000000000000000000000000000000000000000000000000000000000B0 -:202018000000000000000000000000000000000000000000000000000000000000000000A8 -:202020000000000000000000000000000000000000000000000000000000000000000000A0 -:20202800000000000000000000000000000000000000000000000000000000000000000098 -:20203000000000000000000000000000000000000000000000000000000000000000000090 -:20203800000000000000000000000000000000000000000000000000000000000000000088 -:20204000000000000000000000000000000000000000000000000000000000000000000080 -:20204800000000000000000000000000000000000000000000000000000000000000000078 -:20205000000000000000000000000000000000000000000000000000000000000000000070 -:20205800000000000000000000000000000000000000000000000000000000000000000068 -:20206000000000000000000000000000000000000000000000000000000000000000000060 -:20206800000000000000000000000000000000000000000000000000000000000000000058 -:20207000000000000000000000000000000000000000000000000000000000000000000050 -:20207800000000000000000000000000000000000000000000000000000000000000000048 -:20208000000000000000000000000000000000000000000000000000000000000000000040 -:20208800000000000000000000000000000000000000000000000000000000000000000038 -:20209000000000000000000000000000000000000000000000000000000000000000000030 -:20209800000000000000000000000000000000000000000000000000000000000000000028 -:2020A000000000000000000000000000000000000000000000000000000000000000000020 -:2020A800000000000000000000000000000000000000000000000000000000000000000018 -:2020B000000000000000000000000000000000000000000000000000000000000000000010 -:2020B800000000000000000000000000000000000000000000000000000000000000000008 -:2020C000000000000000000000000000000000000000000000000000000000000000000000 -:2020C8000000000000000000000000000000000000000000000000000000000000000000F8 -:2020D0000000000000000000000000000000000000000000000000000000000000000000F0 -:2020D8000000000000000000000000000000000000000000000000000000000000000000E8 -:2020E0000000000000000000000000000000000000000000000000000000000000000000E0 -:2020E8000000000000000000000000000000000000000000000000000000000000000000D8 -:2020F0000000000000000000000000000000000000000000000000000000000000000000D0 -:2020F8000000000000000000000000000000000000000000000000000000000000000000C8 -:202100000000000000000000000000000000000000000000000000000000000000000000BF -:202108000000000000000000000000000000000000000000000000000000000000000000B7 -:202110000000000000000000000000000000000000000000000000000000000000000000AF -:202118000000000000000000000000000000000000000000000000000000000000000000A7 -:2021200000000000000000000000000000000000000000000000000000000000000000009F -:20212800000000000000000000000000000000000000000000000000000000000000000097 -:2021300000000000000000000000000000000000000000000000000000000000000000008F -:20213800000000000000000000000000000000000000000000000000000000000000000087 -:2021400000000000000000000000000000000000000000000000000000000000000000007F -:20214800000000000000000000000000000000000000000000000000000000000000000077 -:2021500000000000000000000000000000000000000000000000000000000000000000006F -:20215800000000000000000000000000000000000000000000000000000000000000000067 -:2021600000000000017804E53CCE00813FAE03833F333D4905B80383009301B404163C49DD -:202168003CD93F9F04163F10020E04093D0E00C83F6E03833ED03DAC04B2038300E9026416 -:2021700004163C933D493F5604163E9F008184E80000000000000000000000000000000067 -:2021780000000000008173800000000300818520000000000000000000817394000000031F -:20218000008185180000000000000000008173A8000000030081851000000000000000006C -:20218800008173BC00000003008185080000000000000000008173D00000000300818500A9 -:202190000000000000000000008173E400000003008184F80000000000000000008173F86B -:2021980000000003008184F000000000000000000081740C0000000400811AD8008179704D -:2021A000000000000081741C0000000400811B4000817970000000000081742C000000049F -:2021A8000081260C0000000000000000008174400000000000818E2A000A0001008182F8F0 -:2021B000008174500000000000818E390001000100818568008174600000000400812D9C6F -:2021B8000000000000000000008174740000000000818F480001000100818568008174845D -:2021C0000000000000818F490001000100818568008174980000000000818F250002000171 -:2021C8000081826C008174A40000000100818F29000F0000008134AC008174B4000000019B -:2021D00000818F27001C000000813468008174C40000000000818F2800010001008185384E -:2021D800008174D40000000000818F260002000100818260008174E40000000000818F2A6F -:2021E0000001000100818530008174F80000000100818F37003F00000081344C0081750834 -:2021E8000000000100818F38003F00000081344C008175180000000100818F39000F0000E7 -:2021F000008132FC008175280000000100818F4A001F0000008132FC0081753400000004AB -:2021F80000811EC40081796400000000008175440000000000818F310004000100818288FB -:20220000008175540000000000818F320001000100818558008175600000000000818F3339 -:202208000003000100818278008175700000000000818F34000100010081855800817580B7 -:202210000000000000818F350001000100818550008175900000000000818F2C000200014C -:20221800008182A80081759C0000000000818F2D00030001008182B4008175A800000000D3 -:2022200000818F2E00020001008182A8008175B40000000000818F2F00020001008182A81B -:20222800008175C00000000000818F30000200010081829C008175D00000000000818F36F2 -:202230000001000100818548008175E00000000000818F3A0001000100818540008175E8F8 -:202238000000000000818F3B0001000100818568008175F40000000000818F440003000189 -:20224000008182C4008176040000000100818F41001F000000813408008176140000000182 -:2022480000818F4200FF000000813378008176240000000100818F4300C80A00008133788C -:20225000008176340000000100818F46000500000081335C008176440000000100818F4744 -:20225800000500000081335C008176580000000100818F3D001F0001008133180081766869 -:202260000000000000818F3C00020001008182D4008176780000000000818F3E0001000179 -:20226800008185680081768C0000000000818F3F0001000100818568008176A0000000030C -:20227000008185280081797C00811BA8008176B40000000000818F4500050001008182E07D -:20227800008176C00000000000818F400001000100818560008176D00000000100818F4BB4 -:2022800000FF0000008132FC008176DC0000000100818F4C00FF0000008132FC008176E8D3 -:202288000000000100818F4D00FF0000008132FC008176F40000000100818F4E00FF0000E1 -:20229000008132FC008177000000000100818F4F00FF0000008132FC0081770C0000000174 -:2022980000818F5000FF0000008132FC008177180000000200818E3608FC012C00811C38BB -:2022A000008177280000000200818E4200FF000A00811C38008177340000000200818E266A -:2022A80000FF000100811C38008177440000000200818E34078000C800811C380081775054 -:2022B0000000000200818E2E0007000100811C380081775C0000000200818E3C003F000111 -:2022B80000811C380081776C0000000200818E3204B000C800811C38008177A8008177B4ED -:2022C000008177C0008177E8008177EC008177F40081780C0081781800817830008178401E -:2022C8000081780C0081782800817850008178580081786000817868008178740081788096 -:2022D0000081788C00817898008178A8008178B80081788C00817898008178A8008177E8EF -:2022D800008178C8008178D4008178E0008177EC008178F0008178FC008177EC008177E8F9 -:2022E0000081792400817934008179440081795400816EF000816F0000816F0C00816F1833 -:2022E80000816F2400816F3000816F3C00816F4800816F5400816F6000816F6C000000004E -:2022F000008183E4008183EC008183F40000000000000000000000000000000000000000FE +:20003800D8000385D80003C5081073C0DFC00417DEC00504F800283ADEFFFB040080010441 +:20004000D880000500800044D880004500800284D8800085D88000C3DFC0041521003FCC00 +:200048001080020C1080005410800414D88000C5D8800103108000D4D88001052000021EF3 +:200050000080014400000106008000C4D9000103108001CC1085883A00FFF8C420C6703AA4 +:200058001085883A1884B03AD8800105D88001C3D80B883A01000044108001CCD80001858C +:20006000D88001C508108680DFC00417DEC00504F800283ADEFFFC04DC000015040020B4E3 +:2000680084041804DC80021504801304DC400115900B883A2023883A000D883A8009883A18 +:20007000DFC00315081746C089403FCC8009883A000D883A08174D0004400044900B883A55 +:200078008009883A880D883A081746C0880B883A8009883ADFC00317DC800217DC4001179F +:20008000DC000017DEC0040408174A41DEFFFC04DC000015040020B484041804DC80021560 +:20008800DC4001152025883A2823883A8009883A000D883A01401304DFC00315081746C0C4 +:2000900091403FCC8009883A000D883A08174D000180004489403FCC8009883ADFC0031751 +:20009800DC800217DC400117DC000017DEC0040408174D01DEFFFF0421003FCCDFC00015D9 +:2000A00008101940DFC00017DEC00104F800283ADEFFFF0429403FCC21003FCCDFC00015E8 +:2000A800081020C00005883ADFC00017DEC00104F800283ADEFFFB04DCC00315DC800215C3 +:2000B000DC400115DC000015DFC004152825883A3027883A2023883A2821883A8485C83A12 +:2000B80014C0060E8140000389003FCC84000044081020C08C400044003FF8060005883A14 +:2000C000DFC00417DCC00317DC800217DC400117DC000017DEC00504F800283ADEFFFF0433 +:2000C8000140FA04DFC00015081635401009883ADFC00017DEC001040816D2C1DEFFFF04CD +:2000D000000B883A010003C4DFC0001508102900000B883A01001844DFC00017DEC0010403 +:2000D80008102901DEFFFD04DC000015040020B4842624048140008301000144DFC002150D +:2000E000DC40011508102900808000838100030300FFFEC41004D1FA20C6703A044001040A +:2000E8001085883A1085883A1884B03A01400F448809883A8080030508102900010000444F +:2000F000081031C08809883A014007440810290001400C04010018440810290001402C84E7 +:2000F800010002440810290001403E04010002840810290001400DC4010002C408102900FB +:20010000000B883A010003C408102900000B883A0100324408102900000B883A0100328400 +:2001080008102900000B883A010032C408102900000B883A0100330408102900000B883A7E +:200110000100334408102900000B883A0100338408102900000B883A010033C4081029004A +:20011800000B883A01003404081029008140010301003844DFC00217DC400117DC0000175F +:20012000DEC0030408102901DEFFF904DCC003152827883A0140FA04DD400515DD000415CD +:20012800DC400115DC000015DFC00615DC800215202B883A3023883A9CC03FCC0816298017 +:20013000050000441021883A9D00021E0486000400000106048C000401400044010003C440 +:2001380008102900000B883A01000CC408102900900AD23A01000D04048020B40810290036 +:20014000000B883A01000D440810290081403FCC01000C0408102900800AD23A01000C443A +:2001480094A6240429403FCC08102900800AD43A01000C8408102900000B883A010003C481 +:2001500008102900000B883A0100314408102900954002159D000226040002840000010688 +:200158000400008400800044940001C5908001058C403FCC050000448D0002260005883A2F +:2001600000000106008003C401003804908001450140300408102900047FFF040100164407 +:200168009D00051E081027408884703A1140005429403FCC00000306081027408884703A2B +:2001700011403FCC0100164408102900010001040810274011403ACC010001040810290054 +:2001780091400103010038440810290001403904010038840810290091400143010038C446 +:20018000294003CC0810290001403A04010039040810290001400044010003C4081029005A +:20018800000B883A0100244408102900000B883A010024840810290001400044010024C4BB +:2001900008102900000B883A0100250408102900017FF004814AB03A29403FCC010026040E +:2001980008102900040A303A29403FCC280A913A01002644294002D429403FCC08102900BE +:2001A000000B883A010003C40810290001403044010038040810290000BFF04490800185AD +:2001A80000800044DFC00617DD400517DD000417DCC00317DC800217DC400117DC00001734 +:2001B000DEC00704F800283ADEFFFE04DC000015040001048009883ADFC0011508102740D4 +:2001B800108002148009883A11403FCC0810290001400C0401001844DFC00117DC00001741 +:2001C000DEC0020408102901DEFFFE04DC000015040001048009883ADFC0011508102740E1 +:2001C8001404B03A11403FCC8009883ADFC00117DC000017DEC0020408102901DEFFFE04FF +:2001D000DFC00115DC00001521003FCC2000021E000B883A00003B062821883A28003D2659 +:2001D80001400044010003C408102900814000C30100160408102900814001030100164479 +:2001E0000810290081400143010016840810290081400183010016C408102900814001C3F7 +:2001E800010017040810290081400203010017840810290081400243010017C408102900D4 +:2001F000814002830100180408102900814002C301001844081029008140030301001884C3 +:2001F8000810290081400343010018C408102900814003830100190408102900814003C354 +:200200000100194408102900808000C4000B883A8400040410C000031080004428CBC83A86 +:20020800143FFC1E29401BC429403FCC0100174408102900000B883A010003C4081029003A +:20021000014000C4010033440810290000800044000001060005883ADFC00117DC000017D4 +:20021800DEC00204F800283ADEFFFE04DFC00115DC00001521003FCC2000021E000B883A0A +:20022000000020062821883A2800222601400044010003C408102900814000C301001A04EC +:20022800081029008140010301001A44081029008140018301001AC408102900814001C326 +:2002300001001B0408102900808000C4000B883A8400020410C000031080004428CBC83A96 +:20023800143FFC1E29401C4429403FCC01001B4408102900000B883A010003C40810290085 +:20024000014000C4010033840810290000800044000001060005883ADFC00117DC00001764 +:20024800DEC00204F800283ADEFFFD04DC000015000B883A2021883A010003C4DFC002157B +:20025000DC40011508102900044030448809883A0810274084003FCC800AC03A10803F8C23 +:200258008809883A114AB03A08102900014000C401003184DFC00217DC400117DC00001713 +:20026000DEC0030408102901DEFFF904DCC00315DC800215DC4001152025883A2823883A50 +:20026800010001040140074404C020B49CE62404DD000415DC000015DFC006153821883AE6 +:20027000DD4005153029883A0810290098C0030381C0004C00BFFF441884703A39CF883ADE +:2002780011CEB03A99C00305988003171080008C10000226010000440810928001001C0426 +:200280009C0000C308102740108000CC8D4000CC00C00044100B883AA8C0052600C00084D3 +:20028800A8C0041E017FE004114AB03A00000106114010148080020C1000012629400814DD +:200290008080004C10000126294004148080008C10000126294002148400010C80000126D0 +:200298002940011429403FCC01001C0408102900A800131EA50000CC00800044A080082696 +:2002A00000800084A0800E1E88803FCC1080201C10BFE004100050160029883A00004F06A6 +:2002A80088803FCC1080201C10BFE0048D00100C10004A0E00BFE8041528B03A0000470674 +:2002B0000029883A0021883A01001C84081027401080070CA420B03A140AB03A29403FCC73 +:2002B80001001C8408102900988003171080008C100002260140004400000106000B883A65 +:2002C0000100300408102900014005440100010408102900014004040100184408102900F0 +:2002C80094803FCC008000849080081E01402204010018840810290001400404010018C452 +:2002D00008102900014021040000070601400604010018840810290001400404010018C40B +:2002D8000810290001400304010019040810290001000044081031C001000104081027404B +:2002E0001140074C010001040810290001001904081031C001000104081027401140054CC5 +:2002E80001000104081029000400190401000384081027401080040C1000321E0100004442 +:2002F000081031C080BFFFC41021883A10BFFFCC103FF61E00002B06053FE8048C400C0CAE +:2002F800008008048880081E018000C4D16000C401001CC408102B40014020B40180048470 +:20030000296182840000090600800C0488800B1E018000C4D16000C401001CC408102B40DF +:20030800014020B4018004842961798401001D8408102B4004000084003FA3060080040413 +:200310008880081E018000C4D160000401001CC408102B40014020B40180048429617504A0 +:20031800003FF206018000C4D160000401001CC408102B40014020B40180048429617E0486 +:20032000003FEA06000B883A01000304081029000140100401000344081029000140004415 +:200328000100038408102900000B883A0100038408102900081033C000800044DFC00617CB +:20033000DD400517DD000417DCC00317DC800217DC400117DC000017DEC00704F800283A27 +:20033800DEFFFD04DC400115DC0000152823883A2021883A000B883A010003C4DFC0021549 +:200340000810290001001644081027408C403FCC10800BCC8800051E81403FCC280A91BA50 +:2003480029400414114AB03A00000106100B883A29403FCC01001644DFC00217DC40011730 +:20035000DC000017DEC0030408102901008020B410A35D0410C03217D0A27A1518000526EE +:2003580010C03517008040041880021E0005883AF800283A00BFCE04F800283ADEFFFD0403 +:20036000DC400115280F883A2823883A200B883AD1227A17DC000015DFC002153021883A1A +:2003680008171C8010000B1E800D883A8463883A34400626308000031005C2721005D63AC8 +:200370003180004430BFFFC5003FF9060005883A0000010600BFCDC4DFC00217DC4001177D +:20037800DC000017DEC00304F800283ADEFFFB04DC800315DC400215DC000115DFC0041546 +:2003800030803FCC2023883A2825883A3021883A100003268805883A8C89883A0000060610 +:20038800300A923AD1227A170816EBC0103FF92600BFCD84000010061100062610C000035E +:200390001807C2721807D63A1080004410FFFFC5003FF906800AD23A800C923AD1227A1774 +:20039800280A943ADC800015880F883A0816F5001000012600BFCD44DFC00417DC80031731 +:2003A000DC400217DC000117DEC00504F800283ADEFFF904DD400515DD000415DCC0031558 +:2003A800DC800215DC000015DFC00615DC4001152825883A3029883A3827883A0021883AB7 +:2003B0000005883A0540400484800E2E9423C83AAC40012E04404004980D883A880B883A85 +:2003B8008009883A0810D7C010000A1E800D003A880B883A9809883A081738408400400415 +:2003C000003FF1061500021E0005883A0000010600BFCD04DFC00617DD400517DD00041767 +:2003C800DCC00317DC800217DC400117DC000017DEC00704F800283ADEFFFD04DC000115F0 +:2003D0002021883A010020B421262804DFC002150815D44010803FCC1000091E0080800405 +:2003D800010020B4D8800015000F883A000D883A800B883A212628040815F60010803FCCB5 +:2003E000DFC00217DC000117DEC00304F800283A014020B4010020B42966360421262B0429 +:2003E800081477C1014020B4010020B429664D0421262F44081477C1DEFFFB04DFC0041535 +:2003F000DC000015DCC003152021883ADC800215DC40011508107080000B883A0009883A00 +:2003F80084003FCC0810868080002B1E040020B484263B048140011701006734213F3004A5 +:200400000816298081000287014006841023883A0816354000C020B418E66E8410C5883A9D +:200408001140000B8809883A044020B408163540814004031009883A8C66514408163540BD +:20041000814003031009883A2940004408163540810003838CC009C38C800983100B883A56 +:200418002100004408163540980D883A900B883A1009883A0810488089000983DFC004177E +:20042000DCC00317DC800217DC400117DC000017DEC0050408100F81DFC00417DCC00317AB +:20042800DC800217DC400117DC000017DEC00504F800283ADEFFFB04DC0001152021883A46 +:2004300001000044DFC00415DC800315DC4002150810928008106C80000B883A0009883A42 +:20043800081073C084003FCC800F003A000D883A000B883A010000440810988080000D1E45 +:20044000044020B48C663B04048020B4888003C394A6514491C00583D8800015000D883A49 +:20044800000B883A0009883A081005C09080058388800B458009883A0810FB800009883A86 +:20045000DFC00417DC800317DC400217DC000117DEC0050408109281DEFFFD04DFC00215CD +:20045800DC400115DC00001521003FCC2000271ED0A27D4300C0020410C01D2600C00404FD +:2004600010C0062600C0010410C01C1E0009883A081509000100004400002E06040020B46F +:2004680084263B048140011701006734213F30040816298081000287014006841023883AF1 +:200470000816354000C020B418E66E8410C5883A1140000B8809883A0816354000C072B496 +:2004780018F0DFC418800236010003C4000001060009883A08150900000015060009883A43 +:20048000081509000009883A00001206008020B410A6514410C00803044000841021883A1E +:200488001C4002260009883A00000106010003C4081509008100080320803FCC8880042E9F +:20049000008001441109C83A21003FCC00000106010000C4DFC00217DC400117DC00001794 +:20049800DEC003040814A6C1DEFFF50400C020B4DFC00A15DF000915DDC00815DD800715C4 +:2004A000DD400615DD000515DCC00415DC800315DC400215DC0001150089C40418C4380456 +:2004A80019800037054020B4AD4438043000020E10BFFFC4103FFA1E29403FCC21003FCC45 +:2004B000040020B40815560084263B04102D883A808002C310000426AC4000378823D43A1E +:2004B8008C4000CC000001060023883A01000DC40814B54001000E041027883A0814B540A0 +:2004C0001025883A108003CC1004923A01000E4414E6B03A9004D1BA1080004C808002456D +:2004C8000814B54001000E841029883A0814B540A8C00037010000848C403FCC89000E26AC +:2004D000010020B421263B04210002C32000021E010063C424C0082E010000448900041E59 +:2004D8001827D4BA9CC1FFCC9927883A000005069480080C9000032698C032280540004466 +:2004E0000000020698C06428002B883A814002C3048020B4B1003FCC94A651442800131E61 +:2004E8002000212618002026D0E27B030140008419003FCC2100201C213FE0042900070E37 +:2004F00000C020B40100004418E63B04D0227B05190002C5044001040000160618C0004403 +:2004F800D0E27B050023883A000012062000012618000D1ED1227B03017FFF0421803FCC8B +:200500003180201C31BFE0043140040ED0227B05800002C50440010400000506213FFFC467 +:20050800D1227B0500000106D0227B050023883A18008726108003CC1004923A80C00017A7 +:200510001528B03A19000044008020B410A63B0424C007369900004420C0053610C00117FD +:20051800A0C0031E10C00203A8803FCC18800226D022814500000C06D0E281430080004476 +:2005200019003FCC208008261887883AD0E2814518C03FCC1880041E88803FCC00C00084FC +:2005280010C0012E1823883A91400303808008C3010020B400C020B42126514418E63B0493 +:200530002880281E21400343188009032880251E21400383188009432880221E214003C3AD +:200538001880098328801F1E21400403188009C328801C1E214001C3188007832880191EC6 +:2005400021400203188007C32880161E21400243188008032880131E21400283188008430C +:200548002880101E210002C31880088320800D1E9140064381000C0300C020B4008020B457 +:2005500018E6514410A63B042900061E1940068311000C432900031E18C0090310800EC3E5 +:200558001880042688803FCC00C0008410C0012E1823883A90C005C380800B8318800F26FB +:20056000008020B410A63B0411000287014006840816354000C020B418E66F0410C5883A9E +:2005680010C0000B008083441880041E88803FCC00C0008410C0012E1823883AD0A282430D +:200570001000202685C0028701400684058020B4B809883A08163540B5A66B0487000403B5 +:20057800B085883A1140038BE009883A08163540173FFFCC80800C03A0FFFFCC10000A2675 +:2005800001400684B809883AD8C0001508163540B085883A1180060BD8C00017300CD07AFF +:200588003180004C00000106000D883A180B883AE009883A0814DC4088803FCC1000011E76 +:200590000440004484C00015850001158540020591400003810005C300C020B4008020B4FE +:2005980018E6514410A63B0429001E1E194000431100060329001B1E194000831100064308 +:2005A0002900181E194000C3110006832900151E19400103110006C32900121E194001439D +:2005A8001100070329000F1E1940048311000A4329000C1E194004C311000A832900091E23 +:2005B0001940050311000AC32900061E18C0044310800A031880031E90C0094380800F037C +:2005B8001880032688803FCC1000011E044000449100060380800BC304C020B49CE63B04D7 +:2005C0002080062699400403081512C09880044588803FCC1000011E0440004491000703BA +:2005C80080800CC320800126081523C09100074380800D03208001260814D4809100078340 +:2005D00080800D43208001260814D5409100084380800E032080071E008020B410A6514472 +:2005D80010C00883008020B410A63B0410800E4318800226914008830814D140910006C3DB +:2005E00080800C83208006260140060408163540010020B42120A2042089883A0814F78008 +:2005E8009100080380800DC32080012608111580910007C380800D832080012608150D80B6 +:2005F000014020B4010020B40180018429664B0421265B84081637C01000031E010020B4DC +:2005F80021264B040814D60090C0098380800F431880071E008020B410A6514410C009C335 +:20060000008020B410A63B0410800F8318800226910005430810FB80D0A28283100009268D +:2006080091000A0380800FC320C03FCC18800526213FFD0421003FCC2100201C213FE00486 +:2006100008145A40014020B4010020B401800C0429665144212640C408163AC08805883AC3 +:20061800D0228245DFC00A17DF000917DDC00817DD800717DD400617DD000517DCC0041729 +:20062000DC800317DC400217DC000117DEC00B04F800283ADEFFF004DC000615040020B474 +:2006280084263B048240028701400684DF000E154809883ADD400B15DD000A15DCC00915AB +:20063000DA400215DFC00F15DDC00D15DD800C15DC800815DC40071508163540054020B41C +:2006380080C005C3AD666B04A885883A010000848700040312C005031500030B14C004C37E +:20064000DA4002171900031E848006039480004400000E060100004419000B1E10C0060B4C +:200648001906703A810003031800032620000626048000C40000050620000326148005C3BD +:2006500094800118000001060025883A80C0050B008020B40102000410A63B0419001B2675 +:2006580020C00D360100080419001A2620C003360080040418801526000031060100400409 +:20066000190019260100800419002D1E10800A0304400104000016060108000419001626D5 +:2006680020C00536010400041900251E10800A030440014400000E06011000041900132651 +:20067000012000141900162600001D060440010400001E0614400A03008000C41463C83A32 +:200678008C63883A00001906044000C40000170688A3C83A00001506108008831000101ECC +:20068000A53FFA049CC0030400000D061080088310000D1EA53FFA049CC0030400000A0657 +:2006880010C00A03108008830440018488E3C83A1000061EA53FFA049CC0030400000306A2 +:20069000E023883A0000010604400144D0E27D43008002041880011E5AD7883A89803FCC3F +:20069800E5C03FCCB985C83A4809883A01400684D9800515DA400215DAC00115D8800015B3 +:2006A00008163540A885883A1280028BD9000017500B883ADA80031508163540102CD7FA7A +:2006A80081000A4389403FCCB085883A102DD07A08163540DA400217DAC00117B08F883A99 +:2006B0004809883A01400684D9C004155C7FFFCC08163540A885883A12C00483D9400017E9 +:2006B8008AC9883ADAC0011508163540B085883AB80B883A113FFFCC08161A00E1003FCC0F +:2006C000100B883A0816354080C00883DAC00117B809883A1A4000584812977A580B883A68 +:2006C800D8800115DA40021508163540DA80031787000343B809883A500B883A10807FCC24 +:2006D000E03897BA102C92FA081635401081FFCC880B883AB809883A1738B03A0816354046 +:2006D80080C00483DA400217B72CB03A10C5C83A10803FCC1004953AB086B03A008020B472 +:2006E0001A46B03A1084340410C0003580800443D9800517D9C004171006937A8080040345 +:2006E800300C943A380E94FA100492BADA0001171884B03A118CB03A31CCB03A423FFFCC82 +:2006F000008020B4320CB03A108430041180003580C0030380800703810002871806977A57 +:2006F800100496BA014006841886B03A80800A831004953A18A2B03A08163540A885883A95 +:20070000108005431004947A8886B03A900496FA18A4B03AA0BFFFCC90A4B03A98BFFFCCB3 +:20070800100492FA90A4B03A008020B410842C041480003580800F03100693BA80800AC3F0 +:20071000100492BA1884B03A80C006C310C4B03A80C006831806927A10C6B03A8080064320 +:200718001004913A1884B03A00C020B418C4280418800035DFC00F17DF000E17DDC00D1769 +:20072000DD800C17DD400B17DD000A17DCC00917DC800817DC400717DC000617DEC01004E0 +:20072800F800283ADEFFF304DC000415040020B484263B04DC4005158440011700800044F7 +:20073000DFC00C15DDC00B15DD800A15DD400915DD000815DCC00715DC800615D0A2814514 +:200738008800162684C000179800142601006734880B883A213F3004081629801025883A6D +:200740008080020301283BF4980B883A212EC0041000042608162980880B883A1009883A93 +:200748000000030608162980880B883A1089883A081629801023883A000002060445DC0424 +:20075000048F550401000EC40814B54001000F041027883A0814B5408080058300C02074BF +:2007580018DDE9041085883A1085883A1885883A11C000178080020380C000171000021E1E +:2007600000801A440000010600801C0401802074010020B4D8C00015319DA1040140044461 +:2007680021262F44D880011508163D40014019048809883A081629800140FA049009883A9C +:200770001029883A08162980014019048809883A102B883A08162FC00140FA049009883A52 +:20077800D8800215DD00011508162FC0014002841009883A0816298001802074010020B49F +:20078000D8800015A80F883A319DA3040140044421264D0408163D40D0A288831000011E96 +:200788000810FA4081000017D1E2820381400203A00D883A044020B408155D0011003FCC4C +:200790002100201C213FE00400FFFFC48C663B0420C0011E00800104888002858480028319 +:2007980001400684054020B4D4A27C0594803FCC9480201C94BFE0049009883A08163540D2 +:2007A000AD666B04A885883AD0E2820314400583858004038500011788E2703AD4627D4500 +:2007A80015C0038BB1403FCC9CC03FCCB93FFFCC081635409809883A100B883A0816354042 +:2007B000A00B883A1009883A08162980B1003FCCB80B883A1027883A0816354015BFFFCCAE +:2007B80080800C038C403FCCA53FFFCC10000826014006849009883A08163540A885883AA6 +:2007C00011C0060B380ED07A39C0004C00000106000F883A80800D439CC03FCCA00D883A64 +:2007C800D880031580800E43B00B883A8809883AD880021580800E03DCC00015D8800115E1 +:2007D0000815304081000DC30811158081000BC381400403081512C080800445081189404C +:2007D80080800B03810003831000051E008020B410A63B04114003C3290B003A00000106E4 +:2007E000000B883A0810CE0080C00E83008020B410A63B0481000B0318000B26DFC00C1792 +:2007E800DDC00B17DD800A17DD400917DD000817DCC00717DC800617DC400517DC00041719 +:2007F000DEC00D0408110B412000061E11C00B43108003C3000D883A000B883AD880001513 +:2007F800081005C0DFC00C17DDC00B17DD800A17DD400917DD000817DCC00717DC80061799 +:20080000DC400517DC000417DEC00D04F800283AD1227CC3DEFFFE04DC000015DFC00115E9 +:20080800081423401021883A1000141E008020B410A65144D0E27CC310800BC301000284A7 +:20081000D0E2808511403FCC29000126D0A27D05D0A280C310000226D0A27D03000001068B +:200818000005883A010020B4212633842085883A010003C410C00005081406C08005883AF9 +:20082000DFC00117DC000017DEC00204F800283AD1227CC3DEFFFE04DC000015DFC0011559 +:20082800081406C01021883A10000F1ED0E27CC3D0A280C3D0E2808510000426008020B4B3 +:2008300010A63B0410800583000001060005883A010020B4212633842085883A010003C4CB +:2008380010C00005081406C08005883ADFC00117DC000017DEC00204F800283ADEFFFD041C +:20084000DC000015D4227C03DC40011501400684D422810584003FCC8009883A044020B4C7 +:20084800DFC002158C666B04081635408885883A10C0038B014006848009883AD0E2800D64 +:2008500010C00503D0E2830D10C00483D0E27B8D10C0028BD0E27F0D10C00543D0E27D8DEE +:20085800108004C3D0A2818D081635408885883A1080030BD0A27E8DDFC00217DC40011740 +:20086000DC000017DEC00304F800283ADEFFFA04008020B4DD000415DCC00315DC8002153A +:20086800DC400115DC000015DFC0051510A63B0410C002C3040020B42029883AD46281036D +:2008700084266B04D4E27B8BD4A2818B180021261080028714401F1E8809883A01400684EA +:20087800081635408085883A1100038BD0E2800B20C0161E11000503D0E2830B20C0131EAC +:200880001100048398C03FCC20C0101E1100028BD0E27F0B20C00D1E11000543D0E27D8B57 +:2008880020C00A1E10C004C390803FCC1880071E014006848809883A081635408085883A61 +:2008900010C0030BD0A27E8B1880022600800044D0A282458809883A014006840816354081 +:20089800D0E2800B8085883A8809883A10C0038DD0E2830B14C004850140068410C0050547 +:2008A000D0E27F0B10C0028DD0E27D8B148004C510C0054508163540D0E27E8B0180207409 +:2008A800010020B48085883AA1FFFFCC319ED904014004442126360410C0030DDFC00517D8 +:2008B000DD000417DCC00317DC800217DC400117DC000017DEC0060408163D41DEFFFE04BB +:2008B800DC000015040020B4DFC0011584044004008004448080003580000035008020B4D0 +:2008C0001084340410000035008020B410842C04100000350109C4040816D2C000807444F0 +:2008C800D0A27E0D0080744480800035010000F4210350040816D2C0018001B40140673477 +:2008D000010020B43186A004297F30042104180408173F800814694008149F4010002626C1 +:2008D8000009883A0814B54000C03FC410C0242608151AC0010000840810274010803FCCB1 +:2008E00000C004C410C0201E0810364008145DC01000022600800044D0A282850810D4C07A +:2008E8001021883A10001A1E0812B3C001402074010020B401800D84295E598421265D84E0 +:2008F00008163AC0010003C408142340D122808308142340008020B410843C041080003725 +:2008F800108000AC1000011E0812B8C00009883A08110B4000000706043FFF8400000506D6 +:20090000043FFF4400000306043FFF0400000106043FFFC48005883ADFC00117DC00001704 +:20090800DEC00204F800283AD0A27C4300E00004DEFFF1041080004410803FCC1008933A96 +:20091000D0A27E0BDC000515040020B410C4B03A2084B03AD0A27E0DDFC00E15DF000D15F2 +:20091800DDC00C15DD800B15DD400A15DD000915DCC00815DC800715DC400615D900030DFC +:2009200010BFFFCC840440048080003501802074010020B4319DA9040140044421263604AD +:2009280008163D400810F900054020B404C020B4058020B405C020B400800044AD443C046B +:200930009CE65D84B5A63604BDC42404AC4000378C7FFFCC1000611E8800602698C0058B88 +:20093800D0A27E0B88C0551E00F3FFC41884703AD0A27E0D10BFFFCC80800035B00000056C +:200940000810F900010001B42106A0040816D2C0D0A27E0BD8C0030B1090001410C4B03A42 +:20094800D0A27E0D10BFFFCC808000350100FA04081613C007000044081617801700021E9C +:20095000BC800037903FFC0E0816198090BFFFC410BFFFCC00FFFF541880072E01802074A4 +:20095800010020B4319DAC04014004442126360408163D4000002F069038D43A953FFFCCDD +:200960000083FFC4E703FFCC01401904A009883AE0800F1E08162980A009883A0140190496 +:20096800173FFFCC08162FC010BFFFCC01802074010020B4D8800015E00F883A319DAE041F +:20097000014004442126360408163D40000019060816298011FFFFCC01401904E009883AF8 +:20097800D9C0041508162FC010BFFFCC01401904E009883AD88002150816298010BFFFCC2D +:2009800001401904A009883AD880011508162FC0D9C0041710BFFFCC01802074010020B4DB +:20098800D8800015319DB104014004442126360408163D400810F9000000020698C0060B38 +:2009900088C00A26D0E27E0B00AFFFC41884703AD0A27E0D10BFFFCC808000350109C4043E +:200998000816D2C08805883A003F98061083FFCCD0A27E0D808000350005883ADFC00E1748 +:2009A000DF000D17DDC00C17DD800B17DD400A17DD000917DCC00817DC800717DC4006174C +:2009A800DC000517DEC00F04F800283ADEFFFF04DFC000150811CA400814CC80008020B4B9 +:2009B00010A6514411000543DFC00017DEC0010408110B41DEFFF404DFC00B15DF000A1533 +:2009B800DDC00915DD800815DD400715DD000615DCC00515DC800415DC400315DC00021567 +:2009C00008122DC010001E160080144401802074010020B4000F883A319DB6040140044428 +:2009C80021262F44D880001508163D4001402074010020B401800444295DC20421264D04F6 +:2009D00008163AC00810FA400100023421284804054020B4040020B40500207404C020B4AF +:2009D8000816D2C00025883A0023883AAD443C0484263B04A51DE9049CE6514400003C0661 +:2009E00001802074010020B4100F883A319DBB040140044421262F4408163D4001402074EC +:2009E800010020B401800444295EE80421264D0408164EC00810FA40003FFF06113FFF84B1 +:2009F00021003FCC014000C42900322ED122850311003026D0A28505D0A28417D0E28415F7 +:2009F80010000126D02287150812D340102F883AD0A28883100002260009883A0813BAC0D7 +:200A0000D0A27D0381000583058020B410C03FCCB5A63B0420C0211E98C00543B0800B0310 +:200A080018800B26000F883A000D883A000B883A0009883AD8000015081005C09900054322 +:200A100008110B40988005438000011580800B05008020B410A65144108005431000782637 +:200A1800B08005831000821E01004B040816D2C0A880003710FFFFCCD0E286150086303AE0 +:200A20001004D63A18C000ECD0E28715D0A28805103FCA1ED0228615003FCE06010003C4E2 +:200A2800D1228205070000C4E0C0062E0100014420C0062E0440008407000044880B883AD3 +:200A300000000506014000440000020607000084000B883A0023883A10BFFFC410803FCCA4 +:200A38000100020420801836100490BA01002074210A40041105883A108000171000683A16 +:200A400000812944008129240081292C0081292C00812924008129340081294400812924C6 +:200A48000081292C0480008400000706048000C40000050600800404D0A282050480004407 +:200A5000000001060025883AD0A280C310000B26008020B410A6338410C7883A18800003AD +:200A5800D0E2808311003FCC20C00426D9400115D0A2808508142340D9400117D0A27D035B +:200A600029003FCCB1400DC3B0800585B00002C528803FCC00C000441880042E008001440A +:200A6800114BC83A29403FCC00000106014000C40814AD800814C3C008107080D0A2828329 +:200A700010000226E1003FCC0814564091403FCC89003FCC08154280B0800583010020B4B4 +:200A7800018004441085883A1085883AA085883A1140001721262F44B000011508164EC0EC +:200A800001402074010020B401800444295DBF0421264D0408164EC0D0A288831000011E2A +:200A88000810FA40D0E27C830080028418BF7A1EB83F7926010003C4081406C0003F7606DB +:200A900099C00583B0800B4338BF8526808003C3000D883A000B883AD88000150009883AAB +:200A9800081005C09880058380800B45003F7C0691403FCC89003FCC0811268010803FCCE6 +:200AA00000C0008410C0252600C0010410C0032600C0004410FF741E00002406808002C385 +:200AA80010000926D0A27E0B00C020B418C4400410800094D0A27E0D10BFFFCC18800035B8 +:200AB00008126AC0003F6806800001150814C3C080800583010020B4018004441085883A83 +:200AB8001085883AA085883A1140001721262F4408164EC001402074010020B4018004441F +:200AC000295DBF0421264D0408164EC0D0A28883103F551E0810FA40003F5306808002C31B +:200AC800103F51260811CA40003F4F06808002C3103F4D2608118940003F4B0601402074C3 +:200AD000010020B4DEFFFF0401800C04295DF40421265144DFC0001508163AC0014020B485 +:200AD800010020B40180BC842960AE0421266B0408163AC000800044D0A282450005883A9B +:200AE000DFC00017DEC00104F800283ADEFFF804DD000415DCC00315DC80021505002074B4 +:200AE800048020B404C020B4DD800615DC000015DFC00715DD400515DC400115002D883A82 +:200AF0000021883AA51E670494843C049CE65D8401402074010020B401800444295E00041C +:200AF80021262B0408164EC08405883A1085883AA085883A11400017010020B401800444AD +:200B00002126360408164EC00810F9000023883A054000849080003710BFFFCCD0A286157B +:200B080090C0003700C6303A18C000ECD0E2871510001A26B08019268407883A98C7883AD7 +:200B100088000A1E01402074010020B401800444295E020421262B041880000D08164EC0CE +:200B18000810F9000440004400000C0618C0000B10C0092601402074010020B401800444BD +:200B2000295E040421262B0408164EC00810F9000023883A0000010604400084D0A28417B2 +:200B280010000F1ED0E287170080007418800C1E8000091E01402074010020B401800D8407 +:200B3000295E598421265D8408163AC004400084040006C400000206843FFF840440008455 +:200B3800D0A28717D5A28617D0A284158D4003260109C4040816D2C0003FC6068400004423 +:200B400000800684143FB20E010003C4DFC00717DD800617DD400517DD000417DCC0031792 +:200B4800DC800217DC400117DC000017DEC00804081406C1DEFFEC04D8800CC4D8800415FE +:200B5000008020B410A6544410C00044D8C006150140207410C00084D8800515D8C00715CD +:200B5800018001C410C000C4295E57C410800104D9000B04DFC01315DD401215DD00111576 +:200B6000DCC01015DC800F15DC400E15DC000D15D8000CC5D8C00815D8C00915D8800A156C +:200B680008163AC0D1228617014020B40005883A29665D84018006841087883A1947883AF3 +:200B700018C0000B044020B48C665D84190003261181352610800044003FF70600C006840E +:200B780018812C36100490BA00C0207418CB7F0410C5883A108000171000683A0081328C1B +:200B800000812E7800812E8800812E6800812E8000812E9000812E700081329400812E98C5 +:200B880000812EA000812EA8008132940081329400813294008132940081329400813294FD +:200B900000812ED400812FD400812FE4008130100081305C0081303C0081308800813220E3 +:200B980000813248008131980080008400010A06008000C4000108060080010400010606FE +:200BA00000800144000104060080018400010206008001C400010006008002040000FE0681 +:200BA800008002440000FC06D0A288831007003AD0E28885D0E28083D0E27CC51000031EFF +:200BB000010000440813BAC00000F2060810FA400000F006008020B410843804140000379C +:200BB800D4A28083044020B48C663B0489000287014006840816354000C020B418E66B04EA +:200BC0001885883A01802074010020B4D8800015900F883A319E08040140044421262B0424 +:200BC80008163D40888002C3100028268482000C008020B49022C03A1084200411400037F5 +:200BD00001283BF4212EC004081629801027883A8081FFCC108000441444983A1463883AD7 +:200BD8009000022604801A440000010604801C048020D43A840000CC8000021E040008040A +:200BE0000000010604000A84014019049809883A08162FC0014019049809883AD88003155D +:200BE8000816298001802074010020B4D8800215DC000115DC800015880F883A319E0B0433 +:200BF000014004442126360408163D400810F9000000B006D0A27E0B1080041CD0A27E0DD1 +:200BF8000000AC0600C020B418E65144188000030140004411003FCC290002361145883A4F +:200C0000000001060005883A188000050000A10600C020B418E6514418800043014000443B +:200C080011003FCC290002361145883A000001060005883A1880004500009606008020B49C +:200C100010A6514410C0010319003FCC2000012618FFFFC410C0010500008E0600C020B462 +:200C180018E65144188001030140038411003FCC290002361080004400000106008003C426 +:200C2000188001050000830601402074010020B401800444295E110421262B0408164EC0DC +:200C280001402074010020B401800444295E15042126360408164EC0048020B4050020B4BB +:200C3000054020B40810F90094843C0404C006C4A5266B04AD663B04908000370021883ADE +:200C380010BFFFCC8407883A1C47883A18C0000B10C002268400004484FFFA1EA900028720 +:200C40000140068408163540A085883A108005C300C0014418803F3600C001041C001916D5 +:200C4800D9000B042087883A18C000031C07D83A18C0004C180007261085883A1085883A14 +:200C5000D8C004041885883A10800017140000050000300601802074010020B481C0004420 +:200C5800319E1804014004442126360408163D400810F90001000234212848040816D2C05F +:200C60000000240600800304808022260109C4040816D2C0003FD00601402074010020B43A +:200C680001800444295E1C0421262B0408164EC001402074010020B401800444295E20043C +:200C70002126360408164EC0040020B40810F90084043C04048006C404C0024405000304A2 +:200C7800808000370009883A10BFFFCC2107883A1C47883A18C0000B10C01D1E99001F16F5 +:200C8000014002842100004408162240D0A27CC5081201000810FA40D022888500001D0666 +:200C880000C020B418E65144188006030140078411003FCC290002361080004400000A0657 +:200C90000005883A0000080600C020B418E651441880060311003FCC2000022610BFFFC4B1 +:200C980000000106008007C418800605000009062100004424BFDD1E00000106253FE5267F +:200CA0000109C4040816D2C0003FD506008000440000010600800284D0E27E0B18C0401C58 +:200CA800D0E27E0D0000010600800284D0E287171900006C20000926008020B410A63B0475 +:200CB000108005830100024411403FCC2900022610800044000001060080004418C000ACF5 +:200CB80018000A26010020B42126514420C000030180004419403FCC314002361987883A0C +:200CC000000001060007883A20C0000510C03FCC0100028419000326D0A27D050080004403 +:200CC800000001060005883AD1227E0B00FFFCC420C8703AD0E28883D1227E0D1800051EFB +:200CD000D0E27F8318C7883A18C7883A20C6B03AD0E27E0DD1227E0B00C020B418C44004D1 +:200CD80019000035DFC01317DD401217DD001117DCC01017DC800F17DC400E17DC000D1714 +:200CE000DEC01404F800283ADEFF6B04D9000304DC008C15DFC09415DDC09315DD809215AA +:200CE800DD409115DD009015DCC08F15DC808E15DC408D150810F3801021883A08160E40C0 +:200CF0008000641E01800104D9400304D900830408164EC00140207401800104295EAF041B +:200CF800D9008304081648C010002D1ED8800403DCC08484D9400484D8808405D8800443D7 +:200D0000018002049809883AD880844508164EC0D880068BD8008645D8808B0DD880070BB6 +:200D0800D8808B8DD9408B17280BC232D880078BD9408715D8808B0DD880080BD8808B8D0F +:200D1000D8808B171005C232D8808815D880088BD8808B0DD880090BD8808B8DD8808B171A +:200D18001005C232D8808915D8808217D8808B151005C232D8808A1500C0788428BFF984B3 +:200D20001880093601800044D900030408173840D8C08A1710C006260400198400002D0697 +:200D28000400190400002B06040019440000290601802074010020B4319EB10401400444D2 +:200D300021262B0408163D40D9C0881701802074010020B4319EB5040140044421263604DE +:200D380008163D400810F900DD008817DD8089170023883A0005883A05C080048D00132EB3 +:200D4000A465C83ABC80012E048080048D408004A80CD27A010020B4DC800015000F883AAD +:200D4800D9400304212628040815F60014003FCC8000081E880D003A900B883AD900030414 +:200D500008173840A823883A003FEC06B080761E00000206048000C400004906D880848768 +:200D5800D9C08403D8C084431000C82600802074109E4B0401802074010020B4D8C0001556 +:200D6000319EB8040140044421262B04DCC00215D880011508163D4001402074010020B483 +:200D680001800444295ECE042126360408163AC0044020B4040020B40810F9008C443C049F +:200D700084265D848880003780C0000B10BFFFCC10C0B11E0814C3C0D0A27E0B00C020B4E7 +:200D780018C4400410800094D0A27E0D10BFFFCC188000350109C4040816D2C0014020745C +:200D8000010020B401800444295EBB0421262B0408164EC0048000C401402074010020B4DB +:200D880001800444295EBE042126360408164EC00810F9000027883A058080040500400440 +:200D9000DC4088179C406E2E8CE3C83AB440012E044080049D408004A80DD27A010020B47D +:200D9800DC400015000F883AD9400304212628040815F60010803FCC10004E2600A1C83AD1 +:200DA00008160E40008000448080362614000F1600BFCD4480802D261400051600BFCD048C +:200DA80080802D1E01402074295EA80400002F0600BFCD848080222600BFCDC48080261EB7 +:200DB00001402074295E9C04000028060080198480801226140007160080190480800C26A4 +:200DB8000080194480801C1E01402074295E8A0400001E06008019C480800D2600801A04C8 +:200DC0008080151E01402074295E97040000170601402074295E86040000140601402074F7 +:200DC800295E8E040000110608160E40048000C401402074040019C4295E920400000B0643 +:200DD00001402074295EA0040000080601402074295EA4040000050601402074295EAD04D9 +:200DD8000000020601402074295E8204010020B4212636040180044408164EC00810F900B5 +:200DE000010003F4211090040816D2C080004A0E9000492601802074010020B4319ECA0428 +:200DE8000140044421262B0408163D4094BFFFC4003F99069827D23A880B883AA440012E2A +:200DF00001404004980D883AD90003040810DEC01021883A103FAA1EA4400236A827883AAA +:200DF800003F970699800044897FC004D90043040810DEC01021883A103FF826003FA006BB +:200E000001402074010020B401800444295EC20421262B0408164EC001402074010020B4C6 +:200E080001800444295EBE042126360408164EC00810F900D9808917D9408817D9C00304A9 +:200E10000009883A0810E9001021883A103F8C1E08160E4001402074010020B4018004442B +:200E1800295ED30421262B0408163AC001402074010020B401800444295EC6042126360489 +:200E200008164EC00810F900003FFF0600802074109EE804003F370680C0008B10C0032643 +:200E28000109C4040816D2C0003F4606048000C404001A04003F720600BFFFC4DFC09417B0 +:200E3000DDC09317DD809217DD409117DD009017DCC08F17DC808E17DC408D17DC008C178A +:200E3800DEC09504F800283A21C03FCC01802074010020B4319ED80401400444212636047E +:200E400008163D41DEFFFF040141194421003FCCDFC0001508163540014019041009883ACB +:200E480008161A0001802074010020B4100F883A319EDA040140044421263604DFC000171A +:200E5000DEC0010408163D4121C03FCC01802074010020B4319EDC040140044421263604B4 +:200E580008163D41014003F4DEFFFC042950900421003FCCDFC00315DC400215DC000115B4 +:200E600008163540014018F4294BA8041009883A1021883A081629808009883A01465904EE +:200E68001023883A081629800140FA041009883A08162FC0014002841009883A081629801E +:200E700001802074010020B4D8800015880F883A319EDF04014004442126360408163D405B +:200E7800DFC00317DC400217DC000117DEC00404F800283ADEFFFF04014119C421003FCC4D +:200E8000DFC0001508163540014019041009883A08161A0001802074010020B4100F883ACF +:200E8800319EE2040140044421263604DFC00017DEC0010408163D4121C03FCC0180207495 +:200E9000010020B4319EE404014004442126360408163D41DEFFFF0401409C4421003FCCE3 +:200E9800DFC0001508163540014019041009883A08161A0001802074010020B4100F883AB7 +:200EA000319EE7040140044421263604DFC00017DEC0010408163D4121003FCCDEFFFF046D +:200EA80001409C4421000044DFC0001508163540014019041009883A08161A0001802074D7 +:200EB000010020B4100F883A319EE7040140044421263604DFC00017DEC0010408163D41B3 +:200EB80021003FCC2100201C213FE00421FFFD0401802074010020B4319EE90401400444FD +:200EC0002126360408163D41DEFFFF040140068421003FCCDFC0001508163540014020B4C2 +:200EC80029666B04010020B401800444288B883A21263604DFC00017DEC0010408164EC1F2 +:200ED00021003FCC2109883A008020B410A09C042109883A1109883A21400017010020B431 +:200ED800018004442126360408164EC121003FCC00800284208007262109883A008020B444 +:200EE0002109883A10A31A041109883A214000170000020601402074295EEB04010020B4B9 +:200EE800018004442126360408164EC1D0A28617DEFFF70401C020B4DFC00815DDC0071582 +:200EF000DD800615DD400515DD000415DCC00315DC800215DC400115DC00001500C002C46D +:200EF80039E65D840180044418CB883A29CB883A2940000B2880041E21003FCC1827883A4B +:200F00002000051E0000030618C0004419BFF61E0001080610010A26D5228843040020B493 +:200F080084218704A5C03FCCB82290FA014005048445883A148001031580001795403FCCCC +:200F1000A809883A08163540B0C00117993FFD0421003FCC1885883A00C00104180B883A25 +:200F180019002836200890BA00C0207418CF1F0420C9883A20C000171800683A00813CC495 +:200F200000813C9000813CA800813DA800813DA8A800011EB480000394BFFFC48461883A78 +:200F28008480010500008A06B14000038461883AA900004484000104081622408080000579 +:200F300000008306B8000326A53FFFC4D522884500007F06D0228885DFC00817DDC00717CF +:200F3800DD800617DD400517DD000417DCC00317DC800217DC400117DC000017DEC00904F1 +:200F40000810FA4111000103014000C42140062620C06F1E1080021704000044103EE83AC9 +:200F48001023883A00006C061080041710000126103EE83AD4E28843014005049C403FCC1E +:200F50008C800044882290FA902490FA8445883A154000171100010384AD883AAD000117FB +:200F580008163540B0C00017A085883A1080021718800126B000010584A5883A8461883AC8 +:200F600081000103AC40011701400504081635408885883A108002179CC00044D4E2884570 +:200F68009080001500004A0610C0010328C04836180690BA01002074210F72041907883A35 +:200F700018C000171800683A00813DDC00813DDC00813E4400813E8400813E8411C0021711 +:200F780098C03FCC020003C4114003031100034339800003108003831A00081E20C03FCC82 +:200F800032003FCC1A00022E30BFFFC400000B0629403FCC2800091E0000070610C03FCC5C +:200F880032003FCC40C0022E308000440000030629403FCC280001262005883A3880000578 +:200F900000002306110002179CC03FCC014003C420C0000B9940051E1080030B197FFFCC97 +:200F98001140062E18FFFFC4000004061080038B197FFFCC2880012E18C0004420C0000D6F +:200FA0000000130611400317290000172800102698C03FCC018003C4208000031980041E06 +:200FA80010C03FCC1800092610BFFFC40000070628C0010311803FCC19403FCC3140022EDB +:200FB00010800044000001061805883A208000050023883A0021883AD0A2884300C020B429 +:200FB80018E18704100490FA014005041885883A1100010314C00017081635401025883AC4 +:200FC00098800117010020B421262B041485883A114000170180044408164EC098800117AE +:200FC800010001041485883A10C0010320C03636180690BA01002074210FD2041907883AA3 +:200FD00018C000171800683A00813F5C00813F8C00813F9800813FE000813FA810C00217A7 +:200FD800110004170180044418C0000318C5883A1085883A2085883A11400017010020B48F +:200FE0002126360408164EC000001F0610C002171080041700001A0610C0021710800417D7 +:200FE8001900000B0000170680000D268800032601C0207439DEEF040000020601C0207488 +:200FF00039DEED0401802074010020B4319EF104014004442126360408163D4000000A0676 +:200FF800108003171000041E008020B410A63604100000050000040610C00017108002170A +:2010000019000003103EE83ADFC00817DDC00717DD800617DD400517DD000417DCC003176A +:20100800DC800217DC400117DC000017DEC009040810F90121003FCC203FA51E103FA41E10 +:20101000DFC00817DDC00717DD800617DD400517DD000417DCC00317DC800217DC4001173D +:20101800DC000017DEC00904F800283A21003FCC008003C411006336009114B4DEFFB804B1 +:201020001094D544D88000150080107410951044DC404115D8800115244002B000801444BB +:20102800DCC04315DC400285DFC04715DD804615DD404515DD004415DC804215DC004015CD +:20103000D8000205D88002458C403FCC24C0040488001C1E014020B40080110401800284EC +:2010380029663384D9000344D88002C5D800030508163AC0D0A27D03014020B401800D8402 +:20104000D8800605D0A27C8329665D84D90006C4D8800645D0A280C3D88005C5D0A27F83BB +:20104800D880068508163AC0980C923A01401444D809883A0810DEC01004C03A0085C83A96 +:201050000000320600BFFC84014020B401800C04D88003452966514400800084D90003C4FB +:20105800D98002C5D8000305D880038508163AC0014020B49826923A0180304429666B04EE +:20106000D9000FC408163AC0980D883A01404004D809883A04004004054020B40810DEC006 +:201068000480304405008C44AD666B04802D883A917FFFCC800D883AA94B883AD809883A27 +:2010700008163AC089803FCC800B883A84A5883AA421C83A34CD883AD809883A8029883A64 +:20107800843FFFCC0810DEC08C40004480000326B43FEF2E04004004003FED060005883A0A +:201080000000020600BFFFC4F800283ADFC04717DD804617DD404517DD004417DCC043170E +:20108800DC804217DC404117DC004017DEC04804F800283ADEFFB704DFC04815DDC047151B +:20109000DD804615DD404515DD004415DCC04315DC804215DC404115DC00401521003FCC65 +:20109800008003C41100022E047FFFC400007906240004048008943AD80D883A014040043D +:2010A0000810D7C0103FF81E014020B40180020429609F04D809883A081648C01023883A96 +:2010A8001000691ED88002031000691ED8C00243008014441880661ED880028310000326B6 +:2010B00000C0004410C02C2600006206D8800303D8C002C31004923A10C4B03A00C0110464 +:2010B80010C05C1E01C020B4D8C003440005883A0180024439E63384014002841900000313 +:2010C00022003FCC3200023611D1883A410000051080004418C00044117FF81ED880064358 +:2010C80000C00244D0A27C8511003FCC1900032ED880060311003FCC19000136D0A27D0568 +:2010D000D88005C3010020B401800D84D0A280C5008020B410A6338410800003D94006C40B +:2010D80021265D84D0A28085D8800683D0A27F8508163AC000003706D8800317D8C002C3DE +:2010E00011803FCC300C923A30CCB03A00C00C0430C0301E1004D23A00C0BC841025883A41 +:2010E80010BFFFCC10C02B1E010020B48020923AD94003C42126514405C020B408163AC087 +:2010F0000027883A002D883A05400FC405004004BDE66B04A97FFFCCA14DC83A90BFFFCC98 +:2010F800B13FFFCCD94B883A11800F16B909883A08163AC0990000442027883A21003FCCD3 +:201100002409883A2008923AA56BC83AD80D883A01404004ADAD883A94BFC0040810D7C0CC +:20110800002B883A003FEB06100D883A008020B410A66B041109883A08163AC0008000449A +:20111000D0A28245000003060440004400000106044000848805883ADFC04817DDC04717DE +:20111800DD804617DD404517DD004417DCC04317DC804217DC404117DC004017DEC049040F +:20112000F800283ADEFFFC04DC000015040020B484041804DC80021504801284DC4001154C +:20112800900B883A2023883A000D883A8009883ADFC00315081746C089403FCC8009883A30 +:20113000000D883A08174D0004400044900B883A8009883A880D883A081746C0880B883A63 +:201138008009883ADFC00317DC800217DC400117DC000017DEC0040408174A41DEFFFC04CA +:20114000DC000015040020B484041804DC800215DC4001152025883A2823883A8009883A1E +:20114800000D883A01401284DFC00315081746C091403FCC8009883A000D883A08174D00A3 +:201150000180004489403FCC8009883ADFC00317DC800217DC400117DC000017DEC00404A0 +:2011580008174D01DEFFFE040080004421003FCCDC0000151120983A01000184DFC001150C +:2011600084003FCC800B883A08144FC0800B883A010001C4DFC00117DC000017DEC0020407 +:2011680008144FC1DEFFFE042109883ADC00001524003FCC800B883A01000044DFC0011509 +:2011700008144FC0800B883A01000084DFC00117DC000017DEC0020408144FC1DEFFFF0408 +:2011780001000144DFC000150814484000C0218410C0141E014024040100080408144FC0B1 +:20118000000B883A0100084408144FC0000B883A0100088408144FC0014000C4010008C4B3 +:2011880008144FC0000B883A01000A0408144FC001400C0401001C4408144FC00080004474 +:20119000000001060005883ADFC00017DEC00104F800283ADEFFFD04D9000005010020B42D +:20119800DC000115018000442821883A21041804D80B883ADFC00215081754C0813FFFCC1B +:2011A0000816D2C0DFC00217DC000117DEC00304F800283AD0E27E0BDEFFFC0400BFE7C452 +:2011A8001884703ADC400115044020B4D0A27E0DDFC00315DC800215DC00001510BFFFCCE5 +:2011B0008C44400488800035040005048009883A0816D2C0800B883A01000E0408146540A5 +:2011B800800B883A01000E4408146540800B883A8009883A08146540800B883A01001C44AF +:2011C00008146540800B883A0100178408146540800B883A01001B4408146540800B883AE9 +:2011C80001000304081465400480C804900B883A0100004408146540800B883A01000184B8 +:2011D00008146540900B883A0100008408146540D0A27E0B10801014D0A27E0D10BFFFCC55 +:2011D80088800035DFC00317DC800217DC400117DC000017DEC00404F800283AD0E27E0B2A +:2011E000DEFFFA0400BFE7C41884703ADC000015040020B4DC800215DC400115D0A27E0DF9 +:2011E800DFC00515DD000415DCC003152025883A2823883A10BFFFCC8404400480800035D5 +:2011F0000140C8040100004408146540D0A27E0B10800814D0A27E0D10BFFFCC8080003559 +:2011F800014004049009883A081654001029883A10803FCC1000091E014020B49009883A84 +:20120000018004442960A10408164EC0910000030140050408146540000009060027883A14 +:20120800A5003FCC94C5883A11000003014005049CC000440814654098803FCC153FF93696 +:20121000D0E27E0B00BFF7C41884703AD0A27E0D10BFFFCC80800035014005040100300478 +:2012180008146540D0A27E0B10800814D0A27E0D10BFFFCC80800035014004048809883AE6 +:20122000081654001027883A10803FCC1000091E014020B48809883A018004442960A10412 +:2012280008164EC0890000030140050408146540000009060025883A9CC03FCC8C85883AB3 +:201230001100000301400504948000440814654090803FCC14FFF936D0A27E0B108010141B +:20123800D0A27E0D10BFFFCC80800035DFC00517DD000417DCC00317DC800217DC400117B8 +:20124000DC000017DEC00604F800283ADEFFFB04DC000015040020B484041804DC800215DD +:2012480004800B04DCC00315900B883A2027883A000D883A8009883ADFC00415DC400115D5 +:20125000081746C004400044880D883A99403FCC8009883A08174D00900B883A8009883A37 +:20125800880D883A081746C0880B883A8009883ADFC00417DCC00317DC800217DC40011741 +:20126000DC000017DEC0050408174A41DEFFFC04DC000015040020B484041804DC8002156D +:20126800DC4001152025883A2823883A8009883A000D883A01400B04DFC00315081746C0DA +:2012700091403FCC8009883A000D883A08174D000180004489403FCC8009883ADFC003175F +:20127800DC800217DC400117DC000017DEC0040408174D01010020B4DEFFFD04000D883A25 +:20128000000B883A21041804DFC00215DC400115DC00001508174D00010002840816D2C0C4 +:201288000400060404400044800B883A8809883A081498C0800B883A01000084081498C056 +:20129000800B883A010000C4081498C08809883A081490C01405003ADFC00217DC400117BA +:20129800DC000017DEC00304F800283ADEFFFD04DC400115DC000015044000442021883AB8 +:2012A00084003FCC8809883ADFC00215802090FA081490C000FFF9C410C4703A80A0B03ABC +:2012A80084003FCC800B883A8809883A081498C0800B883A01000084081498C0800B883AF0 +:2012B000010000C4DFC00217DC400117DC000017DEC00304081498C1DEFFFD04DC40011550 +:2012B8002023883A01000044DC000015DFC00215043FF604081490C01420703A89003FCC0A +:2012C000008000842080021E84000054000003062008917A2080011480A0B03A84003FCCE8 +:2012C800800B883A01000044081498C0800B883A01000084081498C0800B883A010000C4A8 +:2012D000DFC00217DC400117DC000017DEC00304081498C1DEFFFB04DC000015040020B460 +:2012D80084041804DC80021504801704DCC00315900B883A2027883A000D883A8009883A0C +:2012E000DFC00415DC400115081746C004400044880D883A99403FCC8009883A08174D0065 +:2012E800900B883A8009883A880D883A081746C0880B883A8009883ADFC00417DCC00317B2 +:2012F000DC800217DC400117DC000017DEC0050408174A41DEFFFC04DC000015040020B44B +:2012F80084041804DC800215DC4001152025883A2823883A8009883A000D883A0140170403 +:20130000DFC00315081746C091403FCC8009883A000D883A08174D000180004489403FCCF6 +:201308008009883ADFC00317DC800217DC400117DC000017DEC0040408174D01DEFFFC0435 +:20131000DC000015040008848009883ADFC00315DC800215DC4001150814B540014004C47B +:20131800010005841023883A0489C4040814BD409009883A0816D2C0014000C4010005C4EE +:201320000814BD409009883A0816D2C0017FE004894AB03A29403FCC8009883A0814BD4090 +:201328000100FA040816D2C089401FCC8009883ADFC00317DC800217DC400117DC000017A2 +:20133000DEC004040814BD41DEFFFE04DC0000150409C4048009883ADFC001150816D2C088 +:2013380001400444010005840814BD408009883A0816D2C001400084010005C40814BD40C6 +:201340008009883ADFC00117DC000017DEC002040816D2C1DEFFFE04DC0000152821883A68 +:2013480021403FCC01000484DFC001150814BD4081403FCC010004C4DFC00117DC00001783 +:20135000DEC002040814BD4121403FCC01000F440814BD4121403FCC010004440814BD4116 +:20135800DEFFFE04DFC00115DC000015214000C32021883A010002840814BD408140010364 +:20136000010002440814BD4081400143010002040814BD4081400003010003440814BD40C3 +:2013680081400043010003040814BD4081400083010002C4DFC00117DC000017DEC00204E7 +:201370000814BD41DEFFFB04DC400115DC0000152023883A2021883A01000104DCC0031582 +:20137800DC8002153027883A2825883ADFC004150814B540017FFE049CC03FCC1144703A09 +:201380009800092680FFFFCC0101FFC420C006361140005429403FCC010001040814BD4023 +:201388008423883A0000030611403FCC010001040814BD408C7FFFCC880AD13A01000044A0 +:2013900029403FCC0814BD40880A913A0100008429403C0C0814BD4001006734917FFFCC8D +:20139800213F300408162980880B883A1009883A081635400140FA041009883A0816298036 +:2013A00000E327D41880092E00C0007418C45BC41880082E00C000B418C3D5C41885403A84 +:2013A80000C000C41885C83A000003060005883A000001060080004414003FCCD0A02804AC +:2013B0001405883A1100000301400A04081635408808D07A880B883A1109883A08161A0009 +:2013B800100B883A00C001C410803FCC1880012E180B883A29403FCC800491BA280A90FA6D +:2013C000010000C4288AB03A29403FCCDFC00417DCC00317DC800217DC400117DC0000172C +:2013C800DEC005040814BD41DEFFFE04DC0000152021883A01000684DFC001150814B54020 +:2013D000017FFE8484003FCC00C000441144703A80C0021E108000940000010610800294B8 +:2013D80011403FCC01000684DFC00117DC000017DEC002040814BD412140028BDEFFFE04D9 +:2013E000DC000015280AD23A2021883A010012C4DFC001150814BD40814002830100128439 +:2013E8000814BD408140030B01001344280AD23A0814BD4081400303010013040814BD4056 +:2013F0008140038B010013C4280AD23A0814BD4081400383010013840814BD408140010B9A +:2013F80001001444280AD23A0814BD4081400103010014040814BD408140018B010014C408 +:20140000280AD23A0814BD4081400183010014840814BD408140020B01001544280AD23A18 +:201408000814BD4081400203010015040814BD408140040B010015C4280AD23A0814BD40B1 +:2014100081400403010015840814BD408140048B01001644280AD23A0814BD4081400483F7 +:20141800010016040814BD408140050B010016C4280AD23A0814BD40814005030100168419 +:20142000DFC00117DC000017DEC002040814BD41DEFFFD04DC00001504000FC4DC4001156C +:201428002023883A8009883ADFC002150814B54000FFFC0410C4703A888AB03A29403FCCA0 +:201430008009883ADFC00217DC400117DC000017DEC003040814BD41DEFFFD04DC400115A3 +:2014380004400684DC0000152021883A8809883ADFC002150814B54080C03FCC014000C468 +:2014400028C7C83A180691BA10800FCC8809883A188AB03A29403FCCDFC00217DC40011788 +:20144800DC000017DEC003040814BD41DEFFFB04DCC0031504C00104DC4001152023883A42 +:201450009809883ADFC00415DC800215DC0000152825883A0814B540900B883A8809883A2D +:201458001021883A08163540108007CC100A90FA840001CC9809883A2C0AB03A0814BD40FA +:2014600091403FCC89003FCC081635401004D17ADFC00417DCC00317DC800217DC400117F1 +:20146800DC000017DEC00504F800283ADEFFFE04DFC00115DC0000150814C3C00009883A81 +:201470000814F28004000044800B883A01000D440814BD40010020B42120A2040814F7807F +:20147800800B883A01000404081512C00009883A081509000009883A08150D800100018423 +:201480000814D480014000C401000D040814BD40010011040814D54001402204010006C433 +:201488000814BD400140020401000704DFC00117DC000017DEC002040814BD41DEFFFD0492 +:20149000DC0000152021883ADC40011584003FCC044004048809883A802090FADFC0021508 +:201498000814B540108001CC808AB03A29403FCC8809883ADFC00217DC400117DC0000172C +:2014A000DEC003040814BD4121003FCC20001A26DEFFFD04DC400115010009842823883A36 +:2014A80001402004DC000015DFC002153021883A0814BD4089403FCC008000442880042682 +:2014B000008002042880041E814016840000030681400244000001068140060429403FCC1B +:2014B80001000C44DFC00217DC400117DC000017DEC0030400000206000B883A01000984DC +:2014C0000814BD41DEFFF704DCC003152827883AD9400917DDC00715DD800615DD400515B4 +:2014C800DD000415DC800215DC400115DC000015DFC0081524003FCC008000443029883AAE +:2014D000382B883ADC400A17DC800B17DD800C172DC03FCC808008260080020480800D1EC5 +:2014D80029400C8429403FCC010001440814BD400140080400000C062940008429403FCC68 +:2014E000010001440814BD40014001842809883A000006062940018429403FCC0100014420 +:2014E8000814BD4001400404010001840814BD40B80D883A800B883A010000440815288005 +:2014F000B1003FCC0814D540008004048080021E0140030400000106014002040100088424 +:2014F8000814BD40A17FFFCC993FFFCCA9803FCC0814DC4091403FCC89003FCCDFC0081793 +:20150000DDC00717DD800617DD400517DD000417DCC00317DC800217DC400117DC00001713 +:20150800DEC009040814D141DEFFFD0429BFFF84DC400115DC000015DFC0021531803FCC0C +:201510000080004424403FCC2C003FCC11800436008000848880071E8805883A00000806F8 +:201518008800061E8005003A00C000841885C83A000003060005883A00000106008000848A +:20152000880A913A8C47883A10803FCC100491BA18C7883A28CAB03A214AB03A288AB03A51 +:2015280029403FCC010006440814BD40008000C48080041E01401744010004040814BD40A7 +:201530000000120601401604010004040814BD400080008488800D1E0080004414000B36B6 +:201538008080021E0140148400000106014014C4010003840814BD400100FA040816D2C02A +:20154000010005040814B54000000B06014016C4010003840814BD400100FA040816D2C0F4 +:20154800010005040814B540008000C48080021E0140040400000106000B883A01000604DC +:201550000814BD40000B883A01000D84DFC00217DC400117DC000017DEC003040814BD4165 +:20155800DEFFFD04DC4001152023883A01000504DC000015DFC002152821883A0814B54091 +:201560008C403FCC00C0008488C00A1E81403FCC00C0004428C0031E1080240C1080242073 +:20156800000006062800031E1080220C10802220000002061004D07A1080004CDFC0021784 +:20157000DC400117DC000017DEC00304F800283ADEFFEC04DC400B15044020B48C663B04E3 +:2015780000800104D880060D8880078302400204020010044884983A0181000402880004C1 +:201580001244B03AD880068D888007C300C020B4DFC013154084983ADDC01115DD80101518 +:20158800D880070D88800803DD400F15DD000E153084983ADF001215DCC00D15D880078D4D +:2015900088800843DC800C15DC000A155084983AD800088518E66F04D880080DD8800884A3 +:20159800D8800015888008C4D880011588800904D880021588800944D880031588800984A6 +:2015A000D8800415888009C4D880051588800B830029883A02C04004D8800905030400047B +:2015A8000382000403C080040340040407C02004054008040580010405C083441CC001C316 +:2015B00001800144A021883A9C803FCC188001833480EB36900C90BA028020745295BB0418 +:2015B800328D883A318000173000683A0081575C0081575C0081575C008157040081571890 +:2015C0000081575C01801004D980070D01810004D980078D000011061980000B35C00F1E85 +:2015C8001F3FFB43028000C4D9800903E280051E3000021E01BFFBC4000004060280008458 +:2015D000000005063000031E01BFFEC43084703A00000206028000443280C92611C4703AD1 +:2015D80010803FCC1000C6269485883A1085883AD885883A108000171980020B108000032B +:2015E0001085883AD885883A1280060B5194703A50BFFFCC1000BA263180004C2F003FCC42 +:2015E8003180005CE180B61E1980000B318007843100B33607000044018020B488000385F7 +:2015F000880003C58F000405880004858A80050D31A63B0412C0762658800E3613405D2650 +:2015F800688003361580202612402E260000A40612005C2617C069261540A11E00800044B5 +:20160000888003050080008488800345008001840000720613008226608009360281000488 +:20160800128070261380682613C0951E00800084888003058880034500800144000067065D +:201610000710000417007C2601A00014118083260288000412808A1E00800104888003059A +:20161800880003450000830630000305300003459CC03F4C008000449880871E89000C4369 +:2016200000C020B418E63B042000032601000084190004050000010618800385888003C5F2 +:2016280000007D06008000448880030588800EC3054020B4AD663B041000101E01400684FE +:20163000A009883A0816354000C020B418E66E8410C5883A1080000B00C15DC4188007362F +:201638009CFFFFC49CC03FCC0080008414C00336A8800345A8800405000001068800034544 +:201640000080008490800D260080010490800B26008001449080601E01400684A009883AF4 +:201648000816354000C020B418E66E8410C5883A1080000B00C12BC41880573688C00C43CD +:20165000008020B410A63B04180032261000034500002D06008000443080030500800084B6 +:201658000000150600800084308003053000034500C000C490C0481E89000C4300C020B47D +:2016600018E63B04200002261880040500004206008000441880038500003F0600800084CF +:2016680088800305008000448880034500003A0600800084308003053080034500800104C5 +:201670003080040500003406008000C4888003050080008488800345008001048880040529 +:2016780000002D06008000C43080030530000345008000C49080281E88C00C43008020B426 +:2016800010A63B041800032600C0008410C004050000210600C0004410C0038500001E0650 +:20168800008000C430800305008000843080034500800144003FE206008001043080030521 +:201690000080008430800345008001443080040500801E043080048500000F06008001044B +:20169800888003050080008488800345008001848880040500801E04888004850000060679 +:2016A000A50000440080074418C00684A0BF0B1E00BFFFC4000001068005883ADFC01317F3 +:2016A800DF001217DDC01117DD801017DD400F17DD000E17DCC00D17DC800C17DC400B170F +:2016B000DC000A17DEC01404F800283A20803FCCDEFFF9041080201CDC400415DFC00615CD +:2016B800DC800515DC00031510BFE0042823883A10000A0E2025883A000B883A01001DC40A +:2016C0000815ACC0D880028510C03FCC0100004420C02E3694001FCC000001062021883AB5 +:2016C80008160E40014001040009883A08160A8008160C008804D63ADC000005DC40010514 +:2016D000D88000458804D43A84003FCCD88000858804D23AD88000C50080100480800426E4 +:2016D800008012048080041E00BFE1C40000030600BFE54400000106008000440140018454 +:2016E000D809883AD88001450816094001000144081613C01021883A04400044014000440B +:2016E800D900028408160A80D8800287100003168000051E081619800000030608161780B9 +:2016F000147FF626003FFA06D8800283DFC00617DC800517DC400417DC000317DEC0070405 +:2016F800F800283ADEFFF604DC000515000B883A2021883A01001244DFC00915DCC008150E +:20170000DC800715DC4006150815ACC010803FCC100002260005883A000043060100014468 +:20170800081613C01023883A0480004404C03FC401400044D900048408160A80D8800483DF +:2017100014C003268800051E08161980000003060816178014BFF626003FFA06D8C004834F +:2017180000803F8418BFEB1E01400484D809883A08160A808080010310C0008C18001326C9 +:20172000D8800183D8C001C3D9400143108000CC1004923A294003CC10C8B03AD880020381 +:201728001006D1BA2105883A1085883AD900028310C4B03AD8C002432008D1FA18C000CC31 +:2017300018C7883A20C8B03A000010061080010C10000B26D88001C3D9000203000B883A6B +:2017380010800FCC1004923A1104B03A1006923AD8800243010004441884B03A00000306F0 +:20174000000B883A0009883A0005883A10BFFFCC10C0004421003FCC28803FCC2085883A36 +:2017480010BFFE441884983ADFC00917DCC00817DC800717DC400617DC000517DEC00A0431 +:20175000F800283ADEFFF604014020B4DCC00715018002842027883A29616A84D809883A50 +:20175800DD000815DC400515DFC00915DC800615DC000415050000C408163AC004400044AF +:201760000816078008160E400816120001400284D809883A08160940000B883A98000015DD +:20176800010010040815ACC010803FCC1440511E01406A84010012040815ACC010803FCCFB +:201770001440271E01400104D900028408160A80D88003031440471ED8C0034300802A8450 +:201778001880441E0100FA04081613C008161780144003260816178014400C1E00000606F6 +:201780000150003401003A440815ACC010803FCC103FF61E003FF706000B883A01001E8412 +:201788000815ACC010803FCC100002260021883A0000090601400104D900028408160A80A6 +:20179000D88002831080100C1000021E040001040000010604000304081619800000260682 +:20179800000B883A01003A440815ACC010803FCC8880032E04000044048010440000020660 +:2017A0000400008404BFFA4401003E84081613C094803FCC081617801440042608161780E5 +:2017A8001000081E0021883A00000606000B883A9009883A0815ACC010803FCC103FF51E4E +:2017B000003FF60608161980000B883A01001EC40815ACC010803FCC100001260021883A39 +:2017B80001408004010014040815ACC010803FCC100001260021883AA0BFFFC41029883AD8 +:2017C00010803FCC84803FCC10000A26903F9C26008000449C000105988000159809883A98 +:2017C8000815BE4010BFFFC4988002150816104000000106903FF61E08160BC09005003A15 +:2017D000DFC00917DD000817DCC00717DC800617DC400517DC000417DEC00A04F800283AD6 +:2017D80020800217DEFFF804DC000115DFC00715DD400615DD000515DCC00415DC8003155A +:2017E000DC400215DC0008171180313684BFFFCC90002F26208001032827883A3823883AFE +:2017E8001080020C300B883A1000011E300A927A010014440815ACC010803FCC100002261C +:2017F0000400008400001F0601001904081613C00500004405403FC401400044D809883A64 +:2017F80008160A80D88000031540052608161980D8C0000300803F8418BFF11E00000306CA +:2018000008161780153FF426003FF806008080841445C83A897FFFCC1421C83A280002262F +:201808000009883A08160A80900B883A9809883A08160A80817FFFCC0009883A08160A80A7 +:201810000021883A08160BC08005883A00000106008000C4DFC00717DD400617DD0005176A +:20181800DCC00417DC800317DC400217DC000117DEC00804F800283A018001B40140673444 +:20182000010020B43186A004297F30042104100408173F81280D883A200B883A010020B4CB +:2018280021041004081754C1280D883A200B883A010020B421041004081750C1F800283AB7 +:20183000D0E27E0B00BFDFC41884703A00C020B4D0A27E0D18C4400410BFFFCC188000359D +:20183800F800283AD0A27E0B00C020B418C4400410802014D0A27E0D10BFFFCC188000355F +:20184000F800283A01800A7401406734010020B4318CB804297F30042104100408173F8111 +:20184800018001B401406734010020B43186A004297F30042104100408173F81D0A2891738 +:2018500010000B1EDEFFFF04015A5E04213FFFCCDFC0001508163540D0A289150816D740EB +:201858000005883ADFC00017DEC00104F800283A00800044F800283ADEFFFF04DFC0001544 +:201860000816DB00D0E2891710C5803ADFC00017DEC00104F800283AD0228915F800283AF1 +:2018680020001B16000F883A28001616200D883A29001A2E0080080400C0004400000106F3 +:2018700010000D26294B883A10BFFFC418C7883A293FFB360005883A180007260005883A40 +:2018780031400236314DC83A10C4B03A1806D07A280AD07A183FFA1E380001260085C83A30 +:20188000F800283A014BC83A39C0005C003FE7060109C83A01C00044003FE30600C00044E2 +:20188800003FEE0620001716000F883A2005883A280012162900162E0180080400C00044BA +:201890000000010630000A26294B883A31BFFFC418C7883A293FFB36180005261806D07A03 +:20189800114001361145C83A280AD07A183FFB1E380001260085C83AF800283A014BC83AD6 +:2018A000003FEC060109C83A01C00044003FE70600C00044003FF106200D883A2900152E25 +:2018A800280014160080080400C000440000020610000E2628000516294B883A10BFFFC4E7 +:2018B00018C7883A293FFA36180008260005883A31400236314DC83A10C4B03A1806D07A83 +:2018B800280AD07A183FFA1EF800283A0005883AF800283A00C00044003FF4062005883A86 +:2018C0002900122E280011160180080400C000440000020630000C2628000516294B883ADC +:2018C80031BFFFC418C7883A293FFA36180006261806D07A114001361145C83A280AD07A0C +:2018D000183FFB1EF800283AF800283A00C00044003FF7060005883A2000072620C0004C54 +:2018D8002008D07A180001261145883A294B883A203FFA1EF800283AF800283A218D883A56 +:2018E000218008262080000328C0000310C0022610C5C83AF800283A210000442940004450 +:2018E800003FF7060005883AF800283A2005883A2007883A218D883A198005262900000353 +:2018F00018C0004429400044193FFFC5003FFA06F800283ADEFFF504DFC00915DC4008158E +:2018F800DC000715D9C00A15008020B410A3BD04144000172800040E008022C48880001530 +:2019000000BFFFC400001C0600C08204D8C0000DD9000415D90002152800022628FFFFC41C +:20190800000001060007883AD8C00515D8C003151100001700FFFFC4D8C0008D00C020742A +:2019100018DABF042821883AD9C00A04D80B883AD8C00115D800061508165D0000FFFFC4CD +:2019180010C0020E00C022C488C0001580000226D8C0041718000005DFC00917DC4008175A +:20192000DC000717DEC00B04F800283A3000152631BFFFC4218D883A2080000328C000038A +:2019280011C03FCC1A003FCC39C0201C4200201C39FFE004423FE0043A00061E2180042641 +:20193000380003262100004429400044003FF2061007883A18C03FCC10803FCC10C5C83ABF +:20193800F800283A0005883AF800283A2005883A200F883A30000C262A00000338C0004471 +:2019400031BFFFC43A00000542003FCC4200201C423FE00429400044180F883A403FF51E3D +:20194800198D883A00000106F800283A30C003261800000518C00044003FFC06F800283AC9 +:20195000214B883A2005883A1140021E1105C83AF800283A10C00007183FFC26108000445B +:20195800003FF906DEFFFB04DC800315DC400215DC000115DFC004152025883A2823883AF0 +:20196000D98000053821883A04000A0E8880011701C00044D80D883A880B883A9009883A56 +:20196800103EE83A843FFFC4103FF72600BFFFC4000001060005883ADFC00417DC8003177D +:20197000DC400217DC000117DEC00504F800283ADEFFE504D8C00804DDC01815DD80171570 +:20197800DD401615DD001515DCC01415DC801315DC401215DC001115DFC01A15DF00191597 +:201980002029883A2823883A382D883AD9800F150021883AD8000E15D8000A15002B883AC9 +:201988000027883A0025883AD8000C15D8000B15002F883AD8C00915D8C00F1719000003FD +:2019900020803FCC1080201C10BFE00410011E2600C00044B8C014261DC00216B800062629 +:201998000001150601400084B9401D26014000C4B9402B2600011006014009441140FC26AB +:2019A00088800117D900000501C00044D80D883A880B883AA009883A103EE83A1000D81E47 +:2019A800840000440001040601400C041140FA260140094411400A1ED88000058880011706 +:2019B000B80F883AD80D883A880B883AA009883A103EE83A1000CA1E840000440000F506FC +:2019B80025FFF404BDC03FCC00C002441DC0093600BFFFC490800426014002849009883A6B +:2019C00008163540000001060005883AB8A5883A0000E20601400B841140E42605C000842B +:2019C800213FF40427003FCC00C002441F00093600BFFFC498800426014002849809883A23 +:2019D00008163540000001060005883AE0A7883A0000D90600C01B0410C0D226013FFFC4C4 +:2019D80099000226D8000B150000010604C0004401001A441100162620800916010018C4DF +:2019E000110088260100190411001126010016041100C81E00C00044D8C00E1500001506D6 +:2019E80001001CC4110098262080041601001BC41100C01E0540020400000F0601001D44E4 +:2019F00011000D2601001E0411000A260000B906D8C00A17B700010418000726DF000D15B5 +:2019F800B5C00017B800080E05EFC83A024000440000060605400404B0C00104D8C00D1571 +:201A0000B5C00017D8000A150013883AD839883AB8001726A80B883AB809883ADA4010156F +:201A080008162980A80B883A1009883A102D883A08163540B885C83A00C00244DA4010178F +:201A10001880021610800C0400000506D8C00E171800022610800DC400000106108015C48D +:201A1800E0800005B02F883AE7000044003FE806E6EFC83A9DC5C83A0080090EE085883A57 +:201A200001400C04D8C00917E009883AE0C0032EE700004421400005E0BFFA1EE6EFC83A02 +:201A2800D8C00B174DD1883A922DC83A1800162648000A2600800B44D88008058880011723 +:201A300001C00044D9800804880B883AA009883A103EE83A10004A1E840000440580070E22 +:201A3800B00F883A01800C04880B883AA009883A081656401000421E85A1883AE02D883AE1 +:201A4000BF2FC83A000020060580090EB00F883A01800804880B883AA009883ADA401015C7 +:201A480008165640DA4010171000351E85A1883A483FF22600800B44D8800805888001174B +:201A500001C00044D9800804880B883AA009883A103EE83A10002A1E84000044003FE70690 +:201A5800B5BFFFC4B080000301C00044D9800804D880080588800117880B883AA009883A55 +:201A6000103EE83A10001E1E8585C83AB5C9883AE085883A013FF2161021883ADD800D177B +:201A680000004406008000441480080E95FFFFC4B80F883A01800804880B883AA009883A79 +:201A70000816564010000E1E85E1883AB080001701C00044D80D883AD880000588800117CE +:201A7800880B883AA009883AB5C00104103EE83A1000031E84000044B82D883A00002D06D1 +:201A800000BFFFC400003106B5C00017B7000104B809883A0816C0409091C83A102D883A82 +:201A88000200090E400F883A01800804880B883AA009883ADA00101508165640DA00101713 +:201A9000103FEF1E8221883A88800117B00F883AB80D883A880B883AA009883A103EE83A1D +:201A9800103FE71E85A1883AE02D883A0000110600C0004404FFFFC4D8000E15D8C00A1590 +:201AA000054002849825883AD8000C15D8000B15182F883A00000806DDC00B1505C00084CE +:201AA8000000050600C00044D8C00C1505C000C400000106002F883AD8C00F1718C00044FB +:201AB000D8C00F15003EDC068005883ADFC01A17DF001917DDC01817DD801717DD40161773 +:201AB800DD001517DCC01417DC801317DC401217DC001117DEC01B04F800283A2880000BA5 +:201AC00010C0020C1800202628C0008FDEFFFD04DC000015DFC00215DC4001152821883A91 +:201AC8001800150E10C0800C180013262C40051789C0030E10C0200C1800032600000E06E3 +:201AD0003C40010E3823883A81000417300B883A880D883A0816BA40808005171445C83ACF +:201AD80080800515808004171463883A844004150005883A00000606108010148080000D0F +:201AE00000BFFFC40000020600BFFFC4F800283ADFC00217DC400117DC000017DEC00304FC +:201AE800F800283A2005883A218F883A290002361007883A00000C062987883A20FFFC2E24 +:201AF000380B883A30CDC83A1989883A2000052618FFFFC419000003297FFFC42900000594 +:201AF800003FF906F800283A19C005262900000318C0004429400044193FFFC5003FFA06E2 +:201B0000F800283A2005883A10C000071800022610800044003FFC061105C83AF800283AE6 +:201B0800DEFFFF04010020B4014020B4DFC00015212175042963C1042140061E0100207419 +:201B10000140207421000804294008042140121E00000B0600C020B418E3C1041907C83A26 +:201B18000005883A10FFF526114F883A39C00017110D883A1080010431C00015003FF906D1 +:201B20000100207401402074211DA104295DA1042140101E00000B0600C0207418C0080455 +:201B28001907C83A0005883A10FFF526114F883A39C00017110D883A1080010431C00015DD +:201B3000003FF90608176080DFC00017DEC001040817678100C020B418E175041907C83AD0 +:201B38000005883A18BFF726114F883A39C00017110D883A1080010431C00015003FF906E7 +:201B4000DEFFFF040009883ADFC000150816D3000816D500D1A28A17D1628B17D1228C17C3 +:201B4800DFC00017DEC0010408126D4108175881DEFFFF04DFC00015081767C000800044C6 +:201B50001001703ADFC00017DEC00104F800283A008020B410840004D0A28E15010020B431 +:201B58000080673410BF300421235D04D0A28D1508172181D0E28D17D0A28E1718000A2620 +:201B600010C001040100020419000035013FFFD41100023511000335008001041880003545 +:201B68000005883AF800283A00BFFFC4F800283AD0A28D1710000926D0E28E171880040414 +:201B7000100000351080003718C005371806943A10BFFFCC1884303AF800283A00BFFFC4CD +:201B7800F800283AD0A28D17F800283A20001D262804923A20C03017DEFFFD04DC400115F1 +:201B8000DC000015DFC002152823883A2021883A108000D41880033580C030171880003704 +:201B88001080004C100003260100004408175880003FF906198000373007D0BA3009D0FA1A +:201B900018C001CC2100020C1908B03A3007D07A18C0040C1906B03A88C0042600BFF4843B +:201B98000000020600BFFA84F800283ADFC00217DC400117DC000017DEC00304F800283AB0 +:201BA00020000A26280009263000082620800C173080001520800C171000062621000D0467 +:201BA800290000150005883AF800283A00BFFA84F800283A00BFFEC4F800283A2005883A65 +:201BB00020001D262809883A28001B1610C0311728C0192E1140341728FFFFC41906703AD0 +:201BB8001800151EDEFFFE04DC000015DFC001151021883A081629801004923A00C040346F +:201BC00018FFC00410C4703A80C03017108000941880033580C0301718C0040418800037FB +:201BC8001080004C10000626008000441880003500BFFEC40000020600BFFA84F800283A34 +:201BD000DFC00117DC000017DEC00204F800283ADEFFF504DC000115DFC00A15DF000915CA +:201BD800DDC00815DD800715DD400615DD000515DCC00415DC800315DC400215DC000B1731 +:201BE00028003A163023883A300038162027883A20003626382B883A3800342620803117B6 +:201BE8003080322E2880312E208034173147C83A10C7C83A1C002D3680002C1610BFFFC4B5 +:201BF000114A703A2800291E0029883A05BFFFC405C000C40700010480002226DD80001520 +:201BF800890000CC20000626E105C83A8025883A1400012E1025883A8923C83A00000406EB +:201C0000BC0002368025883A0000010604800104AD0B883A900D883AD909883A08163AC044 +:201C080098802E17D8C00017A4A9883A84A1C83A8885883A10C000359880301710800404AF +:201C100010C0003718C0008C1800042600C0008410C0003500BFFEC4000005068C40010461 +:201C1800003FDD060005883A0000010600BFFA84DFC00A17DF000917DDC00817DD80071789 +:201C2000DD400617DD000517DCC00417DC800317DC400217DC000117DEC00B04F800283A14 +:201C280020001626DEFFF604DD000515DFC00915DDC00815DD800715DD400615DCC0041595 +:201C3000DC800315DC400215DC0001153029883A30000C262021883A20802E1780C02F1710 +:201C38002823883A2885883A382B883A3889883A10C0042E20C005360000020600BFFA8406 +:201C4000F800283A00BFFA8400002406814034178809883A002D883A08162980102F883AAD +:201C480080803317B8801C2EA8001B2684C0341704E7C83A9C66703A8CC002268CE5C83AB8 +:201C5000000001060025883A980B883A8009883A0816EBC01000111E8080341714A5C83AC8 +:201C5800AC80012EA825883ADC800015A58F883A880D883A980B883A8009883A0816F50001 +:201C60001000061EACABC83AB4AD883A8CA3883ABDC00044003FE2060005883ADFC0091755 +:201C6800DDC00817DD800717DD400617DD000517DCC00417DC800317DC400217DC0001179C +:201C7000DEC00A04F800283A200008263007883A3000062620802E17288B883A20802F176B +:201C7800394D883A2880012E3080023600BFFA84F800283ADEFFFF04380D883A1809883AE4 +:201C8000DFC0001508163AC00005883ADFC00017DEC00104F800283A20004E262080301783 +:201C880010004E2620C03217DEFFFB04DFC00415DCC00315DC800215DC400115DC000015B1 +:201C900018001E1E1480023700C0030494803FCC90BFFAC418804336100490BA00C020745D +:201C980018DC9C0410C5883A108000171000683A008172DC008172EC008172F4008172E43C +:201CA000008172A4008173640081736400817364008173640081736400817364008172ACDE +:201CA800008172B4044080040000130604410004000011060442000400000F061480013709 +:201CB0000080058494803FCC90800A260080060490800426008005049080221E04400804BF +:201CB80000000506044040040000030604401004000001060440200424C034172021883A77 +:201CC0008809883A980B883A0816354080C0311710C0021E80C0331788C0022680000C15A6 +:201CC8000000100600C000448480361580C00C1580000D1580800E1584400F1584C010151C +:201CD000D1602B048009883A081760C00005883A0000050600BFFA84F800283A00BFFB44A3 +:201CD800F800283A00BFFB44DFC00417DCC00317DC800217DC400117DC000017DEC00504E1 +:201CE000F800283A300001260005C03200FFFF042005883A28C6703A110DC83A30C0042E79 +:201CE80011800017300DC0F210800104003FFA06294000CC00C000C428C0041E10C0000BD3 +:201CF0001807C0B2108000830000080600C0008428C0031E1080000B1005C0B200000406A9 +:201CF80000C0004428C0021E108000031005C0720005C132F800283ADEFFFD04DC40011584 +:201D0000DC0000152823883A2021883A014001443009883ADFC0021508163540100B883A1B +:201D08008809883A0816298010BFFFC480C0020418000035010000448100043511003FCC61 +:201D1000810000351004D23A10803FCC808001350080200418800035DFC00217DC400117AF +:201D1800DC000017DEC00304F800283A3180004C294B883A298B883A21400335210004044E +:201D20000080240420800035208000371080008C103FFD1E208000371004D1FA1080004C37 +:201D2800F800283A20C004042800022600801A04000001060080080418800035188000373C +:201D30001080008C103FFD1E20800337F800283A29403FCC2140033521000404300002264B +:201D380000801404000001060080040420800035208000371080008C103FFD1E208000375B +:201D40001004D1FA1080004CF800283A21C004042805883A02000B04210003041147C83A03 +:201D48001980090E3A00003538C0003718C0008C183FFD1E2800022620C0003710C000051B +:201D500010800044003FF506F800283A21C003042805883A21000404020007041147C83AA4 +:201D58001980080E10C0000338C000352200003520C0003718C0008C183FFD1E10800044A4 +:201D6000003FF606F800283A014AAAF4DEFFFE04296AAA84DC000015DFC001152021883A9C +:201D68000816298010000F2601600034013555740007883A297FFFC421155584297FFFC40D +:201D7000283FFE1E18C000448121883A18BFFB168405883A1421883A843FFFC4803FFE1EC5 +:201D7800000004068405883A1421883A843FFFC4043FFE160005883ADFC00117DC000017B1 +:201D8000DEC00204F800283AF800283A20000226208002171000101ED0A02A1710000926BC +:201D8800DEFFFF04DFC00015103EE83A00C0058410C0001500BFFA84DFC00017DEC0010473 +:201D9000F800283AD0A28F0400C0058410C0001500BFFA84F800283A2880001721400115D9 +:201D9800208000152880001711000115290000150005883AF800283AF800283A000170FA6C +:201DA000F800283A2520732500632575252E75256B75322E25207A482E252E757A4875325C +:201DA8000000000074204B4F6E69206F00007469656D69540074756F252E75256D75322E94 +:201DB00000000073252E75256D75322E75252F73322E252E00736D754353534F77662020D8 +:201DB8007525202E322E252E0000617574696E49727265202020726F000064252020202073 +:201DC00053204F4E00434E59343130323130322D6D20203873717261000000007473655446 +:201DC800746170206E726574000000003A31564142475220000000533A315641734752205F +:201DD000000000423A31564162505920000072503A32564162505920000072503A325641CF +:201DD80073475220000000423A33564142475220000056483A33564142475220000000538E +:201DE0003A33564173475220000000423A33564162505920000072507473614C657375207F +:201DE800000000640081771C0081772C0081773800817744008177500081775C00817768D7 +:201DF00000817774008177800081778C0081779800000000000000000000000100010101D7 +:201DF8000000000100000000000001100344060B00000100000100008080800C0A1A1A1A7B +:201E00007365725000000073666E6F43006D72696D73694D686374616572202C007972742F +:201E0800666F72502075252E00733925756C34256325632533252020252E756C756C322E13 +:201E100000007A48656E694C746C756D646F6D2000003A65736572702D31207300000035C7 +:201E18002078752575736E75726F707000646574666F725020656C6964616F6C0000003AE4 +:201E2000736572702D302073000000390000003100000032000000330000003400000036BF +:201E28000000003700000038554E454D0000000000004B4F4B4341420000000000005055A6 +:201E30004E574F44000000005446454C0000000048474952000000544F464E490000000085 +:201E38005F44434C4B4341424847494C000000544E414353454E494C444F4D5F00000045FE +:201E40004E414353454E494C5059545F000000454E414353454E494C544E495F0000002BD2 +:201E48004E414353454E494C544E495F0000002D454E494C544C554D444F4D5F00000045C8 +:201E50005341485000002B455341485000002D45464F52505F454C494B544F4801005945F3 +:201E5800030F031F3E2903033E693EA93E193EE93E593E993E393ED93E4D3EC93EED3E1DA9 +:201E60003ECD3E2D3E6D3EAD3E013E651C181C481CD01C505E581CC83EB95ED80081788C38 +:201E68000081789000817894008178980081785C0081789C008178A0008178A40081788812 +:201E700000818164008178A8008178B0008178B4008178BC008178C0008178C8008178D0FD +:201E7800008178D8008178E0008178F0008179000081791000817920008179300081794035 +:201E8000008179480081795053206F4E6163204464206472002E746561766E492064696C16 +:201E880067616D690000006561766E492064696C646165680000726561766E492064696C00 +:201E9000207264680043524361766E492064696C61746164435243200000000061647055F9 +:201E98006320657465636E6164656C6C0000000073616C4665722068652064610000727283 +:201EA00073616C4672652068206573610072726573616C46727720682065746900727265F9 +:201EA80073616C4665762068206669726C696166000000006F727245000000724353534FF2 +:201EB00000000000696C6156697461646420676E0061746162207525736574790000000074 +:201EB800252E75252575322E0073257361647055676E69740057462061656C70772065730E +:201EC0002E74696100002E2E697265566E6979666C6620670068736161656C70722065734D +:201EC800617473650000747272746552676E6979647075200065746161647055203F6574AE +:201ED0002C593D314E3D3220000000006D72694665726177647075206465746100000000DE +:201ED800202020200000752564206425000067656C20752573656E6900000000252E752535 +:201EE0002075322E000073756D20642500000056702075256C657869000000732525752566 +:201EE8000000000064206425000000426C206F4E006B6E69656E6F44000000006C696146FE +:201EF0000000646500007325656469566E69206F6F7270203E20206300000000706D6153A0 +:201EF800676E696C74706F203E20202E00000000636E795374706F202020202E3E202020C5 +:201F0000000000007074754F6F207475202E74703E2020200000000074736F506F72702DAD +:201F080020202E633E20202000000000706D6F436269746174696C693E2020790000000072 +:201F100069647541706F206F6E6F69743E202073000000007474655373676E6974706F2051 +:201F18003E20202000000000616F4C3C727020646C69666F003E20657661533C7270206513 +:201F20006C69666F003E20657365523C73207465697474653E73676E000000006B6E694C98 +:201F28006F727020693E2D667475706E000000006B6E694C706E69203E2D7475666F727027 +:201F30000000000074696E49206C616975706E69000000742044434C74204C426F656D69B8 +:201F3800000074752E77463C64707520206574613E202020000000006E776F446D61732D12 +:201F40006E696C70000000677061775366656C2069722F74007468672D6572502043444178 +:201F48006E696167000000006C6C75462058542075746573000000702033564165746E6990 +:201F500063616C7278696665000000006E616353656E696C000000736E616353656E696C56 +:201F5800727473200000002E202E6C537262796873206469002E72746E616353656E696C5F +:201F600074656D2000646F686E616353656E696C70797420000000656E616353656E696C84 +:201F6800696C61202E6D6E670000000069726F48746E6F7A6D206C61006B736174726556FC +:201F70006C61636973616D200000006B6B73614D697262206E746867007373656576655275 +:201F7800206573720046504C5949443C74616C206574202E003E7473703034323838322F57 +:201F8000727020700000636F703438336F72702000000063693038343637352F72702069D9 +:201F88000000636F703038343637352F727020700000636F693036393830312F702069304D +:201F900000636F72656E694C6D2078320065646F656E694C6D2078330065646F656E694C76 +:201F98006D2078340065646F656E694C6D2078350065646F656E694C66207835616D726F54 +:201FA00000000074783635322030343265707361000074636D2058540065646F494D4448CF +:201FA80043544920000000006C616E417320676F20636E790046504C6C616E417320676F03 +:201FB00020636E79006874566E7973486F7420636172656C0065636E6E7973566874206384 +:201FB8006873657200646C6F4C502D487250204C6F432D65007473614C502D486F50204C11 +:201FC000432D74737473616F00000000706D6153676E696C6168702000006573703038347B +:201FC800206E6920706D61730072656C6F6C6C41565420775048205078324C4C00000000DB +:201FD0006F6C6C4170752077706D61737832656C000000007664413C6974202E676E696D94 +:201FD8003E2020200000000065646956504C206F00000046506250596E6920726C6F432050 +:201FE0000061705372502F5266666F200074657320592F477366666F0000746562502F423A +:201FE80066666F200074657372502F52696167200000006E20592F476E69616700000000A2 +:201FF00062502F42696167200000006E73202E486C706D61746172650000006573202E4822 +:201FF8006C636E7900006E6562202E48706B63616863726F0000000061202E487669746350 +:202000000000006573202E566C636E7900006E6562202E56706B63616863726F000000006A +:2020080061202E5676697463000000650066664F0000733300733031007330332D706F54CD +:202010007466656C00000000746E65430000726574746F42722D6D6F7468676900000000E4 +:202018002066664F207366283639203D297A486B0000000020207832207366283834203D56 +:20202000297A486B0000000000706F5474746F4200006D6F69726F48746E6F7A00006C6178 +:20202800747265566C6163690000000065746C4174616E7200676E69746C754D696C706995 +:202030006974616300006E6F7462755374636172006E6F696F74754100000000756E614D5A +:2020380000006C61494D4448000000000049564400373A38737361507572687400000000B3 +:20204000656E694C2820783229626F6200000000656E694C00007832656E694C28207833FD +:202048006563616C00002964656E694C2820783429626F6200000000656E694C000078334B +:20205000656E694C00007834656E694C000078353032393138303178000000303030363133 +:202058003032317800000030303239313032317800000030656E65472063697200333A34A8 +:2020600078303233203034326974706F00002E6D78363532203034326974706F00002E6D24 +:20206800656E654720636972393A363100000000484D33336D28207A00296E69484D303181 +:202070006D28207A002964654D352E3228207A482978616D000000002056544470303834BA +:2020780000000000415345563034362030383478003036402E6365523130362000000000A6 +:202080002E6365523930372000000000484D35394828207A2056544400294949484D353365 +:202088004828207A2056544400002949484D36314528207A29565444000000007A484D394C +:20209000445328200029565400006E4F008198410000000200813B40008198430000000904 +:20209800008138E8008198400000001C00813B080081803C00818048008180504452535539 +:2020A0000041544100000020008181F40000200020002CE5E926F4FD38BC200000000000CF +:2020A80000818200000020002000323EF113FA043B612000000000003633353130343278CA +:2020B0000600000007FE00F00FEA01060112039632312002327830380000303400F0050079 +:2020B8000106061803480FAA04420112783036390030343203C00000049200F00F800106FA +:2020C0000112033632330082343278300000003000F00140010601AA031F0E3149100112DF +:2020C800783635320030343201000000015500F00E270106011203193432922000007030E3 +:2020D0000000000000F002D00106035A033E0F39000E011236333531303432780600004CF1 +:2020D80007FE00F029EA013801120396323120023278303800003838012005000138061877 +:2020E00003480FAA04420112783036390038383203C00000049201200F800138011203363C +:2020E800323300823432783000424C3000F00140013801AA031F2931491001127836353213 +:2020F0004C30343201000042015500F02927013801120319383292200000703800000000E9 +:2020F800012002D001380360033F1345000E0112703438330000000001F00000028001807B +:202100001D3201A70204033E3436000E30347830000000300190028001C10320026024301F +:20210800000C0210783034360034383302800000032001803F3001EC021002603834000E78 +:20211000000069300000000000F002D0020D035A033E0F39044F03127030383400000000EB +:2021180002D00000035A01E01E3C020D0414063E3436000C383478300000003001E00280B5 +:20212000020D032002602130000C0414783034360032313502800000032002001C300238BF +:20212800041402603735000C0000693600000000012002D002710360033F1345044F03123B +:20213000703637350000000002D000000360024027440271040405403038000C3036783059 +:2021380000000030025803200274042004801758000400107030323700000000050000002B +:20214000067202D014DC02EE001805283031000437783432000038360300040003260540B3 +:2021480006881DA0000400103038323132303178050000340698040026F8042A0010037098 +:2021500034360004363978300000693001E00280041A032002602130000D0514303830310B +:2021580000000069078000000898021C109404650518052C3031000D007030380000000018 +:202160000438078004650898052C249400040018303036313032317806400030087004B01A +:202168002EFF04E2001003F1FFFF0004FFFFFFFFFFFFFFFF7665642F6370652F6F635F71CF +:202170006F72746E72656C6C615F305F6D5F6C7600006D650000000000000000000000000E +:20217800000000000000000000000000000000000000000000000000000000000000000047 +:2021800000000000000000000000000000000000000000000000000000000000000000003F +:20218800000000000000000000000000000000000000000000000000000000000000000037 +:2021900000000000000000000000000000000000000000000000000000000000000000002F +:20219800000000000000000000000000000000000000000000000000000000000000000027 +:2021A00000000000000000000000000000000000000000000000000000000000000000001F +:2021A800000000000000000000000000000000000000000000000000000000000000000017 +:2021B00000000000000000000000000000000000000000000000000000000000000000000F +:2021B800000000000000000000000000000000000000000000000000000000000000000007 +:2021C0000000000000000000000000000000000000000000000000000000000000000000FF +:2021C8000000000000000000000000000000000000000000000000000000000000000000F7 +:2021D0000000000000000000000000000000000000000000000000000000000000000000EF +:2021D8000000000000000000000000000000000000000000000000000000000000000000E7 +:2021E0000000000000000000000000000000000000000000000000000000000000000000DF +:2021E8000000000000000000000000000000000000000000000000000000000000000000D7 +:2021F0000000000000000000000000000000000000000000000000000000000000000000CF +:2021F8000000000000000000000000000000000000000000000000000000000000000000C7 +:202200000000000000000000000000000000000000000000000000000000000000000000BE +:202208000000000000000000000000000000000000000000000000000000000000000000B6 +:202210000000000000000000000000000000000000000000000000000000000000000000AE +:202218000000000000000000000000000000000000000000000000000000000000000000A6 +:2022200000000000000000000000000000000000000000000000000000000000000000009E +:20222800000000000000000000000000000000000000000000000000000000000000000096 +:2022300000000000000000000000000000000000000000000000000000000000000000008E +:20223800000000000000000000000000000000000000000000000000000000000000000086 +:2022400000000000000000000000000000000000000000000000000000000000000000007E +:20224800000000000000000000000000000000000000000000000000000000000000000076 +:2022500000000000000000000000000000000000000000000000000000000000000000006E +:20225800000000000000000000000000000000000000000000000000000000000000000066 +:2022600000000000000000000000000000000000000000000000000000000000000000005E +:20226800000000000000000000000000000000000000000000000000000000000000000056 +:2022700000000000000000000000000000000000000000000000000000000000000000004E +:20227800000000000000000000000000000000000000000000000000000000000000000046 +:2022800000000000000000000000000000000000000000000000000000000000000000003E +:20228800000000000000000000000000000000000000000000000000000000000000000036 +:2022900000000000000000000000000000000000000000000000000000000000000000002E +:20229800000000000000000000000000000000000000000000000000000000000000000026 +:2022A00000000000000000000000000000000000000000000000000000000000000000001E +:2022A800000000000000000000000000000000000000000000000000000000000000000016 +:2022B00000000000000000000000000000000000000000000000000000000000000000000E +:2022B800000000000000000000000000000000000000000000000000000000000000000006 +:2022C0000000000000000000000000000000000000000000000000000000000000000000FE +:2022C8000000000000000000000000000000000000000000000000000000000000000000F6 +:2022D0000000000000000000000000000000000000000000000000000000000000000000EE +:2022D8000000000000000000000000000000000000000000000000000000000000000000E6 +:2022E0000000000000000000000000000000000000000000000000000000000000000000DE +:2022E8000000000000000000000000000000000000000000000000000000000000000000D6 +:2022F0000000000000000000000000000000000000000000000000000000000000000000CE :2022F8000000000000000000000000000000000000000000000000000000000000000000C6 :202300000000000000000000000000000000000000000000000000000000000000000000BD :202308000000000000000000000000000000000000000000000000000000000000000000B5 :202310000000000000000000000000000000000000000000000000000000000000000000AD -:202318000000000000000000000000000000000000000000000000000000000000000004A1 -:20232000000000000001000A000000000002000A0000000000000000000000000000000086 -:202328000000000000817CCC0000000000000000008168740081699C008166540081669037 -:20233000008167240000000000800000000000000000000000000000000000000000000001 +:202318000000000000000000000000000000000000000000000000000000000000000000A5 +:2023200000000000000000000000000000000000000000000000000000000000000000009D +:20232800000000000000000000000000000000000000000000000000000000000000000095 +:2023300000000000000000000000000000000000000000000000000000000000000000008D :20233800000000000000000000000000000000000000000000000000000000000000000085 :2023400000000000000000000000000000000000000000000000000000000000000000007D :20234800000000000000000000000000000000000000000000000000000000000000000075 -:2023500000000000000000000000000000000000008165C000000000008000000082102095 -:20235800008000000000000100000080000100000000010000000000001080100000008042 -:202360000000000700817D4C0000000600817DD80000000200817E500000000B00817E785D -:202368000000000D00817F54000000060081805800000005008180D0000000080081813481 -:2023700000000007008181D40081779C008177A0008177CC008177DC008177FC00817804BB -:2023780000817894008178080081780C008178180081780C008178280081790C0081791863 -:20238000008177E800817960C896554B00818324000000000081857C0081857C00000000D9 +:2023500000000000000000000000000000000000000000000000000000000000000000006D +:20235800000000000000000000000000000000000000000000000000000000000000000065 +:2023600000000000000000000000000000000000000000000000000000000000000000005D +:20236800000000000000000000000000000000000000000000000000000000000000000055 +:2023700000000000000000000000000000000000000000000000000000000000000000004D +:20237800000000000000000000000000000000000000000000000000000000000000000045 +:2023800000000000000000000000000000000000000000000000000000000000000000003D :20238800000000000000000000000000000000000000000000000000000000000000000035 :2023900000000000000000000000000000000000000000000000000000000000000000002D :20239800000000000000000000000000000000000000000000000000000000000000000025 @@ -1143,80 +1143,80 @@ :2023A800000000000000000000000000000000000000000000000000000000000000000015 :2023B00000000000000000000000000000000000000000000000000000000000000000000D :2023B800000000000000000000000000000000000000000000000000000000000000000005 -:2023C0000000000000000000000000000000000000000000000000000000000000000000FD -:2023C8000000000000000000000000000000000000000000000000000000000000000000F5 -:2023D0000000000000000000000000000000000000000000000000000000000000000000ED -:2023D8000000000000000000000000000000000000000000000000000000000000000000E5 -:2023E0000000000000000000000000000000000000000000000000000000000000000000DD -:2023E8000000000000000000000000000000000000000000000000000000000000000000D5 -:2023F0000000000000000000000000000000000000000000000000000000000000000000CD -:2023F8000000000000000000000000000000000000000000000000000000000000000000C5 -:202400000000000000000000000000000000000000000000000000000000000000000000BC -:202408000000000000000000000000000000000000000000000000000000000000000000B4 -:202410000000000000000000000000000000000000000000000000000000000000000000AC -:202418000000000000000000000000000000000000000000000000000000000000000000A4 -:2024200000000000000000000000000000000000000000000000000000000000000000009C -:20242800000000000000000000000000000000000000000000000000000000000000000094 -:2024300000000000000000000000000000000000000000000000000000000000000000008C -:20243800000000000000000000000000000000000000000000000000000000000000000084 -:2024400000000000000000000000000000000000000000000000000000000000000000007C -:20244800000000000000000000000000000000000000000000000000000000000000000074 -:2024500000000000000000000000000000000000000000000000000000000000000000006C -:20245800000000000000000000000000000000000000000000000000000000000000000064 -:2024600000000000000000000000000000000000000000000000000000000000000000005C -:20246800000000000000000000000000000000000000000000000000000000000000000054 -:2024700000000000000000000000000000000000000000000000000000000000000000004C -:20247800000000000000000000000000000000000000000000000000000000000000000044 -:2024800000000000000000000000000000000000000000000000000000000000000000003C -:20248800000000000000000000000000000000000000000000000000000000000000000034 -:2024900000000000000000000000000000000000000000000000000000000000000000002C -:20249800000000000000000000000000000000000000000000000000000000000000000024 -:2024A00000000000000000000000000000000000000000000000000000000000000000001C -:2024A800000000000000000000000000000000000000000000000000000000000000000014 -:2024B00000000000000000000000000000000000000000000000000000000000000000000C -:2024B800000000000000000000000000000000000000000000000000000000000000000004 -:2024C0000000000000000000000000000000000000000000000000000000000000000000FC -:2024C8000000000000000000000000000000000000000000000000000000000000000000F4 -:2024D0000000000000000000000000000000000000000000000000000000000000000000EC -:2024D8000000000000000000000000000000000000000000000000000000000000000000E4 -:2024E0000000000000000000000000000000000000000000000000000000000000000000DC -:2024E8000000000000000000000000000000000000000000000000000000000000000000D4 -:2024F0000000000000000000000000000000000000000000000000000000000000000000CC -:2024F8000000000000000000000000000000000000000000000000000000000000000000C4 -:202500000000000000000000000000000000000000000000000000000000000000000000BB -:202508000000000000000000000000000000000000000000000000000000000000000000B3 -:202510000000000000000000000000000000000000000000000000000000000000000000AB -:202518000000000000000000000000000000000000000000000000000000000000000000A3 -:2025200000000000000000000000000000000000000000000000000000000000000000009B -:20252800000000000000000000000000000000000000000000000000000000000000000093 -:2025300000000000000000000000000000000000000000000000000000000000000000008B -:20253800000000000000000000000000000000000000000000000000000000000000000083 -:2025400000000000000000000000000000000000000000000000000000000000000000007B -:20254800000000000000000000000000000000000000000000000000000000000000000073 -:2025500000000000000000000000000000000000000000000000000000000000000000006B -:20255800000000000000000000000000000000000000000000000000000000000000000063 -:2025600000000000000000000000000000000000000000000000000000000000000000005B -:20256800000000000000000000000000000000000000000000000000000000000000000053 -:2025700000000000000000000000000000000000000000000000000000000000000000004B +:2023C00000000000017804E53CCE00813FAE03833F333D4905B80383009301B404163C497B +:2023C8003CD93F9F04163F10020E04093D0E00C83F6E03833ED03DAC04B2038300E90264B4 +:2023D00004163C933D493F5604163E9F00818E58000000000000000000000000000000008B +:2023D8000000000000817BC80000000300818E98000000000000000000817BDC000000039C +:2023E00000818E90000000000000000000817BF00000000300818E880000000000000000B8 +:2023E80000817C040000000300818E80000000000000000000817C180000000300818E78A3 +:2023F000000000000000000000817C2C0000000300818E70000000000000000000817C40E5 +:2023F8000000000300818E68000000000000000000817C540000000300818E600000000088 +:202400000000000000817C680000000400812010008182580000000000817C7800000004CE +:2024080000812090008182580000000000817C880000000400812B3C0000000000000000B7 +:2024100000817C9C0000000100819974000A010100813B6C00817CB0000000000081985337 +:202418000001000100818EE800817CC40000000000819842000A000100818C6800817CD43E +:20242000000000000081984E0003000100818BC000817CE400000004008133880000000044 +:202428000000000000817CF8000000000081996B0001000100818EA800817D08000000005B +:202430000081996C0001000100818EE800817D18000000010081996D0018000000813AE0BC +:2024380000817D2800000000008199680001000100818EE800817D3800000000008199692A +:202440000001000100818EE800817D4C00000000008199450002000100818BDC00817D5899 +:202448000000000100819949000F000000813A9800817D680000000100819947001C0000CA +:2024500000813A5400817D7800000000008199480001000100818EB800817D880000000036 +:20245800008199460002000100818BD000817D98000000000081994A0001000100818EB06A +:2024600000817DAC000000010081995700FF000000813A3800817DBC000000010081995821 +:20246800003F000000813A3800817DCC0000000100819959000F0000008138E800817DDC5A +:20247000000000010081996A001F0000008138E800817DE800000004008124280081824C01 +:202478000000000000817DF800000000008199510004000100818BF800817E0800000000D3 +:20248000008199520001000100818ED800817E1400000000008199530003000100818BE86F +:2024880000817E2400000000008199540001000100818ED800817E34000000000081995518 +:202490000001000100818ED000817E44000000000081994C0002000100818C1800817E502B +:20249800000000000081994D0003000100818C2400817E5C000000000081994E00020001C2 +:2024A00000818C1800817E68000000000081994F0002000100818C1800817E74000000008C +:2024A800008199500002000100818C0C00817E8400000000008199560001000100818EC8C2 +:2024B00000817E94000000000081995A0001000100818EC000817E9C000000000081995B24 +:2024B8000001000100818EE800817EA800000000008199640003000100818C3400817EB8EA +:2024C0000000000100819961001F0000008139F400817EC8000000010081996200FF000070 +:2024C8000081396400817ED8000000010081996300C80A000081396400817EE800000001A9 +:2024D00000819966000500000081394800817EF800000001008199670005000000813948E5 +:2024D80000817F0C000000010081995D001F00010081390400817F1C000000000081995CF0 +:2024E0000002000100818C4400817F2C000000000081995E0001000100818EE800817F40AB +:2024E800000000000081995F0001000100818EE800817F540000000300818EA000818264F5 +:2024F000008120FC00817F6800000000008199650005000100818C5000817F740000000071 +:2024F800008199600001000100818EE000817F84000000010081996E00FF0000008138E8AC +:2025000000817F90000000010081996F00FF0000008138E800817F9C0000000100819970DA +:2025080000FF0000008138E800817FA8000000010081997100FF0000008138E800817FB48B +:20251000000000010081997200FF0000008138E800817FC0000000010081997300FF000031 +:20251800008138E800817FCC000000020081985008FC012C0081218C00817FDC000000028E +:202520000081985C00FF000A0081218C00817FE8000000020081983E00FF00010081218C80 +:2025280000817FF8000000020081984C078000C80081218C00818004000000020081984651 +:20253000000700010081218C008180100000000200819856003F00010081218C0081802044 +:20253800000000020081984A04B000C80081218C0081802C008180300081803400818038A8 +:2025400000818094008180A0008180AC0081802C008180D4008180DC008180F400818100C2 +:202548000081811800818128008180F4008181100081813800818140008181480081815010 +:202550000081815C00818168008181740081818000818190008181A000818174008181807F +:20255800008181900081802C008181B0008181BC008181C8008180D4008181D8008181E4D5 +:20256000008180D40081802C0081820C0081821C0081822C0081823C0081771C0081772C85 +:202568000081773800817744008177500081775C0081776800817774008177800081778C83 +:20257000008177980000000000818D5400818D5C00818D640000000000000000000000007D :20257800000000000000000000000000000000000000000000000000000000000000000043 :2025800000000000000000000000000000000000000000000000000000000000000000003B :20258800000000000000000000000000000000000000000000000000000000000000000033 :2025900000000000000000000000000000000000000000000000000000000000000000002B :20259800000000000000000000000000000000000000000000000000000000000000000023 -:2025A00000000000000000000000000000000000000000000000000000000000000000001B -:2025A800000000000000000000000000000000000000000000000000000000000000000013 -:2025B00000000000000000000000000000000000000000000000000000000000000000000B +:2025A0000000000000000004000000000001000A000000000002000A000000000000000000 +:2025A800000000000000000000000000008185B40000000000000000008170A0008171C80E +:2025B00000816E8000816EBC00816F50000000000080000000000000000000000000000031 :2025B800000000000000000000000000000000000000000000000000000000000000000003 :2025C0000000000000000000000000000000000000000000000000000000000000000000FB :2025C8000000000000000000000000000000000000000000000000000000000000000000F3 -:2025D0000000000000000000000000000000000000000000000000000000000000000000EB -:2025D8000000000000000000000000000000000000000000000000000000000000000000E3 -:2025E0000000000000000000000000000000000000000000000000000000000000000000DB -:2025E8000000000000000000000000000000000000000000000000000000000000000000D3 -:2025F0000000000000000000000000000000000000000000000000000000000000000000CB -:2025F8000000000000000000000000000000000000000000000000000000000000000000C3 -:202600000000000000000000000000000000000000000000000000000000000000000000BA -:202608000000000000000000000000000000000000000000000000000000000000000000B2 +:2025D00000000000000000000000000000000000000000000000000000816DEC0000000011 +:2025D8000080000000821020008000000000000100000080000100000000010000000000AE +:2025E0000010801000000080000000080081863400000008008186D4000000030081877416 +:2025E80000000002008187B00000000B008187D80000000D008188B400000006008189B89C +:2025F0000000000500818A300000000800818A940000000700818B340081806000818074C7 +:2025F800008180880081808C008180B8008180C8008180E4008180EC0081817C008180F0EA +:20260000008180F400818100008180F400818110008181F4008182000081802C008182484B +:20260800C896554B00818C940000000000818EFC00818EFC000000000000000000000000FD :202610000000000000000000000000000000000000000000000000000000000000000000AA :202618000000000000000000000000000000000000000000000000000000000000000000A2 :2026200000000000000000000000000000000000000000000000000000000000000000009A diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 437e9e3..e42d708 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -68,7 +68,7 @@ alt_u8 target_type; alt_u8 stable_frames; alt_u8 update_cur_vm; -alt_u8 vm_sel, vm_edit, profile_sel, input_profiles[AV_LAST], lt_sel, def_input, profile_link; +alt_u8 vm_sel, vm_edit, profile_sel, profile_sel_menu, input_profiles[AV_LAST], lt_sel, def_input, profile_link, lcd_bl_timeout; alt_u16 tc_h_samplerate, tc_h_synclen, tc_h_bporch, tc_h_active, tc_v_synclen, tc_v_bporch, tc_v_active; char row1[LCD_ROW_LEN+1], row2[LCD_ROW_LEN+1], menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; @@ -364,8 +364,8 @@ status_t get_status(tvp_input_t input, video_format format) // h_info: [31:30] [29] [28] [27:20] [19:11] [10:0] // | H_MULTMODE[1:0] | H_L5FMT | | H_SYNCLEN[7:0] | H_BACKPORCH[8:0] | H_ACTIVE[10:0] | // -// h_info2: [31:29] [28:19] [18:16] [15:13] [12:10] [9:0] -// | | H_MASK[9:0] | H_OPT_SCALE[2:0] | H_OPT_SAMPLE_SEL[2:0] | H_OPT_SAMPLE_MULT[2:0] | H_OPT_STARTOFF[9:0] | +// h_info2: [31:30] [29:19] [18:16] [15:13] [12:10] [9:0] +// | | H_MASK[10:0] | H_OPT_SCALE[2:0] | H_OPT_SAMPLE_SEL[2:0] | H_OPT_SAMPLE_MULT[2:0] | H_OPT_STARTOFF[9:0] | // // v_info: [31:29] [28:27] [26] [25:20] [19:17] [16:11] [10:0] // | V_MULTMODE[2:0] | V_SCANLINEMODE[1:0] | V_SCANLINEID | V_MASK[5:0] | V_SYNCLEN[2:0] | V_BACKPORCH[5:0] | V_ACTIVE[10:0] | @@ -547,8 +547,10 @@ void program_mode() int load_profile() { int retval; - retval = read_userdata(profile_sel); + retval = read_userdata(profile_sel_menu); if (retval == 0) { + profile_sel = profile_sel_menu; + // Change the input if the new profile demands it. if (tc.link_av != AV_LAST) target_input = tc.link_av; @@ -557,16 +559,21 @@ int load_profile() { input_profiles[profile_link ? target_input : AV_TESTPAT] = profile_sel; write_userdata(INIT_CONFIG_SLOT); } + return retval; } int save_profile() { int retval; - input_profiles[profile_link ? cm.avinput : AV_TESTPAT] = profile_sel; - retval = write_userdata(profile_sel); - if (retval == 0) + retval = write_userdata(profile_sel_menu); + if (retval == 0) { + profile_sel = profile_sel_menu; + + input_profiles[profile_link ? cm.avinput : AV_TESTPAT] = profile_sel; write_userdata(INIT_CONFIG_SLOT); + } + return retval; } @@ -616,7 +623,7 @@ int init_hw() usleep(10000); // unreset hw - sys_ctrl = AV_RESET_N|LCD_BL|SD_SPI_SS_N|LCD_CS_N; + sys_ctrl = AV_RESET_N|LCD_BL|SD_SPI_SS_N|LCD_CS_N|REMOTE_EVENT; IOWR_ALTERA_AVALON_PIO_DATA(PIO_0_BASE, sys_ctrl); //wait >500ms for SD card interface to be stable diff --git a/software/sys_controller/ossc/av_controller.h b/software/sys_controller/ossc/av_controller.h index 94c15ec..bb02520 100644 --- a/software/sys_controller/ossc/av_controller.h +++ b/software/sys_controller/ossc/av_controller.h @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2017 Markus Hiienkari +// Copyright (C) 2015-2018 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -24,15 +24,17 @@ #include "sysconfig.h" // sys_ctrl bits -#define LT_ACTIVE (1<<15) -#define LT_ARMED (1<<14) -#define LT_MODE_OFFS 12 -#define SD_SPI_SS_N (1<<7) -#define LCD_CS_N (1<<6) -#define LCD_RS (1<<5) -#define LCD_BL (1<<4) -#define VIDGEN_OFF (1<<1) -#define AV_RESET_N (1<<0) +#define LT_ACTIVE (1<<15) +#define LT_ARMED (1<<14) +#define LT_MODE_OFFS 12 +#define REMOTE_EVENT (1<<8) +#define SD_SPI_SS_N (1<<7) +#define LCD_CS_N (1<<6) +#define LCD_RS (1<<5) +#define LCD_BL (1<<4) +#define LCD_BL_TIMEOUT_OFFS 2 +#define VIDGEN_OFF (1<<1) +#define AV_RESET_N (1<<0) #define LT_CTRL_MASK 0xf000 diff --git a/software/sys_controller/ossc/avconfig.h b/software/sys_controller/ossc/avconfig.h index b4b10eb..afd25c2 100644 --- a/software/sys_controller/ossc/avconfig.h +++ b/software/sys_controller/ossc/avconfig.h @@ -25,7 +25,8 @@ #define SCANLINESTR_MAX 15 #define SL_HYBRIDSTR_MAX 28 -#define HV_MASK_MAX 63 +#define H_MASK_MAX 255 +#define V_MASK_MAX 63 #define HV_MASK_MAX_BR 15 #define VIDEO_LPF_MAX 5 #define SAMPLER_PHASE_MAX 31 diff --git a/software/sys_controller/ossc/controls.c b/software/sys_controller/ossc/controls.c index f1bd774..dabc71f 100644 --- a/software/sys_controller/ossc/controls.c +++ b/software/sys_controller/ossc/controls.c @@ -43,7 +43,8 @@ extern avconfig_t tc; extern avinput_t target_input; extern alt_u8 menu_active; extern alt_u16 sys_ctrl; -extern alt_u8 profile_sel; +extern alt_u8 profile_sel, profile_sel_menu; +extern alt_u8 lcd_bl_timeout; alt_u32 remote_code; alt_u8 remote_rpt, remote_rpt_prev; @@ -105,11 +106,15 @@ void setup_rc() int parse_control() { - int i; + int i, ret=0; alt_u32 btn_vec; alt_u8 pt_only = 0; avinput_t man_target_input = AV_LAST; + alt_u32 fpga_status; + alt_u32 fpga_v_hz_x100; + alt_u8 fpga_ilace; + // one for each video_group alt_u8* pmcfg_ptr[] = { &pt_only, &tc.pm_240p, &tc.pm_384p, &tc.pm_480i, &tc.pm_480p, &tc.pm_480p, &tc.pm_1080i }; alt_u8 valid_pm[] = { 0x1, 0x1f, 0x3, 0xf, 0x3, 0x3, 0x3 }; @@ -139,6 +144,7 @@ int parse_control() case RC_BTN0: man_target_input = AV3_YPBPR; break; case RC_MENU: menu_active = !menu_active; + profile_sel_menu = profile_sel; if (menu_active) display_menu(1); @@ -147,15 +153,21 @@ int parse_control() break; case RC_INFO: - sniprintf(menu_row1, LCD_ROW_LEN+1, "VMod: %s", video_modes[cm.id].name); - sniprintf(menu_row2, LCD_ROW_LEN+1, "LC: %u VSM: %u", (IORD_ALTERA_AVALON_PIO_DATA(PIO_2_BASE) & 0x7ff)+1, (IORD_ALTERA_AVALON_PIO_DATA(PIO_2_BASE) >> 16) & 0x3); + fpga_status = IORD_ALTERA_AVALON_PIO_DATA(PIO_2_BASE); + fpga_ilace = !!(fpga_status & (1<<11)); + sniprintf(menu_row1, LCD_ROW_LEN+1, "Prof.%u %9s", profile_sel, video_modes[cm.id].name); + if (cm.sync_active) { + fpga_v_hz_x100 = (100*TVP_EXTCLK_HZ)/IORD_ALTERA_AVALON_PIO_DATA(PIO_8_BASE); + sniprintf(menu_row2, LCD_ROW_LEN+1, "%4lu%c%c %3lu.%.2luHz", (((fpga_status & 0x7ff)+1)<> 16) & 0x3) ? '*' : ' ', + fpga_v_hz_x100/100, + fpga_v_hz_x100%100); + } lcd_write_menu(); - printf("Mod: %s\n", video_modes[cm.id].name); - printf("Lines: %u M: %u\n", (IORD_ALTERA_AVALON_PIO_DATA(PIO_2_BASE) & 0x7ff)+1, cm.macrovis); break; case RC_LCDBL: sys_ctrl ^= LCD_BL; - IOWR_ALTERA_AVALON_PIO_DATA(PIO_0_BASE, sys_ctrl); break; case RC_SL_MODE: tc.sl_mode = (tc.sl_mode < SL_MODE_MAX) ? (tc.sl_mode + 1) : 0; break; case RC_SL_TYPE: tc.sl_type = (tc.sl_type < SL_TYPE_MAX) ? (tc.sl_type + 1) : 0; break; @@ -211,7 +223,7 @@ int parse_control() } if (i <= RC_BTN0) { - profile_sel = (i+1)%10; + profile_sel_menu = (i+1)%10; load_profile(); break; } else if (i == RC_BACK) { @@ -226,6 +238,8 @@ int parse_control() default: break; } + sys_ctrl ^= REMOTE_EVENT; + Button_Check: if (btn_code & PB0_BIT) man_target_input = (cm.avinput == AV3_YPBPR) ? AV1_RGBs : (cm.avinput+1); @@ -234,8 +248,14 @@ Button_Check: if (man_target_input != AV_LAST) { target_input = man_target_input; - return 1; + ret = 1; } - return 0; + sys_ctrl &= ~(3< +// Copyright (C) 2015-2018 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -109,6 +109,16 @@ int fw_update() alt_u32 bytes_to_rw; fw_hdr fw_header; +#ifdef CHECK_STACK_USE + // estimate stack usage, assuming around here is the worst case (due to 512B databuf) + alt_u32 sp; + asm volatile("mov %0, sp" : "=r"(sp)); + sniprintf(menu_row1, LCD_ROW_LEN+1, "Stack size:"); + sniprintf(menu_row2, LCD_ROW_LEN+1, "%lu bytes", (ONCHIP_MEMORY2_0_BASE+ONCHIP_MEMORY2_0_SIZE_VALUE)-sp); + lcd_write_menu(); + usleep(1000000); +#endif + retval = check_sdcard(databuf); SPI_CS_High(); if (retval != 0) diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index ef55396..75cb2dd 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2017 Markus Hiienkari +// Copyright (C) 2015-2018 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -41,7 +41,7 @@ extern mode_data_t video_modes[]; extern alt_u16 tc_h_samplerate, tc_h_synclen, tc_h_bporch, tc_h_active, tc_v_synclen, tc_v_bporch, tc_v_active; extern alt_u32 remote_code; extern alt_u16 rc_keymap[REMOTE_MAX_KEYS]; -extern alt_u8 vm_sel, profile_sel, lt_sel, def_input, profile_link; +extern alt_u8 vm_sel, profile_sel_menu, lt_sel, def_input, profile_link, lcd_bl_timeout; alt_u8 menu_active; @@ -65,6 +65,7 @@ static const char *sl_type_desc[] = { LNG("Horizontal","ヨコ"), LNG("Vertical" static const char *sl_id_desc[] = { LNG("Top","ウエ"), LNG("Bottom","シタ") }; static const char *audio_dw_sampl_desc[] = { LNG("Off (fs = 96kHz)","オフ (fs = 96kHz)"), "2x (fs = 48kHz)" }; static const char *lt_desc[] = { "Top-left", "Center", "Bottom-right" }; +static const char *lcd_bl_timeout_desc[] = { "Off", "3s", "10s", "30s" }; static void sampler_phase_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, LNG("%d deg","%d ド"), (v*1125)/100); } static void sync_vth_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%d mV", (v*1127)/100); } @@ -81,7 +82,7 @@ static void vm_display_name (alt_u8 v) { strncpy(menu_row2, video_modes[v].name, static void link_av_desc (avinput_t v) { strncpy(menu_row2, v == AV_LAST ? "No link" : avinput_str[v], LCD_ROW_LEN+1); } static const arg_info_t vm_arg_info = {&vm_sel, VIDEO_MODES_CNT-1, vm_display_name}; -static const arg_info_t profile_arg_info = {&profile_sel, MAX_PROFILE, value_disp}; +static const arg_info_t profile_arg_info = {&profile_sel_menu, MAX_PROFILE, value_disp}; static const arg_info_t lt_arg_info = {<_sel, (sizeof(lt_desc)/sizeof(char*))-1, lt_disp}; @@ -147,8 +148,8 @@ MENU(menu_postproc, P99_PROTECT({ \ { LNG("Scanline method","Scanline method"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.sl_method, OPT_WRAP, SETTING_ITEM(sl_method_desc) } } }, { LNG("Scanline type","スキャンラインルイ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.sl_type, OPT_WRAP, SETTING_ITEM(sl_type_desc) } } }, { LNG("Scanline alignm.","スキャンラインポジション"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.sl_id, OPT_WRAP, SETTING_ITEM(sl_id_desc) } } }, - { LNG("Horizontal mask","スイヘイマスク"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.h_mask, OPT_NOWRAP, 0, HV_MASK_MAX, pixels_disp } } }, - { LNG("Vertical mask","スイチョクマスク"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.v_mask, OPT_NOWRAP, 0, HV_MASK_MAX, pixels_disp } } }, + { LNG("Horizontal mask","スイヘイマスク"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.h_mask, OPT_NOWRAP, 0, H_MASK_MAX, pixels_disp } } }, + { LNG("Vertical mask","スイチョクマスク"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.v_mask, OPT_NOWRAP, 0, V_MASK_MAX, pixels_disp } } }, { LNG("Mask brightness","マスクアカルサ"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.mask_br, OPT_NOWRAP, 0, HV_MASK_MAX_BR, value_disp } } }, { LNG("Reverse LPF","ギャクLPF"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.reverse_lpf, OPT_NOWRAP, 0, REVERSE_LPF_MAX, value_disp } } }, { LNG("","DIYチエンテスト"), OPT_FUNC_CALL, { .fun = { latency_test, <_arg_info } } }, @@ -177,6 +178,7 @@ MENU(menu_settings, P99_PROTECT({ \ { LNG("Link prof->input","Link prof->input"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.link_av, OPT_WRAP, AV1_RGBs, AV_LAST, link_av_desc } } }, { LNG("Link input->prof","Link input->prof"), OPT_AVCONFIG_SELECTION, { .sel = { &profile_link, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, { LNG("Initial input","ショキニュウリョク"), OPT_AVCONFIG_SELECTION, { .sel = { &def_input, OPT_WRAP, SETTING_ITEM(avinput_str) } } }, + { "LCD BL timeout", OPT_AVCONFIG_SELECTION, { .sel = { &lcd_bl_timeout, OPT_WRAP, SETTING_ITEM(lcd_bl_timeout_desc) } } }, { LNG("","<ファームウェアアップデート>"), OPT_FUNC_CALL, { .fun = { fw_update, NULL } } }, })) diff --git a/software/sys_controller/ossc/userdata.c b/software/sys_controller/ossc/userdata.c index 384e5b8..3059584 100644 --- a/software/sys_controller/ossc/userdata.c +++ b/software/sys_controller/ossc/userdata.c @@ -33,6 +33,7 @@ extern alt_u8 update_cur_vm; extern alt_u8 input_profiles[AV_LAST]; extern alt_u8 profile_sel; extern alt_u8 def_input, profile_link; +extern alt_u8 lcd_bl_timeout; int write_userdata(alt_u8 entry) { @@ -60,6 +61,7 @@ int write_userdata(alt_u8 entry) ((ude_initcfg*)databuf)->last_input = target_input; ((ude_initcfg*)databuf)->def_input = def_input; ((ude_initcfg*)databuf)->profile_link = profile_link; + ((ude_initcfg*)databuf)->lcd_bl_timeout = lcd_bl_timeout; memcpy(((ude_initcfg*)databuf)->keys, rc_keymap, sizeof(rc_keymap)); retval = write_flash_page(databuf, sizeof(ude_initcfg), (USERDATA_OFFSET+entry*SECTORSIZE)/PAGESIZE); if (retval != 0) @@ -146,6 +148,7 @@ int read_userdata(alt_u8 entry) target_input = ((ude_initcfg*)databuf)->last_input; profile_link = ((ude_initcfg*)databuf)->profile_link; profile_sel = input_profiles[AV_TESTPAT]; // Global profile + lcd_bl_timeout = ((ude_initcfg*)databuf)->lcd_bl_timeout; memcpy(rc_keymap, ((ude_initcfg*)databuf)->keys, sizeof(rc_keymap)); printf("RC data read (%u bytes)\n", sizeof(rc_keymap)); } diff --git a/software/sys_controller/ossc/userdata.h b/software/sys_controller/ossc/userdata.h index 0ecb431..93b539e 100644 --- a/software/sys_controller/ossc/userdata.h +++ b/software/sys_controller/ossc/userdata.h @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2017 Markus Hiienkari +// Copyright (C) 2015-2018 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -50,6 +50,7 @@ typedef struct { alt_u8 profile_link; avinput_t last_input; avinput_t def_input; + alt_u8 lcd_bl_timeout; alt_u16 keys[REMOTE_MAX_KEYS]; } __attribute__((packed, __may_alias__)) ude_initcfg; diff --git a/software/sys_controller_bsp/libhal_bsp.a b/software/sys_controller_bsp/libhal_bsp.a index d271a4f4131ff946ecc7619eb213f1145be7a7e0..39829ddae6bc4ccb4215f5bac0cf78bd04751c7e 100644 GIT binary patch delta 1898 zcmZ8iZA_C_6wWDFI%M4|V{M_(xK{XK7Dfqb)vRS;OcuXd1T@hN-G_02%mo#uj%kfX znQo1jQc+}Uf6>_R-1DbMTmSFsD!;_z#b4`9$JtAA=c!;ERn7T0fku1IR*xIq{~jO6;w-v#Cztj z-&}ofp$*d;bu{L5Q|tF&VO1XvqmZE2&H^5nNtPuMXE}=%mS-6JLGOS-bXP+6x9nJP zH`0wz)>b-CGxA~;Ehx{*E>&h_8EDXJV-J^Q=Nn%lT@I4d_*GIV8*l7+OC)Owf}(74 zWU=tZ!$^u8!Tjb2$q3fI=}UylHcf3&jbP&~QADrJ6;1qMD3P1TUT<}yT*(~xaY8Yl zYQxOh&XFXd4|ZL+-)z_tMu~slZW%#$xFL`E+lvtOOy%M=}0PInH}w!Db?A9qoWq%OJa#cp*d{V>2A~mv`8%8^AsUtXPztm zg}qOZd?{{G-z9}^xqz2i+nGyhE)FWRJvwg6NZb#ttA||D@%u7?hDeFu!&da4MK$GC zdM+>42hMI^Nl!B@#3~$QB6d#E+6MO^NpiFLhh8Dea=0UZo!1MkSyIZ(x0a%KX`%V= z$BOOdogReB@g2NZlMq=##tJpoI_g6avTe8Suht{v^p5^B`T^FOxNBz|-Su!B-?db* z?fLek0zDr6QpU=!sNyA&2q?OhhHP{!fgKP3n)U~^bvdw8z*Id z1D8{dX1(5BaWMScdgumxPYR*}%H`D!5J|Zx8#Qf!vob&01TT%$H{Zb#omK2F7yl5W zDOnDh-VFK^$9lAa5DwB(W-3_N+>SjGq%J2ckvKyba)^9 MscM^lhWxDm0am1IsQ>@~ delta 1736 zcmZ8hZA_C_6y9mIRMwSO2J}U+*~(zaGLbgOhsKt{VzM})Fknk`vdANA0mE|0?M(=H*D=TTn;946xqAAgcVR(YyfK*a=+ffkaoDM$jR)5-Tv8#8C01cN>@ z;2;w#YsCu|c3yb_X?d+xAk@AGtgPns7=rz72lM4PO|ohvtBgf+%aROUzk56(c_;fJ z{|u6xKvw^CZl=ZZ2Lx^a@%k9!En`=LhD3wy)pwFjxPA7Z%g$v~fHs6;ElI`a% z%%*hDNCjeEJ3?a?LpnD@yhjcRlm?P`Q@uTTh;nfnJ~DaKE#2+-2D=jlS0B42lOMhX z3JXWJqGX}eOnRlBE0-1vKo9LuSH;^|md;s&$kjT?;3;~Nx3J0I^CMfnliS;xW@ z+Hiq396C(z0(kk895P#4L;AvX+Ib8!Jg^vJIsU+*wdBL?rMhlDI)~O!2=6YQZ2reit*{8J=pzw2Hm`?T zsnO+yWJ5a<*v7I1mii93Y)Oe9l}wap(%MZRw?*4m31O2C9UD+-R&~PJy=sVo4pnhA z(;vVcD~hPv%oaER=9iX-$bU^iu^QwnuR`W?Q?(~sAx}3`LS&ymh24u$&VMB`m diff --git a/software/sys_controller_bsp/settings.bsp b/software/sys_controller_bsp/settings.bsp index 55a31dd..fa007e0 100644 --- a/software/sys_controller_bsp/settings.bsp +++ b/software/sys_controller_bsp/settings.bsp @@ -2,8 +2,8 @@ hal default - Mar 12, 2018 12:02:20 AM - 1520805740902 + Mar 26, 2018 11:10:21 PM + 1522095021507 ./ settings.bsp ../../sys.sopcinfo @@ -935,56 +935,62 @@ - pio_7 + pio_8 0x00821080 - 0x0082108F 16 - pio_6 + pio_7 0x00821090 - 0x0082109F 16 - pio_5 + pio_6 0x008210A0 - 0x008210AF 16 - pio_4 + pio_5 0x008210B0 - 0x008210BF 16 - pio_3 + pio_4 0x008210C0 - 0x008210CF 16 - pio_2 + pio_3 0x008210D0 - 0x008210DF 16 - pio_1 + pio_2 0x008210E0 - 0x008210EF 16 - pio_0 + pio_1 0x008210F0 - 0x008210FF 16 + + pio_0 + 0x00821100 - 0x0082110F + 16 + + jtag_uart_0 - 0x00821100 - 0x00821107 + 0x00821110 - 0x00821117 8 printable diff --git a/software/sys_controller_bsp/system.h b/software/sys_controller_bsp/system.h index b9b3f18..3b8af96 100644 --- a/software/sys_controller_bsp/system.h +++ b/software/sys_controller_bsp/system.h @@ -4,7 +4,7 @@ * Machine generated for CPU 'nios2_qsys_0' in SOPC Builder design 'sys' * SOPC Builder design path: ../../sys.sopcinfo * - * Generated: Thu Oct 26 23:11:44 EEST 2017 + * Generated: Sun Mar 25 16:51:03 EEST 2018 */ /* @@ -175,19 +175,19 @@ #define ALT_NUM_INTERNAL_INTERRUPT_CONTROLLERS 1 #define ALT_NUM_INTERRUPT_CONTROLLERS 1 #define ALT_STDERR "/dev/jtag_uart_0" -#define ALT_STDERR_BASE 0x821100 +#define ALT_STDERR_BASE 0x821110 #define ALT_STDERR_DEV jtag_uart_0 #define ALT_STDERR_IS_JTAG_UART #define ALT_STDERR_PRESENT #define ALT_STDERR_TYPE "altera_avalon_jtag_uart" #define ALT_STDIN "/dev/jtag_uart_0" -#define ALT_STDIN_BASE 0x821100 +#define ALT_STDIN_BASE 0x821110 #define ALT_STDIN_DEV jtag_uart_0 #define ALT_STDIN_IS_JTAG_UART #define ALT_STDIN_PRESENT #define ALT_STDIN_TYPE "altera_avalon_jtag_uart" #define ALT_STDOUT "/dev/jtag_uart_0" -#define ALT_STDOUT_BASE 0x821100 +#define ALT_STDOUT_BASE 0x821110 #define ALT_STDOUT_DEV jtag_uart_0 #define ALT_STDOUT_IS_JTAG_UART #define ALT_STDOUT_PRESENT @@ -279,7 +279,7 @@ */ #define ALT_MODULE_CLASS_jtag_uart_0 altera_avalon_jtag_uart -#define JTAG_UART_0_BASE 0x821100 +#define JTAG_UART_0_BASE 0x821110 #define JTAG_UART_0_IRQ 1 #define JTAG_UART_0_IRQ_INTERRUPT_CONTROLLER_ID 0 #define JTAG_UART_0_NAME "/dev/jtag_uart_0" @@ -326,7 +326,7 @@ */ #define ALT_MODULE_CLASS_pio_0 altera_avalon_pio -#define PIO_0_BASE 0x8210f0 +#define PIO_0_BASE 0x821100 #define PIO_0_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_0_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_0_CAPTURE 0 @@ -353,7 +353,7 @@ */ #define ALT_MODULE_CLASS_pio_1 altera_avalon_pio -#define PIO_1_BASE 0x8210e0 +#define PIO_1_BASE 0x8210f0 #define PIO_1_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_1_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_1_CAPTURE 0 @@ -380,7 +380,7 @@ */ #define ALT_MODULE_CLASS_pio_2 altera_avalon_pio -#define PIO_2_BASE 0x8210d0 +#define PIO_2_BASE 0x8210e0 #define PIO_2_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_2_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_2_CAPTURE 0 @@ -407,7 +407,7 @@ */ #define ALT_MODULE_CLASS_pio_3 altera_avalon_pio -#define PIO_3_BASE 0x8210c0 +#define PIO_3_BASE 0x8210d0 #define PIO_3_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_3_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_3_CAPTURE 0 @@ -434,7 +434,7 @@ */ #define ALT_MODULE_CLASS_pio_4 altera_avalon_pio -#define PIO_4_BASE 0x8210b0 +#define PIO_4_BASE 0x8210c0 #define PIO_4_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_4_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_4_CAPTURE 0 @@ -461,7 +461,7 @@ */ #define ALT_MODULE_CLASS_pio_5 altera_avalon_pio -#define PIO_5_BASE 0x8210a0 +#define PIO_5_BASE 0x8210b0 #define PIO_5_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_5_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_5_CAPTURE 0 @@ -488,7 +488,7 @@ */ #define ALT_MODULE_CLASS_pio_6 altera_avalon_pio -#define PIO_6_BASE 0x821090 +#define PIO_6_BASE 0x8210a0 #define PIO_6_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_6_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_6_CAPTURE 0 @@ -515,7 +515,7 @@ */ #define ALT_MODULE_CLASS_pio_7 altera_avalon_pio -#define PIO_7_BASE 0x821080 +#define PIO_7_BASE 0x821090 #define PIO_7_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_7_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_7_CAPTURE 0 @@ -536,6 +536,33 @@ #define PIO_7_TYPE "altera_avalon_pio" +/* + * pio_8 configuration + * + */ + +#define ALT_MODULE_CLASS_pio_8 altera_avalon_pio +#define PIO_8_BASE 0x821080 +#define PIO_8_BIT_CLEARING_EDGE_REGISTER 0 +#define PIO_8_BIT_MODIFYING_OUTPUT_REGISTER 0 +#define PIO_8_CAPTURE 0 +#define PIO_8_DATA_WIDTH 32 +#define PIO_8_DO_TEST_BENCH_WIRING 0 +#define PIO_8_DRIVEN_SIM_VALUE 0 +#define PIO_8_EDGE_TYPE "NONE" +#define PIO_8_FREQ 27000000 +#define PIO_8_HAS_IN 1 +#define PIO_8_HAS_OUT 0 +#define PIO_8_HAS_TRI 0 +#define PIO_8_IRQ -1 +#define PIO_8_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define PIO_8_IRQ_TYPE "NONE" +#define PIO_8_NAME "/dev/pio_8" +#define PIO_8_RESET_VALUE 0 +#define PIO_8_SPAN 16 +#define PIO_8_TYPE "altera_avalon_pio" + + /* * timer_0 configuration * diff --git a/sys.qsys b/sys.qsys index 56cae17..c109206 100644 --- a/sys.qsys +++ b/sys.qsys @@ -85,7 +85,7 @@ { datum baseAddress { - value = "8524032"; + value = "8524048"; type = "String"; } } @@ -157,7 +157,7 @@ { datum baseAddress { - value = "8524016"; + value = "8524032"; type = "String"; } } @@ -173,7 +173,7 @@ { datum baseAddress { - value = "8524000"; + value = "8524016"; type = "String"; } } @@ -189,7 +189,7 @@ { datum baseAddress { - value = "8523984"; + value = "8524000"; type = "String"; } } @@ -205,7 +205,7 @@ { datum baseAddress { - value = "8523968"; + value = "8523984"; type = "String"; } } @@ -221,7 +221,7 @@ { datum baseAddress { - value = "8523952"; + value = "8523968"; type = "String"; } } @@ -237,7 +237,7 @@ { datum baseAddress { - value = "8523936"; + value = "8523952"; type = "String"; } } @@ -253,7 +253,7 @@ { datum baseAddress { - value = "8523920"; + value = "8523936"; type = "String"; } } @@ -266,6 +266,22 @@ } } element pio_7.s1 + { + datum baseAddress + { + value = "8523920"; + type = "String"; + } + } + element pio_8 + { + datum _sortIndex + { + value = "19"; + type = "int"; + } + } + element pio_8.s1 { datum baseAddress { @@ -361,6 +377,11 @@ internal="pio_7.external_connection" type="conduit" dir="end" /> + @@ -450,7 +471,7 @@ - ]]> + ]]> @@ -764,6 +785,20 @@ + + + + + + + + + + + + + + @@ -782,7 +817,7 @@ start="nios2_qsys_0.data_master" end="jtag_uart_0.avalon_jtag_slave"> - + - + - + - + - + - + - + - + + + + + + @@ -949,6 +993,7 @@ + + - + java.lang.Integer - 1520805604 + 1522094873 false true false @@ -396,6 +396,12 @@ parameters are a RESULT of the module parameters. --> clk pio_7.clk + + false + pio_8 + clk + pio_8.clk + false onchip_memory2_0 @@ -5450,7 +5456,7 @@ the requested settings for a module instance. --> java.lang.String - ]]> + ]]> false true false @@ -6121,7 +6127,7 @@ parameters are a RESULT of the module parameters. --> jtag_uart_0 avalon_jtag_slave jtag_uart_0.avalon_jtag_slave - 8524032 + 8524048 8 @@ -6177,7 +6183,7 @@ parameters are a RESULT of the module parameters. --> pio_0 s1 pio_0.s1 - 8524016 + 8524032 16 @@ -6185,7 +6191,7 @@ parameters are a RESULT of the module parameters. --> pio_1 s1 pio_1.s1 - 8524000 + 8524016 16 @@ -6193,7 +6199,7 @@ parameters are a RESULT of the module parameters. --> pio_2 s1 pio_2.s1 - 8523984 + 8524000 16 @@ -6201,7 +6207,7 @@ parameters are a RESULT of the module parameters. --> pio_3 s1 pio_3.s1 - 8523968 + 8523984 16 @@ -6209,7 +6215,7 @@ parameters are a RESULT of the module parameters. --> pio_4 s1 pio_4.s1 - 8523952 + 8523968 16 @@ -6225,7 +6231,7 @@ parameters are a RESULT of the module parameters. --> pio_5 s1 pio_5.s1 - 8523936 + 8523952 16 @@ -6233,7 +6239,7 @@ parameters are a RESULT of the module parameters. --> pio_6 s1 pio_6.s1 - 8523920 + 8523936 16 @@ -6241,6 +6247,14 @@ parameters are a RESULT of the module parameters. --> pio_7 s1 pio_7.s1 + 8523920 + 16 + + + false + pio_8 + s1 + pio_8.s1 8523904 16 @@ -14534,6 +14548,762 @@ parameters are a RESULT of the module parameters. --> + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + in_port + Input + 32 + export + + + + + + + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER + 0 + + + embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER + 0 + + + embeddedsw.CMacro.CAPTURE + 0 + + + embeddedsw.CMacro.DATA_WIDTH + 32 + + + embeddedsw.CMacro.DO_TEST_BENCH_WIRING + 0 + + + embeddedsw.CMacro.DRIVEN_SIM_VALUE + 0 + + + embeddedsw.CMacro.EDGE_TYPE + NONE + + + embeddedsw.CMacro.FREQ + 27000000 + + + embeddedsw.CMacro.HAS_IN + 1 + + + embeddedsw.CMacro.HAS_OUT + 0 + + + embeddedsw.CMacro.HAS_TRI + 0 + + + embeddedsw.CMacro.IRQ_TYPE + NONE + + + embeddedsw.CMacro.RESET_VALUE + 0 + + + embeddedsw.dts.compatible + altr,pio-1.0 + + + embeddedsw.dts.group + gpio + + + embeddedsw.dts.name + pio + + + embeddedsw.dts.params.altr,gpio-bank-width + 32 + + + embeddedsw.dts.params.resetvalue + 0 + + + embeddedsw.dts.vendor + altr + + + boolean + false + false + false + true + true + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + Input + false + true + true + true + + + java.lang.String + RISING + false + false + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + LEVEL + false + false + true + true + + + long + 0 + false + false + true + true + + + boolean + false + false + true + true + true + + + long + 0 + false + false + true + true + + + int + 32 + false + true + true + true + + + long + 27000000 + false + true + false + true + CLOCK_RATE + clk + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + true + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 27000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 4 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 2 + address + + + readdata + Output + 32 + readdata + + + + java.lang.String @@ -15375,7 +16145,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00821100 + 0x00821110 false true true @@ -15732,7 +16502,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x008210f0 + 0x00821100 false true true @@ -15783,7 +16553,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x008210e0 + 0x008210f0 false true true @@ -15834,7 +16604,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x008210d0 + 0x008210e0 false true true @@ -15885,7 +16655,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x008210c0 + 0x008210d0 false true true @@ -15936,7 +16706,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x008210b0 + 0x008210c0 false true true @@ -16038,7 +16808,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x008210a0 + 0x008210b0 false true true @@ -16089,7 +16859,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00821090 + 0x008210a0 false true true @@ -16140,7 +16910,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00821080 + 0x00821090 false true true @@ -16175,6 +16945,57 @@ parameters are a RESULT of the module parameters. --> pio_7 s1 + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00821080 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_qsys_0 + data_master + pio_8 + s1 + pio_7 clk + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_27 + clk + pio_8 + clk + pio_7 reset + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_27 + clk_reset + pio_8 + reset + 17.1 - 15 + 16 clock_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -17520,7 +18395,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 15 + 16 reset_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -17528,7 +18403,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 16 + 17 avalon_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -17552,7 +18427,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 10 + 11 conduit_end com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -17648,7 +18523,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 8 + 9 altera_avalon_pio com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule @@ -17664,7 +18539,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 18 + 19 avalon com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection @@ -17672,7 +18547,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 15 + 16 clock com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection @@ -17696,7 +18571,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 15 + 16 reset com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection