From 3771d5cb14dba8d0195f364b9f8ac835e9300581 Mon Sep 17 00:00:00 2001 From: marqs Date: Tue, 8 Oct 2019 01:08:18 +0300 Subject: [PATCH] fix OSD size in certain modes --- ossc.qsf | 2 +- .../mem_init/sys_onchip_memory2_0.hex | 12784 ++++++++-------- software/sys_controller/ossc/av_controller.c | 2 +- 3 files changed, 6395 insertions(+), 6393 deletions(-) diff --git a/ossc.qsf b/ossc.qsf index 533bb81..cc59c9f 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -218,7 +218,7 @@ set_global_assignment -name ENABLE_SIGNALTAP OFF set_global_assignment -name USE_SIGNALTAP_FILE output_files/ossc_la.stp set_global_assignment -name FITTER_EFFORT "AUTO FIT" -set_global_assignment -name SEED 4 +set_global_assignment -name SEED 2 diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index b638b50..56ce1f8 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -45,9 +45,9 @@ :04002C000000911728 :04002D00F5010113C5 :04002E000000871730 -:04002F0045870713E7 +:04002F004607071366 :04003000000097979E -:04003100A307879307 +:04003100A387879387 :0400320000F7576319 :04003300000720237F :04003400DDE30711F0 @@ -56,41 +56,41 @@ :04003700C4064501B5 :04003800200520057A :04003900A60367E1D2 -:04003A0067E1510722 -:04003B005147A58301 +:04003A0067E15187A2 +:04003B0051C7A58381 :04003C0040A267E196 -:04003D005187A5033F +:04003D005207A503BE :04003E00206F0131FD -:04003F00A3A1610018 +:04003F00A3A161A078 :0400400067E1808272 :04004100000207377B -:0400420052E7A023BE +:0400420052E7A423BA :0400430007B7656135 :040044006761019C53 :04004500CC078793CA -:040046009785051382 -:0400470050F72E231D +:040046009805051301 +:0400470052F7222327 :040048006761AE49F5 -:0400490051C7270371 +:0400490052472703F0 :04004A00A78367E140 -:04004B00557D520786 +:04004B00557D528706 :04004C004721CB116C :04004D006741C3D86C :04004E00C798177DBB :04004F004711C7D8B6 :040050004501C3D8CB :0400510067E1808261 -:0400520051C7A78368 +:040052005247A783E7 :04005300CF91557D77 :04005400A70367E1B6 -:040055002823520703 +:040055002823528783 :040056004B1C000738 :0400570007C24B4849 :0400580083C1054219 :0400590045138D5D61 :04005A008082FFF5AC :04005B00A50367E1B1 -:04005C00808251C786 +:04005C008082524705 :04005D002703C93973 :04005E0011510C052B :04005F0000859793EE @@ -174,7 +174,7 @@ :0400AD00953E8626D0 :0400AE00CC3ACE1A60 :0400AF00C836CA166F -:0400B0004F6050EF5E +:0400B000500050EFBD :0400B10007B3101071 :0400B200C03E0096B6 :0400B3008793678543 @@ -273,7 +273,7 @@ :04011000C222853A48 :040111008436C40666 :0401120050EFC026C4 -:04011300872A36C041 +:04011300872A3760A0 :0401140025B76605A0 :04011500652100025E :040116000613468105 @@ -308,7 +308,7 @@ :04013300E963FEB7C7 :0401340066D902F690 :040135008693078A1C -:0401360097B63F0633 +:0401360097B63F86B3 :040137008782439CDC :040138002000079309 :040139000D05268307 @@ -341,7 +341,7 @@ :04015400D918DD5C7D :0401550002052A2352 :04015600C134DD10C3 -:040157004405859343 +:0401570044858593C3 :0401580040A222019E :04015900853E478117 :04015A00808201316D @@ -408,7 +408,7 @@ :04019700BFD5078544 :04019800451CC11928 :0401990067E1EF919A -:04019A0043C7A7832D +:04019A004447A783AC :04019B001151C395A6 :04019C009782C4067C :04019D0047D940A25C @@ -419,7 +419,7 @@ :0401A200C188C3C885 :0401A3008082450110 :0401A4000513656575 -:0401A50047D9AEC5C3 +:0401A50047D9AF4542 :0401A6005529C11CFA :0401A70067338082B8 :0401A80053FD00B54E @@ -601,7 +601,7 @@ :04025800BF59013158 :04025900C22211515B :04025A0004136461C4 -:04025B004583534440 +:04025B00458353C4C0 :04025C004515002420 :04025D003749C40653 :04025E0000244783AE @@ -677,9 +677,9 @@ :0402A400359D0C5028 :0402A50064E14702C7 :0402A6008693479262 -:0402A700C69853445E +:0402A700C69853C4DE :0402A80084934705EF -:0402A900440953446D +:0402A900440953C4ED :0402AA008363462202 :0402AB00442900E7FB :0402AC00822347055D @@ -859,12 +859,12 @@ :04035A008432C036F3 :04035B003669CA062F :04035C00676146820D -:04035D0053470613E9 +:04035D0053C7061369 :04035E0097938A8562 :04035F0046830016BB :040360009AF500C644 :0403610007938EDD93 -:0403620006235347D4 +:04036200062353C754 :04036300C03E00D6C2 :04036400C2998A8927 :040365003F494505C2 @@ -905,11 +905,11 @@ :0403880047C104F471 :040389009F6365E128 :04038A00460D14F414 -:04038B00448585938D +:04038B00450585930C :04038C00073005131E :04038D0065E13CC921 :04038E0085934649C4 -:04038F00A835A7C521 +:04038F00A835A845A0 :040390000405E593E8 :04039100E593BFA58C :04039200BF8D08050E @@ -920,11 +920,11 @@ :040397007413FA04DD :04039800B7750FF432 :04039900460D65E1C7 -:04039A0044C585933E +:04039A0045458593BD :04039B00073005130F :04039C0065E13C5982 :04039D0085934649B5 -:04039E000513A90595 +:04039E000513A98515 :04039F00F0EF076014 :0403A0004489A89F45 :0403A1000720051319 @@ -986,17 +986,17 @@ :0403D9000161450574 :0403DA0065E18082D7 :0403DB008593460DB3 -:0403DC00051344C5FC +:0403DC00051345457B :0403DD00F0EF073006 :0403DE0065E1991F1D :0403DF008593464973 -:0403E000BDE5A6854C +:0403E000BDE5A705CB :0403E1008593460DAD -:0403E2000513448536 +:0403E20005134505B5 :0403E300F0EF073000 :0403E40065E1979F99 :0403E500859346496D -:0403E600B5C5A545AF +:0403E600B5C5A5C52F :0403E7004481440108 :0403E80045E1B5D561 :0403E90006200513D2 @@ -1021,8 +1021,8 @@ :0403FC000131059036 :0403FD00905FF06FAE :0403FE00879367E199 -:0403FF006761978714 -:0404000054F7222368 +:0403FF006761980793 +:0404000054F7262364 :040401000C87A703BA :04040200F38005136B :04040300A703CB017F @@ -1031,7 +1031,7 @@ :04040600450100F7B5 :0404070067E18082A7 :0404080085AA86AE8D -:040409005447A503AC +:0404090054C7A5032C :04040A00C4061151C2 :04040B00BF0FF0EF40 :04040C000513C119FA @@ -1043,7 +1043,7 @@ :0404120086AA0FF4B3 :040413000622872E08 :04041400C38564E157 -:040415005444A503A3 +:0404150054C4A50323 :04041600F0047593E6 :04041700F0EF05A25B :04041800C1199D8FDA @@ -1051,7 +1051,7 @@ :04041A00444240D246 :04041B00016144B285 :04041C00C22A8082EE -:04041D005444A5039B +:04041D0054C4A5031B :04041E0085B2C42EB1 :04041F00F0EFC03208 :04042000460296CF2B @@ -1097,19 +1097,19 @@ :040448000014B613D3 :04044900853685BAB5 :04044A0030EFC23697 -:04044B00872A25A037 +:04044B00872A264096 :04044C001004849381 :04044D00B77D46929F :04044E00C422114172 :04044F00C02A6461FA -:0404500054840513B8 +:040450005504051337 :0404510040EFC606AC -:040452004582392086 +:04045200458239C0E6 :040453000713E90999 :0404540046812000BD :040455000513460144 -:0404560040EF54849B -:0404570040B24C6003 +:0404560040EF55041A +:0404570040B24D0062 :0404580001414422F8 :04045900112180826B :04045A00C626C822C8 @@ -1124,9 +1124,9 @@ :040463000103171367 :04046400834167E188 :040465008622468124 -:04046600548785131F +:04046600550785139E :04046700C21AC41ED3 -:04046800480040EF19 +:0404680048A040EF79 :0404690043A2431255 :04046A000533C9018C :04046B0040D240A09B @@ -1141,40 +1141,40 @@ :0404740084B393BA00 :04047500B7454064E3 :04047600A78367E110 -:0404770011414507E3 +:040477001141458763 :040478006461C422D5 -:04047900560405938D +:04047900568405930D :04047A00851346415F :04047B00C60600476A :04047C00C03EC22696 -:04047D00734040EF99 +:04047D0073E040EFF9 :04047E0064E147826C -:04047F005984859384 +:04047F005A04859303 :040480008513464159 :0404810040EF014700 -:0404820005137220CC -:0404830044225604B5 +:04048200051372C02C +:040483004422568435 :04048400859340B26A -:0404850044925984C0 +:0404850044925A043F :04048600306F014191 -:0404870067E13D40AC -:040488004507A783FA +:0404870067E13DE00C +:040488004587A7837A :04048900C422114137 :04048A000593646111 -:04048B00464157444B +:04048B00464157C4CB :04048C00004785138D :04048D00C226C606B7 :04048E0040EFC03E3D -:04048F0047826EE052 +:04048F0047826F80B1 :04049000859364E10B -:04049100464161047B +:0404910046416184FB :040492000147851386 -:040493006DC040EF09 -:0404940057440513B1 +:040493006E6040EF68 +:0404940057C4051331 :0404950040B244220B -:0404960061048593E5 +:040496006184859365 :040497000141449249 -:0404980038E0306FA9 +:040498003980306F08 :04049900C406115133 :04049A00842AC222CC :04049B00949FF0EF4B @@ -1182,11 +1182,11 @@ :04049D00A31FF0EFBA :04049E000563478922 :04049F00646102F49E -:0404A000628404135B +:0404A00063040413DA :0404A100460367E1C6 :0404A2004583037417 :0404A300A503036446 -:0404A400F0EF558799 +:0404A400F0EF560718 :0404A5004503F86FA4 :0404A6004412036495 :0404A700013140A23D @@ -1201,9 +1201,9 @@ :0404B000450145813C :0404B100909FF0EF39 :0404B20007936461E7 -:0404B300C5035AC45F +:0404B300C5035B44DE :0404B4004785010770 -:0404B5005AC404130E +:0404B5005B4404138D :0404B60000A035333A :0404B70046010505F0 :0404B80000F4936356 @@ -1213,7 +1213,7 @@ :0404BC00A6BFF0EFF8 :0404BD00896347897F :0404BE00636102F480 -:0404BF00628303133E +:0404BF0063030313BD :0404C00000F447837A :0404C10002434703A8 :0404C20001144503D9 @@ -1221,7 +1221,7 @@ :0404C4004601468126 :0404C500F0EF0586C9 :0404C60067E1CA6FB1 -:0404C70062878313B2 +:0404C7006307831331 :0404C8000243478321 :0404C90004F4012313 :0404CA003F2D852617 @@ -1231,48 +1231,48 @@ :0404CE0011519F3FEA :0404CF00C222C4067B :0404D00067E1E559A2 -:0404D1005AC78793EC +:0404D1005B4787936B :0404D200043743D4D4 :0404D3000413019C71 :0404D4005433CC04CD :0404D500868302D444 :0404D600467100A7C4 :0404D700879367E1BF -:0404D80086B36DC7B3 +:0404D80086B36E4732 :0404D90097B602C60A :0404DA0000E7D783DD :0404DB00043346A1FF :0404DC0067E102F4DE -:0404DD005877C78302 +:0404DD0058F7C78382 :0404DE0002D78D6351 :0404DF00896346C126 :0404E000471100D7E9 :0404E10004E7916338 -:0404E200081030EFDF +:0404E20008B030EF3F :0404E300A8294505FA :0404E40001C9C7B7CC :0404E50037F78793CB :0404E600E363872A1B :0404E700473D008706 :0404E80030EF853A32 -:0404E900450D067047 +:0404E900450D0710A6 :0404EA0040A24412D6 :0404EB00306F01313C -:0404EC0030EF42208B -:0404ED00B5370570AA +:0404EC0030EF42C0EB +:0404ED00B537061009 :0404EE00051304C42A :0404EF0035333FF56D :0404F0000509008575 :0404F10030EFB7D55C -:0404F200450104308C +:0404F200450104D0EC :0404F30067E1BFF10D -:0404F4006287871381 +:0404F4006307871300 :0404F50002E7468351 :0404F600841347091B -:0404F700453D628796 +:0404F700453D630715 :0404F80000E6836334 :0404F90030EF45019A -:0404FA004783023002 +:0404FA00478302D062 :0404FB00470902E4C7 :0404FC007BE3450D4C :0404FD004515FAF7B0 @@ -1280,37 +1280,37 @@ :0404FF00B76D0FF5D1 :04050000F8634785D0 :0405010067E100A707 -:040502005877C5035E +:0405020058F7C503DE :040503003513156136 :0405040047E10015B6 :0405050002F50533C3 :04050600879367DD93 -:04050700953E4B07CB -:040508006F00306FE1 +:04050700953E4B874B +:040508006FA0306F41 :0405090026036761FD -:04050A00110145C7CF +:04050A00110146474E :04050B00CE0667E1D0 :04050C00CA26CC220D :04050D006A0787935F :04050E0000364683EA :04050F00E299829D4E :04051000FBFD17FDDB -:04051100239030EF14 +:04051100243030EF73 :04051200869367E184 -:04051300C5835AC77B +:04051300C5835B47FA :04051400C23E00B62D -:040515005AC78493AA +:040515005B47849329 :0405160082AA67E16D -:0405170045C787133A +:0405170046478713B9 :040518004314C59132 :040519000026C7836E :04051A000037F5931E :04051B000370051351 :04051C00C62EC81609 -:04051D003DA030EFDE +:04051D003E4030EF3D :04051E000513C02AD7 :04051F0030EF038036 -:0405200043023D0055 +:0405200043023DA0B5 :0405210016936605C2 :040522000613008537 :040523008EF1F0065F @@ -1320,11 +1320,11 @@ :040527000390051325 :0405280084A3C036B2 :0405290030EF00C4EB -:04052A00C42A3A8025 +:04052A00C42A3B2084 :04052B0003A0051311 -:04052C0039E030EF93 +:04052C003A8030EFF2 :04052D00871367E1E8 -:04052E00431045C76A +:04052E0043104647E9 :04052F00468245B209 :040530000026470357 :04053100531342C25C @@ -1349,8 +1349,8 @@ :04054400C303478521 :04054500666100B437 :0405460006136761D0 -:040547000413524601 -:040548001D63628746 +:04054700041352C681 +:040548001D636307C5 :0405490082632403A2 :04054A008063460282 :04054B0005834605D9 @@ -1366,7 +1366,7 @@ :04055500633300A369 :04055600471200E365 :04055700260365E131 -:0405580005135AC766 +:0405580005135B47E5 :040559006D630016B8 :04055A00851300D530 :04055B0069630016BA @@ -1374,7 +1374,7 @@ :04055D0000661663BB :04055E000084C6034C :04055F0040F60A63F5 -:040560006005862389 +:0405600060058A2385 :040561000194458339 :040562000374C60355 :040563000AC59063D2 @@ -1428,7 +1428,7 @@ :0405930000A4860337 :04059400063345F1F4 :0405950065E102B664 -:040596006DC5859317 +:040596006E45859396 :040597004A10962E42 :0405980020D00593D7 :04059900761382153E @@ -1445,7 +1445,7 @@ :0405A40045F100A479 :0405A50002B6063361 :0405A600859365E1F3 -:0405A700962E6DC55A +:0405A700962E6E45D9 :0405A80005934A105D :0405A90082151C108B :0405AA007FF676134F @@ -1456,11 +1456,11 @@ :0405AF00771346096F :0405B000C03A0FF648 :0405B1004603676135 -:0405B200CE416227AD +:0405B200CE4162A72D :0405B30000A483839A :0405B40062E1467149 :0405B50002C383B347 -:0405B6006DC2861379 +:0405B6006E428613F8 :0405B7000124C583D3 :0405B800C0834515A2 :0405B90093B20454A1 @@ -1482,14 +1482,14 @@ :0405C9008A05820518 :0405CA00C436C61A53 :0405CB0030EFC83E07 -:0405CC0086032D80F5 +:0405CC0086032E2054 :0405CD0045F100A450 :0405CE00063367E1A8 :0405CF00829302B65B -:0405D000C5836DC7AB +:0405D000C5836E472A :0405D10092B20124BD :0405D2000162C503FA -:0405D300560030EFAF +:0405D30056A030EF0F :0405D40089A34702AE :0405D50046A200A496 :0405D60047C24332A3 @@ -1497,7 +1497,7 @@ :0405D8004712C03ACC :0405D9000064A223F5 :0405DA0000F4842382 -:0405DB005AD72623A2 +:0405DB005AD72A239E :0405DC000585A03DB4 :0405DD0000B6002341 :0405DE00B3F1C002B3 @@ -1510,7 +1510,7 @@ :0405E500000485A3E6 :0405E60096E3C03A9E :0405E70067E1DA05E9 -:0405E8006287C6035D +:0405E8006307C603DC :0405E90001E4C683E0 :0405EA000CD61A63AE :0405EB0000144603AF @@ -1527,14 +1527,14 @@ :0405F6000AD61263AC :0405F700656165E1F4 :0405F800859346158C -:0405F90005135D2564 -:0405FA0030EF630576 -:0405FB00E5597A70D4 +:0405F90005135DA5E4 +:0405FA0030EF6385F6 +:0405FB00E5597B1033 :0405FC00656165E1EF :0405FD008593461983 -:0405FE0005135D750F -:0405FF0030EF635521 -:04060000ED2D793033 +:0405FE0005135DF58F +:0405FF0030EF63D5A1 +:04060000ED2D79D093 :040601000044460368 :040602000224C68385 :0406030006D618639C @@ -1570,22 +1570,22 @@ :0406210002A44503E7 :040622000484C68303 :0406230000A6846346 -:04062400454030EF2E +:0406240045E030EF8E :0406250002B44503D3 :040626000494C683EF :0406270000A6846342 -:040628000EC030EFE1 +:040628000F6030EF40 :0406290002C44503BF :04062A0004A4C683DB :04062B0000A684633E -:04062C000E6030EF3D +:04062C000F0030EF9C :04062D0002F445038B :04062E0004D4C683A7 :04062F0003044583F8 :0406300000A6966327 :0406310004E4C68394 :0406320000B6846327 -:040633000A2030EF7A +:040633000AC030EFDA :0406340002944503E4 :040635000474C68300 :0406360000A6846333 @@ -1597,15 +1597,15 @@ :04063C0002D445039C :04063D0004B4C683B8 :04063E0000A684632B -:04063F00330030EF65 +:04063F0033A030EFC5 :0406400065E166E129 -:040641006626851391 +:0406410066A6851311 :040642008593461D39 -:0406430030EF6045EF -:0406440066E16830D3 +:0406430030EF60C56F +:0406440066E168D033 :040645008513C5094B -:0406460030EF662605 -:04064700460308401E +:0406460030EF66A685 +:04064700460308E07E :04064800C6830364FE :0406490018630544E9 :04064A00460300D68D @@ -1614,31 +1614,31 @@ :04064D00450300D68B :04064E00F0EF023493 :04064F0066E192BF0F -:040650006236C683C5 +:0406500062B6C68345 :040651004503CE810E :04065200C703038453 :0406530007630564D0 :04065400155100A795 :040655008561056254 -:0406560034B020EFAD +:04065600355020EF0C :04065700859367E13F -:04065800851362871D +:04065800851363079C :04065900061301E49F :04065A0030EF042059 -:04065B0040F264D035 +:04065B0040F2657094 :04065C0067E14462AC -:04065D00620781238C +:04065D006207852388 :04065E0044D245023B :04065F00808261052F :040660000023137DE3 :04066100C00200666D :040662000023BD09AB :04066300BFE50006E9 -:0406640060C5C603A4 +:040664006145C60323 :0406650007E345055D :040666000605BEA621 :040667000FF6761301 -:0406680060C58623C0 +:0406680060C58A23BC :04066900BEA610E336 :04066A004589470275 :04066B000001460341 @@ -1648,15 +1648,15 @@ :04066F000113B6E1DC :0406700067E1FC81C1 :04067100D826DA228B -:040672005AC78713C9 +:040672005B47871348 :0406730000A7048355 :040674006561467105 :0406750002C4863302 -:040676006DC50693B5 +:040676006E45069334 :0406770001F74583BF :040678000127428391 -:040679005AC7879342 -:04067A006DC5031334 +:040679005B478793C1 +:04067A006E450313B3 :04067B00D60396B25A :04067C00C40300C6ED :04067D00CC32014634 @@ -1774,7 +1774,7 @@ :0406ED0066A12EE5EF :0406EE0098634719AD :0406EF0066E130D5BB -:0406F0005876C6036F +:0406F00058F6C603EF :0406F100156346A1A6 :0406F200040600D624 :0406F30080410442FC @@ -1872,7 +1872,7 @@ :04074F0057A28CDD44 :0407500007FE8CE92B :0407510067E18CDDF3 -:0407520045C7A7836D +:040752004647A783EC :0407530000C7C58393 :0407540000D7862321 :040755000083569334 @@ -1974,13 +1974,13 @@ :0407B5001111B1ED80 :0407B600CA2267E10B :0407B700646147052D -:0407B80060E786234D -:0407B9005AC4079384 +:0407B80060E78A2349 +:0407B9005B44079303 :0407BA000047A283CF :0407BB00CC06C8267A -:0407BC005AC4049384 +:0407BC005B44049303 :0407BD001C028D632A -:0407BE005AC42703EF +:0407BE005B4427036E :0407BF001C070963A7 :0407C000A0EEC7B729 :0407C100B007879363 @@ -1993,64 +1993,64 @@ :0407C8000257D2B34F :0407C90003B0051361 :0407CA00C21EC6166F -:0407CB00123020EFD9 +:0407CB0012D020EF39 :0407CC000513C42A23 :0407CD0020EF03C056 -:0407CE00C78311903C +:0407CE00C78312309B :0407CF00C60301C498 :0407D00043920084CC :0407D1000027971353 :0407D200879367D9C9 -:0407D30097BA478703 +:0407D30097BA480782 :0407D4002703439420 -:0407D50007935AC468 +:0407D50007935B44E7 :0407D60042B2070024 :0407D7000793E21989 :0407D80066370690EA :0407D9006561000155 -:0407DA005546061367 +:0407DA0055C60613E7 :0407DB00051345C5F8 -:0407DC00C2165745A5 +:0407DC00C21657C525 :0407DD0030EFC61E15 -:0407DE0042921190A2 +:0407DE004292123001 :0407DF00079343B287 :0407E0000693064036 :0407E10065613E8090 :0407E20002F2D73315 :0407E300051345C5F0 -:0407E400F633610582 +:0407E400F633618502 :0407E500C23A02D33F :0407E60002F2F2B376 :0407E700472987BA5D :0407E80002E657339B :0407E900000166376E :0407EA000613C0161C -:0407EB00D6B355C666 +:0407EB00D6B35646E5 :0407EC0030EF02D315 -:0407ED0067E10DD0E3 -:0407EE006BB7C7839B +:0407ED0067E10E7042 +:0407EE006C37C7831A :0407EF0067E1EF814E -:0407F0004507A7030F +:0407F0004587A7038F :0407F1000007478333 :0407F2000027E79362 :0407F30000F70023E8 :0407F400A4EFF0EF8F :0407F500468367616F -:0407F600461262172E +:0407F60046126297AE :0407F7000084C58332 -:0407F8005AC42503B7 -:0407F9006DD020EFB0 +:0407F8005B44250336 +:0407F9006E7020EF0F :0407FA00676157FDDF :0407FB000EF508638C :0407FC0000A48523AD :0407FD0000A487834A :0407FE00467166E1F9 -:0407FF006AF68D23E6 +:0407FF006CF68123F0 :0408000002C787B3F1 :040801000613646115 -:04080200C6836DC478 +:04080200C6836E44F7 :040803004703012482 -:04080400C283621732 +:04080400C2836297B2 :0408050097B204544E :0408060046154B8CBC :0408070085B389FD2F @@ -2065,9 +2065,9 @@ :040810009B2381C1E4 :04081100C50300B467 :0408120006930177D1 -:04081300C2366DC4B8 +:04081300C2366E4437 :040814008D79897DD4 -:0408150003A3676171 +:0408150007A367616D :04081600472258A776 :04081700771346818C :0408180007330FF79C @@ -2077,7 +2077,7 @@ :04081C004F940002F3 :04081D008A858285C1 :04081E000FF7771346 -:04081F004DD020EFA9 +:04081F004E7020EF08 :0408200004C4C50344 :04082100AB6FF0EFDA :040822000474C50392 @@ -2088,7 +2088,7 @@ :04082700471202E78B :04082800C50397BAB3 :0408290020EF016754 -:04082A00C7834070D0 +:04082A00C78341102F :04082B0089A300C4D9 :04082C00470D00A4D0 :04082D0002F7686303 @@ -2106,8 +2106,8 @@ :04083900440547111A :04083A00FCE79EE356 :04083B002683676148 -:04083C0006134587D3 -:04083D00C703458721 +:04083C000613460752 +:04083D00C7034607A0 :04083E00831D0036E0 :04083F00C703FF6D7F :04084000B793000664 @@ -2120,8 +2120,8 @@ :040847000513C43A97 :040848001D630047E5 :0408490065D910F469 -:04084A0052C585937B -:04084B0068A030EF82 +:04084A0053458593FA +:04084B00694030EFE1 :04084C004783472275 :04084D00E793000726 :04084E00002300275C @@ -2137,7 +2137,7 @@ :04085800C7932BD740 :0408590086BE001740 :04085A0006136661BA -:04085B00420C450600 +:04085B00420C458680 :04085C00078E8B8DEB :04085D000015C703B8 :04085E009B1D069642 @@ -2167,11 +2167,11 @@ :04087600C70302E7CB :04087700070500E48D :0408780002E787B359 -:040879002C23676164 -:04087A00156354F7B7 +:040879002023676170 +:04087A001A6356F7B0 :04087B00838506D695 -:04087C0054F72C23DE -:04087D0055872683F2 +:04087C0056F72023E8 +:04087D005607268371 :04087E000511073722 :04087F00F407071360 :040880006B634785DA @@ -2183,5609 +2183,5609 @@ :0408860004F4C703AC :040887000414C5830D :04088800C703E709B2 -:040889000E630104F5 -:04088A00445202F7DB +:040889000363010400 +:04088A00445204F7D9 :04088B0000F48823CA :04088C0044C240E240 :04088D000171852E42 :04088E00874FF06F31 :04088F00859365D90F -:04089000B5ED540569 -:0408910000E4C703B5 -:0408920046814785CF -:04089300F7938F99AF -:04089400BF190FF782 -:040895001FE3458593 -:04089600078AF8B61F -:0408970002D7D7B3FA -:040898004789BF418C -:0408990002F582637F -:04089A000114C5037D -:04089B004589C19139 -:04089C00C783445278 -:04089D00C70300F499 -:04089E0040E204240C -:04089F00468144C288 -:0408A000017146019B -:0408A100D38FE06FA2 -:0408A200445240E29A -:0408A300017144C2D9 -:0408A40011518082EC -:0408A50064E1C02624 -:0408A6005564C503CD -:0408A700C2224581A3 -:0408A80010EFC40683 -:0408A900842A4F50FE -:0408AA00C683E121FF -:0408AB0067E1556448 -:0408AC0084A34729B1 -:0408AD0067E15AD7CE -:0408AE006697C783FF -:0408AF0000E7856376 -:0408B0000EA36761CB -:0408B10067E154F7B0 -:0408B2005AA7C70377 -:0408B300C7014781B1 -:0408B400C78367E1AE -:0408B500676155D74B -:0408B60058C7071305 -:0408B700453D97BA6A -:0408B80000D78023C2 -:0408B900339010EF79 -:0408BA0040A28522B1 -:0408BB00448244121D -:0408BC008082013104 -:0408BD00C0261151EF -:0408BE00C50364E129 -:0408BF00C222556498 -:0408C00010EFC4066B -:0408C100842A31B0A4 -:0408C200C683E51DE7 -:0408C30067E1556430 -:0408C4005AD784A3D8 -:0408C500C70367E11D -:0408C60047815AA765 -:0408C70067E1C7011D -:0408C8005C87C783FF -:0408C9000713676149 -:0408CA0097BA58C7BA -:0408CB008023453D04 -:0408CC0010EF00D752 -:0408CD0085222EB0A2 -:0408CE00441240A2EE -:0408CF00013144822D -:0408D00011518082C0 -:0408D100C222C40675 -:0408D2001437C026F1 -:0408D30047C5000213 -:0408D4000EF42023DB -:0408D500A78367E1AD -:0408D600202345C7CF -:0408D70065090E049D -:0408D80000C7C7038B -:0408D900000786236B -:0408DA0000D7C70379 -:0408DB00000786A3E9 -:0408DC0000E7C70367 -:0408DD000007872366 -:0408DE0000F7C70355 -:0408DF00000787A3E4 -:0408E0000147C70302 -:0408E10000078A235F -:0408E2000157C703F0 -:0408E30000078AA3DD -:0408E4000167C703DE -:0408E50000078B235A -:0408E6000177C703CC -:0408E700710505137F -:0408E80000078BA3D7 -:0408E900D5BFD0EFB8 -:0408EA001D100713C3 -:0408EB00942367E10A -:0408EC00153758E77D -:0408ED00079300036A -:0408EE0020231D1096 -:0408EF0005130EF4EB -:0408F000D0EFD4056C -:0408F1002637D3DFF4 -:0408F20005B7000640 -:0408F3000513019C4C -:0408F40006130404DF -:0408F5008593A80639 -:0408F600E0EFCC055E -:0408F70020EF998FC6 -:0408F80020EF1700D6 -:0408F90054793AA054 -:0408FA001205076379 -:0408FB0020EF4501A4 -:0408FC000793460018 -:0408FD0054750FF02F -:0408FE0010F50F637F -:0408FF00059020EF51 -:04090000E0EF4509D6 -:0409010047CDCE6FA1 -:0409020016635471B3 -:04090300E0EF10F51C -:0409040020EFD56F9C -:04090500C5090B20F5 -:04090600470567E159 -:0409070062E781A37F -:04090800BD9FE0EFC0 -:040909001E63842ABB -:04090A0000EF0E05E7 -:04090B0064E103F0B0 -:04090C005AC4851331 -:04090D00061365D98F -:04090E0085930420A9 -:04090F0005795FC542 -:04091000376030EF2D -:04091100656165D9DE -:040912000360061365 -:0409130078C585938B -:0409140066C505139C -:04091500362030EF69 -:04091600453D458195 -:0409170033B010EFFA -:04091800C50367E1CB -:0409190045815A9723 -:04091A005AC48493A4 -:04091B0032B010EFF7 -:04091C00C78367E145 -:04091D006661454783 -:04091E0002A366E1E9 -:04091F0067E158F63E -:040920005867C783CA -:040921005AF685A35A -:04092200879367E16F -:04092300438C4507B5 -:040924000015C703F0 -:0409250080A39B1DF3 -:04092600438C00E519 -:040927000015C703ED -:04092800F9F7771351 -:0409290000E580A3C2 -:04092A00C703438C30 -:04092B008B7D0005BB -:04092C000607671340 -:04092D0000E580233E -:04092E00C703438C2C -:04092F009B610015B3 -:040930000037671312 -:0409310000E580A3BA -:040932004703438CA8 -:040933007613585689 -:04093400C7030017DE -:040935009B790005A5 -:0409360080238F513A -:04093700439000E504 -:040938005AB6C78361 -:0409390097138B8DF8 -:04093A0047830037B8 -:04093B009B9D00067A -:04093C0000238FD92C -:04093D0017B700F6F2 -:04093E00A783000289 -:04093F0097130D07F6 -:04094000446300E725 -:0409410000EF0007BC -:04094200478979E088 -:0409430088A345013F -:04094400E0EF00F4EC -:040945008522D9BF6F -:04094600441240A275 -:0409470001314482B4 -:04094800547D8082D8 -:0409490067E1BFCDD6 -:04094A005547C783C3 -:04094B00FDC10113D6 -:04094C000785CE222B -:04094D000FF7F79316 -:04094E0007C207B223 -:04094F00C83E83C15A -:040950005783646104 -:04095100474258843D -:04095200CC26D006D9 -:0409530067218FD9B0 -:0409540014238FD900 -:04095500173758F404 -:04095600C2020002D7 -:040957006637C40239 -:0409580064E1000155 -:040959000EF7202352 -:04095A004A460613F0 -:04095B00851345C5F6 -:04095C0030EF59849B -:04095D00E0EF31C0D6 -:04095E006741C63FE8 -:04095F004785177D34 -:040960001737CA3A41 -:0409610026830002E7 -:0409620046520D07E5 -:04096300C6368EF115 -:04096400C6F1E7F9F8 -:04096500869366E12E -:04096600D60366C688 -:0409670045B201662E -:0409680058845783D5 -:0409690012B614634B -:04096A0016FD76F50B -:04096B0014238FF5CD -:04096C00202358F4F8 -:04096D008C230EF7D2 -:04096E00E0EF58045A -:04096F002537C1FF68 -:040970000513000665 -:04097100D0EFA80516 -:040972005783B39F55 -:04097300474258841B -:040974000513669170 -:040975008FD93E8058 -:0409760014238FD5E2 -:04097700173758F4E2 -:040978002023000236 -:0409790030EF0EF756 -:04097A0030EF160044 -:04097B004785186034 -:04097C001C6366E1B1 -:04097D00A60302F5D6 -:04097E00478345C6A0 -:04097F0047030086A4 -:0409800007220096B4 -:0409810047838F5DBC -:0409820007C200A602 -:0409830047838F5DBA -:0409840007E200B6D0 -:0409850097138FD95C -:0409860083410107A1 -:040987009713C23AC6 -:040988008351004750 -:04098900D2E3C43AB7 -:04098A0030EFFC0747 -:04098B00479215E09A -:04098C006637EF9546 -:04098D00061300014C -:04098E0045C54B060A -:04098F0059848513EF -:0409900024E030EF40 -:04099100B95FE0EF7B -:04099200588457032B -:0409930017FD77F1E4 -:0409940014238FF9A0 -:04099500173758F4C4 -:040996002023000218 -:0409970065090EF7E9 -:0409980071050513CD -:04099900A9BFD0EF33 -:04099A00BF2147B280 -:04099B0047524792E6 -:04099C0000E7976376 -:04099D0000016637B8 -:04099E004C060613EA -:04099F004712BF7DBF -:0409A000660545A201 -:0409A1000640079372 -:0409A20056B3167DB5 -:0409A300773302F7AD -:0409A4009C6302F757 -:0409A500663700C5EC -:0409A6000613000133 -:0409A70045C54C8670 -:0409A80059848513D6 -:0409A9001EA030EF6D -:0409AA004622BF71B1 -:0409AB00851345C5A6 -:0409AC0076335984C1 -:0409AD00C03202F65C -:0409AE0057B34622D3 -:0409AF00663702F6AF -:0409B0000613000129 -:0409B10030EF4D4690 -:0409B200BFAD1C8039 -:0409B3000186D68360 -:0409B4009BE3463249 -:0409B50007D2F6C6A9 -:0409B600142383D1B2 -:0409B700508258F41E -:0409B8002023447242 -:0409B90044E20EF70F -:0409BA0001134501DF -:0409BB0080820241F3 -:0409BC00C40611510B -:0409BD00FE2FF0EF2A -:0409BE00240020EF02 -:0409BF0040A267E10A -:0409C00064B7C50350 -:0409C100E06F0131B1 -:0409C2000113BA7FE4 -:0409C300D806FCC195 -:0409C400D426D6223D -:0409C500A59FD0EF2B -:0409C600F0EF842AA0 -:0409C7006761C29F03 -:0409C8004507079345 -:0409C900C03E86AAFC -:0409CA0064E165611E -:0409CB001206CD63E0 -:0409CC000001663789 -:0409CD000713468145 -:0409CE0006130550B7 -:0409CF0045C54E8646 -:0409D000574505136F -:0409D10014A030EF4F -:0409D200000165B704 -:0409D300859346457D -:0409D40085135185B1 -:0409D50030EF61049A -:0409D600478206004E -:0409D7004398802998 -:0409D800000747834A -:0409D9000027E79379 -:0409DA0000F70023FF -:0409DB00AB3FE0EF5F -:0409DC000007A53734 -:0409DD0012050513E7 -:0409DE00987FD0EF3F -:0409DF009A7FD0EF3C -:0409E00012C00793A7 -:0409E10002F407B362 -:0409E200C802646182 -:0409E300C402C60282 -:0409E4000413C20234 -:0409E500CE3E5AC4E4 -:0409E600CA3E479925 -:0409E700000217B73C -:0409E8000D07A783CD -:0409E900656166617D -:0409EA000107971357 -:0409EB00C6938341EB -:0409EC002623FFF7C8 -:0409ED0083E16AE652 -:0409EE0000030737C4 -:0409EF00F5938F7578 -:0409F00066E10FF7B6 -:0409F1006AE6A823E7 -:0409F2006AB50A23B5 -:0409F300863685328D -:0409F400CF8166E168 -:0409F500FFE5879300 -:0409F6000FF7F7936D -:0409F7007663430DD3 -:0409F800C78300F3BE -:0409F90094636A8613 -:0409FA00262300B7F9 -:0409FB0067E16A0541 -:0409FC006AB6842330 -:0409FD006A47A6831C -:0409FE006AE7A223DF -:0409FF006761E2D575 -:040A0000624747837F -:040A0100CBF164E1F0 -:040A020001C4478361 -:040A030066E1C7F9E8 -:040A040000B4478370 -:040A05006BB6C68383 -:040A0600E3E18FD5C4 -:040A070092BFD0EFDB -:040A08006C6347F2E2 -:040A090047420AF561 -:040A0A000630079318 -:040A0B000AE7E763AC -:040A0C004683676155 -:040A0D004785624770 -:040A0E0087634705AE -:040A0F0047D200F6D4 -:040A1000FFA78713A2 -:040A110000E0373397 -:040A120001C4478351 -:040A130017FD46A1E4 -:040A14000FF7F7934E -:040A150006F6E1639D -:040A1600078A66D90C -:040A1700424686933A -:040A1800439C97B6AE -:040A19006637878233 -:040A1A0006130001BE -:040A1B0045C54FC6B8 -:040A1C005745051322 -:040A1D0001A030EF15 -:040A1E00000175B7A7 -:040A1F008593464530 -:040A20008513AC0589 -:040A210030EF61044D -:040A220047820A20DD -:040A2300478343982A -:040A2400E79300074D -:040A25000023002783 -:040A2600E0EF00F706 -:040A2700A001985F33 -:040A28006A0628230F -:040A290067E1BFA919 -:040A2A0058A7C7837F -:040A2B0000F037B3ED -:040A2C008EA3078905 -:040A2D0047C254F474 -:040A2E00078547529F -:040A2F000FF7F79333 -:040A30004799C83EDC -:040A310000F7076360 -:040A3200001707930F -:040A33000FF7F7932F -:040A3400D0EFCA3EF7 -:040A35004785851F4D -:040A36002321C63E74 -:040A3700C78367E129 -:040A3800CC2A6BB7A2 -:040A39004501C7812B -:040A3A0026C010EFD3 -:040A3B0055D4C78344 -:040A3C0001C44703A7 -:040A3D0000F7046357 -:040A3E00E711476213 -:040A3F00CB1547325A -:040A400000B44703B4 -:040A41006761C71D05 -:040A42005AA7470365 -:040A4300C315C63AD7 -:040A440007136761CC -:040A450097BA58C73D -:040A46000007C503DD -:040A4700C70367E199 -:040A4800C6025A97F1 -:040A490000A7076398 -:040A4A0084A34581BB -:040A4B0010EF5AA7A7 -:040A4C00C783668076 -:040A4D00470355D432 -:040A4E0064E101C49A -:040A4F0062848493A6 -:040A500000F71C632C -:040A51004709469279 -:040A520016D77C63D4 -:040A53000334C6831F -:040A5400051447033B -:040A550016E68663B8 -:040A56006361473D54 -:040A570062E300A3B3 -:040A58007E63470D65 -:040A5900C70306F7D2 -:040A5A00CB01033495 -:040A5B00FFA7871357 -:040A5C000FF7771306 -:040A5D00F463468573 -:040A5E00471506E64C -:040A5F0006F77563BE -:040A600045094605F9 -:040A610085934689AA -:040A6200F713FFF790 -:040A630042A10FF5A8 -:040A640004E2EF6356 -:040A6500070A62D941 -:040A660044828293B1 -:040A67004318971683 -:040A68004785870235 -:040A6900F00717E398 -:040A6A00C78367E1F6 -:040A6B00B79344F702 -:040A6C0007910017D7 -:040A6D004799BDFDEB -:040A6E00EE070DE39F -:040A6F0055D4C78310 -:040A7000479DBDCD14 -:040A710067E1B5FD87 -:040A720055C7C7831A -:040A730000F037B3A5 -:040A7400B5C507A15C -:040A75001EE347999C -:040A76004785EC07BD -:040A7700460DBDD992 -:040A78004681450569 -:040A79004609B74D26 -:040A7A00BFE545018E -:040A7B00F593C40229 -:040A7C0047210FF50A -:040A7D006963C202E5 -:040A7E00675900B7FD -:040A7F0046C707134C -:040A80004703972E63 -:040A8100C23A00076E -:040A820000F40E234B -:040A830004C44783DD -:040A8400000405A3C2 -:040A8500458D47054F -:040A860000F776639C -:040A87008D9D459567 -:040A88000FF5F593DE -:040A8900D036D2325F -:040A8A005DF010EF1C -:040A8B006AF010EF0E -:040A8C00984FE0EFB0 -:040A8D00C78367E1D3 -:040A8E0056826237F3 -:040A8F00C7895612AB -:040A900010EF8532AC -:040A9100568223B0B6 -:040A92004592462221 -:040A930020EF853695 -:040A9400478339203B -:040A9500656101C4D2 -:040A96009713464527 -:040A970067D90027F4 -:040A98004787879372 -:040A9900438C97BA39 -:040A9A0057450513A4 -:040A9B00000422230E -:040A9C006B9020EF4C -:040A9D00000165B738 -:040A9E004645656103 -:040A9F0050C5859326 -:040AA00061050513D4 -:040AA1006A5020EF88 -:040AA200C78367E1BE -:040AA300EB996BB7A9 -:040AA40043984782AA -:040AA500000747837C -:040AA6000027E793AB -:040AA70000F7002331 -:040AA800F7EFE0EF95 -:040AA900CF8947E2C8 -:040AAA00C70367E136 -:040AAB0047A95557AB -:040AAC0000F71563D7 -:040AAD0010EF453DC4 -:040AAE00D0EF3660EF -:040AAF00C802E68F04 -:040AB0000234C70342 -:040AB100041447835F -:040AB20002F70563DF -:040AB30001144503E2 -:040AB400470147812E -:040AB500460146812F -:040AB600D0EF4581B7 -:040AB700C503CE3F66 -:040AB800E0EF023435 -:040AB900C783FCAF44 -:040ABA0022230234BD -:040ABB0000A3000490 -:040ABC00C58304F4F6 -:040ABD00478902342F -:040ABE0002F5866354 -:040ABF000244C70323 -:040AC0000424478340 -:040AC10002E7806365 -:040AC20001144503D3 -:040AC3004589C1910F -:040AC40000F4478370 -:040AC500460146811F -:040AC600CA5FD0EF44 -:040AC7000244C7839B -:040AC80004F401230E -:040AC9000334C783A8 -:040ACA0005144703C5 -:040ACB0000F70463C9 -:040ACC0004F408A383 -:040ACD0067E1676115 -:040ACE00586746839C -:040ACF00C783676111 -:040AD00045834547CE -:040AD10066615857AB -:040AD20000F5966332 -:040AD3005AB6458347 -:040AD40002D58D6357 -:040AD50058F702A329 -:040AD60005A347022B -:040AD70043145AD694 -:040AD8000017F713F9 -:040AD9000006C783C9 -:040ADA008FD99BF91C -:040ADB0000F680237E -:040ADC004394478276 -:040ADD005AB647833B -:040ADE0097138B8D52 -:040ADF00C783003792 -:040AE0009B9D0006D4 -:040AE10080238FD906 -:040AE200478300F650 -:040AE300C3B501C4D2 -:040AE400E0EF4512E8 -:040AE5004789893F75 -:040AE6000CF50263A6 -:040AE7000A634791C6 -:040AE800478502F547 -:040AE90004F5176396 -:040AEA0000B447838A -:040AEB00E0EFC3B9BC -:040AEC00A081E11FE5 -:040AED00C43A4709B7 -:040AEE00470DBD1DD6 -:040AEF00C703BFED8D -:040AF0004285033404 -:040AF10014E3C41630 -:040AF2004741E2078F -:040AF30062E300A317 -:040AF4004783BD393E -:040AF500C39D00B4E9 -:040AF600578367615A -:040AF700E7935887A2 -:040AF800142300279C -:040AF900173758F75C -:040AFA0020230002B3 -:040AFB00F0EF0EF713 -:040AFC000513B03FEF -:040AFD00D0EF12C064 -:040AFE00B64DD08F92 -:040AFF0000042223AA -:040B00004DB010EFF5 -:040B010001C4478361 -:040B0200464565619E -:040B0300002797131D -:040B0400879367D993 -:040B050097BA4787CD -:040B06000513438C04 -:040B070020EF57453F -:040B080065B750B0CD -:040B09006561000121 -:040B0A008593464544 -:040B0B00051350C5B9 -:040B0C0020EF610570 -:040B0D0067E14F70DD -:040B0E006BB7C78377 -:040B0F004782EB9995 -:040B1000478343983C -:040B1100E79300075F -:040B12000023002795 -:040B1300E0EF00F718 -:040B1400D0EFDD0F32 -:040B1500CA02CD0F34 -:040B1600BF61C802F1 -:040B170000B447835C -:040B1800F0EFDBC956 -:040B1900B771A74FBA -:040B1A00656165D9D3 -:040B1B00061311515B -:040B1C008593042099 -:040B1D0005135FC598 -:040B1E00C406628522 -:040B1F0033B020EFE0 -:040B2000656165DDC9 -:040B210040C00613B7 -:040B220050858593E2 -:040B23006DC5051384 -:040B2400327020EF1C -:040B250067E140A2A2 -:040B260081234705DB -:040B2700450162E73B -:040B28008082013195 -:040B290011116759E6 -:040B2A0072070793B4 -:040B2B00CC06C82606 -:040B2C00C002CA2217 -:040B2D00C43E4481FD -:040B2E0065B76461E2 -:040B2F004645000136 -:040B30007C458593E8 -:040B3100560405134E -:040B3200461020EF5A -:040B3300971347A22B -:040B340065610024D3 -:040B3500430C973E98 -:040B36000513464518 -:040B370020EF5985CD -:040B3800E0EF44B0F6 -:040B39004681CF6FB3 -:040B3A001737C22285 -:040B3B00258300020C -:040B3C0067C10D0779 -:040B3D00F63317FD77 -:040B3E00646100F5F9 -:040B3F006AC426233B -:040B40000D07270373 -:040B41000003053771 -:040B4200471367E10D -:040B43008F69FFF7C0 -:040B44006AE7A82391 -:040B4500CA15C63EC9 -:040B46000863478277 -:040B4700676102F6EA -:040B480000149513ED -:040B490066C7071361 -:040B4A00EABD972A3F -:040B4B00102347929A -:040B4C0065B700B7D2 -:040B4D004645000118 -:040B4E007CC585934A -:040B4F0056078513AD -:040B50003E9020EFC4 -:040B5100C94FE0EFB9 -:040B5200676146850C -:040B5300260347B27C -:040B5400A3036A4746 -:040B550087BA6B07E9 -:040B56006741E60508 -:040B570002E3126340 -:040B580065D9E0BDBE -:040B590006136561B9 -:040B5A00859303601C -:040B5B00051378C541 -:040B5C00C01A66C590 -:040B5D00243020EF31 -:040B5E00468943027F -:040B5F0067E144ED19 -:040B60006AC4270339 -:040B61006A67A223FA -:040B6200C03AC63699 -:040B63008F6347094C -:040B6400650902E637 -:040B650071050513FE -:040B6600B66FD0EFA7 -:040B6700B7B146B22A -:040B68000007570328 -:040B690002E34689D4 -:040B6A004792FAE6CE -:040B6B00000165B769 -:040B6C0085934645E2 -:040B6D0085137D452A -:040B6E0020EF560717 -:040B6F00E0EF36F08D -:040B70004681C1AF4A -:040B710014F9B75963 -:040B7200BF5D468994 -:040B73004769048545 -:040B7400EE9754E3C1 -:040B750040E24452C4 -:040B7600453D44C2F3 -:040B7700106F017189 -:040B7800011303E082 -:040B79000793FBC122 -:040B7A00CE3E013139 -:040B7B00879367E114 -:040B7C00D03E6417EC -:040B7D00879367E112 -:040B7E00D23E6427D8 -:040B7F00879367E110 -:040B8000D43E6437C4 -:040B8100879367E10E -:040B8200D63E6447B0 -:040B830067E1D83E10 -:040B84006457879398 -:040B850027B7DA3E76 -:040B860087930F033F -:040B8700CA3EF0175B -:040B8800303007936F -:040B89001C23636165 -:040B8A00478D00F1A2 -:040B8B0000F10D2345 -:040B8C005AC30793AE -:040B8D0001C7C78352 -:040B8E00C086DE221D -:040B8F0009A3DC26B4 -:040B900047250001F4 -:040B91005AC303132D -:040B9200866344052D -:040B9300841300E7E0 -:040B940074130017BF -:040B950067E10FF411 -:040B9600A6036761EA -:040B970007136AC70F -:040B9800478166C764 -:040B9900568345E951 -:040B9A001C630007D1 -:040B9B00476900D6D0 -:040B9C0010F7626389 -:040B9D00078A675903 -:040B9E0064070713CE -:040B9F00439C97BA22 -:040BA0000709878238 -:040BA10050B78C635A -:040BA200BFF1078513 -:040BA30066E147853B -:040BA4005886D70395 -:040BA50010074713DB -:040BA60058E6942356 -:040BA7002703676158 -:040BA80016936B072E -:040BA900D36300F71B -:040BAA0087A2000618 -:040BAB0000E71693B6 -:040BAC000006DE63FE -:040BAD0046836761B3 -:040BAE004585628790 -:040BAF00E5634601B3 -:040BB000068500D5E1 -:040BB1000FF6F61332 -:040BB20062C70423EF -:040BB3004501472988 -:040BB40000E786636D -:040BB5000EA36761C3 -:040BB600450554F7A6 -:040BB700D70367E118 -:040BB80066E1588713 -:040BB9006BB6C683CE -:040BBA0007429B4D06 -:040BBB0094238341BB -:040BBC00EA8158E78B -:040BBD00C68366E1A4 -:040BBE00068A5966E4 -:040BBF0094238F5597 -:040BC000D70358E718 -:040BC100408658878B -:040BC20017B754729B -:040BC300A023000269 -:040BC40054E20EE702 -:040BC50004410113D3 -:040BC6004789808259 -:040BC700478DBF8D0A -:040BC8004791B7BDDD -:040BC9004795B7ADE8 -:040BCA004799B79DF3 -:040BCB00479DB78DFE -:040BCC0047A1BFB9C5 -:040BCD0047A5BFA9D0 -:040BCE0066E1BF9984 -:040BCF006BB6C783B7 -:040BD0002603676130 -:040BD100B79345078A -:040BD2008DA30017D8 -:040BD30047036AF674 -:040BD400078A000686 -:040BD5008FD99B6DAC -:040BD60000F6002302 -:040BD700C70367E108 -:040BD80067E15A97E0 -:040BD90054E78B232F -:040BDA006BB6C783AC -:040BDB004505C79174 -:040BDC003E5000EF98 -:040BDD00BF2147A944 -:040BDE00AA6FE0EF2B -:040BDF0067E1BFE526 -:040BE00045C7A7035B -:040BE1000001663772 -:040BE2004483656182 -:040BE300478300073D -:040BE40006130017DD -:040BE50045C56BC6D1 -:040BE6008FC507A20E -:040BE700002744831C -:040BE8005605051396 -:040BE9008FC504C2EE -:040BEA000037448309 -:040BEB008CDD04E2B7 -:040BEC0000B4D693E8 -:040BED000016F79364 -:040BEE007FF4F3930A -:040BEF00C61EC43E1C -:040BF00000474683F1 -:040BF10000574283E4 -:040BF200E6B302A2C2 -:040BF300428300D267 -:040BF400470300674C -:040BF50002C20077C1 -:040BF60000D2E2B394 -:040BF70000A30683CE -:040BF80002B247718D -:040BF90002E686B3D7 -:040BFA000713676115 -:040BFB00D2936DC75D -:040BFC00C21600C25B -:040BFD0066E19736E0 -:040BFE005A96C683BA -:040BFF00093020EFAA -:040C0000831367E112 -:040C010047035AC784 -:040C0200C72100B353 -:040C030047A243B20F -:040C0400069007133C -:040C050000138693BF -:040C060000F696B3AB -:040C0700979396BE6B -:040C080042920144CF -:040C09000007C463B9 -:040C0A0007000713C5 -:040C0B00000307B724 -:040C0C0007938CFDC1 -:040C0D00E09902A0C8 -:040C0E000200079346 -:040C0F000001663743 -:040C1000C016656144 -:040C11006C860613D4 -:040C1200051345C5BC -:040C130020EF5985F0 -:040C140067E1041080 -:040C15004507A703E5 -:040C16000007478309 -:040C17000047E79318 -:040C180000F70023BE -:040C1900974FE0EF22 -:040C1A006761B73126 -:040C1B00588757831C -:040C1C000107C79372 -:040C1D0058F714234D -:040C1E0067E1BDF5D8 -:040C1F006287C7031E -:040C200046814605BE -:040C210000E6656321 -:040C220076930705B9 -:040C230084230FF720 -:040C2400B5CD62D711 -:040C2500871367E1E9 -:040C26004703628797 -:040C27004605001767 -:040C280062878793C5 -:040C29006563468138 -:040C2A00070500E6D4 -:040C2B000FF77693B6 -:040C2C0000D780A3CA -:040C2D006761B5C185 -:040C2E00628707933F -:040C2F000067C78310 -:040C300062870713BD -:040C310017FDC78163 -:040C32000FF7F7932E -:040C330000F70323A0 -:040C34006761B555EA -:040C350062870713B8 -:040C36000067478389 -:040C3700F36346B964 -:040C380047B900F6C2 -:040C3900B7DD078597 -:040C3A00000165B799 -:040C3B004645656164 -:040C3C006D858593AA -:040C3D005605051340 -:040C3E00031020EF90 -:040C3F0065B762E152 -:040C40008513000117 -:040C41004645598249 -:040C42006E858593A3 -:040C430001D020EFCD -:040C4400A68364E13E -:040C4500C783450418 -:040C4600E79300062A -:040C470080230047BF -:040C4800E0EF00F6E3 -:040C490067618B6FE5 -:040C4A0062E167E11B -:040C4B006DC7071357 -:040C4C005AC78313ED -:040C4D00000217B7D3 -:040C4E000D07A603E5 -:040C4F00879367E13F -:040C5000064266C72B -:040C51004681824115 -:040C5200D50345ED94 -:040C5300066300072D -:040C5400068500C54C -:040C55009AE307898E -:040C56000783FEB65C -:040C5700467100A33F -:040C580002C787B395 -:040C590097BA4615EB -:040C5A0083F54BDCF7 -:040C5B0002F66463D6 -:040C5C004163461199 -:040C5D00183806D667 -:040C5E004703973E73 -:040C5F005733FDC743 -:040C60008B0540D7E9 -:040C6100078AC70D2A -:040C620097BA1838ED -:040C6300FE47A7831E -:040C640000D7802312 -:040C65004504A70398 -:040C660000074783B9 -:040C670000239BEDDE -:040C6800E0EF00F7C2 -:040C690067E187CFE9 -:040C6A006A078DA3E5 -:040C6B006637B3E154 -:040C6C0006850001F8 -:040C6D006F460613B5 -:040C6E00851345C5E0 -:040C6F0020EF598297 -:040C7000E0EF6D0044 -:040C7100A537816FB3 -:040C7200051300075F -:040C7300C0EF1205B7 -:040C7400B7C9F31FEA -:040C75008FE347B111 -:040C76006509FAF61C -:040C770071050513EB -:040C7800F1FFC0EFD9 -:040C7900871367E195 -:040C7A0067E16DC7FA -:040C7B005AC78313BE -:040C7C00B78962E1F1 -:040C7D0000A3068347 -:040C7E0067E1467173 -:040C7F0002C68633F0 -:040C80006DC78713A2 -:040C8100879345818F -:040C820097326DC771 -:040C830001674703BB -:040C840065634679E5 -:040C8500070500E679 -:040C86000FF775935C -:040C870086334671F9 -:040C8800676102C6D8 -:040C89008B2397B270 -:040C8A00460500B764 -:040C8B0062C7012318 -:040C8C004703676152 -:040C8D009FE36C472E -:040C8E00C703D2E6E0 -:040C8F0067E10167B1 -:040C90006AE79C2350 -:040C91000683BB0516 -:040C9200467100A304 -:040C9300863367E15C -:040C9400871302C6FA -:040C950045FD6DC7E5 -:040C96006DC787930C -:040C97004703973246 -:040C9800DF4D0167C4 -:040C9900BF4D177DB7 -:040C9A0044814785C5 -:040C9B006561C23E8F -:040C9C00000167B735 -:040C9D0085934645B0 -:040C9E000513704783 -:040C9F0020EF5605E7 -:040CA00046A96AA057 -:040CA10002D486B340 -:040CA200479246151A -:040CA30053638736DA -:040CA400471500D61A -:040CA500663762E16B -:040CA60085130001B1 -:040CA7000725598242 -:040CA8007146061378 -:040CA900C63E45C539 -:040CAA005E6020EF79 -:040CAB00A60366E155 -:040CAC0047034506AF -:040CAD0067130006C3 -:040CAE0000230047D8 -:040CAF00D0EF00E69C -:040CB00066E1F1BF49 -:040CB100C23647B24E -:040CB20066C162E1D4 -:040CB30016FDC41650 -:040CB40000021737EC -:040CB5000D072703FD -:040CB600EF998F75AE -:040CB70067E1CF1111 -:040CB80066C7861372 -:040CB900478145ED3D -:040CBA0000065503D8 -:040CBB0002E50263E9 -:040CBC000609078599 -:040CBD00FEB79AE301 -:040CBE0005136509AC -:040CBF00C63A7105BB -:040CC000DFFFC0EFA3 -:040CC10087BA473275 -:040CC20006936741ED -:040CC300B7C9FFF7B7 -:040CC40087634625D7 -:040CC500461100C70D -:040CC6004625E0914E -:040CC70004C7DE631D -:040CC800078547292C -:040CC90002E7E7B3A4 -:040CCA0002E483338A -:040CCB00979A67612C -:040CCC0054F70B23AB -:040CCD00F5FFE0EF60 -:040CCE0066B7C91D1F -:040CCF008693000107 -:040CD00047A26B4686 -:040CD1000001763771 -:040CD200AB860613D4 -:040CD300851345C57B -:040CD40020EF59872D -:040CD500D0EF53C049 -:040CD600A537E83F17 -:040CD70005130007FA -:040CD800C0EF120552 -:040CD9004792D9DF86 -:040CDA004507A70320 -:040CDB0066B7B5350E -:040CDC0086930001FA -:040CDD00B7F16AC63B -:040CDE00966346696A -:040CDF00C49300C7F3 -:040CE00087BA0014BB -:040CE1004631B5E5FE -:040CE200F6C798E3D6 -:040CE30067E1BFE91D -:040CE4006BB7C783A0 -:040CE500BE0790E3D3 -:040CE600BCD587A250 -:040CE700BCFD47A960 -:040CE800DBC1011358 -:040CE9002023182884 -:040CEA002E23241180 -:040CEB002C23228113 -:040CEC00D0EF229192 -:040CED00C22AD87FC0 -:040CEE00356020EF5E -:040CEF00440D4792D7 -:040CF0004611EBC5F9 -:040CF1000828182C8B -:040CF200560020EF99 -:040CF300000175B7D0 -:040CF400859346118D -:040CF500082897052F -:040CF600524020EF59 -:040CF7000640079319 -:040CF8005783E941F4 -:040CF900059303C19B -:040CFA00462103E1AB -:040CFB0001E10513FB -:040CFC0000F11E23C2 -:040CFD00534020EF51 -:040CFE0004615783B3 -:040CFF00020102A349 -:040D000000F11A23C1 -:040D0100048157838F -:040D020000F11B23BE -:040D030000EF455266 -:040D0400578375306C -:040D0500D42A04A147 -:040D060000F11A23BB -:040D070004C1578349 -:040D080000F11B23B8 -:040D090000EF455260 -:040D0A00578373B0E8 -:040D0B00D62A04E1FF -:040D0C0000F11A23B5 -:040D0D000501578302 -:040D0E0000F11B23B2 -:040D0F0000EF45525A -:040D1000D82A72303B -:040D11002341250352 -:040D120000EFCA2AFA -:040D130055A2717004 -:040D14000793DA2A3D -:040D150087131E2002 -:040D1600E063FE6533 -:040D1700460514E792 -:040D180000EF1828A8 -:040D190057D272300B -:040D1A0006F50B636C -:040D1B0006600793D4 -:040D1C0020EFC23EC4 -:040D1D00479229C010 -:040D1E008963470599 -:040D1F0047632AE715 -:040D2000071326F798 -:040D21008863F350A0 -:040D220044632AE715 -:040D2300071324F797 -:040D24008763F340AE -:040D250075B72AE78D -:040D260085930001B0 -:040D2700C23E8BC578 -:040D2800851367E1E7 -:040D2900464559875B -:040D2A00480020EF6E -:040D2B00D2DFD0EF54 -:040D2C00000F453738 -:040D2D002405051381 -:040D2E00C47FC0EFCF -:040D2F00DA634792AA -:040D300058632A07D3 -:040D310076372A8067 -:040D320065610001F6 -:040D33009DC6061340 -:040D3400051345C599 -:040D350020EF560550 -:040D3600147D3B806D -:040D37006461A2B998 -:040D38000001763709 -:040D39009786061380 -:040D3A00051345C593 -:040D3B0020EF56044B -:040D3C0056B23A0071 -:040D3D00763767E1BD -:040D3E008513000118 -:040D3F0006135987B7 -:040D400045C5988687 -:040D410038A020EFC7 -:040D4200CD1FD0EF02 -:040D430054B257C28D -:040D4400C23E430167 -:040D4500C42245017E -:040D46000893646347 -:040D470092634792DA -:040D480047831AA71C -:040D4900468301E1FB -:040D4A00470301C199 -:040D4B00CFD501D12E -:040D4C00000177B774 -:040D4D008887879379 -:040D4E000613452221 -:040D4F00C03201E1CC -:040D500000017637F1 -:040D510099460613A6 -:040D5200051345C57B -:040D530020EF560532 -:040D540067E134001F -:040D5500000175B76D -:040D560085934645F6 -:040D570085139EC59D -:040D580020EF5987A8 -:040D5900644125408C -:040D5A00C71FD0EFF0 -:040D5B0014B7147D38 -:040D5C00A783000267 -:040D5D0067610D04B9 -:040D5E0066C756838B -:040D5F008B638FE132 -:040D6000071306F679 -:040D6100570366C707 -:040D62000063002703 -:040D6300650914F713 -:040D640071050513FD -:040D6500B6BFC0EF66 -:040D66000793BFD957 -:040D6700BDC90650AC -:040D6800406482B3AE -:040D690020000793CC -:040D6A000057F463D7 -:040D6B0020000293CF -:040D6C00041367E124 -:040D6D0097132003B5 -:040D6E0085130102E6 -:040D6F0083415487E1 -:040D7000561346814F -:040D7100182C0094A6 -:040D7200C616C81ABF -:040D7300054020EF28 -:040D7400166387AAD1 -:040D750043420E05E2 -:040D7600182842B245 -:040D7700001336131C -:040D780000EF85966D -:040D790083225A3047 -:040D7A0077B7BF0583 -:040D7B008793000159 -:040D7C00B799AC0770 -:040D7D002E6010EFE5 -:040D7E0057836761CF -:040D7F006509588723 -:040D800071050513E1 -:040D81000027E793CD -:040D820058F71423E7 -:040D83000EF4A023A7 -:040D8400AEFFC0EF0F -:040D8500000175B73D -:040D86004645656118 -:040D87009A058593B1 -:040D880056050513F4 -:040D8900304020EFE7 -:040D8A0067E1440DCC -:040D8B00000174B738 -:040D8C0059878513EB -:040D8D0085934645BF -:040D8E0020EF9AC4F4 -:040D8F00D0EF2EE093 -:040D90005632B9BF5F -:040D9100458118344C -:040D9200D0EF450554 -:040D930087AAB1DF9B -:040D9400E20510E381 -:040D950075B7676166 -:040D96000513000140 -:040D97004645560770 -:040D98009BC58593DF -:040D99002C4020EFDB -:040D9A009AC48593DF -:040D9B00464564E184 -:040D9C0059848513DE -:040D9D002B4020EFD8 -:040D9E00B61FD0EFBD -:040D9F0055B25642B1 -:040DA00045011834BD -:040DA100A51FD0EFCB -:040DA20013E387AA26 -:040DA30020EFDE055A -:040DA400676108007B -:040DA500000175B71D -:040DA60085934645A6 -:040DA7000513A0058B -:040DA80020EF5607DB -:040DA90075B71140C9 -:040DAA0046450001B9 -:040DAB009CC58593CB -:040DAC0059848513CE -:040DAD00274020EFCC -:040DAE00B21FD0EFB1 -:040DAF00440DA0014E -:040DB000440DBB45EE -:040DB100067007932E -:040DB200440DB365D4 -:040DB300068007931C -:040DB4000713B34529 -:040DB5008A63F360FA -:040DB600071306E732 -:040DB7009CE3F37056 -:040DB80075B7DAE74A -:040DB900859300011D -:040DBA00BB5591C5CF -:040DBB0006600713B4 -:040DBC0006E7826361 -:040DBD0000F74F6389 -:040DBE0006400713D1 -:040DBF0006E781635F -:040DC00006500713BF -:040DC100D8E799E3F3 -:040DC200000175B700 -:040DC3008D45859342 -:040DC4000713B379E5 -:040DC50089630670C8 -:040DC600071304E724 -:040DC7009CE3068023 -:040DC80075B7D6E73E -:040DC900859300010D -:040DCA00BB959085C0 +:04089000B5ED5485E9 +:0408910000D4C78345 +:0408920000E4C703B4 +:0408930017F546818E +:040894000017B793FF +:0408950007858F99AB +:040896000FF7F793CE +:040897004585B731AB +:04089800F8B61AE3B1 +:04089900D7B3078A40 +:04089A00B75902D771 +:04089B0082634789A4 +:04089C00C50302F599 +:04089D00C1910114F0 +:04089E0044524589F2 +:04089F0000F4C78317 +:0408A0000424C70362 +:0408A10044C240E22B +:0408A2004601468144 +:0408A300E06F017190 +:0408A40040E2D2EF6D +:0408A50044C24452B3 +:0408A60080820171DA +:0408A700C026115105 +:0408A800C50364E13F +:0408A900458155E44C +:0408AA00C406C2229C +:0408AB004F5010EFAB +:0408AC00E121842A98 +:0408AD0055E4C683C5 +:0408AE00472967E18E +:0408AF005AD788A3E9 +:0408B000C78367E1B2 +:0408B10085636717DD +:0408B200676100E793 +:0408B30056F702A34F +:0408B400C70367E12E +:0408B50047815B27F5 +:0408B60067E1C7012E +:0408B7005657C78346 +:0408B800071367615A +:0408B90097BA59474A +:0408BA008023453D15 +:0408BB0010EF00D763 +:0408BC0085223390CE +:0408BD00441240A2FF +:0408BE00013144823E +:0408BF0011518082D1 +:0408C00064E1C02609 +:0408C10055E4C50332 +:0408C200C406C22284 +:0408C30031B010EF51 +:0408C400E51D842A80 +:0408C50055E4C683AD +:0408C60088A367E1BB +:0408C70067E15AD7B4 +:0408C8005B27C703E0 +:0408C900C70147819B +:0408CA00C78367E198 +:0408CB0067615D07FD +:0408CC00594707136E +:0408CD00453D97BA54 +:0408CE0000D78023AC +:0408CF002EB010EF48 +:0408D00040A285229B +:0408D1004482441207 +:0408D20080820131EE +:0408D300C4061151F5 +:0408D400C026C22256 +:0408D50000021437D2 +:0408D600202347C5CF +:0408D70067E10EF4D3 +:0408D8004647A78365 +:0408D9000E042023C6 +:0408DA00C7036509E2 +:0408DB00862300C7A9 +:0408DC00C703000747 +:0408DD0086A300D717 +:0408DE00C703000745 +:0408DF00872300E784 +:0408E000C703000743 +:0408E10087A300F7F2 +:0408E200C703000741 +:0408E3008A2301471C +:0408E400C70300073F +:0408E5008AA301578A +:0408E600C70300073D +:0408E7008B230167F7 +:0408E800C70300073B +:0408E900051301777B +:0408EA008BA3710566 +:0408EB00D0EF000743 +:0408EC000713D51FFA +:0408ED0067E11D1092 +:0408EE0058E798230C +:0408EF0000031537B6 +:0408F0001D1007933D +:0408F1000EF42023BE +:0408F200D405051311 +:0408F300D33FD0EF30 +:0408F400000626379D +:0408F500019C05B7A6 +:0408F60004040513DE +:0408F700A806061336 +:0408F800CC05859313 +:0408F90098EFE0EFA5 +:0408FA00170020EFD4 +:0408FB003AA020EF10 +:0408FC0007635479C1 +:0408FD00450112059A +:0408FE00460020EFA1 +:0408FF000FF007935C +:040900000F635475B8 +:0409010020EF10F5DE +:04090200450905900E +:04090300CDCFE0EF85 +:04090400547147CD16 +:0409050010F5166370 +:04090600D4CFE0EF7B +:040907000B2020EFB2 +:0409080067E1C509D5 +:0409090085A3470576 +:04090A00E0EF62E7D1 +:04090B00842ABCFF7F +:04090C000E051E6353 +:04090D0003F000EF04 +:04090E00851364E108 +:04090F0065D95B4407 +:0409100004200613A6 +:040911006045859325 +:0409120030EF057944 +:0409130065D937600B +:040914000613656100 +:040915008593036063 +:040916000513794507 +:0409170030EF674511 +:0409180045813620BF +:0409190010EF453D59 +:04091A0067E133B0AE +:04091B005B17C5039E +:04091C0084934581FA +:04091D0010EF5B4438 +:04091E0067E132B0AB +:04091F0045C7C7837E +:0409200066E16661C5 +:0409210058F606A3DB +:04092200C78367E13F +:0409230089A358E765 +:0409240067E15AF637 +:0409250045878793E8 +:04092600C703438C34 +:040927009B1D0015FF +:0409280000E580A3C3 +:04092900C703438C31 +:04092A00771300152A +:04092B0080A3F9F7B5 +:04092C00438C00E513 +:04092D000005C703F7 +:04092E0067138B7D43 +:04092F008023060714 +:04093000438C00E50F +:040931000015C703E3 +:0409320067139B614B +:0409330080A3003766 +:04093400438C00E50B +:0409350058D6470346 +:04093600001776131D +:040937000005C703ED +:040938008F519B79C7 +:0409390000E5802332 +:04093A00C78343909C +:04093B008B8D5B360F +:04093C0000379713D6 +:04093D0000064783E6 +:04093E008FD99B9D15 +:04093F0000F600239B +:04094000000217B7E3 +:040941000D07A78374 +:0409420000E7971320 +:040943000007446302 +:0409440079E000EF67 +:040945004501478998 +:0409460000F488A38E +:04094700D91FE0EFE5 +:0409480040A2852222 +:04094900448244128E +:04094A008082013175 +:04094B00BFCD547D4B +:04094C00C78367E115 +:04094D00011355C776 +:04094E00CE22FDC1F7 +:04094F00F79307858E +:0409500007B20FF7E4 +:0409510083C107C295 +:040952006461C83ED6 +:040953005904578369 +:04095400D006474240 +:040955008FD9CC2644 +:040956008FD96721AD +:0409570058F4182315 +:04095800000217374B +:04095900C402C20210 +:04095A0000016637FB +:04095B00202364E110 +:04095C0006130EF779 +:04095D0045C54AC67C +:04095E005A0485139F +:04095F0031C030EF84 +:04096000C59FE0EF60 +:04096100177D674156 +:04096200CA3A4785C1 +:040963000002173740 +:040964000D072683D2 +:040965008EF1465277 +:04096600E7F9C636B1 +:0409670066E1C6F18E +:0409680067468693C5 +:040969000166D6034A +:04096A00578345B2B8 +:04096B0014635904B4 +:04096C0076F512B654 +:04096D008FF516FDEF +:04096E0058F41823FE +:04096F000EF720233C +:040970005A04802382 +:04097100C15FE0EF93 +:04097200000625371F +:04097300A8050513BB +:04097400B2FFD0EF0F +:040975005904578347 +:0409760066914742FD +:040977003E800513A6 +:040978008FD58FD9AF +:0409790058F41823F3 +:04097A000002173729 +:04097B000EF7202330 +:04097C00160030EF42 +:04097D00186030EFDF +:04097E0066E1478562 +:04097F0002F51C63FE +:040980004646A6033E +:040981000086478322 +:040982000096470391 +:040983008F5D07225B +:0409840000A64783FF +:040985008F5D07C2B9 +:0409860000B64783ED +:040987008FD907E21B +:0409880001079713B9 +:04098900C23A8341AA +:04098A000047971378 +:04098B00C43A835196 +:04098C00FC07D2E3AF +:04098D0015E030EF52 +:04098E00EF95479208 +:04098F0000016637C6 +:040990004B86061379 +:04099100851345C5C0 +:0409920030EF5A04E4 +:04099300E0EF24E08D +:040994005703B8BF8E +:0409950077F1590499 +:040996008FF917FDC1 +:0409970058F41823D5 +:04099800000217370B +:040999000EF7202312 +:04099A0005136509D3 +:04099B00D0EF710523 +:04099C0047B2A91F96 +:04099D004792BF219D +:04099E0097634752C2 +:04099F00663700E7D0 +:0409A0000613000139 +:0409A100BF7D4C8644 +:0409A20045A2471211 +:0409A300079366054B +:0409A400167D064076 +:0409A50002F756B34C +:0409A60002F77733AA +:0409A70000C59C6388 +:0409A80000016637AD +:0409A9004D060613DE +:0409AA00851345C5A7 +:0409AB0030EF5A04CB +:0409AC00BF711EA059 +:0409AD0045C54622D4 +:0409AE005A0485134F +:0409AF0002F67633A3 +:0409B0004622C032E9 +:0409B10002F657B340 +:0409B20000016637A3 +:0409B3004DC6061314 +:0409B4001C8030EF84 +:0409B500D683BFAD79 +:0409B600463201863E +:0409B700F6C69BE302 +:0409B80083D107D20E +:0409B90058F41823B3 +:0409BA0044725082B1 +:0409BB000EF72023F0 +:0409BC00450144E2CB +:0409BD0002410113DF +:0409BE0011518082D1 +:0409BF00F0EFC4068B +:0409C00020EFFD8F98 +:0409C10067E12400C6 +:0409C200C50340A287 +:0409C3000131653762 +:0409C400B9DFE06F48 +:0409C500FCC101135D +:0409C600D622D80657 +:0409C700D0EFD42673 +:0409C800842AA4FFDA +:0409C900C29FF0EFEA +:0409CA0007936761C7 +:0409CB0086AA45872C +:0409CC006561C03E63 +:0409CD00CD6364E1B1 +:0409CE006637120670 +:0409CF00468100015C +:0409D00005500713B4 +:0409D1004F060613B4 +:0409D200051345C5FF +:0409D30030EF57C5E5 +:0409D40065B714A04F +:0409D5004645000192 +:0409D60052058593AE +:0409D700618485139F +:0409D800060030EFF6 +:0409D90080294782A8 +:0409DA004783439874 +:0409DB00E793000797 +:0409DC0000230027CD +:0409DD00E0EF00F750 +:0409DE00A537AA9FF0 +:0409DF0005130007F5 +:0409E000D0EF12053D +:0409E100D0EF97DFDD +:0409E200079399DFFF +:0409E30007B312C084 +:0409E400646102F454 +:0409E500C602C8027C +:0409E600C202C40283 +:0409E7005B44041356 +:0409E8004799CE3E1F +:0409E90017B7CA3E34 +:0409EA00A7830002DD +:0409EB0066610D072D +:0409EC009713656197 +:0409ED00834101073A +:0409EE00FFF7C693B6 +:0409EF006AE62A2367 +:0409F000073783E161 +:0409F1008F750003FB +:0409F2000FF7F59373 +:0409F300AC2366E1EA +:0409F4000E236AE67E +:0409F50085326AB528 +:0409F60066E18636FA +:0409F7008793CF8192 +:0409F800F793FFE58D +:0409F900430D0FF7A4 +:0409FA0000F376632D +:0409FB006B06C7833D +:0409FC0000B7946349 +:0409FD006A052A233A +:0409FE00882367E102 +:0409FF00A6836AB6AB +:040A0000A6236AC7F8 +:040A0100E2D56AE7E9 +:040A0200478367615E +:040A030064E162C781 +:040A04004783CBF168 +:040A0500C7F901C468 +:040A0600478366E1DB +:040A0700C68300B4EE +:040A08008FD56C36E4 +:040A0900D0EFE3E166 +:040A0A0047F2921FFE +:040A0B000AF56C6319 +:040A0C0007934742C3 +:040A0D00E763063065 +:040A0E0067610AE72B +:040A0F0062C74683F1 +:040A100047054785CA +:040A110000F6876301 +:040A1200871347D22D +:040A13003733FFA7CF +:040A1400478300E034 +:040A150046A101C431 +:040A1600F79317FD3E +:040A1700E1630FF791 +:040A180066D906F69F +:040A19008693078A2F +:040A1A0097B642C683 +:040A1B008782439CEF +:040A1C000001663738 +:040A1D005046061326 +:040A1E00051345C5B2 +:040A1F0030EF57C598 +:040A200075B701A005 +:040A21004645000145 +:040A2200AC85859387 +:040A23006184851352 +:040A24000A2030EF85 +:040A25004398478229 +:040A260000074783FB +:040A27000027E7932A +:040A280000F70023B0 +:040A290097BFE0EFA4 +:040A2A002C23A001D8 +:040A2B00BFA96A06EF +:040A2C00C78367E134 +:040A2D0037B359275B +:040A2E00078900F044 +:040A2F0056F482A354 +:040A3000475247C220 +:040A3100F7930785AB +:040A3200C83E0FF7B4 +:040A33000763479975 +:040A3400079300F72D +:040A3500F79300171C +:040A3600CA3E0FF7AE +:040A3700847FD0EFF9 +:040A3800C63E4785EA +:040A390067E123212D +:040A3A006C37C783CB +:040A3B00C781CC2A79 +:040A3C0010EF450171 +:040A3D00C78326C085 +:040A3E0047035654C0 +:040A3F00046301C487 +:040A4000476200F712 +:040A41004732E71140 +:040A42004703CB1586 +:040A4300C71D00B417 +:040A4400470367619C +:040A4500C63A5B272B +:040A46006761C3150C +:040A470059470713F1 +:040A4800C50397BA91 +:040A490067E100075A +:040A4A005B17C7036C +:040A4B000763C60275 +:040A4C00458100A739 +:040A4D005AA788A379 +:040A4E00668010EFBF +:040A4F005654C783AF +:040A500001C4470393 +:040A5100849364E145 +:040A52001C636304BA +:040A5300469200F7D0 +:040A54007C6347096F +:040A5500C68316D767 +:040A5600470303341B +:040A57008663051499 +:040A5800473D16E61A +:040A590004A363612E +:040A5A00470D62E3FF +:040A5B0006F77E63B9 +:040A5C000334C70395 +:040A5D008713CB012F +:040A5E007713FFA764 +:040A5F0046850FF7C2 +:040A600006E6F4634F +:040A6100756347155D +:040A6200460506F748 +:040A63004689450972 +:040A6400FFF7859380 +:040A65000FF5F7137F +:040A6600EF6342A157 +:040A670062D904E26A +:040A68008293070A64 +:040A69009716450295 +:040A6A0087024318A4 +:040A6B0017E34785C1 +:040A6C0067E1F00747 +:040A6D004577C7837F +:040A6E000017B79323 +:040A6F00BDFD079131 +:040A70000DE34799B2 +:040A7100C783EE0742 +:040A7200BDCD56544C +:040A7300B5FD479DE9 +:040A7400C78367E1EC +:040A750037B35647F6 +:040A760007A100F0E4 +:040A77004799B5C521 +:040A7800EC071EE386 +:040A7900BDD9478517 +:040A7A004505460DDB +:040A7B00B74D4681AC +:040A7C0045014609E1 +:040A7D00C402BFE50B +:040A7E000FF5F593E8 +:040A7F00C202472147 +:040A800000B76963EF +:040A81000713675997 +:040A8200972E47471D +:040A8300000747031E +:040A84000E23C23A41 +:040A8500478300F4AF +:040A860005A304C4FC +:040A8700470500041B +:040A88007663458DBF +:040A8900459500F798 +:040A8A00F5938D9DB6 +:040A8B00D2320FF55F +:040A8C0010EFD03661 +:040A8D0010EF5DF019 +:040A8E00E0EF6AF03B +:040A8F0067E197AFD5 +:040A900062B7C783FF +:040A91005612568221 +:040A92008532C78959 +:040A930023B010EF8D +:040A9400462256821E +:040A950085364592CB +:040A9600392020EFF4 +:040A970001C44783CC +:040A98004645656109 +:040A99000027971388 +:040A9A00879367D9FE +:040A9B0097BA4807B7 +:040A9C000513438C6F +:040A9D00222357C5F4 +:040A9E0020EF000441 +:040A9F0065B76B903C +:040AA000656100018B +:040AA10085934645AE +:040AA20005135145A2 +:040AA30020EF61855A +:040AA40067E16A504C +:040AA5006C37C78360 +:040AA6004782EB99FF +:040AA70047834398A6 +:040AA800E7930007C9 +:040AA90000230027FF +:040AAA00E0EF00F782 +:040AAB0047E2F74FD8 +:040AAC0067E1CF89A6 +:040AAD0055D7C7034F +:040AAE00156347A9DC +:040AAF00453D00F7CA +:040AB000366010EFAD +:040AB100E5EFD0EFAE +:040AB200C703C802AC +:040AB300478302343F +:040AB40005630414BE +:040AB500450302F7FC +:040AB600478101145F +:040AB700468147012C +:040AB800458146012D +:040AB900CD9FD0EF0E +:040ABA000234C5033A +:040ABB00FC0FE0EF5D +:040ABC000234C783B6 +:040ABD0000042223EC +:040ABE0004F400A399 +:040ABF000234C583B5 +:040AC0008663478979 +:040AC100C70302F570 +:040AC2004783024420 +:040AC3008063042424 +:040AC400450302E7FD +:040AC500C1910114C6 +:040AC6004783458994 +:040AC700468100F470 +:040AC800D0EF460124 +:040AC900C783C9BF57 +:040ACA0001230244BE +:040ACB00C78304F4E5 +:040ACC0047030334A5 +:040ACD0004630514A5 +:040ACE0008A300F782 +:040ACF00676104F463 +:040AD000468367E111 +:040AD100676158E71A +:040AD20045C7C783CA +:040AD30058D7458328 +:040AD400966366615E +:040AD500458300F560 +:040AD6008D635B369B +:040AD70006A302D59B +:040AD800470258F782 +:040AD9005AD609A33D +:040ADA00F7134314B7 +:040ADB00C7830017B6 +:040ADC009BF900067C +:040ADD0080238FD90A +:040ADE00478200F655 +:040ADF004783439472 +:040AE0008B8D5B3669 +:040AE1000037971330 +:040AE2000006C783C0 +:040AE3008FD99B9D6F +:040AE40000F6802375 +:040AE50001C447837E +:040AE6004512C3B53D +:040AE700889FE0EF15 +:040AE80002634789D5 +:040AE90047910CF530 +:040AEA0002F50A63A4 +:040AEB0017634785C1 +:040AEC00478304F543 +:040AED00C3B900B4D5 +:040AEE00E07FE0EFD6 +:040AEF004709A08192 +:040AF000BD1DC43A2A +:040AF100BFED470D01 +:040AF2000334C703FF +:040AF300C41642855E +:040AF400E20714E31E +:040AF50004A34741CE +:040AF600BD3962E3C1 +:040AF70000B447837D +:040AF8006761C39DD2 +:040AF90059075783BF +:040AFA000027E79357 +:040AFB0058F718236D +:040AFC0000021737A6 +:040AFD000EF72023AD +:040AFE00B03FF0EF26 +:040AFF0012C0051309 +:040B0000CFEFD0EF74 +:040B01002223B64DA8 +:040B020010EF0004EC +:040B030047834DB027 +:040B0400656101C462 +:040B050097134645B7 +:040B060067D9002784 +:040B07004807879381 +:040B0800438C97BAC9 +:040B090057C50513B4 +:040B0A0050B020EFD8 +:040B0B00000165B7C9 +:040B0C004645656194 +:040B0D005145859336 +:040B0E0061850513E5 +:040B0F004F7020EF14 +:040B1000C78367E14F +:040B1100EB996C37B9 +:040B1200439847823B +:040B1300000747830D +:040B14000027E7933C +:040B150000F70023C2 +:040B1600DC6FE0EFC1 +:040B1700CC6FD0EFE0 +:040B1800C802CA0243 +:040B19004783BF61EE +:040B1A00DBC900B47F +:040B1B00A6AFF0EFA2 +:040B1C0065D9B7716F +:040B1D0011516561AC +:040B1E000420061396 +:040B1F006045859315 +:040B20006305051351 +:040B210020EFC406F7 +:040B220065DD33B0AA +:040B230006136561EF +:040B2400859340C0B5 +:040B2500051351055E +:040B260020EF6E4509 +:040B270040A2327046 +:040B2800470567E135 +:040B290062E78523D7 +:040B2A00013145014F +:040B2B006759808204 +:040B2C000793111109 +:040B2D00C8267287DD +:040B2E00CA22CC0605 +:040B2F004481C0023B +:040B30006461C43EFA +:040B3100000165B7A3 +:040B3200859346451C +:040B330005137CC565 +:040B340020EF5684D4 +:040B350047A246107D +:040B360000249713ED +:040B3700973E65611F +:040B38004645430CDF +:040B39005A05051341 +:040B3A0044B020EFB4 +:040B3B00CECFE0EF4A +:040B3C00C22246810A +:040B3D000002173764 +:040B3E000D072583F7 +:040B3F0017FD67C176 +:040B400000F5F63393 +:040B41002A2364619E +:040B420027036AC457 +:040B430005370D075E +:040B440067E1000362 +:040B4500FFF747135C +:040B4600AC238F69E4 +:040B4700C63E6AE755 +:040B48004782CA1501 +:040B490002F6086345 +:040B4A009513676137 +:040B4B000713001478 +:040B4C00972A674736 +:040B4D004792EABD24 +:040B4E0000B71023B9 +:040B4F00000165B785 +:040B500085934645FE +:040B510085137D4546 +:040B520020EF5687B3 +:040B5300E0EF3E9001 +:040B54004685C8AF5B +:040B550047B26761DB +:040B56006AC7260341 +:040B57006B87A30302 +:040B5800E60587BA6D +:040B5900126367417B +:040B5A00E0BD02E315 +:040B5B00656165D992 +:040B5C000360061319 +:040B5D0079458593BE +:040B5E0067450513CF +:040B5F0020EFC01AA9 +:040B600043022430F8 +:040B610044ED468990 +:040B6200270367E11D +:040B6300A6236B4416 +:040B6400C6366A67C0 +:040B65004709C03A42 +:040B660002E68F63B1 +:040B67000513650904 +:040B6800D0EF710554 +:040B690046B2B5CF0C +:040B6A005703B7B1C5 +:040B6B0046890007B0 +:040B6C00FAE602E3C0 +:040B6D0065B747928F +:040B6E0046450001F7 +:040B6F007DC5859328 +:040B7000568785130C +:040B710036F020EF4B +:040B7200C10FE0EFE0 +:040B7300B7594681A7 +:040B7400468914F9A1 +:040B75000485BF5DD7 +:040B760054E3476994 +:040B77004452EE975F +:040B780044C240E251 +:040B79000171453D84 +:040B7A0003E0106F15 +:040B7B00FBC10113A6 +:040B7C0001310793A9 +:040B7D0067E1CE3E20 +:040B7E00649787935E +:040B7F0067E1D03E1C +:040B800064A787934C +:040B810067E1D23E18 +:040B820064B787933A +:040B830067E1D43E14 +:040B840064C7879328 +:040B8500D83ED63E42 +:040B8600879367E109 +:040B8700DA3E64D717 +:040B88000F0327B779 +:040B8900F017879347 +:040B8A000793CA3EC5 +:040B8B006361303042 +:040B8C0000F11C2335 +:040B8D000D23478D60 +:040B8E00079300F1D8 +:040B8F00C7835B437A +:040B9000DE2201C799 +:040B9100DC26C08618 +:040B9200000109A3B2 +:040B930003134725DC +:040B940044055B4376 +:040B950000E786638C +:040B960000178413AD +:040B97000FF47413D0 +:040B9800676167E149 +:040B99006B47A603FD +:040B9A00674707138F +:040B9B0045E9478160 +:040B9C000007568375 +:040B9D0000D61C63FF +:040B9E0062634769DE +:040B9F00675910F78B +:040BA0000713078AA6 +:040BA10097BA648714 +:040BA2008782439C67 +:040BA3008C6307094F +:040BA400078550B7BA +:040BA5004785BFF1D0 +:040BA600D70366E12A +:040BA7004713590691 +:040BA8009823100777 +:040BA900676158E642 +:040BAA006B8727032B +:040BAB0000F71693A6 +:040BAC000006D36309 +:040BAD00169387A272 +:040BAE00DE6300E71B +:040BAF006761000674 +:040BB000630746830E +:040BB100460145852F +:040BB20000D5E56322 +:040BB300F6130685AA +:040BB40008230FF60D +:040BB500472962C7A3 +:040BB600866345010C +:040BB700676100E78B +:040BB80056F702A347 +:040BB90067E14505A6 +:040BBA005907D703FD +:040BBB00C68366E1A6 +:040BBC009B4D6C36AB +:040BBD008341074227 +:040BBE0058E7982339 +:040BBF0066E1EA8180 +:040BC00059E6C683A9 +:040BC1008F55068ABC +:040BC20058E7982335 +:040BC3005907D703F4 +:040BC40054724086A1 +:040BC500000217B75C +:040BC6000EE7A02373 +:040BC700011354E2E0 +:040BC80080820441E2 +:040BC900BF8D47890C +:040BCA00B7BD478DDF +:040BCB00B7AD4791EA +:040BCC00B79D4795F5 +:040BCD00B78D479900 +:040BCE00BFB9479DC7 +:040BCF00BFA947A1D2 +:040BD000BF9947A5DD +:040BD100C78366E18F +:040BD20067616C36B5 +:040BD3004587260329 +:040BD4000017B793BC +:040BD5006CF681A396 +:040BD60000064703CB +:040BD7009B6D078A81 +:040BD80000238FD98E +:040BD90067E100F6DA +:040BDA005B17C703DB +:040BDB008F2367E11C +:040BDC00C78354E790 +:040BDD00C7916C361A +:040BDE0000EF4505DA +:040BDF0047A93E5094 +:040BE000E0EFBF2162 +:040BE100BFE5A9CFF4 +:040BE200A70367E11D +:040BE30066374647E4 +:040BE4006561000146 +:040BE500000744833E +:040BE600001747832A +:040BE7006C4606133F +:040BE80007A245C556 +:040BE90044838FC5ED +:040BEA0005130027C8 +:040BEB0004C2568565 +:040BEC0044838FC5EA +:040BED0004E20037E7 +:040BEE00D6938CDD31 +:040BEF00F79300B4C4 +:040BF000F393001665 +:040BF100C43E7FF48B +:040BF2004683C61E52 +:040BF30042830047F2 +:040BF40002A2005702 +:040BF50000D2E6B391 +:040BF60000674283CF +:040BF7000077470339 +:040BF800E2B302C2A0 +:040BF900068300D29D +:040BFA00477100A39C +:040BFB0086B302B209 +:040BFC00676102E645 +:040BFD006E47071325 +:040BFE0000C2D293CC +:040BFF009736C2164D +:040C0000C68366E160 +:040C010020EF5B166F +:040C020067E109306D +:040C03005B478313B5 +:040C040000B34703EF +:040C050043B2C7210E +:040C0600071347A2E7 +:040C0700869306903A +:040C080096B300138C +:040C090096BE00F69D +:040C0A000144979377 +:040C0B00C4634292EA +:040C0C0007130007C3 +:040C0D0007B707001E +:040C0E008CFD000356 +:040C0F0002A00793A5 +:040C10000793E099CD +:040C11006637020040 +:040C12006561000117 +:040C13000613C016EE +:040C140045C56D065F +:040C15005A05051364 +:040C1600041020EFB7 +:040C1700A70367E1E7 +:040C18004783458742 +:040C1900E793000756 +:040C1A00002300476C +:040C1B00E0EF00F70F +:040C1C00B73196AFA7 +:040C1D005783676131 +:040C1E00C793590718 +:040C1F00182301078E +:040C2000BDF558F7CF +:040C2100C70367E1BD +:040C22004605630719 +:040C2300656346813E +:040C2400070500E6DA +:040C25000FF77693BC +:040C260062D78823E6 +:040C270067E1B5CDFF +:040C280063078713C4 +:040C29000017470366 +:040C2A008793460561 +:040C2B004681630794 +:040C2C0000E6656316 +:040C2D0076930705AE +:040C2E0080A30FF799 +:040C2F00B5C100D774 +:040C3000079367615E +:040C3100C78363070B +:040C3200071300673D +:040C3300C78163070B +:040C3400F79317FD1E +:040C350003230FF78F +:040C3600B55500F7B9 +:040C370007136761D7 +:040C38004783630784 +:040C390046B9006751 +:040C3A0000F6F3636A +:040C3B00078547B929 +:040C3C0065B7B7DD04 +:040C3D0065610001EC +:040C3E00859346450F +:040C3F0005136E0526 +:040C400020EF5685C6 +:040C410062E1031059 +:040C4200000165B791 +:040C43005A028513B9 +:040C44008593464509 +:040C450020EF6F0528 +:040C460064E101D094 +:040C47004584A683B7 +:040C48000006C78358 +:040C49000047E793E6 +:040C4A0000F680230D +:040C4B008ACFE0EF7D +:040C4C0067E1676194 +:040C4D00071362E146 +:040C4E0083136E4757 +:040C4F0017B75B4731 +:040C5000A6030002F5 +:040C510067E10D0743 +:040C520067478793D6 +:040C53008241064292 +:040C540045ED4681A3 +:040C55000007D503BC +:040C560000C506636C +:040C5700078906857E +:040C5800FEB69AE367 +:040C590000A307836A +:040C5A0087B34671A5 +:040C5B00461502C771 +:040C5C004BDC97BA1C +:040C5D00646383F554 +:040C5E00461102F643 +:040C5F0006D6416311 +:040C6000973E18386B +:040C6100FDC7470381 +:040C620040D75733ED +:040C6300C70D8B0529 +:040C64001838078AAB +:040C6500A78397BA10 +:040C66008023FE47A2 +:040C6700A70300D708 +:040C680047834584F5 +:040C69009BED0007F8 +:040C6A0000F700236C +:040C6B00872FE0EF00 +:040C6C0081A367E118 +:040C6D00B3E16C077C +:040C6E0000016637E4 +:040C6F0006130685DD +:040C700045C56FC641 +:040C71005A0285138B +:040C72006D0020EF02 +:040C730080CFE0EF5F +:040C74000007A53799 +:040C7500120505134C +:040C7600F27FC0EF5A +:040C770047B1B7C901 +:040C7800FAF68FE316 +:040C790005136509F1 +:040C7A00C0EF710551 +:040C7B0067E1F15FDD +:040C7C006E47871325 +:040C7D00831367E195 +:040C7E0062E15B478D +:040C7F000683B789A8 +:040C8000467100A316 +:040C8100863367E16E +:040C8200871302C60C +:040C830045816E47F2 +:040C84006E4787939D +:040C85004703973258 +:040C86004679016743 +:040C870000E66563BB +:040C88007593070554 +:040C890046710FF7AA +:040C8A0002C68633E5 +:040C8B0097B2676154 +:040C8C0000B78B23FF +:040C8D0005234605F0 +:040C8E00676162C771 +:040C8F006CC74703E4 +:040C9000D2E69FE326 +:040C91000167C7032D +:040C9200902367E163 +:040C9300BB056CE74A +:040C940000A3068330 +:040C950067E146715C +:040C960002C68633D9 +:040C97006E4787130A +:040C9800879345FDFC +:040C990097326E47D9 +:040C9A0001674703A4 +:040C9B00177DDF4D95 +:040C9C004785BF4D7C +:040C9D00C23E44818E +:040C9E0067B765616E +:040C9F0046450001C5 +:040CA00070C7859301 +:040CA100568505135C +:040CA2006AA020EF35 +:040CA30086B346A925 +:040CA400461502D41B +:040CA50087364792B5 +:040CA60000D65363BE +:040CA70062E14715AA +:040CA80000016637AA +:040CA9005A02851353 +:040CAA000613072501 +:040CAB0045C571C604 +:040CAC0020EFC63E31 +:040CAD0066E15E603E +:040CAE004586A603CE +:040CAF0000064703F1 +:040CB000004767137F +:040CB10000E6002336 +:040CB200F11FD0EF6F +:040CB30047B266E1FD +:040CB40062E1C23601 +:040CB500C41666C13A +:040CB600173716FDD9 +:040CB700270300020D +:040CB8008F750D0720 +:040CB900CF11EF99CF +:040CBA00861367E155 +:040CBB0045ED674755 +:040CBC005503478114 +:040CBD0002630006C8 +:040CBE00078502E5BF +:040CBF009AE30609A5 +:040CC0006509FEB70D +:040CC10071050513A1 +:040CC200C0EFC63A7F +:040CC3004732DF5F76 +:040CC400674187BA43 +:040CC500FFF706939C +:040CC6004625B7C93F +:040CC70000C7876378 +:040CC800E091461160 +:040CC900DE6346257B +:040CCA00472904C7EB +:040CCB00E7B30785FF +:040CCC00833302E785 +:040CCD00676102E475 +:040CCE000F23979ABF +:040CCF00E0EF54F707 +:040CD000C91DF5FF46 +:040CD100000166B701 +:040CD2006BC68693D4 +:040CD300763747A287 +:040CD4000613000102 +:040CD50045C5AC065F +:040CD6005A07851321 +:040CD70053C020EFF7 +:040CD800E79FD0EFD3 +:040CD9000007A53734 +:040CDA0012050513E7 +:040CDB00D93FC0EF4E +:040CDC00A703479291 +:040CDD00B53545875D +:040CDE00000166B7F4 +:040CDF006B46869347 +:040CE0004669B7F1B9 +:040CE10000C796634F +:040CE2000014C493A3 +:040CE300B5E587BA32 +:040CE40098E346311A +:040CE500BFE9F6C7A6 +:040CE600C78367E178 +:040CE70090E36C37F3 +:040CE80087A2BE071A +:040CE90047A9BCD586 +:040CEA000113BCFD39 +:040CEB001828DBC129 +:040CEC00241120238C +:040CED0022812E230F +:040CEE0022912C2300 +:040CEF00D7DFD0EF8C +:040CF00020EFC22A05 +:040CF1004792356091 +:040CF200EBC5440DFD +:040CF300182C461162 +:040CF40020EF0828BD +:040CF50075B7560079 +:040CF60046110001A2 +:040CF70097858593C5 +:040CF80020EF0828B9 +:040CF90007935240CB +:040CFA00E941064086 +:040CFB0003C1578357 +:040CFC0003E1059378 +:040CFD000513462174 +:040CFE001E2301E1CF +:040CFF0020EF00F1F1 +:040D00005783534082 +:040D010002A30461E4 +:040D02001A230201AD +:040D0300578300F121 +:040D04001B23048128 +:040D0500455200F162 +:040D0600753000EF55 +:040D070004A1578369 +:040D08001A23D42AAC +:040D0900578300F11B +:040D0A001B2304C1E2 +:040D0B00455200F15C +:040D0C0073B000EFD1 +:040D0D0004E1578323 +:040D0E001A23D62AA4 +:040D0F00578300F115 +:040D10001B2305019B +:040D1100455200F156 +:040D1200723000EF4C +:040D13002503D82AB2 +:040D1400CA2A234183 +:040D1500717000EF0A +:040D1600DA2A55A2DE +:040D17001E20079300 +:040D1800FE658713DA +:040D190014E7E06398 +:040D1A00182846054A +:040D1B00723000EF43 +:040D1C000B6357D23C +:040D1D00079306F53D +:040D1E00C23E06606B +:040D1F0029C020EFD8 +:040D200047054792AA +:040D21002AE78963D1 +:040D220026F7476306 +:040D2300F35007136F +:040D24002AE78863CF +:040D250024F7446308 +:040D2600F34007137C +:040D27002AE78763CD +:040D2800000175B79A +:040D29008C458593DD +:040D2A0067E1C23E7D +:040D2B005A078513CB +:040D2C0020EF464529 +:040D2D00D0EF4800BB +:040D2E004537D23F34 +:040D2F000513000F99 +:040D3000C0EF2405E7 +:040D31004792C3DF43 +:040D32002A07DA634F +:040D33002A80586357 +:040D3400000176370D +:040D350006136561DB +:040D360045C59E46CB +:040D370056850513C5 +:040D38003B8020EFED +:040D3900A2B9147DCA +:040D3A007637646143 +:040D3B00061300019A +:040D3C0045C598060B +:040D3D0056840513C0 +:040D3E003A0020EF68 +:040D3F0067E156B260 +:040D40000001763701 +:040D41005A078513B5 +:040D420099060613F5 +:040D430020EF45C593 +:040D4400D0EF38A014 +:040D450057C2CC7F46 +:040D4600430154B25F +:040D47004501C23E62 +:040D48006463C422FA +:040D49004792089332 +:040D4A001AA79263EF +:040D4B0001E14783F8 +:040D4C0001C1468318 +:040D4D0001D1470386 +:040D4E0077B7CFD5CF +:040D4F008793000185 +:040D500045228907A8 +:040D510001E10613A3 +:040D52007637C032FE +:040D53000613000182 +:040D540045C599C632 +:040D550056850513A7 +:040D5600340020EF56 +:040D570075B767E124 +:040D5800464500010B +:040D59009F4585939A +:040D5A005A0785139C +:040D5B00254020EF20 +:040D5C00D0EF64412F +:040D5D00147DC67FBC +:040D5E00000214B7C4 +:040D5F000D04A78355 +:040D600056836761EE +:040D61008FE1674770 +:040D620006F68B63A3 +:040D630067470713C4 +:040D6400002757030A +:040D650014F700631C +:040D66000513650903 +:040D6700C0EF710563 +:040D6800BFD9B61F1A +:040D69000650079396 +:040D6A0082B3BDC9CA +:040D6B000793406446 +:040D6C00F46320000C +:040D6D000293005796 +:040D6E0067E1200019 +:040D6F002003041346 +:040D700001029713D2 +:040D7100550785138A +:040D720046818341F2 +:040D7300009456137F +:040D7400C81A182C55 +:040D750020EFC6168F +:040D760087AA054003 +:040D77000E051663EC +:040D780042B24342FE +:040D790036131828ED +:040D7A008596001347 +:040D7B005A3000EFFB +:040D7C00BF0583220A +:040D7D00000177B743 +:040D7E00AC87879324 +:040D7F0010EFB79921 +:040D800067612E6019 +:040D81005907578334 +:040D820005136509E7 +:040D8300E79371057C +:040D84001823002709 +:040D8500A02358F758 +:040D8600C0EF0EF4B8 +:040D870075B7AE5F2F +:040D880065610001A0 +:040D890085934645C3 +:040D8A0005139A852E +:040D8B0020EF56857A +:040D8C00440D3040A2 +:040D8D0074B767E1EF +:040D8E0085130001C8 +:040D8F0046455A0774 +:040D90009B44859368 +:040D91002EE020EF41 +:040D9200B91FD0EFC6 +:040D93001834563288 +:040D9400450545814B +:040D9500B13FD0EFAB +:040D960010E387AA35 +:040D97006761E205A9 +:040D9800000175B72A +:040D99005687051361 +:040D9A0085934645B2 +:040D9B0020EF9C4564 +:040D9C0085932C40CF +:040D9D0064E19B442E +:040D9E00851346452E +:040D9F0020EF5A04E3 +:040DA000D0EF2B4025 +:040DA1005642B57F82 +:040DA200183455B2FA +:040DA300D0EF450147 +:040DA40087AAA47FF7 +:040DA500DE0513E371 +:040DA600080020EF32 +:040DA70075B7676154 +:040DA80046450001BB +:040DA900A085859309 +:040DAA005687051350 +:040DAB00114020EFE4 +:040DAC00000175B716 +:040DAD00859346459F +:040DAE0085139D45C7 +:040DAF0020EF5A04D3 +:040DB000D0EF274019 +:040DB100A001B17F6D +:040DB200BB45440DEC +:040DB3000793440D51 +:040DB400B3650670AD +:040DB5000793440D4F +:040DB600B3450680BB +:040DB700F3600713CB +:040DB80006E78A635D +:040DB900F3700713B9 +:040DBA00DAE79CE3F5 +:040DBB00000175B707 +:040DBC009245859344 +:040DBD000713BB5508 +:040DBE0082630660E6 +:040DBF004F6306E791 +:040DC000071300F71E +:040DC1008163064004 +:040DC200071306E726 +:040DC30099E306505A +:040DC40075B7D8E740 +:040DC5008593000111 +:040DC600B3798DC5AB +:040DC7000670071398 +:040DC80004E7896350 +:040DC9000680071386 +:040DCA00D6E79CE3E9 :040DCB00000175B7F7 -:040DCC008C4585933A -:040DCD0075B7B3AD96 +:040DCC009105859375 +:040DCD0075B7BB95A6 :040DCE008593000108 -:040DCF00B38593C590 +:040DCF00B3AD8CC56F :040DD000000175B7F2 -:040DD10094C58593AD -:040DD20075B7BB999D +:040DD100944585932D +:040DD20075B7B385B9 :040DD3008593000103 -:040DD400B3B192C560 +:040DD400BB999545ED :040DD500000175B7ED -:040DD6008E4585932E -:040DD70075B7B389B0 +:040DD6009345859329 +:040DD70075B7B3B188 :040DD80085930001FE -:040DD900BB2596059B +:040DD900B3898EC587 :040DDA00000175B7E8 -:040DDB008F45859328 -:040DDC002083B33D80 -:040DDD0024032401C6 -:040DDE00248323C186 -:040DDF00557D23819A -:040DE0002441011396 -:040DE10067E18082C4 -:040DE2006BA7C70331 -:040DE30067E146F18D -:040DE4006CE7822313 -:040DE50002D70733F7 -:040DE600879367E1A7 -:040DE70097BA6DC783 -:040DE80000E7D683C7 -:040DE900112367610A -:040DEA004B986CD7DF -:040DEB008B7D66E1B5 -:040DEC006CE69423FA -:040DED000147C68371 -:040DEE001523676101 -:040DEF00C6836CD774 -:040DF000676101270F -:040DF1006AD71B237F -:040DF20066E14798D7 -:040DF3009023835571 -:040DF400C6836CE660 -:040DF50067610157DA -:040DF6006AD71E2377 -:040DF7000137C68377 -:040DF80013236761F9 -:040DF900D6836CD75A -:040DFA00676100C766 -:040DFB006AD71F2371 -:040DFC000167C703C1 -:040DFD009C2367E1EB -:040DFE0080826AE79E -:040DFF00C58367E160 -:040E000067E16C47F3 -:040E01006C27D68301 -:040E0200D28367E14F -:040E030067E16C87B0 -:040E04006CA7D7837D -:040E0500CA261111D7 -:040E060067E1C03EA2 -:040E07006B67C783CB -:040E08006761CC2230 -:040E090067E1C23E9D -:040E0A006C07D78317 -:040E0B006DC7071395 -:040E0C0067E1C43E98 -:040E0D006BC7D78355 -:040E0E0067E1C63E94 -:040E0F006C67C783C2 -:040E100067E1C83E90 -:040E11006BE7D48334 -:040E1200D30367E1BE -:040E130067E16B87A1 -:040E14005AC787939F -:040E150000B7C60359 -:040E16008603C2414C -:040E17001D6300A7B0 -:040E180047F106B6E2 -:040E190002F607B323 -:040E1A00D38397BA2D -:040E1B00916300E7F8 -:040E1C00A38306D3D3 -:040E1D00F4130107C2 -:040E1E00F3930FF249 -:040E1F00996301F3DF -:040E2000C383048301 -:040E2100440201473F -:040E2200048394634E -:040E23000127C3835D -:040E24009F63441272 -:040E2500A38302831E -:040E260044220087DB -:040E27000153D3930D -:040E28000283986346 -:040E29000157C38327 -:040E2A009363443258 +:040DDB0096858593E1 +:040DDC0075B7BB2507 +:040DDD0085930001F9 +:040DDE00B33D8FC5CD +:040DDF002401208348 +:040DE00023C1240304 +:040DE10023812483C3 +:040DE2000113557D27 +:040DE30080822441A5 +:040DE400C70367E1F9 +:040DE50046F16C2740 +:040DE600862367E118 +:040DE70007336CE77B +:040DE80067E102D7E6 +:040DE9006E47879337 +:040DEA00D68397BA5B +:040DEB00676100E755 +:040DEC006CD7152388 +:040DED0066E14B98D8 +:040DEE0098238B7D3E +:040DEF00C6836CE665 +:040DF00067610147EF +:040DF1006CD719237F +:040DF2000127C6838C +:040DF3001F236761F2 +:040DF40047986AD7DB +:040DF500835566E1DB +:040DF6006CE69423F0 +:040DF7000157C68357 +:040DF80012236761FA +:040DF900C6836CD76A +:040DFA0067610137F5 +:040DFB006CD7172377 +:040DFC0000C7D683D3 +:040DFD0013236761F4 +:040DFE00C7036CD7E4 +:040DFF0067E1016740 +:040E00006CE79023E8 +:040E010067E18082A3 +:040E02006CC7C58371 +:040E0300D68367E14A +:040E040067E16CA78F +:040E05006D07D28320 +:040E0600D78367E146 +:040E070011116D2731 +:040E0800C03ECA26F8 +:040E0900C78367E153 +:040E0A00CC226BE7A4 +:040E0B00C23E67611B +:040E0C00D78367E140 +:040E0D0007136C87D4 +:040E0E00C43E6E4729 +:040E0F00D78367E13D +:040E1000C63E6C4727 +:040E1100C78367E14B +:040E1200C83E6CE783 +:040E1300D48367E13C +:040E140067E16C67BF +:040E15006C07D30390 +:040E1600879367E176 +:040E1700C6035B476C +:040E1800C24100B71C +:040E190000A78603A5 +:040E1A0006B61D6398 +:040E1B0007B347F1E1 +:040E1C0097BA02F689 +:040E1D0000E7D38394 +:040E1E0006D3916303 +:040E1F000107A383A1 +:040E20000FF2F413C6 +:040E210001F3F39353 +:040E22000483996349 +:040E23000147C3833D +:040E2400946344028D +:040E2500C3830483FC +:040E2600441201274A +:040E270002839F6340 +:040E28000087A38319 +:040E2900D3934422F9 +:040E2A009863015375 :040E2B00C3830283F8 -:040E2C004442013704 -:040E2D0000839E633D -:040E2E0000C7D7839F -:040E2F0000979A632B -:040E3000063347F14D -:040E3100963A02F6F5 -:040E3200016647838B -:040E3300006786636B -:040E3400460567E127 -:040E350062C78123EC -:040E360087B347F146 -:040E3700F29302F53B -:040E380097BA01F272 -:040E39000107D603D4 -:040E3A0000D7972323 -:040E3B0062B39A0103 -:040E3C0098230056A1 -:040E3D004602005712 -:040E3E0000C78A233C -:040E3F0089234612AB -:040E4000462200C77F -:040E410000561293B2 -:040E420000A7D6032C -:040E430066338A7D0B -:040E4400952300569C -:040E4500463200C76A -:040E460000C78AA3B4 -:040E47009623464266 -:040E48008B23009761 -:040E490089A3006712 -:040E4A00666100C716 -:040E4B006B86061399 -:040E4C00166367E1E1 -:040E4D00069302C541 -:040E4E0003334650D4 -:040E4F00069302D331 -:040E500076370640AB -:040E51000613000183 -:040E520046B3450658 -:040E5300446202D320 -:040E540045C544D27A -:040E55005987851321 -:040E5600106F0171A7 -:040E5700666173500D -:040E58006C260613EB -:040E590000C5076366 +:040E2C0044320157F4 +:040E2D000283936346 +:040E2E000137C38342 +:040E2F009E63444238 +:040E3000D7830083E1 +:040E31009A6300C7F9 +:040E320047F10097ED +:040E330002F606338A +:040E34004783963A20 +:040E35008663016669 +:040E360067E1006709 +:040E370085234605C4 +:040E380047F162C755 +:040E390002F587B384 +:040E3A0001F2F2933C +:040E3B00D60397BA89 +:040E3C0097230107F0 +:040E3D009A0100D73F +:040E3E00005662B345 +:040E3F00005798239D +:040E40008A234602B9 +:040E4100461200C78E +:040E420000C7892339 +:040E4300129346229E +:040E4400D60300567B +:040E45008A7D00A7FB +:040E460000566633B9 +:040E470000C7952328 +:040E48008AA3463201 +:040E4900464200C756 +:040E4A000097962354 +:040E4B0000678B238E +:040E4C0000C789A3AF +:040E4D0006136661C1 +:040E4E0067E16C06E6 +:040E4F0002C516635F +:040E5000465006936F +:040E510002D3033392 +:040E520006400693BD +:040E530000017637ED +:040E540045860613B6 +:040E550002D346B3CB +:040E560044D24462DC +:040E5700851345C5F5 +:040E580001715A07C3 +:040E59007350106F53 :040E5A0006136661B4 -:040E5B0017636C8627 -:040E5C00467102C514 -:040E5D0002C585B392 -:040E5E00446246158F -:040E5F00851344D2E1 -:040E6000972E5987E9 -:040E610045C54B1820 -:040E620007338B7D4A -:040E6300763702C715 -:040E64000613000170 -:040E6500017145864C -:040E66006F70106F2A -:040E670000017637D9 -:040E680000055683A8 -:040E69004406061322 -:040E6A000693B75DD7 -:040E6B0006B3271093 -:040E6C00079302D511 -:040E6D00763706408E -:040E6E0065610001B9 -:040E6F00ABC60613F5 -:040E7000051345C55C -:040E7100C6B3598526 -:040E7200106F02F605 -:040E7300F06F6C5060 -:040E740086AAFDDF6E -:040E750000017637CB -:040E76000613656199 -:040E770045C543C664 -:040E78005985051380 -:040E79006AB0106FDC -:040E7A00763786AA97 -:040E7B0065610001AC -:040E7C00A68606132D -:040E7D00051345C54F -:040E7E00106F598513 -:040E7F0046B76950B9 -:040E80008693000F46 -:040E8100053324060B -:040E8200678902D5A5 -:040E83009647879374 -:040E84003E80071392 -:040E8500006336B719 -:040E8600EA0686935F -:040E870000017637B9 -:040E8800A5C60613E2 -:040E890057B345C551 -:040E8A00F7B302F5C3 -:040E8B00472902E70A -:040E8C0002D556B382 -:040E8D000513656183 -:040E8E00D733598578 -:040E8F00106F02E7F7 -:040E90000693651050 -:040E910006B34670EE -:040E9200079302D5EB -:040E93007637064068 -:040E94006561000193 -:040E950043460613B7 -:040E9600051345C536 -:040E9700C6B3598500 -:040E9800106F02F6DF -:040E9900069362D08A -:040E9A000505271013 -:040E9B0002D5053344 -:040E9C000640069373 -:040E9D0000017637A3 -:040E9E00ABC60613C6 -:040E9F0046B345C54C -:040EA000656102D5B1 -:040EA1005985051357 -:040EA2006070106FFD -:040EA300763786AA6E -:040EA4006561000183 -:040EA500A946061341 -:040EA600051345C526 -:040EA700106F5985EA -:040EA80016935F102E -:040EA90086E1018558 -:040EAA000001763796 -:040EAB0016D1656196 -:040EAC00A54606133E -:040EAD00051345C51F -:040EAE00106F5985E3 -:040EAF0045F15D505C -:040EB00002B505334F -:040EB100859365E1DF -:040EB20046456DC57F -:040EB300656195AA36 -:040EB4005985051344 -:040EB5006550106F05 -:040EB6000025179369 -:040EB7000513655D5D -:040EB800953EA88536 -:040EB9006561410C22 -:040EBA000513464591 -:040EBB00106F5985D6 -:040EBC0047A963B02F -:040EBD0000F50F63CA -:040EBE000025179361 -:040EBF000513656151 -:040EC000953EAB05AB -:040EC1006561410C1A -:040EC2000513464589 -:040EC300106F5985CE -:040EC40075B761B0ED -:040EC5008593000110 -:040EC600B7F5A74590 -:040EC700458511410B -:040EC800C02AC60670 -:040EC9006761298DA7 -:040ECA006CC7478327 -:040ECB000713468241 -:040ECC00E7896CC77F -:040ECD000001773772 -:040ECE00AAC7071395 -:040ECF00763740B280 -:040ED0006561000157 -:040ED100AB46061313 -:040ED200051345C5FA -:040ED30001415985FB -:040ED40053F0106F58 -:040ED500A70367E127 -:040ED60067E16AC79F -:040ED70066C78793D0 -:040ED800464546AD98 -:040ED9000167D58355 -:040EDA0006E5926334 -:040EDB000FF6F69385 -:040EDC000463E119B1 -:040EDD0011312A079E -:040EDE0064E1C426E1 -:040EDF005254C28324 -:040EE0006461C62261 -:040EE10031440793FE -:040EE20000329593B2 -:040EE300C80697AEF8 -:040EE4000007A3035D -:040EE5000047C78378 -:040EE60085134651D9 -:040EE7008733FF56F8 -:040EE800260302C714 -:040EE900751300433A -:040EEA0043950FF528 -:040EEB005254849346 -:040EEC003144041376 -:040EED004703963AE7 -:040EEE00E86300466F -:040EEF0063DD02A31A -:040EF0008393050AD9 -:040EF100951EA14366 -:040EF200850241082C -:040EF30007890685E0 -:040EF400F8C69AE3BF -:040EF500BF6946810A -:040EF6004783E399B2 -:040EF70017FD0003E0 -:040EF8000FF7F79366 -:040EF900822395A219 -:040EFA00470100F5B7 -:040EFB00A8914681F3 -:040EFC0000034703A5 -:040EFD0095A207852E -:040EFE0002E7E7B36D -:040EFF008763B7ED61 -:040F000087930002D1 -:040F01008023FFF258 -:040F0200B7C500F47B -:040F03008DA367E172 -:040F040067E16A0730 -:040F05004507A703F2 -:040F06000007478316 -:040F070000239BED3B -:040F0800443200F778 -:040F090044A240C2FC -:040F0A00D06F015152 -:040F0B00478DDF4FE0 -:040F0C0004F70E6375 -:040F0D001AE347910B -:040F0E00461CFAF78C -:040F0F00872A978214 -:040F1000C7834685C8 -:040F11006561000412 -:040F1200078E4645BB -:040F13004783943E3E -:040F14004004004451 -:040F1500843344518C -:040F160040DC028732 -:040F17005605051363 -:040F1800C036C23AE3 -:040F1900438C97A2CC -:040F1A004C1010EF78 -:040F1B00459140DCE0 -:040F1C00C60397A2CF -:040F1D00E363004743 -:040F1E0065DD14C5B4 -:040F1F008593060AA6 -:040F2000962EA2C5A2 -:040F210046824210B2 -:040F220086024712EA -:040F2300C3914A1C10 -:040F2400C783978266 -:040F25004351000430 -:040F26000017859398 -:040F27000733078EF7 -:040F2800431400F47A -:040F29000047470333 -:040F2A00073342D077 -:040F2B009693026730 -:040F2C000533003554 -:040F2D00230300D4C6 -:040F2E0097320005F1 -:040F2F0004634718F8 -:040F3000022300E3B5 -:040F310097A200057E -:040F32000047C7832A -:040F330096A24751EA -:040F340002E787B396 -:040F350000B4802361 -:040F3600479C97B28B -:040F3700B731C29C70 -:040F3800E4E3479116 -:040F390067DDF0E799 -:040F3A00A4078793EE -:040F3B00973E070ACC -:040F3C008782431C49 -:040F3D00433D460CDE -:040F3E0000C64503A1 -:040F3F0000D647038E -:040F40000005C7835E -:040F410000E646037D -:040F420000669D6345 -:040F430000F77863D8 -:040F4400F61317FD8C -:040F450080230FF7FF -:040F4600BDC100C564 -:040F4700863AFD6D7C -:040F4800F863BFDDAE -:040F4900078500C751 -:040F4A000FF7F71393 -:040F4B0000E580231A -:040F4C00FD6DBD6D0D -:040F4D00BFDD87324B -:040F4E005583460879 -:040F4F00570300C67E -:040F5000463D00E634 -:040F510000055783BD -:040F520000C69E63D4 -:040F530000F5F7634B -:040F5400971317FDDB -:040F550083410107CC -:040F5600C191A0198C -:040F57001023872EAE -:040F5800B56100E59A -:040F590000E7F46356 -:040F5A00B7E507856B -:040F5B004701F9ED64 -:040F5C004650B7FD47 -:040F5D00421845BD34 -:040F5E0000074783BE -:040F5F0000B69963DC -:040F600017FDC78131 -:040F61000FF7F793FC -:040F620000F7002371 -:040F63004683BDB94B -:040F6400F5630046EB -:040F6500078500D725 -:040F66000FF7F693F8 -:040F670000D700238C -:040F68004798B5A948 -:040F690065614B9CD7 -:040F6A000007470332 -:040F6B0005134645DF -:040F6C00070A598592 -:040F6D00438C97BA60 -:040F6E00371010EF39 -:040F6F0040C2443206 -:040F7000015144A245 -:040F7100C14FD06F2D -:040F72004B9C4798B5 -:040F7300000745032B -:040F7400B7ED9782BC -:040F750047884B98C6 -:040F7600B7CD97025A -:040F77008C2367E17F -:040F7800BFE958076E -:040F7900C305C69551 -:040F7A00000166B755 -:040F7B006B468693A8 -:040F7C0000017637C3 -:040F7D000613656191 -:040F7E0045C5AB8634 -:040F7F005985051378 -:040F800028F010EF56 -:040F810066B7BF652B -:040F82008693000151 -:040F8300B7CD6AC6B6 -:040F8400D7E947DC86 -:040F8500479C4398AA -:040F86008082BF5551 -:040F8700EA6347BD15 -:040F880057B716A79A -:040F890001134452BA -:040F8A008793EF4119 -:040F8B00C03E3557D8 -:040F8C00004157B712 -:040F8D0044178793EB -:040F8E003793C23E95 -:040F8F00052300F541 -:040F9000679500F170 -:040F910050078793EB -:040F92001081222385 -:040F930010112423F2 -:040F9400010504133C -:040F95001091202374 -:040F960014234739A0 -:040F9700042200F13F -:040F98000AA77E63C3 -:040F9900079365E174 -:040F9A00462904A040 -:040F9B0058C585931D -:040F9C0000D1051368 -:040F9D0000F105A3B7 -:040F9E000001062325 -:040F9F0013B010EF8C -:040FA000C78367E1BB -:040FA10065E155D7DA -:040FA20003600613CF -:040FA30000F10C232A -:040FA400C78367E1B7 -:040FA5008593555784 -:040FA600051366C504 -:040FA7000CA3021184 -:040FA80067E100F10C -:040FA9005AA7C783F9 -:040FAA0000F10BA3A4 +:040E5B0007636CA617 +:040E5C00666100C506 +:040E5D006D06061305 +:040E5E0002C517634F +:040E5F0085B34671A0 +:040E6000461502C56C +:040E610044D24462D1 +:040E62005A07851393 +:040E63004B18972E63 +:040E64008B7D45C578 +:040E650002C7073386 +:040E660000017637DA +:040E67004606061322 +:040E6800106F017195 +:040E690076376F70F9 +:040E6A0056830001AA +:040E6B000613000565 +:040E6C00B75D4486A4 +:040E6D0027100693B1 +:040E6E0002D506B3F0 +:040E6F00064007939F +:040E700000017637D0 +:040E7100061365619E +:040E720045C5AC4680 +:040E73005A05051304 +:040E740002F6C6B309 +:040E75006C50106F3E +:040E7600FDDFF06F3D +:040E7700763786AA9A +:040E780065610001AF +:040E790044460613D2 +:040E7A00051345C552 +:040E7B00106F5A0595 +:040E7C0086AA6AB028 +:040E7D0000017637C3 +:040E7E000613656191 +:040E7F0045C5A706B8 +:040E80005A050513F7 +:040E81006950106F35 +:040E8200000F46B760 +:040E83002406869328 +:040E840002D505335B +:040E8500879367895F +:040E86000713964771 +:040E870036B73E80BC +:040E880086930063EA +:040E89007637EA06C8 +:040E8A00061300014A +:040E8B0045C5A6466D +:040E8C0002F557B361 +:040E8D0002E7F7B3CE +:040E8E0056B34729E7 +:040E8F00656102D5C2 +:040E90005A050513E7 +:040E910002E7D7336A +:040E92006510106F68 +:040E9300467006930C +:040E940002D506B3CA +:040E95000640079379 +:040E960000017637AA +:040E97000613656178 +:040E980045C543C643 +:040E99005A050513DE +:040E9A0002F6C6B3E3 +:040E9B0062D0106FA2 +:040E9C002710069382 +:040E9D00053305050F +:040E9E00069302D5E0 +:040E9F00763706405C +:040EA0000613000134 +:040EA10045C5AC4651 +:040EA20002D546B37C +:040EA300051365616D +:040EA400106F5A056C +:040EA50086AA607049 +:040EA600000176379A +:040EA7000613656168 +:040EA80045C5A9C6CD +:040EA9005A050513CE +:040EAA005F10106F56 +:040EAB000185169314 +:040EAC00763786E12E +:040EAD00656100017A +:040EAE00061316D140 +:040EAF0045C5A5C6CA +:040EB0005A050513C7 +:040EB1005D50106F11 +:040EB200053345F1CE +:040EB30065E102B53E +:040EB4006E4585936F +:040EB50095AA46456F +:040EB600051365615A +:040EB700106F5A0559 +:040EB80017936550D7 +:040EB900655D00254E +:040EBA00A90505136E +:040EBB00410C953E13 +:040EBC0046456561E1 +:040EBD005A050513BA +:040EBE0063B0106F9E +:040EBF000F6347A9CD +:040EC000179300F58F +:040EC1006561002542 +:040EC200AB850513E4 +:040EC300410C953E0B +:040EC40046456561D9 +:040EC5005A050513B2 +:040EC60061B0106F98 +:040EC700000175B7FA +:040EC800A7C58593A2 +:040EC9001141B7F527 +:040ECA00C60645858E +:040ECB00298DC02A83 +:040ECC004783676190 +:040ECD0046826D47A5 +:040ECE006D47071352 +:040ECF007737E78901 +:040ED0000713000103 +:040ED10040B2AB4739 +:040ED200000176376E +:040ED300061365613C +:040ED40045C5ABC69F +:040ED5005A050513A2 +:040ED600106F014157 +:040ED70067E153F08C +:040ED8006B47A703BA +:040ED900879367E1B3 +:040EDA0046AD674773 +:040EDB00D583464530 +:040EDC0092630167B5 +:040EDD00F69306E59D +:040EDE00E1190FF611 +:040EDF002A07046377 +:040EE000C4261131E2 +:040EE100C28364E183 +:040EE200C62252D4FE +:040EE30007936461AC +:040EE400959331C4ED +:040EE50097AE003292 +:040EE600A303C80694 +:040EE700C7830007B6 +:040EE8004651004728 +:040EE900FF56851318 +:040EEA0002C7873381 +:040EEB000043260397 +:040EEC000FF5751376 +:040EED008493439512 +:040EEE00041352D4C3 +:040EEF00963A31C43A +:040EF000004647036E +:040EF10002A3E8630D +:040EF200050A63DDAD +:040EF300A1C3839381 +:040EF4004108951EFE +:040EF50006858502E7 +:040EF6009AE30789EB +:040EF7004681F8C672 +:040EF800E399BF6952 +:040EF9000003478328 +:040EFA00F79317FD56 +:040EFB0095A20FF7B6 +:040EFC0000F5822358 +:040EFD0046814701E2 +:040EFE004703A8916D +:040EFF000785000360 +:040F0000E7B395A21C +:040F0100B7ED02E75F +:040F020000028763FF +:040F0300FFF28793DF +:040F040000F4802352 +:040F050067E1B7C524 +:040F06006C0781A350 +:040F0700A70367E1F4 +:040F0800478345874F +:040F09009BED000755 +:040F0A0000F70023C9 +:040F0B0040C244326A +:040F0C00015144A2A9 +:040F0D00DEAFD06F14 +:040F0E000E63478D9A +:040F0F00479104F70B +:040F1000FAF71AE3EF +:040F11009782461C61 +:040F12004685872A5F +:040F13000004C7838C +:040F14004645656188 +:040F1500943E078E71 +:040F160000444783C9 +:040F170044514004FD +:040F18000287843395 +:040F1900051340DCA0 +:040F1A00C23A5685FC +:040F1B0097A2C036A3 +:040F1C0010EF438C03 +:040F1D0040DC4C1058 +:040F1E0097A24591C0 +:040F1F000047C603BE +:040F200014C5E363AE +:040F2100060A65DD7A +:040F2200A3458593CB +:040F23004210962EB4 +:040F240047124682A8 +:040F25004A1C8602DA +:040F26009782C3915A +:040F27000004C78378 +:040F28008593435119 +:040F2900078E001718 +:040F2A0000F4073395 +:040F2B004703431421 +:040F2C0042D0004768 +:040F2D00026707331D +:040F2E000035969361 +:040F2F0000D40533B2 +:040F30000005230392 +:040F31004718973294 +:040F320000E3046371 +:040F33000005022390 +:040F3400C78397A236 +:040F350047510047D9 +:040F360087B396A245 +:040F3700802302E72A +:040F380097B200B4B8 +:040F3900C29C479C73 +:040F3A004791B731F3 +:040F3B00F0E7E4E314 +:040F3C00879367DD53 +:040F3D00070AA48774 +:040F3E00431C973E7B +:040F3F00460C878253 +:040F40004503433DE5 +:040F4100470300C69C +:040F4200C78300D68B +:040F4300460300055C +:040F44009D6300E6C3 +:040F45007863006667 +:040F460017FD00F79C +:040F47000FF7F61397 +:040F480000C580233D +:040F4900FD6DBDC1BC +:040F4A00BFDD863A47 +:040F4B0000C7F86380 +:040F4C00F71307850B +:040F4D0080230FF7F7 +:040F4E00BD6D00E590 +:040F4F008732FD6D7B +:040F50004608BFDDB3 +:040F510000C65583FE +:040F520000E657035B +:040F53005783463D3D +:040F54009E63000593 +:040F5500F76300C678 +:040F560017FD00F58E +:040F570001079713E4 +:040F5800A019834118 +:040F5900872EC1918D +:040F5A0000E510237B +:040F5B00F463B56125 +:040F5C00078500E71E +:040F5D00F9EDB7E50E +:040F5E00B7FD470193 +:040F5F0045BD4650F6 +:040F60004783421869 +:040F61009963000789 +:040F6200C78100B68D +:040F6300F79317FDEC +:040F640000230FF760 +:040F6500BDB900F71B +:040F66000046468378 +:040F670000D7F56357 +:040F6800F693078570 +:040F690000230FF75B +:040F6A00B5A900D74E +:040F6B004B9C4798BC +:040F6C004703656171 +:040F6D0046450007EE +:040F6E005A05051308 +:040F6F0097BA070A1C +:040F700010EF438CAF +:040F710044323710BF +:040F720044A240C293 +:040F7300D06F0151E9 +:040F74004798C0AF2B +:040F750045034B9C49 +:040F76009782000757 +:040F77004B98B7EDEF +:040F7800970247880D +:040F790067E1B7CDA8 +:040F7A005A0780236F +:040F7B00C695BFE96F +:040F7C0066B7C3058C +:040F7D008693000156 +:040F7E0076376BC691 +:040F7F0065610001A7 +:040F8000AC060613A2 +:040F8100051345C54A +:040F820010EF5A050D +:040F8300BF6528F02E +:040F8400000166B74B +:040F85006B4686939E +:040F860047DCB7CDC0 +:040F87004398D7E9CB +:040F8800BF55479C6E +:040F890047BD80825E +:040F8A0016A7EA6359 +:040F8B00445257B7BE +:040F8C00EF4101131D +:040F8D0035578793BA +:040F8E0057B7C03E53 +:040F8F008793004103 +:040F9000C23E441702 +:040F910000F537939D +:040F920000F1052342 +:040F93008793679544 +:040F940022235007BD +:040F95002423108180 +:040F9600041310111F +:040F9700202301050D +:040F98004739109134 +:040F990000F114232C +:040F9A007E6304224C +:040F9B0065E10AA75B +:040F9C0004A0079313 +:040F9D0085934629C9 +:040F9E000513594599 +:040F9F0005A300D1D5 +:040FA000062300F133 +:040FA10010EF00014C +:040FA20067E113B040 +:040FA3005657C78353 +:040FA400061365E1EA +:040FA5000C230360B6 +:040FA60067E100F10E +:040FA70055D7C783D0 +:040FA8006745859381 +:040FA9000211051319 +:040FAA0000F10CA3A3 :040FAB00C78367E1B0 -:040FAC000D23596751 +:040FAC000BA35B2711 :040FAD0067E100F107 -:040FAE006247C7834C -:040FAF0000F10DA39D +:040FAE0059E7C783B5 +:040FAF0000F10D231D :040FB000C78367E1AB -:040FB1000E2358A70C +:040FB1000DA362C763 :040FB20067E100F102 -:040FB30044F7C783B5 -:040FB40000F10EA397 +:040FB3005927C78370 +:040FB40000F10E2317 :040FB500C78367E1A6 -:040FB6000F2355C7E9 +:040FB6000EA34577CA :040FB70067E100F1FD -:040FB8004547C7835F -:040FB90000F10FA391 +:040FB8005647C7834E +:040FB90000F10F2311 :040FBA00C78367E1A1 -:040FBB000023586750 -:040FBC0010EF02F13F -:040FBD0086220C502C -:040FBE000570059322 -:040FBF00D0EF850AE0 -:040FC000353393EF43 -:040FC100053300A054 -:040FC200208340A0A8 -:040FC3002403108172 -:040FC4002483104131 -:040FC5000113100103 -:040FC600808210C154 -:040FC700040C07B758 -:040FC80004278793E0 -:040FC900CC3E64E1D5 -:040FCA006CC4C783A9 -:040FCB007637EB91F9 -:040FCC000613000107 -:040FCD0045B54A8656 -:040FCE006CC4851357 -:040FCF00153010EFDA -:040FD000859346358A -:040FD10005136CC4D4 -:040FD20010EF00B16B -:040FD30065E11DF0C7 -:040FD40004200613DC -:040FD5006285859319 -:040FD60010EF0868A8 -:040FD70065E105D0FB -:040FD8000A200613D2 -:040FD9006DC58593CA -:040FDA0005E1051315 -:040FDB0004B010EF5F -:040FDC0005938622D1 -:040FDD00850A100071 -:040FDE008C4FD0EF75 -:040FDF00061365612F -:040FE0000593001461 -:040FE100051336A01E -:040FE200D0EF77E5F0 -:040FE3004501906FC5 -:040FE400557DBFADCB -:040FE50067E18082BE -:040FE6006C078623EB -:040FE700F56347BDAA -:040FE80057FD00A70A -:040FE9008082853E3F -:040FEA00EE81011380 -:040FEB000105079362 -:040FEC009513C22E69 -:040FED000070010788 -:040FEE001000059357 -:040FEF002A23C03EB3 -:040FF0002823101191 -:040FF1002623108122 -:040FF200D0EF10919B -:040FF30057FD854FD2 -:040FF40075B7E10DDF -:040FF50085930001DF -:040FF60000684A0540 -:040FF700EC2FC0EF2C -:040FF8001D6387AA44 -:040FF90047031C0589 -:040FFA00CF110161B1 -:040FFB000E634685B6 -:040FFC0020830ED769 -:040FFD002403114177 -:040FFE002483110136 -:040FFF00853E10C15A -:041000001181011346 -:04100100470380829F -:041002001B6301412A -:0410030046831A07FF -:04100400071301517C -:04100500956305509A -:0410060047031AE69C -:04100700468301819A -:041008000722017149 -:0410090006938F5566 -:04100A0014E304A047 -:04100B004712FCD7B5 -:04100C0066E1F3693D -:04100D00478100789F -:04100E008693453947 -:04100F0045A958C6D1 -:0410100000D74603BC -:0410110000C566634D -:0410120000D783334D -:0410130000C30023F3 -:041014000705078540 -:04101500FEB796E3A9 -:0410160002514783B9 -:041017000AA3676160 -:04101800472554F71D -:0410190000F7666313 -:04101A000EA3676159 -:04101B00A02954F7BD -:04101C0002414783C3 -:04101D00FEF77AE37D -:04101E000271470311 -:04101F00656167E1BF -:0410200062E78223DE -:0410210002814703FE -:04102200061367E169 -:0410230085230360BE -:04102400470358E73F -:0410250067E10291EC -:0410260002D105935B -:0410270044E787A370 -:0410280002A14703D7 -:04102900051367E163 -:04102A008E2366C5E6 -:04102B00470354E73C -:04102C0067E102B1C5 -:04102D0044E78A23E7 -:04102E0002C14703B1 -:04102F00832367E1CF -:04103000470358E733 -:0410310067E1023140 -:041032005AE78523D1 -:04103300C70367E1A7 -:0410340067E158C751 -:041035005AE784A34F -:041036000261470309 -:041037008B2367E1BF -:0410380010EF58E776 -:0410390047816D403E -:04103A004483B7290B -:04103B00E8E901419E -:04103C000151468395 -:04103D000550071340 -:04103E000CE69463C5 -:04103F000241568391 -:04104000042007136E -:04104100EEE697E35D -:0410420002615403F0 -:0410430040C007138F -:04104400EEE411E3E2 -:04104500C42A67E171 -:041046008513463593 -:0410470005936CC7DA -:0410480010EF017133 -:0410490047120070DA -:04104A0014E347A2C2 -:04104B006561EC07E8 -:04104C000420061363 -:04104D000513102C4B -:04104E0010EF6285B8 -:04104F00478267C0AD -:04105000470166E10D -:04105100C03E07A2F4 -:041052006DC686934E -:0410530005E007931A -:04105400100006136F -:0410550000D7053388 -:041056008E1DC43AED -:04105700C23E00781D -:0410580000F705B3E5 -:0410590004C4486320 -:04105A0064E010EF4F -:04105B00472247924F -:04105C00943E048535 -:04105D0047828F1D1A -:04105E00100707135D -:04105F000FF4F49303 -:0410600000F48533E0 -:04106100834107427E -:04106200F00404137F -:041063000593007081 -:041064000522100051 -:041065000442C23A45 -:04106600E87FC0EF70 -:04106700804166E17D -:041068004712478163 -:041069006DC6869337 -:04106A0067E1F44501 -:04106B008123470591 -:04106C00BF1562E763 -:04106D0010EF8622D8 -:04106E00BFC560009A -:04106F00BD154785DF -:04107000BD054789EA -:04107100DD81011309 -:0410720022230868C5 -:041073002023221103 -:041074002E23228184 -:04107500C0EF209117 -:04107600C02AF63F57 -:04107700532010EF03 -:041078009A634782AE -:041079006461160791 -:04107A00000175B745 -:04107B0085934645CE -:04107C00051347858C -:04107D0064E15604D0 -:04107E005BE010EF34 -:04107F0058048C2362 -:04108000FD9FC0EF21 -:0410810064C1C6265A -:0410820014FDC42273 -:04108300000217B799 -:041084000D07A7832A -:0410850056836761C6 -:041086008FE566C7C5 -:0410870000F68E637E -:0410880066C707131D -:0410890000275703E2 -:04108A0012F70763EF -:04108B0005136509DB -:04108C00B0EF71054B -:04108D00BFD9ECDFFC -:04108E0075B747A249 -:04108F0046450001D1 -:0410900048C5859337 -:041091005607851366 -:0410920056E010EF25 -:0410930075B747B234 -:0410940046450001CC -:041095009AC58593E0 -:0410960059878513DE -:041097006CC010EF2A -:04109800F79FC0EF0F -:041099004401448149 -:04109A000104171323 -:04109B002007071310 -:04109C00409757938F -:04109D00863EC23E8B -:04109E00472D67E192 -:04109F00080C468172 -:0410A00054878513D9 -:0410A10039C010EF53 -:0410A20075B7E579C0 -:0410A3008593000130 -:0410A40008084A05E9 -:0410A500C0AFC0EF29 -:0410A6004703ED1DF2 -:0410A700EF050181CF -:0410A80001914683E9 -:0410A90005500713D4 -:0410AA0002E6976360 -:0410AB0001A14683D6 -:0410AC00626347052F -:0410AD00660502D7FB -:0410AE000084159312 -:0410AF00061395B2DD -:0410B000846346A06F -:0410B100061300E63C -:0410B200451205706E -:0410B300C0EF08740E -:0410B400E151E99F7E -:0410B50004050485A5 -:0410B60017E34741B4 -:0410B70010EFF8E45A -:0410B800458143002B -:0410B900F0EF453DD2 -:0410BA0067E1CB1F00 -:0410BB0055D7C783BB -:0410BC00071367614E -:0410BD0097BA58C7BF -:0410BE000007C5035F -:0410BF0067E145811F -:0410C0005AA784A304 -:0410C100C93FF0EF44 -:0410C200763747A294 -:0410C30086A60001FC -:0410C40046060613C3 -:0410C500851345C585 -:0410C60010EF5607CA -:0410C70047B2574095 -:0410C800000175B7F7 -:0410C9008593464580 -:0410CA00851346C57F -:0410CB0010EF598742 -:0410CC00C0EF5FA072 -:0410CD004537EA7F3A -:0410CE000513000FF7 -:0410CF00B0EF240555 -:0410D0002083DC1F7E -:0410D1002403224191 -:0410D20045022201B0 -:0410D30021C1248390 -:0410D4002281011361 -:0410D50010EF808216 -:0410D60057FD3B8007 -:0410D700B7D5C03E8B -:0410D8000185571324 -:0410D90001851793E3 -:0410DA0006B78FD9ED -:0410DB00171300FFE8 -:0410DC008F75008587 -:0410DD0067418FD9FF -:0410DE00F0070713FD -:0410DF008D79812165 -:0410E00080828D5D20 -:0410E10017B7C6096E -:0410E200A023000245 -:0410E30047010007BA -:0410E400FFC5F693BB -:0410E50000021637B8 -:0410E60000E507B367 -:0410E70002D7646365 -:0410E800470D898D9A -:0410E90002E5946325 -:0410EA000007D683A2 -:0410EB0000021737B1 -:0410EC0000D71223F4 -:0410ED000027C7838E -:0410EE0000F70223E2 -:0410EF00000217B72D -:0410F00080824B8827 -:0410F1000711439C04 -:0410F200B7F9C25C2C -:0410F30099634709AD -:0410F400D70300E539 -:0410F50017B7000722 -:0410F600922300023F -:0410F700BFF900E756 -:0410F8009DE3470528 -:0410F900C703FCE548 -:0410FA0017B700071D -:0410FB00822300024A -:0410FC00B7E900E769 -:0410FD00C2221151A9 -:0410FE0000021437A1 -:0410FF004601C026C0 -:04110000059384AA25 -:04110100051304A02E -:04110200C406040417 -:04110300990FC0EF91 -:04110400460185A675 -:0411050004040513C6 -:041106009BEFC0EFAC -:0411070004A00593A8 -:0411080004040513C3 -:04110900C0EF4605E8 -:04110A000513976FC3 -:04110B004412040482 -:04110C00448240A237 -:04110D0001314585E2 -:04110E00984FC06FC7 -:04110F00C4221141A4 -:04111000000214378E -:04111100C02AC22608 -:04111200460184AE60 -:0411130004A005939C -:0411140004040513B7 -:04111500C0EFC6065B -:041116004782946F09 -:041117000513460175 -:0411180085BE040488 -:04111900972FC0EF5D +:040FBB000FA345C774 +:040FBC0067E100F1F8 +:040FBD0058E7C783A7 +:040FBE0002F1002319 +:040FBF000C5010EFD3 +:040FC00005938622ED +:040FC100850A057028 +:040FC200934FD0EF8A +:040FC30000A0353322 +:040FC40040A0053311 +:040FC50010812083F4 +:040FC60010412403AF +:040FC700100124836E +:040FC80010C1011340 +:040FC90007B7808264 +:040FCA008793040CF9 +:040FCB0064E10427B2 +:040FCC00C783CC3ECD +:040FCD00EB916D44F3 +:040FCE000001763771 +:040FCF004B060613B4 +:040FD000851345B58B +:040FD10010EF6D446C +:040FD200463515305B +:040FD3006D44859351 +:040FD40000B1051350 +:040FD5001DF010EF0C +:040FD600061365E1B8 +:040FD70085930420DA +:040FD800086863053D +:040FD90005D010EF40 +:040FDA00061365E1B4 +:040FDB0085930A20D0 +:040FDC0005136E4546 +:040FDD0010EF05E12B +:040FDE00862204B0B3 +:040FDF001000059366 +:040FE000D0EF850ABF +:040FE10065618BAF0C +:040FE20000140613DE +:040FE30036A005939C +:040FE4007865051314 +:040FE5008FCFD0EFEB +:040FE600BFAD450155 +:040FE7008082557D32 +:040FE8008A2367E110 +:040FE90047BD6C078D +:040FEA0000A7F56304 +:040FEB00853E57FDEB +:040FEC0001138082EB +:040FED000793EE81F7 +:040FEE00C22E010509 +:040FEF00010795134E +:040FF00005930070F5 +:040FF100C03E1000EE +:040FF20010112A238D +:040FF300108128231E +:040FF400109126230F +:040FF50084AFD0EF06 +:040FF600E10D57FDB5 +:040FF700000175B7C9 +:040FF8004A8585930E +:040FF900C0EF0068DD +:040FFA0087AAEB8F48 +:040FFB001C051D6351 +:040FFC000161470345 +:040FFD004685CF1145 +:040FFE000ED70E6399 +:040FFF0011412083F9 +:0410000011012403B3 +:0410010010C1248373 +:041002000113853E13 +:041003008082118155 +:04100400014147035C +:041005001A071B6348 +:0410060001514683CB +:041007000550071376 +:041008001AE69563EC +:041009000181470317 +:04100A0001714683A7 +:04100B008F550722D4 +:04100C0004A00693A3 +:04100D00FCD714E315 +:04100E00F369471229 +:04100F00007866E11E +:041010004539478196 +:041011005946869323 +:04101200460345A9A3 +:04101300666300D739 +:04101400833300C55D +:04101500002300D7DD +:04101600078500C387 +:0410170096E3070550 +:041018004783FEB755 +:0410190067610251B8 +:04101A0054F70EA3D6 +:04101B00666347259C +:04101C00676100F711 +:04101D0056F702A3DD +:04101E004783A0293B +:04101F007AE302412D +:041020004703FEF78D +:0410210067E1027110 +:04102200862365615B +:04102300470362E736 +:0410240067E10281FD +:04102500036006134B +:0410260058E78923DB +:0410270002914703E8 +:04102800059367E1E4 +:041029008BA302D1C2 +:04102A00470344E74D +:04102B0067E102A1D6 +:04102C0067450513FC +:04102D0056E78223DD +:04102E0002B14703C1 +:04102F008E2367E1C4 +:04103000470344E747 +:0410310067E102C1B0 +:0410320058E78723D1 +:04103300023147033C +:04103400892367E1C4 +:0410350067E15AE72E +:041036005947C7034C +:0410370088A367E142 +:0410380047035AE729 +:0410390067E1026108 +:04103A0058E78F23C1 +:04103B006D4010EF05 +:04103C00B729478108 +:04103D0001414483A6 +:04103E004683E8E914 +:04103F000713015141 +:041040009463055060 +:0410410056830CE6E0 +:04104200071302414D +:0410430097E304200B +:041044005403EEE67D +:04104500071302612A +:0410460011E340C0B2 +:0410470067E1EEE48B +:041048004635C42A3B +:041049006D47851357 +:04104A000171059398 +:04104B00007010EF32 +:04104C0047A247125E +:04104D00EC0714E3B5 +:04104E0006136561BF +:04104F00102C04203D +:04105000630505131C +:0410510067C010EF75 +:0410520066E147828A +:0410530007A24701A8 +:041054008693C03E81 +:0410550007936E4649 +:04105600061305E098 +:04105700053310004D +:04105800C43A00D7BF +:0410590000788E1D70 +:04105A0005B3C23EDA +:04105B00486300F7EF +:04105C0010EF04C4C9 +:04105D00479264E072 +:04105E00048547229C +:04105F008F1D943E0F +:0410600007134782A9 +:04106100F4931007ED +:0410620085330FF4CF +:04106300074200F44C +:0410640004138341AD +:041065000070F00423 +:0410660010000593DE +:04106700C23A052262 +:04106800C0EF04428F +:0410690066E1E7DF76 +:04106A0047818041F9 +:04106B00869347120F +:04106C00F4456E4693 +:04106D00470567E1EB +:04106E0062E785238D +:04106F008622BF1501 +:04107000600010EF1D +:041071004785BFC52B +:041072004789BD15D8 +:041073000113BD05A3 +:041074000868DD81AA +:0410750022112223FF +:041076002281202390 +:0410770020912E2373 +:04107800F59FC0EF31 +:0410790010EFC02A8A +:04107A004782532036 +:04107B0016079A6357 +:04107C0075B764617F +:04107D0046450001E3 +:04107E004805859309 +:04107F00568405137B +:0410800010EF64E128 +:0410810080235BE08D +:04108200C0EF5A045D +:04108300C626FCFF82 +:04108400C42264C15D +:0410850017B714FD88 +:04108600A78300023A +:0410870067610D0789 +:0410880067475683DD +:041089008E638FE5FE +:04108A00071300F652 +:04108B005703674759 +:04108C0007630027CF +:04108D00650912F7E8 +:04108E0071050513D0 +:04108F00EC3FB0EF93 +:0410900047A2BFD9DB +:04109100000175B72E +:0410920085934645B7 +:041093008513494533 +:0410940010EF56877C +:0410950047B256E028 +:04109600000175B729 +:0410970085934645B2 +:0410980085139B45DC +:0410990010EF5A07F3 +:04109A00C0EF6CC077 +:04109B004481F6FF97 +:04109C0017134401E1 +:04109D000713010430 +:04109E00579320073D +:04109F00C23E409776 +:0410A00067E1863E40 +:0410A1004681472D10 +:0410A2008513080C9E +:0410A30010EF5507EE +:0410A400E57939C0F1 +:0410A500000175B71A +:0410A6004A8585935F +:0410A700C0EF080886 +:0410A800ED1DC00F6B +:0410A9000181470377 +:0410AA004683EF0585 +:0410AB000713019195 +:0410AC0097630550F1 +:0410AD00468302E68E +:0410AE00470501A150 +:0410AF0002D762639F +:0410B0001593660529 +:0410B10095B2008470 +:0410B20046A006133B +:0410B30000E684636C +:0410B40005700613AA +:0410B5000874451264 +:0410B600E8FFC0EFA0 +:0410B7000485E1517A +:0410B80047410405A3 +:0410B900F8E417E35D +:0410BA00430010EFF0 +:0410BB00453D4581E9 +:0410BC00CB1FF0EF67 +:0410BD00C78367E19D +:0410BE0067615657B9 +:0410BF005947071373 +:0410C000C50397BA13 +:0410C100458100075E +:0410C20088A367E1B7 +:0410C300F0EF5AA749 +:0410C40047A2C93F37 +:0410C5000001763779 +:0410C600061386A6E1 +:0410C70045C546864F +:0410C80056878513AF +:0410C900574010EF8D +:0410CA0075B747B2FD +:0410CB004645000195 +:0410CC00474585937C +:0410CD005A07851326 +:0410CE005FA010EF20 +:0410CF00E9DFC0EFA6 +:0410D000000F453791 +:0410D10024050513DA +:0410D200DB7FB0EF21 +:0410D3002241208313 +:0410D40022012403CE +:0410D5002483450229 +:0410D600011321C120 +:0410D7008082228170 +:0410D8003B8010EF5A +:0410D900C03E57FDC1 +:0410DA005713B7D51C +:0410DB0017930185E1 +:0410DC008FD9018522 +:0410DD0000FF06B753 +:0410DE00008517135F +:0410DF008FD98F75A1 +:0410E000071367414A +:0410E1008121F00772 +:0410E2008D5D8D791A +:0410E300C609808238 +:0410E400000217B738 +:0410E5000007A0233D +:0410E600F693470135 +:0410E7001637FFC5F4 +:0410E80007B3000248 +:0410E900646300E557 +:0410EA00898D02D713 +:0410EB009463470DB6 +:0410EC00D68302E5C0 +:0410ED0017370007AA +:0410EE0012230002C7 +:0410EF00C78300D7DC +:0410F00002230027B0 +:0410F10017B700F736 +:0410F2004B88000225 +:0410F300439C808218 +:0410F400C25C0711C2 +:0410F5004709B7F9F7 +:0410F60000E5996315 +:0410F7000007D70314 +:0410F800000217B724 +:0410F90000E7922357 +:0410FA004705BFF9EE +:0410FB00FCE59DE390 +:0410FC000007C7031F +:0410FD00000217B71F +:0410FE0000E7822362 +:0410FF001151B7E9EB +:041100001437C222BC +:04110100C026000202 +:0411020084AA460174 +:0411030004A00593AC +:0411040004040513C7 +:04110500C0EFC4066D +:0411060085A6986FB3 +:041107000513460185 +:04110800C0EF04042C +:0411090005939B4F60 +:04110A00051304A025 +:04110B00460504048D +:04110C0096CFC0EFCB +:04110D0004040513BE +:04110E0040A24412A5 +:04110F00458544824C +:04111000C06F01317A +:04111100114197AF42 +:041112001437C422A8 +:04111300C2260002EE +:0411140084AEC02ABB +:0411150005934601F7 +:04111600051304A019 +:04111700C606040400 +:0411180093CFC0EFC2 +:0411190046014782C2 :04111A0004040513B1 -:04111B0040B2442278 -:04111C00449285A6CE -:04111D000141460541 -:04111E0095EFC06F1A -:04111F00C222115186 -:04112000143344053B -:04112100741300A49F -:0411220085A20FF49F -:04112300C4064519A0 -:04112400FADFF0EF0F -:04112500441285A249 -:04112600451D40A281 -:04112700F06F013133 -:041128001151F9FF69 -:04112900C2220506D3 -:04112A000FF5741336 -:04112B00450585A24F -:04112C00F0EFC40616 -:04112D0085A2F8BFE0 -:04112E0040A2441285 -:04112F00013145093C -:04113000F7DFF06F86 -:0411310045151151FE -:04113200F0EFC40610 -:041133000713F2BFED -:041134004781086087 -:0411350004E5136357 -:041136000900059314 -:04113700020005139A -:04113800F5DFF0EF00 -:0411390005134581D4 -:04113A00F0EF0210C0 -:04113B004581F53FB6 -:04113C000220051375 -:04113D00F49FF0EF3C -:04113E000513458DC3 -:04113F00F0EF02309B -:041140004581F3FFF3 -:041141000280051310 -:04114200F35FF0EF78 -:04114300030005930D -:041144000710051378 -:04114500F29FF0EF36 -:0411460040A24785F7 -:041147000131853EAF -:04114800114180824F -:0411490000A101A35D -:04114A000002153753 -:04114B004605C4226F -:04114C000513842ED5 -:04114D0005930405FD -:04114E00C6060031A0 -:04114F008E4FC0EF10 -:04115000B0EF852255 -:0411510040B2BBDF0E -:0411520001414422F1 -:041153001151808234 -:041154006461C222EE -:0411550058845783E0 -:04115600C026C406E5 -:04115700F9F7F7931A -:0411580083C107C286 -:0411590058F414230F -:04115A00000214B7C4 -:04115B000EF4A023CB -:04115C00B0EF45515A -:04115D0045D1B8DFE1 -:04115E0003800513F2 -:04115F00FA7FF0EF34 +:04111B00C0EF85BEDE +:04111C000513968F92 +:04111D004422040460 +:04111E0085A640B2B0 +:04111F0046054492AB +:04112000C06F01415A +:041121001151954F84 +:041122004405C2229C +:0411230000A41433DD +:041124000FF474133D +:04112500451985A241 +:04112600F0EFC4061C +:0411270085A2FADFC4 +:0411280040A244128B +:041129000131451D2E +:04112A00F9FFF06F6A +:04112B000506115153 +:04112C007413C22254 +:04112D0085A20FF593 +:04112E00C4064505A9 +:04112F00F8BFF0EF26 +:04113000441285A23E +:04113100450940A28A +:04113200F06F013128 +:041133001151F7DF80 +:04113400C406451593 +:04113500F2BFF0EF26 +:041136000860071333 +:041137001363478176 +:04113800059304E532 +:041139000513090091 +:04113A00F0EF0200D0 +:04113B004581F5DF16 +:04113C000210051385 +:04113D00F53FF0EF9B +:04113E0005134581CF +:04113F00F0EF0220AB +:04114000458DF49F46 +:041141000230051360 +:04114200F3FFF0EFD8 +:0411430005134581CA +:04114400F0EF028046 +:041145000593F35FBC +:04114600051303008A +:04114700F0EF0710AE +:041148004785F29F46 +:04114900853E40A2FD +:04114A00808201316D +:04114B0001A31141AA +:04114C00153700A1B2 +:04114D00C4220002B6 +:04114E00842E4605A0 +:04114F00040505137B +:0411500000310593D2 +:04115100C0EFC6061F +:0411520085228DAFB6 +:04115300BB3FB0EFFF +:04115400442240B23F +:041155008082014152 +:04115600C22211514F +:0411570057836461F5 +:04115800C40659046C +:04115900F793C02622 +:04115A0007C2F9F7D8 +:04115B00182383C111 +:04115C0014B758F478 +:04115D00A0230002C9 +:04115E0045510EF4F5 +:04115F00B83FB0EFF6 :04116000051345D15D -:04116100F0EF039018 -:0411620045D1F9DF9B -:04116300F0EF455113 -:0411640045D1F95F19 -:041165000710051357 -:04116600F8BFF0EFEF +:04116100F0EF038028 +:0411620045D1FA7FFA +:0411630003900513DD +:04116400F9DFF0EFD0 +:04116500455145D1DA +:04116600F95FF0EF4E :04116700051345D156 -:04116800F0EF05E0BF -:0411690045D1F81F55 -:04116A0006D0051393 -:04116B00F77FF0EF2B -:04116C00453145D1F3 -:04116D00F6FFF0EFAA -:04116E0032000593B3 -:04116F00F0EF450553 -:0411700045D1F65F10 -:04117100F0EF45193D -:041172000593F5DF0D -:0411730045093200F8 -:04117400F53FF0EF64 -:0411750058845783C0 -:04117600E79340A219 -:041177001423040732 -:04117800441258F4D1 -:041179000EF4A023AD -:04117A000131448279 -:04117B00112180823C -:04117C006461C822C0 -:04117D0058845783B8 -:04117E00C626CA06B1 -:04117F00F9F7F793F2 -:0411800083C107C25E -:041181001423C02E45 -:04118200173758F4CF -:041183002023000223 -:0411840084AA0EF734 -:04118500320005939C -:04118600F0EF45053C -:041187005783F09FFB -:041188001737588439 -:0411890045C100025A -:04118A000207E793DE -:04118B0058F41423DD -:04118C000EF7202317 -:04118D0010EF8526B4 -:04118E007713316042 -:04118F00EB110FF55C -:04119000000185B71E -:0411910085934645B7 -:041192008526938596 -:041193002DC010EF6C -:041194004781470543 -:0411950000F486B329 -:041196000006C50387 -:04119700C43A45D140 -:04119800F0EFC23E74 -:041199004792EC1F6E -:04119A00078547225C -:04119B000FF7F693C1 -:04119C00FEE6E2E3A6 -:04119D005884578398 -:04119E00000214B780 -:04119F00F79345D1AC -:0411A00007C2FDF78E -:0411A100142383C1CF -:0411A200A02358F43A -:0411A30005130EF42E -:0411A400F0EF0C005C -:0411A5005783E91F64 -:0411A6004502588422 -:0411A700E79345C1C4 -:0411A8001423020703 -:0411A900A02358F433 -:0411AA0010EF0EF440 -:0411AB0077932A20EC -:0411AC00EB910FF5BF -:0411AD0085B74502BB -:0411AE0046450001B1 -:0411AF00938585930C -:0411B000268010EF96 -:0411B10044814785A9 -:0411B2004782C23E70 -:0411B300873345D168 -:0411B4004503009758 -:0411B50004850007A6 -:0411B600E4BFF0EFB3 -:0411B700F713479251 -:0411B80063E30FF4EA -:0411B9005783FEF763 -:0411BA0040D2588443 -:0411BB0000021737E0 -:0411BC000407E793AA -:0411BD0058F41423AB -:0411BE002023444264 -:0411BF0044B20EF731 -:0411C00080820161C7 -:0411C100C2221151E4 -:0411C20000021437DC -:0411C3004601C026FB -:0411C400059384AA61 -:0411C500051302C04C -:0411C600C406040453 -:0411C700E81FB0EF7E -:0411C800460585A6AD -:0411C9000404051302 -:0411CA00EAFFB0EF99 -:0411CB0002C00593C6 -:0411CC0004040513FF -:0411CD00B0EF460534 -:0411CE000513E67FA0 -:0411CF0044120404BE -:0411D000448240A273 -:0411D100013145851E -:0411D200E75FB06FB4 -:0411D300C4221141E0 -:0411D40000021437CA -:0411D500C02AC22644 -:0411D600460184AE9C -:0411D70002C00593BA -:0411D80004040513F3 -:0411D900B0EFC606A7 -:0411DA004782E37FE6 -:0411DB0005134601B1 -:0411DC0085BE0404C4 -:0411DD00E63FB0EF4A +:04116800F0EF07108D +:0411690045D1F8BFB5 +:04116A0005E0051384 +:04116B00F81FF0EF8A +:04116C00051345D151 +:04116D00F0EF06D0C9 +:04116E0045D1F77FF1 +:04116F00F0EF453127 +:041170000593F6FFEE +:0411710045053200FE +:04117200F65FF0EF45 +:04117300451945D104 +:04117400F5DFF0EFC4 +:0411750032000593AC +:04117600F0EF450948 +:041177005783F53F66 +:0411780040A2590434 +:041179000407E793ED +:04117A0058F41823EA +:04117B00A023441257 +:04117C0044820EF4A7 +:04117D00808201313A +:04117E00C822112151 +:04117F0057836461CD +:04118000CA0659043E +:04118100F793C626F4 +:0411820007C2F9F7B0 +:04118300C02E83C136 +:0411840058F41823E0 +:041185000002173716 +:041186000EF720231D +:04118700059384AA9E +:0411880045053200E7 +:04118900F09FF0EFF4 +:04118A00590457832A +:04118B000002173710 +:04118C00E79345C1DF +:04118D00182302071A +:04118E00202358F4CE +:04118F0085260EF7AC +:04119000316010EFCB +:041191000FF57713CC +:0411920085B7EB1121 +:0411930046450001CC +:0411940094058593A6 +:0411950010EF8526AC +:0411960047052DC01C +:0411970086B3478153 +:04119800C50300F497 +:0411990045D1000636 +:04119A00C23EC43A53 +:04119B00EC1FF0EF66 +:04119C00472247920D +:04119D00F693078539 +:04119E00E2E30FF782 +:04119F005783FEE68E +:0411A00014B7590423 +:0411A10045D1000232 +:0411A200FDF7F793CB +:0411A30083C107C23B +:0411A40058F41823C0 +:0411A5000EF4A02381 +:0411A6000C00051321 +:0411A700E91FF0EF5D +:0411A800590457830C +:0411A90045C14502F5 +:0411AA000207E793BE +:0411AB0058F41823B9 +:0411AC000EF4A0237A +:0411AD002A2010EFF5 +:0411AE000FF577932F +:0411AF004502EB9179 +:0411B000000185B7FE +:0411B1008593464597 +:0411B20010EF9405A1 +:0411B30047852680C6 +:0411B400C23E448172 +:0411B50045D1478257 +:0411B60000978733E4 +:0411B70000074503E5 +:0411B800F0EF0485CB +:0411B9004792E4BFB6 +:0411BA000FF4F71324 +:0411BB00FEF763E3F5 +:0411BC0059045783F8 +:0411BD00173740D2CE +:0411BE00E7930002B1 +:0411BF0018230407E6 +:0411C000444258F459 +:0411C1000EF72023E2 +:0411C200016144B2D1 +:0411C30011518082C4 +:0411C4001437C222F8 +:0411C500C02600023E +:0411C60084AA4601B0 +:0411C70002C00593CA +:0411C8000404051303 +:0411C900B0EFC406B9 +:0411CA0085A6E77F90 +:0411CB0005134605BD +:0411CC00B0EF040478 +:0411CD000593EA5F3D +:0411CE00051302C043 +:0411CF0046050404C9 +:0411D000E5DFB0EFB8 +:0411D10004040513FA +:0411D20040A24412E1 +:0411D3004585448288 +:0411D400B06F0131C6 +:0411D5001141E6BF1F +:0411D6001437C422E4 +:0411D700C22600022A +:0411D80084AEC02AF7 +:0411D9000593460133 +:0411DA00051302C037 +:0411DB00C60604043C +:0411DC00E2DFB0EFAF +:0411DD0046014782FE :0411DE0004040513ED -:0411DF0040B24422B4 -:0411E000449285A60A -:0411E100014146057D -:0411E200E4FFB06F07 -:0411E30000021537BA -:0411E400460111515E -:0411E5000513458128 -:0411E600C406040532 -:0411E700E3BFB0EFC3 -:0411E800B0EF4529F6 -:0411E90045E195DF68 -:0411EA00F0EF4505D8 -:0411EB0045E1FA3FA1 -:0411EC00F0EF4509D2 -:0411ED0045E1F9BF20 -:0411EE00F0EF450DCC -:0411EF004505F93F7A -:0411F000F45FF0EFC9 -:0411F100152140A2E2 -:0411F200001535139C -:0411F30080820131C4 -:0411F400C2221151B1 -:0411F5004505842AFE -:0411F600F0EFC4064C -:0411F700991DF2BF8D -:0411F8008C49040E0C -:0411F9000FF4741368 -:0411FA00450585A280 -:0411FB00F61FF0EFFC -:0411FC00450985A27A -:0411FD00F59FF0EF7B -:0411FE00441285A270 -:0411FF00450D40A2B8 -:04120000F06F013159 -:041201001151F4BFD4 -:04120200842AC22256 -:04120300C4064505D3 -:04120400EF5FF0EFB9 -:04120500751347898D -:0412060013630D85DC -:04120700641302F476 -:0412080085A20015A6 -:04120900F0EF4505B8 -:04120A0085A2F27F48 -:04120B00F0EF4509B2 -:04120C0085A2F1FFC7 -:04120D0040A24412A5 -:04120E000131450D58 -:04120F00F11FF06F6C -:041210008C490416EB -:041211000FF474134F -:04121200004464131D -:041213001151BFD9DD -:041214001437C222A7 -:04121500C0260002ED -:0412160084AA46015F -:0412170005C0059376 -:0412180004040513B2 -:04121900B0EFC40668 -:04121A00F593D37FF6 -:04121B0046050FF481 -:04121C0004040513AE -:04121D00D63FB0EF19 -:04121E0005C005936F -:04121F0004040513AB -:04122000B0EF4605E0 -:041221000513D1BF21 -:04122200441204046A -:04122300448240A21F -:0412240001314585CA -:04122500D29FB06F35 -:04122600C42211418C -:041227000002143776 -:04122800C02AC226F0 -:04122900460184AE48 -:04122A0005C0059363 -:04122B00040405139F -:04122C00B0EFC60653 -:04122D004782CEBF67 -:04122E00051346015D -:04122F00F59304042B -:04123000B0EF0FF715 -:041231000513D15F71 -:04123200442204044A -:0412330085A640B29A -:041234004605449295 -:04123500B06F014154 -:041236001151D01F63 -:041237000220051379 -:04123800C222C40604 -:04123900F0EFC026EC -:04123A007413F69F94 -:04123B0045CD0FF599 -:04123C00F0EF455931 -:04123D006489FA7F47 -:04123E00710485139F -:04123F00803FB0EF4D -:04124000455D458D36 -:04124100F95FF0EF72 -:04124200710485139B -:04124300FF2FB0EFDA -:0412440008046593A2 -:04124500022005136B -:04124600F81FF0EFAE -:041247003E800513CD -:04124800FDEFB0EF17 -:0412490007F475939E -:04124A0040A2441268 -:04124B0005134482C1 -:04124C00013102204A -:04124D00F65FF06FE9 -:04124E00C222115156 -:04124F000513640916 -:04125000C40671045B -:04125100FBAFB0EF50 -:04125200455945C5F0 -:04125300F4DFF0EFE5 -:041254007104051309 -:04125500FAAFB0EF4D -:041256004589455D24 -:04125700F3DFF0EFE2 -:041258007104051305 -:0412590040A2441259 -:04125A00B06F01313F -:04125B001151F94FE5 -:04125C00842EC222F8 -:04125D00454985AAD0 -:04125E00F0EFC406E3 -:04125F0085A2F1FF74 -:0412600040A2441252 -:041261000131454DC5 -:04126200F11FF06F19 -:04126300051385AA40 -:04126400F06F03D054 -:0412650085AAF07FE7 -:04126600F06F45459B -:041267001151EFFF33 -:04126800C222C406D4 -:041269000065478352 -:04126A00456D842A20 -:04126B000047959310 -:04126C00F5938DDD8C -:04126D00F0EF0FF59A -:04126E004583EE3F87 -:04126F004571006461 -:04127000ED9FF0EF0F -:04127100003445837D -:04127200F0EF45292B -:041273004583ECFFC4 -:0412740045250044C8 -:04127500EC5FF0EF4B -:041276000054458358 -:04127700F0EF45212E -:041278004583EBBF00 -:0412790045350004F3 -:04127A00EB1FF0EF87 -:04127B000014458393 -:04127C00F0EF453119 -:04127D004583EA7F3C -:04127E0044120024F2 -:04127F00452D40A217 -:04128000F06F0131D9 -:041281001141E97FAF -:04128200842AC422D4 -:04128300C226451129 -:0412840084AEC03242 -:04128500F0EFC606BA -:041286004602E39F9A -:041287000F857593C7 -:041288000793CE4DAD -:04128900EA637FF0A5 -:04128A0045110A8779 -:04128B000015E593D2 -:04128C00E69FF0EFFA -:04128D000014151321 -:04128E00010514132F -:04128F0055938041B2 -:04129000F59300448E -:0412910045050FF50B -:04129200E51FF0EF75 -:04129300004415936B -:041294000F05F593BA -:04129500F0EF450928 -:041296000737E43FF3 -:041297000713019C9C -:041298005733CC07F5 -:0412990056B70297AB -:04129A008693022510 -:04129B0047810FF682 -:04129C00028707338B -:04129D0002E6F06312 -:04129E00042C26B73F -:04129F00D7F6869365 -:0412A000F963478522 -:0412A100F7B700E6B5 -:0412A2008793080B1B -:0412A300B7B3FBF7EB -:0412A400078900E7CF -:0412A5000713676163 -:0412A600973E50C758 -:0412A70000074503F4 -:0412A80002800713A6 -:0412A90002E5053322 -:0412AA0000145713C2 -:0412AB004533953AF8 -:0412AC00471D028553 -:0412AD000FF57513B1 -:0412AE000FF5759330 -:0412AF0000A77363BE -:0412B0004422459DF2 -:0412B100449240B271 -:0412B200079A058E04 -:0412B300F5938DDD45 -:0412B400450D0F8550 -:0412B500F06F014194 -:0412B6004511DC3FC3 -:0412B700DBDFF0EF9A -:0412B8001151BFB958 -:0412B900842AC2229F -:0412BA00C4064569B8 -:0412BB00D63FF0EF3B -:0412BC00759347855A -:0412BD001A630FA5FC -:0412BE00E59300F4C0 -:0412BF0044120025B0 -:0412C000456940A29A -:0412C100F06F013198 -:0412C200E593D93F98 -:0412C300BFC500A5FE -:0412C40000A55583A9 -:0412C500C2221151DF -:0412C600842A81A154 -:0412C70004B0051357 -:0412C800F0EFC40679 -:0412C9004583D77F03 -:0412CA00051300A464 -:0412CB00F0EF04A09C -:0412CC005583D6BFB1 -:0412CD00051300C441 -:0412CE0081A104D026 -:0412CF00D5DFF0EF88 -:0412D00000C445838E -:0412D10004C005133D -:0412D200D51FF0EF45 -:0412D30000E455835B -:0412D40004F005130A -:0412D500F0EF81A114 -:0412D6004583D43F39 -:0412D700051300E417 -:0412D800F0EF04E04F -:0412D9005583D37FE7 -:0412DA0005130044B4 -:0412DB0081A10510D8 -:0412DC00D29FF0EFBE -:0412DD000044458301 -:0412DE0005000513EF -:0412DF00D1DFF0EF7C -:0412E00000645583CE -:0412E10005300513BC -:0412E200F0EF81A107 -:0412E3004583D0FF70 -:0412E400051300648A -:0412E500F0EF052001 -:0412E6005583D03F1D -:0412E7000513008467 -:0412E80081A105508B -:0412E900CF5FF0EFF4 -:0412EA0000844583B4 -:0412EB0005400513A2 -:0412EC00CE9FF0EFB2 -:0412ED000104558320 -:0412EE00057005136F -:0412EF00F0EF81A1FA -:0412F0004583CDBFA6 -:0412F10005130104DC -:0412F200F0EF0560B4 -:0412F3005583CCFF54 -:0412F40005130124B9 -:0412F50081A105903E -:0412F600CC1FF0EF2A -:0412F7000124458306 -:0412F8000580051355 -:0412F900CB5FF0EFE8 -:0412FA0001445583D3 -:0412FB0005B0051322 -:0412FC00F0EF81A1ED -:0412FD004583CA7FDC -:0412FE004412014451 -:0412FF00051340A2F1 -:04130000013105A012 -:04130100C95FF06F61 -:04130200C2221151A1 -:041303000513842A20 -:04130400C40603F028 -:04130500C3BFF0EF83 -:041306000F057593C7 -:0413070044128DC13E -:04130800051340A2E7 -:04130900013103F0BB -:04130A00C71FF06F9A -:04130B00C222115198 -:04130C004569842A81 -:04130D00F0EFC40633 -:04130E001593C19FD3 -:04130F004412006420 -:0413100003F5751359 -:041311008DC940A2A0 -:041312000FF5F5934B -:0413130001314569F6 -:04131400C49FF06F13 -:0413150065E111314C -:041316008593461D58 -:04131700850A9705A7 -:0413180000EFC80614 -:04131900F0EF35506C -:04131A004501C75F63 -:04131B00E77FF0EF89 -:04131C0005134585EB -:04131D00F0EF03509A -:04131E00655DC23F08 -:04131F004B05051362 -:04132000E91FF0EFE2 -:04132100F0EF4501A3 -:041322004519FA7FF0 -:04132300D01FF0EFF8 -:041324000440051369 -:04132500D03FF0EFD6 -:0413260045054581B3 -:04132700CD3FF0EFD7 -:04132800F0EF850A53 -:0413290040C2CFDF10 -:04132A00808201516B -:04132B00C422114186 -:04132C004511842AB9 -:04132D00C02EC60602 -:04132E00B97FF0EFA4 -:04132F0004334582BC -:04133000759302B4FB -:0413310045110075ED -:0413320001F47793B8 -:041333008DDD078EB7 -:04133400BC9FF0EF7B -:0413350040545513B8 -:04133600442240B25B -:041337000FF5751326 -:04133800808201416D -:04133900C22211516A -:04133A004541842A7B -:04133B00F0EFC40605 -:04133C001593B61F30 -:04133D004412003422 -:04133E0040A2891D23 -:04133F00F5938DC9CC -:0413400045410FF51F -:04134100F06F013117 -:04134200C139B93FB5 -:04134300C02611515E -:04134400026005132B -:04134500059384AEDA -:04134600C2220800B7 -:041347008432C40622 -:04134800B79FF0EF6C -:04134900059347853C -:04134A00896300941F -:04134B0047A100F4C2 -:04134C0005A405935C -:04134D0000F48463C1 -:04134E00018405937E -:04134F0040A2441262 -:04135000F59344824B -:0413510005130FF57C -:041352000131031052 -:04135300B4DFF06FA4 -:0413540005134581B7 -:04135500BFDD026096 -:04135600C822112177 -:04135700CA06C626D6 -:04135800C232C02EAF -:041359004785C436CA -:04135A0084BA842AA3 -:04135B0000F50E6328 -:04135C000D6347A135 -:04135D00059304F5FB -:04135E00F59300679C -:04135F0045150FF52C -:04136000B19FF0EF5A -:04136100A80945C1D1 -:0413620000270593C8 -:041363000FF5F593FA -:04136400F0EF45154C -:041365004599B07F77 -:04136600F0EF451946 -:0413670085A2AFFFAD -:04136800450586268B -:04136900F67FF0EF2C -:04136A0045B147C181 -:04136B0000F4036324 -:04136C00051345A17F -:04136D00F0EF02207B -:04136E004442AE3F08 -:04136F00459246223B -:0413700040D2450220 -:04137100016144B220 -:04137200C3FFF06F56 -:0413730003270593B4 -:041374000FF5F593E9 -:04137500F0EF45153B -:041376000593AC3FF0 -:04137700BF6D020044 -:04137800C22211512B -:04137900C406C026C0 -:04137A00478984AE6D -:04137B0045818432F2 -:04137C000097E6638D -:04137D0000649593E0 -:04137E0085E105E21E -:04137F00002517131B -:04138000004517937A -:041381008FC98FD9A8 -:04138200F5938DDD75 -:0413830045650FF5B8 -:04138400A89FF0EF3F -:04138500F0EF4541FF -:04138600478DA39F4D -:041387000F857593C6 -:0413880000F41463F6 -:041389000055E59393 -:04138A00F0EF4541FA -:04138B004789A6FFE9 -:04138C0005B0059310 -:04138D000097F96369 -:04138E0005934791EB -:04138F00F4630520DE -:04139000059300972A -:0413910045390530A5 -:04139200A51FF0EFB4 -:041393003E80051380 -:04139400AAEFB0EF1D -:04139500F0EF4551DF -:04139600478D9F9F41 -:04139700036345C1E6 -:04139800458100F497 -:04139900F0EF4561CB -:04139A004412A33F17 -:04139B00448240A2A6 -:04139C00051345816F -:04139D0001310360B7 -:04139E00A21FF06F2B -:04139F00C222115104 -:0413A0004551842A05 -:0413A100F0EFC4069F -:0413A20047119C9FB4 -:0413A30000877C63E0 -:0413A4000885751330 -:0413A500F7850513B0 -:0413A60000153513E6 -:0413A700441240A20A -:0413A800808201310D -:0413A9007763470916 -:0413AA007513008730 -:0413AB000513090518 -:0413AC00B7DDF705AD -:0413AD000FF577932E -:0413AE000017D5133C -:0413AF00BFF98905F4 -:0413B000F9410113EB -:0413B1004791D2A2EC -:0413B200D486646118 -:0413B300DA3ED0A6A8 -:0413B4005AC407937D -:0413B500C683CC36E9 -:0413B60047210327A1 -:0413B7001733C82AF6 -:0413B800C68300D711 -:0413B900671303377C -:0413BA00DC3A008792 -:0413BB0020000713F4 -:0413BC0000D717330C -:0413BD000347C68399 -:0413BE006741DE3A6B -:0413BF0000D7173309 -:0413C0000357C68386 -:0413C1000737C0BA70 -:0413C20017330020BD -:0413C300C2BA00D7D3 -:0413C40003310713D7 -:0413C5006761C4BADE -:0413C6005E37071374 -:0413C7006761C6BADA -:0413C8005E47071362 -:0413C9006761C8BAD6 -:0413CA005E57071350 -:0413CB006761CABAD2 -:0413CC005E6707133E -:0413CD006761CCBACE -:0413CE005E7707132C -:0413CF00C703CEBAC8 -:0413D000C783043794 -:0413D10066E1044786 -:0413D200C43EC03A1B -:0413D3006DC68793C9 -:0413D40067DDC23ED1 -:0413D5004E078793A5 -:0413D60009A3CA2E6F -:0413D70047010201C7 -:0413D8005AC40413DC -:0413D9006DC68693C4 -:0413DA00D03E42F1CE -:0413DB0001871793DC -:0413DC00C63E87E1A1 -:0413DD000250079320 -:0413DE0000F715639C -:0413DF00C63E57FDB2 -:0413E00007B3A69118 -:0413E1004615025754 -:0413E2004BDC97B693 -:0413E3000187D31398 -:0413E400749383F586 -:0413E500666301F347 -:0413E600558206F630 -:0413E7000027961332 -:0413E800962ECE323D -:0413E9008602421026 -:0413EA00025703B3F0 -:0413EB0010000613D5 -:0413EC006621DE3266 -:0413ED000613C0B271 -:0413EE00C2B2200067 -:0413EF001C10059336 -:0413F00000768633CA -:0413F10082154A1007 -:0413F2007FF67613F9 -:0413F30006B61B63BC -:0413F40067E1D43E9B -:0413F5006DC78793A6 -:0413F60077B793BE74 -:0413F70085930001D9 -:0413F800851E4F8778 -:0413F900D63A461D7D -:0413FA0000EFD21E10 -:0413FB0066E1113066 -:0413FC0057A253920F -:0413FD00869357324A -:0413FE0042F16DC685 -:0413FF004622E90198 -:041400004792E22904 -:0414010007F10705E3 -:04140200B78DC23EA2 -:0414030077B7D23EA7 -:0414040085930001CB -:04140500461D500729 -:04140600D43A851E31 -:041407000E1000EFD4 -:04140800579266E1B0 -:04140900869357224D -:04140A0042F16DC678 -:04140B0045A2E919F4 -:04140C009863460596 -:04140D00B7F100C56E -:04140E0020000613A1 -:04140F006641DE3222 -:041410004662C0B2BE -:0414110000C4F333ED -:04141200FA030DE3E9 -:0414130045724612C6 -:0414140010904E0CDA -:0414150000A604B376 -:04141600FE84A603A7 -:0414170046031088F0 -:04141800060A0006BA -:041419002603962AE6 -:04141A008E6DFD4690 -:04141B004552DA5903 -:04141C00FFF5C59380 -:04141D0096E3898544 -:04141E0005B3F8A575 -:04141F0045420257E9 -:04142000498C95B6A8 -:04142100F593819529 -:0414220005F97FF554 -:04142300F6A5EBE35C -:0414240009234585CE -:04142500172300B4D5 -:0414260008A3000413 -:041427000A23000490 -:04142800CC100004E0 -:041429000763658967 -:04142A00E46326B69B -:04142B00059314C54C -:04142C000B63080046 -:04142D00E26322B69E -:04142E0045C10EC5E1 -:04142F0022B601637D -:041430000AC5EF6397 -:041431000663459178 -:0414320045A11CB6FE -:04143300F2B61BE30F -:041434000623460540 -:04143500460300C4A6 -:041436001F63050427 -:0414370046711E06D6 -:0414380002C70633AE -:04143900558396360B -:04143A00061300E6AF -:04143B00656357701E -:04143C0096131EB62F -:04143D00516301D71F -:04143E00460D1EC079 -:04143F0000C406A33C -:04144000092346092D -:04144100861300C44A -:041442008A150067A0 -:041443004615CE116B -:041444000AC79F63D1 -:04144500073347F131 -:04144600079302F70F -:0414470096BA4AF017 -:0414480000E6D703E0 -:041449000AE7E56366 -:04144A00046447836C -:04144B0006A3CFD154 -:04144C0047890004C8 -:04144D000633AA7D3B -:04144E0005930257A9 -:04144F00963620D0DD -:0414500082154A10A7 -:041451007FF6761399 -:04145200EEB61DE3F2 -:04145300FFF7061386 -:041454000256063303 -:041455009636458DF5 -:0414560082754A5001 -:0414570000B61A635E -:04145800E601460261 -:041459000EF4F49306 -:04145A004609BDE999 -:04145B00B5D1458240 -:04145C00E60146025D -:04145D000FB4F49341 -:04145E004605B5E9A1 -:04145F000793BFC56B -:04146000066302001D -:04146100079300F6F7 -:041462001CE3040083 -:041463000793E6F60F -:04146400162330100B -:04146500479100F4B7 -:041466000593AAA997 -:0414670003634000DB -:04146800EB6316B666 -:04146900059302C520 -:04146A000763100004 -:04146B00059314B61B -:04146C0018E3200061 -:04146D004709E4B691 -:04146E0000E416235D -:04146F009863470D2A -:04147000478300E7C7 -:04147100F7B5046463 -:041472000723478580 -:04147300478300F4B7 -:0414740008A3057450 -:04147500A0FD00F4E2 -:041476008006079352 -:04147700120789636C -:0414780010E3678591 -:041479000793E2F6FD -:04147A0016232020F5 -:04147B00478D00F4A5 -:04147C0005B7A20905 -:04147D000A630008F6 -:04147E00E36312B65C -:04147F0065C104C57A -:0414800012B600633D -:0414810002C5E3635A -:041482000863679103 -:0414830067A110F657 -:04148400DEF619E394 -:041485002020079389 -:0414860000F4162335 -:041487000923479D51 -:0414880047B500F470 -:0414890000F40A233E -:04148A0007B7B75D8C -:04148B000B630002ED -:04148C0007B70EF69A -:04148D0016E300045E -:04148E000793DCF6EE -:04148F00B7752030DD -:04149000004007B75A -:041491000EF60963E7 -:0414920002C7E0634A -:04149300001007B787 -:041494000EF60063ED -:04149500002007B775 -:04149600DAF615E38A -:041497001623479140 -:04149800079300F4C2 -:04149900BF7D07808C -:04149A00010007B78F -:04149B000CF60F63D9 -:04149C00020007B78C -:04149D000EF60463E0 -:04149E00008007B70C -:04149F00D8F613E385 -:0414A000204007934E -:0414A10000F416231A -:0414A2000923479142 -:0414A30047F900F411 -:0414A4004671BF517D -:0414A50002C7073340 -:0414A6000004162305 -:0414A70096BA8B95D1 -:0414A80000A6D703C0 -:0414A9008B7D46856C -:0414AA0000E408A3AF -:0414AB0000D79B6368 -:0414AC00046446830B -:0414AD004789CE9904 -:0414AE0000F409231A -:0414AF0007A34785C3 -:0414B000D71100F45C -:0414B100541650A6D7 -:0414B20054864532E5 -:0414B30006C101135A -:0414B4000723808208 -:0414B500B7DD00F4AB -:0414B600000406A385 -:0414B7000793B52DB5 -:0414B80016232010C7 -:0414B900B5B100F4D5 -:0414BA003010079354 +:0411DF00B0EF85BE2A +:0411E0000513E59F6F +:0411E100442204049C +:0411E20085A640B2EC +:0411E30046054492E7 +:0411E400B06F0141A6 +:0411E5001537E45F77 +:0411E60011510002A1 +:0411E70045814601F7 +:0411E80004050513E2 +:0411E900B0EFC40699 +:0411EA004529E31F91 +:0411EB00953FB0EF8D +:0411EC00450545E18F +:0411ED00FA3FF0EFE6 +:0411EE00450945E189 +:0411EF00F9BFF0EF65 +:0411F000450D45E183 +:0411F100F93FF0EFE3 +:0411F200F0EF4505D0 +:0411F30040A2F45FC3 +:0411F4003513152179 +:0411F50001310015AF +:0411F6001151808291 +:0411F700842AC22262 +:0411F800C4064505DF +:0411F900F2BFF0EF62 +:0411FA00040E991D29 +:0411FB0074138C4994 +:0411FC0085A20FF4C5 +:0411FD00F0EF4505C5 +:0411FE0085A2F61FB1 +:0411FF00F0EF4509BF +:0412000085A2F59F2F +:0412010040A24412B1 +:041202000131450D64 +:04120300F4BFF06FD5 +:04120400C2221151A0 +:041205004505842AED +:04120600F0EFC4063B +:041207004789EF5FC5 +:041208000D857513C8 +:0412090002F4136375 +:04120A000015641354 +:04120B00450585A26E +:04120C00F27FF0EF8E +:04120D00450985A268 +:04120E00F1FFF0EF0D +:04120F00441285A25E +:04121000450D40A2A6 +:04121100F06F013148 +:041212000416F11FAE +:0412130074138C497B +:0412140064130FF45C +:04121500BFD90044F9 +:04121600C22211518E +:041217000002143786 +:041218004601C026A5 +:04121900059384AA0B +:04121A00051305C0F3 +:04121B00C4060404FD +:04121C00D2DFB0EF7E +:04121D000FF4F59342 +:04121E000513460569 +:04121F00B0EF040424 +:041220000593D59FBE +:04122100051305C0EC +:041222004605040475 +:04122300D11FB0EF38 +:0412240004040513A6 +:0412250040A244128D +:041226004585448234 +:04122700B06F013172 +:041228001141D1FFA0 +:041229001437C42290 +:04122A00C2260002D6 +:04122B0084AEC02AA3 +:04122C0005934601DF +:04122D00051305C0E0 +:04122E00C6060404E8 +:04122F00CE1FB0EF2F +:0412300046014782AA +:041231000404051399 +:041232000FF7F5932A +:04123300D0BFB0EF89 +:041234000404051396 +:0412350040B244225D +:04123600449285A6B3 +:041237000141460526 +:04123800CF7FB06F45 +:041239000513115137 +:04123A00C4060220C4 +:04123B00C026C222E5 +:04123C00F69FF0EF3A +:04123D000FF5741322 +:04123E00455945CDFC +:04123F00FA7FF0EF53 +:041240008513648925 +:04124100B0EF710495 +:04124200458DFF8F48 +:04124300F0EF455D26 +:041244008513F95FB6 +:04124500B0EF710491 +:041246006593FE8F1F +:04124700051308047F +:04124800F0EF0220A1 +:041249000513F81F72 +:04124A00B0EF3E8043 +:04124B007593FD4F4B +:04124C00441207F44D +:04124D00448240A2F5 +:04124E000220051362 +:04124F00F06F01310A +:041250001151F65FE3 +:041251006409C22248 +:04125200710405130B +:04125300B0EFC4062E +:0412540045C5FB0F82 +:04125500F0EF455918 +:041256000513F4DFA9 +:04125700B0EF71047F +:04125800455DFA0FE7 +:04125900F0EF4589E4 +:04125A000513F3DFA6 +:04125B0044127104C4 +:04125C00013140A27A +:04125D00F8AFB06FC7 +:04125E00C222115146 +:04125F0085AA842EAA +:04126000C406454932 +:04126100F1FFF0EFBA +:04126200441285A20B +:04126300454D40A213 +:04126400F06F0131F5 +:0412650085AAF11F46 +:0412660003D0051399 +:04126700F07FF06FB5 +:04126800454585AAC9 +:04126900EFFFF06F34 +:04126A00C406115154 +:04126B004783C222D1 +:04126C00842A00656B +:04126D009593456DA3 +:04126E008DDD0047CB +:04126F000FF5F593EF +:04127000EE3FF0EF6E +:04127100006445834D +:04127200F0EF4571E3 +:041273004583ED9F23 +:0412740045290034D4 +:04127500ECFFF0EFAB +:041276000044458368 +:04127700F0EF45252A +:041278004583EC5F5F +:0412790045210054B7 +:04127A00EBBFF0EFE7 +:04127B0000044583A3 +:04127C00F0EF453515 +:04127D004583EB1F9B +:04127E0045310014E2 +:04127F00EA7FF0EF23 +:04128000002445837E +:0412810040A2441231 +:041282000131452DC4 +:04128300E97FF06FA0 +:04128400C42211412E +:041285004511842A61 +:04128600C032C2268A +:04128700C60684AE65 +:04128800E39FF0EF01 +:041289007593460211 +:04128A00CE4D0F85B1 +:04128B007FF0079356 +:04128C000A87EA6380 +:04128D00E59345118F +:04128E00F0EF001568 +:04128F001513E69FAE +:04129000141300141F +:041291008041010592 +:04129200004455932C +:041293000FF5F593CB +:04129400F0EF45052D +:041295001593E51FA9 +:04129600F593004488 +:0412970045090F05F1 +:04129800E43FF0EF50 +:04129900019C073776 +:04129A00CC07071363 +:04129B00029757332C +:04129C00022556B71A +:04129D000FF686932F +:04129E00073347814A +:04129F00F06302876F +:0412A00026B702E685 +:0412A1008693042C00 +:0412A2004785D7F6AF +:0412A30000E6F96305 +:0412A400080BF7B785 +:0412A500FBF7879339 +:0412A60000E7B7B3F3 +:0412A70067610789EB +:0412A8005147071390 +:0412A9004503973E24 +:0412AA00071300071F +:0412AB000533028085 +:0412AC00571302E5ED +:0412AD00953A00145A +:0412AE00028545333D +:0412AF007513471D4F +:0412B00075930FF52E +:0412B10073630FF55F +:0412B200459D00A7AF +:0412B30040B24422DF +:0412B400058E4492CD +:0412B5008DDD079A2A +:0412B6000F85F59318 +:0412B7000141450D9F +:0412B800DC3FF06FB8 +:0412B900F0EF4511FC +:0412BA00BFB9DBDFFE +:0412BB00C2221151E9 +:0412BC004569842AD2 +:0412BD00F0EFC40684 +:0412BE004785D63F4B +:0412BF000FA575936F +:0412C00000F41A63B9 +:0412C1000025E5938C +:0412C20040A24412F0 +:0412C3000131456947 +:0412C400D93FF06FAF +:0412C50000A5E59308 +:0412C6005583BFC5C8 +:0412C700115100A51C +:0412C80081A1C2221C +:0412C9000513842A5B +:0412CA00C40604B0A2 +:0412CB00D77FF0EFEA +:0412CC0000A44583B2 +:0412CD0004A0051361 +:0412CE00D6BFF0EFA8 +:0412CF0000C455837F +:0412D00004D005132E +:0412D100F0EF81A118 +:0412D2004583D5DF9C +:0412D300051300C43B +:0412D400F0EF04C073 +:0412D5005583D51F49 +:0412D600051300E418 +:0412D70081A104F0FD +:0412D800D43FF0EF20 +:0412D90000E4458365 +:0412DA0004E0051314 +:0412DB00D37FF0EFDE +:0412DC0000445583F2 +:0412DD0005100513E0 +:0412DE00F0EF81A10B +:0412DF004583D29FD2 +:0412E00005130044AE +:0412E100F0EF050025 +:0412E2005583D1DF80 +:0412E300051300648B +:0412E40081A10530AF +:0412E500D0FFF0EF57 +:0412E60000644583D8 +:0412E70005200513C6 +:0412E800D03FF0EF14 +:0412E90000845583A5 +:0412EA000550051393 +:0412EB00F0EF81A1FE +:0412EC004583CF5F08 +:0412ED000513008461 +:0412EE00F0EF0540D8 +:0412EF005583CE9FB6 +:0412F00005130104DD +:0412F10081A1057062 +:0412F200CDBFF0EF8D +:0412F300010445832A +:0412F4000560051379 +:0412F500CCFFF0EF4B +:0412F60001245583F7 +:0412F7000590051346 +:0412F800F0EF81A1F1 +:0412F9004583CC1F3E +:0412FA0005130124B3 +:0412FB00F0EF05808B +:0412FC005583CB5FEC +:0412FD000513014490 +:0412FE0081A105B015 +:0412FF00CA7FF0EFC3 +:0413000001444583DC +:0413010040A24412B0 +:0413020005A005132A +:04130300F06F013155 +:041304001151C95F5B +:04130500842AC22252 +:0413060003F00513D8 +:04130700F0EFC40639 +:041308007593C3BF57 +:041309008DC10F057E +:04130A0040A24412A7 +:04130B0003F00513D3 +:04130C00F06F01314C +:04130D001151C71F94 +:04130E00842AC22249 +:04130F00C406456962 +:04131000C19FF0EF9A +:0413110000641593CC +:0413120075134412F9 +:0413130040A203F5FC +:04131400F5938DC9F7 +:0413150045690FF522 +:04131600F06F013142 +:041317001131C49F2D +:04131800461D65E128 +:04131900978585939C +:04131A00C806850A72 +:04131B00355000EF5A +:04131C00C75FF0EFC8 +:04131D00F0EF4501A7 +:04131E004585E77F9B +:04131F00035005135F +:04132000C23FF0EFE9 +:041321000513655DEE +:04132200F0EF4B8518 +:041323004501E91F78 +:04132400FA7FF0EF6D +:04132500F0EF451987 +:041326000513D01FBC +:04132700F0EF04409F +:041328004581D03FEC +:04132900F0EF450597 +:04132A00850ACD3F24 +:04132B00CFDFF0EF31 +:04132C00015140C269 +:04132D001141808268 +:04132E00842AC42227 +:04132F00C606451198 +:04133000F0EFC02EEC +:041331004582B97FB9 +:0413320002B40433CA +:041333000075759339 +:041334007793451155 +:04133500078E01F42A +:04133600F0EF8DDD6A +:041337005513BC9FEF +:0413380040B240542B +:0413390075134422C2 +:04133A0001410FF569 +:04133B00115180824A +:04133C00842AC2221B +:04133D00C40645415C +:04133E00B61FF0EFF7 +:04133F0000341593CE +:04134000891D4412AD +:041341008DC940A270 +:041342000FF5F5931B +:0413430001314541EE +:04134400B93FF06F4E +:041345001151C13948 +:041346000513C026A5 +:0413470084AE02600E +:041348000800059301 +:04134900C406C222F2 +:04134A00F0EF84320A +:04134B004785B79F7C +:04134C000094059371 +:04134D0000F48963BC +:04134E00059347A11B +:04134F00846305A40A +:04135000059300F40D +:0413510044120184BD +:04135200448240A2EF +:041353000FF5F5930A +:04135400031005136A +:04135500F06F013103 +:041356004581B4DF3A +:041357000260051318 +:041358001121BFDDC3 +:04135900C626C822BA +:04135A00C02ECA06D1 +:04135B00C436C232A0 +:04135C00842A478513 +:04135D000E6384BADD +:04135E0047A100F5AE +:04135F0004F50D6321 +:04136000006705938A +:041361000FF5F593FC +:04136200F0EF45154E +:0413630045C1B19F30 +:041364000593A8093C +:04136500F5930027D5 +:0413660045150FF525 +:04136700B07FF0EF74 +:041368004519459945 +:04136900AFFFF0EFF3 +:04136A00862685A2AC +:04136B00F0EF450555 +:04136C0047C1F67F00 +:04136D00036345B120 +:04136E0045A100F4A1 +:04136F000220051340 +:04137000AE3FF0EFAD +:04137100462244428A +:041372004502459259 +:0413730044B240D26E +:04137400F06F0161B4 +:041375000593C3FF1A +:04137600F5930327C1 +:0413770045150FF514 +:04137800AC3FF0EFA7 +:0413790002000593D6 +:04137A001151BF6DE1 +:04137B00C026C222A4 +:04137C0084AEC40671 +:04137D0084324789E6 +:04137E00E66345815C +:04137F0095930097AB +:0413800005E200641E +:04138100171385E1D8 +:041382001793002598 +:041383008FD90045B9 +:041384008DDD8FC9A3 +:041385000FF5F593D8 +:04138600F0EF4565DA +:041387004541A89F95 +:04138800A39FF0EF40 +:041389007593478D84 +:04138A0014630F8554 +:04138B00E59300F4F2 +:04138C004541005582 +:04138D00A6FFF0EFD8 +:04138E0005934789F3 +:04138F00F96305B049 +:0413900047910097EA +:04139100052005939B +:041392000097F46369 +:041393000530059389 +:04139400F0EF4539F8 +:041395000513A51F78 +:04139600B0EF3E80F6 +:041397004551AA4FC3 +:041398009F9FF0EF34 +:0413990045C1478D76 +:04139A0000F40363F5 +:04139B0045614581E2 +:04139C00A33FF0EF8C +:04139D0040A2441214 +:04139E0045814482BF +:04139F0003600513CF +:0413A000F06F0131B8 +:0413A1001151A21F25 +:0413A200842AC222B5 +:0413A300C4064551E6 +:0413A4009C9FF0EF2B +:0413A5007C6347110D +:0413A6007513008734 +:0413A700051308859D +:0413A8003513F7857D +:0413A90040A2001549 +:0413AA0001314412B7 +:0413AB0047098082EC +:0413AC0000877763DC +:0413AD0009057513A6 +:0413AE00F705051327 +:0413AF007793B7DD9C +:0413B000D5130FF54D +:0413B1008905001793 +:0413B2000113BFF96B +:0413B300D2A2F94188 +:0413B4006461479198 +:0413B500D0A6D48664 +:0413B6000793DA3E81 +:0413B700CC365B4491 +:0413B8000327C683BE +:0413B900C82A4721D6 +:0413BA0000D717330E +:0413BB000337C683AB +:0413BC00008767132C +:0413BD000713DC3AFC +:0413BE0017332000C1 +:0413BF00C68300D70A +:0413C000DE3A0347C7 +:0413C1001733674136 +:0413C200C68300D707 +:0413C300C0BA035752 +:0413C40000200737C7 +:0413C50000D7173303 +:0413C6000713C2BA8D +:0413C700C4BA033170 +:0413C800071367613F +:0413C900C6BA5EB78B +:0413CA00071367613D +:0413CB00C8BA5EC777 +:0413CC00071367613B +:0413CD00CABA5ED763 +:0413CE000713676139 +:0413CF00CCBA5EE74F +:0413D0000713676137 +:0413D100CEBA5EF73B +:0413D2000437C70312 +:0413D3000447C78381 +:0413D400C03A66E1D4 +:0413D5008793C43EF8 +:0413D600C23E6E465F +:0413D700879367DDB4 +:0413D800CA2E4E8744 +:0413D900020109A361 +:0413DA0004134701B0 +:0413DB0086935B4456 +:0413DC0042F16E4626 +:0413DD001793D03E54 +:0413DE0087E101871B +:0413DF000793C63E6C +:0413E000156302503F +:0413E10057FD00F7BD +:0413E200A691C63ECC +:0413E300025707B3F3 +:0413E40097B646155D +:0413E500D3134BDCF7 +:0413E60083F5018703 +:0413E70001F3749307 +:0413E80006F666633C +:0413E9009613558280 +:0413EA00CE320027D8 +:0413EB004210962EE8 +:0413EC0003B38602BF +:0413ED00061302578A +:0413EE00DE321000DB +:0413EF00C0B2662101 +:0413F00020000613C0 +:0413F1000593C2B2EC +:0413F20086331C1012 +:0413F3004A10007626 +:0413F40076138215D5 +:0413F5001B637FF601 +:0413F600D43E06B625 +:0413F700879367E190 +:0413F80093BE6E47EB +:0413F900000177B7C1 +:0413FA005007859380 +:0413FB00461D851EE8 +:0413FC00D21ED63AED +:0413FD00113000EFBC +:0413FE00539266E1BF +:0413FF00573257A268 +:041400006E4686931B +:04140100E90142F1CA +:04140200E229462273 +:041403000705479200 +:04140400C23E07F1EC +:04140500D23EB78D8F +:04140600000177B7B3 +:0414070050878593F2 +:04140800851E461DDA +:0414090000EFD43AE2 +:04140A0066E10E1079 +:04140B00572257927B +:04140C006E4686930F +:04140D00E91942F1A6 +:04140E00460545A2A8 +:04140F0000C5986319 +:041410000613B7F117 +:04141100DE322000A7 +:04141200C0B26641BD +:04141300F333466207 +:041414000DE300C420 +:041415004612FA037E +:041416004E0C4572C1 +:0414170004B310907A +:04141800A60300A681 +:041419001088FE84B5 +:04141A00000646037F +:04141B00962A060AFD +:04141C00FD46260360 +:04141D00DA598E6D9D +:04141E00C5934552DB +:04141F008985FFF5C7 +:04142000F8A596E3B2 +:04142100025705B3B6 +:0414220095B64542F4 +:041423008195498CDA +:041424007FF5F593C8 +:04142500EBE305F9F7 +:041426004585F6A55D +:0414270000B40923E1 +:041428000004172382 +:04142900000408A310 +:04142A0000040A238D +:04142B006589CC10F3 +:04142C0026B6076376 +:04142D0014C5E4639B +:04142E00080005931A +:04142F0022B60B6373 +:041430000EC5E263A0 +:04143100016345C14D +:04143200EF6322B68C +:0414330045910AC510 +:041434001CB6066379 +:041435001BE345A1CF +:041436004605F2B6BF +:0414370000C40623C4 +:04143800050446035E +:041439001E061F6309 +:04143A0006334671BE +:04143B00963602C718 +:04143C0000E65583EE +:04143D0057700613CB +:04143E001EB665630E +:04143F0001D7961328 +:041440001EC0516316 +:0414410006A3460DAB +:04144200460900C493 +:0414430000C40923B5 +:0414440000678613A4 +:04144500CE118A1525 +:041446009F63461545 +:0414470047F10AC798 +:0414480002F707336D +:041449004AF00793CB +:04144A00D70396BA74 +:04144B00E56300E66F +:04144C0047830AE7E1 +:04144D00CFD1046493 +:04144E00000406A3ED +:04144F00AA7D4789A2 +:041450000257063306 +:0414510020D005930F +:041452004A10963670 +:041453007613821575 +:041454001DE37FF61F +:041455000613EEB6D6 +:041456000633FFF763 +:04145700458D025667 +:041458004A5096362A +:041459001A6382751B +:04145A00460200B690 +:04145B00F493E6011F +:04145C00BDE90EF4E4 +:04145D004582460975 +:04145E004602B5D1BC +:04145F00F493E6011B +:04146000B5E90FB427 +:04146100BFC54605B8 +:0414620002000793EA +:0414630000F6066326 +:0414640004000793E6 +:04146500E6F61CE3A8 +:0414660030100793A8 +:0414670000F4162354 +:04146800AAA9479155 +:0414690040000593A7 +:04146A0016B603634C +:04146B0002C5EB6368 +:04146C0010000593D4 +:04146D0014B6076347 +:04146E0020000593C2 +:04146F00E4B618E3E4 +:0414700016234709EF +:04147100470D00E43F +:0414720000E7986394 +:041473000464478343 +:041474004785F7B5FC +:0414750000F4072355 +:04147600057447832F +:0414770000F408A3D2 +:041478000793A0FD39 +:0414790089638006FD +:04147A006785120769 +:04147B00E2F610E3A2 +:04147C002020079392 +:04147D0000F416233E +:04147E00A209478DEB +:04147F00000805B7A5 +:0414800012B60A6333 +:0414810004C5E36358 +:04148200006365C1DD +:04148300E36312B657 +:04148400679102C5A5 +:0414850010F60863F2 +:0414860019E367A15E +:041487000793DEF6F3 +:0414880016232020E7 +:04148900479D00F487 +:04148A0000F409233E +:04148B000A2347B534 +:04148C00B75D00F454 +:04148D00000207B79B +:04148E000EF60B63E8 +:04148F00000407B797 +:04149000DCF616E38D +:04149100203007936D +:0414920007B7B7756C +:0414930009630040A9 +:04149400E0630EF60D +:0414950007B702C7CC +:0414960000630010DF +:0414970007B70EF68F +:0414980015E3002038 +:041499004791DAF6A7 +:04149A0000F4162321 +:04149B00078007932C +:04149C0007B7BF7D52 +:04149D000F630100D8 +:04149E0007B70CF68A +:04149F0004630200E0 +:0414A00007B70EF686 +:0414A10013E30080D1 +:0414A2000793D8F6DE +:0414A30016232040AC +:0414A400479100F478 +:0414A50000F4092323 +:0414A600BF5147F9F2 +:0414A7000733467150 +:0414A800162302C73E +:0414A9008B9500041B +:0414AA00D70396BA14 +:0414AB00468500A6CC +:0414AC0008A38B7D89 +:0414AD009B6300E459 +:0414AE00468300D79A +:0414AF00CE9904646A +:0414B000092347893C +:0414B100478500F477 +:0414B20000F407A398 +:0414B30050A6D71157 +:0414B4004532541653 +:0414B5000113548645 +:0414B600808206C169 +:0414B70000F4072313 +:0414B80006A3B7DDF3 +:0414B900B52D000449 +:0414BA002010079364 :0414BB0000F4162300 -:0414BC000923479920 -:0414BD00BDE100F499 -:0414BE002010079360 -:0414BF0000F41623FC -:0414C000BFC54795C8 -:0414C100102007935D -:0414C20000F41623F9 -:0414C3000793B5C90D -:0414C400BFC1202064 -:0414C5002020079349 -:0414C6000793BDAD1E -:0414C700BFF9202029 -:0414C800BD59470DB6 -:0414C9002030079335 -:0414CA000793BF6D58 -:0414CB00B595203083 -:0414CC002030079332 -:0414CD000793B7E1E9 -:0414CE001623204081 -:0414CF00478D00F451 -:0414D00000F40923F8 -:0414D10002800793FB -:0414D2000793BDF1CE -:0414D300162320407C -:0414D400479500F444 -:0414D50000F40923F3 -:0414D600B5E947E14C -:0414D7002040079317 -:0414D80000F41623E3 -:0414D9000923479903 -:0414DA0047D100F402 -:0414DB001111BD65C9 -:0414DC0001851793DC -:0414DD00C826CA2231 -:0414DE0087E1CC06D0 -:0414DF0084AE842A29 -:0414E0000007DD63C1 -:0414E1000513458129 -:0414E20037D5077083 -:0414E30000A101A3C0 -:0414E400EE634785E7 -:0414E500741306A7CF -:0414E6002E9507F444 -:0414E70045014591E5 -:0414E8002E812681AA -:0414E9000184D79310 -:0414EA0000F102A368 -:0414EB000104D7938E -:0414EC0000F10323E5 -:0414ED000084D7930D -:0414EE0000F103A363 -:0414EF000081022353 -:0414F0000091042340 -:0414F10004000713D9 -:0414F2000950079303 -:0414F30000E40963A5 -:0414F4000480071356 -:0414F50014634785B0 -:0414F600079300E474 -:0414F700459908709B -:0414F80004A3004801 -:0414F90024ED00F1ED -:0414FA002EB14515B5 -:0414FB004585842A75 -:0414FC0000310513A3 -:0414FD00078324F548 -:0414FE00D663003180 -:0414FF002E8D000727 -:0415000006E3478532 -:04150100E011FEF502 -:041502004503264136 -:0415030040E2003191 -:0415040044C2445247 -:04150500808201716E -:04150600FD8101134F -:041507004581C02A30 -:041508000490051333 -:04150900D022D20614 -:04150A00F0EFCE260A -:04150B00C901F45FBF -:04150C0050924501B3 -:04150D0044F254024E -:04150E000281011342 -:04150F00842A808228 -:041510002611451546 -:04151100458584AADE -:04151200007105134C -:0415130047032C510D -:0415140007930071C8 -:0415150016630FF05A -:041516002E1900F793 -:0415170004E347851D -:04151800E091FEF56B -:041519004703261549 -:04151A0007930071C2 -:04151B0011E30FE0E9 -:04151C0045C9FCF7CA -:04151D0024AD0028D1 -:04151E00C7834782B6 -:04151F00F713004777 -:04152000CF150027BC -:0415210000E145039D -:0415220000F147830A -:041523000121470358 -:041524000522890D06 -:0415250047838D5D0E -:04152600050A0101B0 -:0415270000D14403A8 -:041528008D5D8399B9 -:0415290001114783E2 -:04152A00883D831D58 -:04152B008B9907860B -:04152C00943E8FD981 -:04152D001465050537 -:04152E0000851533EC -:04152F008B91BF9D40 -:041530004503CB891B -:0415310047830101EA -:04153200052201117C -:0415330047C58D5DBE -:041534004781B7CD67 -:04153500BFF14501BC -:04153600FDC10113DF -:04153700CC2665D980 -:0415380084AA462912 -:041539003E45859313 -:04153A00D00600686F -:04153B0024E1CE22B7 -:04153C00C03E478DD9 -:04153D002C2122C17A -:04153E0045A924A9EE -:04153F002AC900684D -:04154000A02345811E -:04154100051300048A -:04154200F0EF0400C2 -:041543004785E65F93 -:041544001B63842A77 -:0415450005930EF507 -:0415460005131AA0CF -:04154700F0EF04803D -:04154800C22AE51FAF -:041549000885176397 -:04154A00002845919F -:04154B0047032A55D3 -:04154C00479200A121 -:04154D000CF71A631A -:04154E0000B147039E -:04154F000AA0079354 -:041550000CF714631D -:041551003E800513C0 -:04155200241D2AFD2D -:04155300196347854C -:0415540005B700F5E2 -:04155500051340003A -:04155600F0EF0E9014 -:04155700F575E15FE6 -:0415580047852C0196 -:0415590002F506632E -:04155A002C394401E3 -:04155B0017FD4782AF -:04155C000FF7F793FB -:04155D00CBD9C03EE8 -:04155E004785DC35AC -:04155F00008482235F -:041560008526C09C80 -:04156100E95FF0EF5F -:04156200C488157DA7 -:04156300A0412A6910 -:0415640005134581A5 -:04156500F0EF07A0FC -:04156600F579DD9F97 -:041567000028459182 -:041568004783228112 -:041569004431008188 -:04156A000407F793E8 -:04156B004411FFDD4B -:04156C004581BF6D89 -:04156D000E900513C4 -:04156E00DB7FF0EF40 -:04156F0004100793CA -:0415700000A465630B -:04157100079344098F -:0415720005130E90BF -:04157300C23E0FA0C5 -:041574002A792A9D09 -:04157500176347852C -:04157600451200F525 -:04157700F0EF4581CB -:04157800F965D91F19 -:04157900E1112271E9 -:04157A002A79440185 -:04157B00051345818E -:04157C00F0EF07B0D5 -:04157D00C111D7DFE2 -:04157E00059344018C -:04157F000513200030 -:04158000F0EF050083 -:04158100D13DD6DFA3 -:04158200B78D4401DC -:0415830020CDF43D46 -:041584000014351307 -:0415850044725082DA -:04158600011344E227 -:04158700808202411B -:041588001121451CCC -:04158900CA06C822A4 -:04158A00C22EC62681 -:04158B00440DC03A11 -:04158C0002C7E1634E -:04158D004783CF19A8 -:04158E0084B60045DA -:04158F00E3918BA1B8 -:0415900085B20626F4 -:041591000510051329 -:04159200D27FF0EF25 -:041593004409C90935 -:041594008522204547 -:04159500444240D2BA -:04159600016144B2F9 -:041597000513808236 -:0415980020D5064014 -:04159900051345856C -:04159A00289D00B1D7 -:04159B0000B1470351 -:04159C000FF00793B2 -:04159D0000F71663DA -:04159E00478528E570 -:04159F00FEF504E36E -:0415A00047032221BA -:0415A100079300B1FB -:0415A20012E30FE061 -:0415A3004782FCF788 -:0415A40020200413EC -:0415A5008C1D8C0508 -:0415A600804104423A -:0415A70085A6C481D0 -:0415A800283D450194 -:0415A9004512458220 -:0415AA0085A22825C9 -:0415AB00280D4501C1 -:0415AC00BF794401BE -:0415AD0000062637D7 -:0415AE00019C05B7E0 -:0415AF0000021537EA -:0415B000A806061370 -:0415B100CC0585934D -:0415B2000205051316 -:0415B300EA7FA06FBC -:0415B40085AA862E50 -:0415B50000021537E4 -:0415B6000205051312 -:0415B700F45FA06FCE -:0415B80085AA862E4C -:0415B90000021537E0 -:0415BA00020505130E -:0415BB00F09FA06F8E -:0415BC006761808261 -:0415BD005887578371 -:0415BE00F7F7F793B1 -:0415BF0083C107C21B -:0415C00058F71423A1 -:0415C10000021737D6 -:0415C2000EF72023DD -:0415C300676180825A -:0415C400588757836A -:0415C5000807E79399 -:0415C60058F714239B -:0415C70000021737D0 -:0415C8000EF72023D7 -:0415C90036378082AF -:0415CA0005B7002938 -:0415CB001537019C33 -:0415CC000613000200 -:0415CD0085932E06CE -:0415CE000513CC0530 -:0415CF00A06F020502 -:0415D000F06FE35F76 -:0415D1006765F73F14 -:0415D200AE87278336 -:0415D300679DE385A8 -:0415D40097878793DB -:0415D50002F50533E3 -:0415D600C4061151E5 -:0415D700AEA7242374 -:0415D8009C3FA0EFA5 -:0415D900450140A2E6 -:0415DA0080820131D9 -:0415DB0080824505C0 -:0415DC00C4061151DF -:0415DD009D3FA0EF9F -:0415DE00A78367E593 -:0415DF0040A2AE87F1 -:0415E00000F53533AA -:0415E10080820131D2 -:0415E200A42367E5F2 -:0415E3008082AE074D -:0415E4001463470144 -:0415E500450100E6D6 -:0415E60007B3808245 -:0415E700070500E50F -:0415E80000E586B3E1 -:0415E9000007C783AD -:0415EA00FFF6C683BF -:0415EB00FED783E3C1 -:0415EC0040D785332C -:0415ED00C7B380827E -:0415EE008B8D00A53C -:0415EF0000C50733F9 -:0415F000478DE781BB -:0415F10002C7E963E1 -:0415F200716387AAF0 -:0415F300C6830CE5BA -:0415F4000785000562 -:0415F5008FA3058536 -:0415F600EAE3FED74F -:0415F7008082FEE709 -:0415F8000005C683A1 -:0415F90005850785D8 -:0415FA00FED78FA3E6 -:0415FB00FEE7EAE33A -:0415FC000111440293 -:0415FD0076938082DF -:0415FE0087AA003583 -:0415FF00C683CA9144 -:041600000785000555 -:041601008FA3058529 -:04160200F693FED786 -:04160300B7FD0037F8 -:04160400FFC7769313 -:04160500FE06861344 -:0416060006C7F563BB -:04160700C02211717B -:04160800A30349C02F -:04160900A3830005B2 -:04160A00CBC00085CC -:04160B00A0234D804B -:04160C00A3030067CD -:04160D00CF80004545 -:04160E00A2234DC006 -:04160F00A28300674B -:04161000A30300C56B -:0416110085930105B7 -:04161200CFC00245FE -:04161300FFC5A40368 -:041614000077A42394 -:041615000057A623B1 -:041616000067A8239E -:04161700024787936C -:04161800FE87AE2378 -:04161900FAC7EEE33B -:04161A00F8D7F2E328 -:04161B000791419062 -:04161C00AE23059163 -:04161D00BFCDFEC778 -:04161E00079141905F -:04161F00AE23059160 -:04162000EBE3FEC733 -:04162100E4E3FED729 -:041622008082F4E7E7 -:0416230001138082AD -:04162400D6A6F7C18E -:04162500DA86C13E62 -:04162600D8A267E1FE -:04162700DEBADCB695 -:041628004A87A483C6 -:041629000005DC6379 -:04162A0008B007936A -:04162B00557DC09C8D -:04162C00544650D6FA -:04162D00011354B69B -:04162E00808208416D -:04162F00208007937D -:0416300000F118238A -:04163100CA2AC22AD5 -:04163200C199478192 -:04163300FFF58793A5 -:04163400CC3EC63EA4 -:0416350057FD18B491 -:041636008526842E53 -:041637001923004C27 -:04163800C03600F1C7 -:0416390057FD260132 -:04163A0000F55563FF -:04163B0008B0079359 -:04163C00DC5DC09C15 -:04163D00802347922D -:04163E00BF5D000785 -:04163F00167DC60549 -:0416400007B34701A4 -:0416410086B300E587 -:04164200C78300E575 -:04164300C683000753 -:0416440096630006A3 -:04164500046300D763 -:04164600070500C7CD -:041647008533F3FDF7 -:04164800808240D785 -:041649008082450155 -:04164A00CA0987AA98 -:04164B00C703058547 -:04164C000785FFF51A -:04164D008FA3167DD4 -:04164E00FB65FEE753 -:04164F009363963ECD -:04165000808200C7CD -:041651008FA30785D7 -:04165200BFD5FE07FB -:0416530087AA95AA23 -:0416540000B78563F3 -:041655000007C703C0 -:041656008533E701F0 -:04165700808240A7A6 -:04165800B7FD07854E -:04165900A783C5D5C9 -:04165A001151FFC566 -:04165B00C406C222DD -:04165C008413C0260D -:04165D00D363FFC58F -:04165E00943E0007AF -:04165F0000EF84AA6A -:04166000676115F0B9 -:041661005287278302 -:04166200EF81863A54 -:04166300000422233A -:041664005287242362 -:0416650040A2441249 -:04166600448285260F -:04166700006F0131DE -:041668007063141087 -:04166900401402F433 -:04166A0000D407336E -:04166B0000E796639B -:04166C0043DC439880 -:04166D00C0189736D4 -:04166E002423C05C15 -:04166F00BFD9528607 -:0416700043D887BA1A -:041671007DE3C31939 -:041672004394FEE4BB -:0416730000D78633E3 -:0416740000861F636A -:0416750096B24010D9 -:041676008633C39460 -:041677001BE300D79A -:041678004310FAC75A -:0416790096B243588A -:04167A00C3D8C3947A -:04167B007563B76577 -:04167C0047B100C4AE -:04167D00BF79C09CD5 -:04167E0006B340105F -:04167F00166300C42A -:04168000431400D738 -:0416810096B2435882 -:04168200C058C01478 -:04168300B759C3C0D0 -:04168400114180820E -:041685008493C22662 -:0416860098F10035A2 -:04168700C422C606AD -:0416880047B104A1C1 -:0416890004F4FB6307 -:04168A00EA6344B11A -:04168B00C02A04B4B9 -:04168C000AD000EF91 -:04168D0026836761E8 -:04168E0047825287B6 -:04168F005287061365 -:04169000E431843687 -:041691000413646179 -:04169200401852C4E6 -:04169300853EEB01A4 -:04169400C03E45818E -:041695007F8000EF63 -:04169600C0084782BF -:0416970085A6853E61 -:0416980000EFC03E61 -:04169900577D7EA05B -:04169A00156347820B -:04169B00473106E5E8 -:04169C00853EC3982C -:04169D0006B000EFA4 -:04169E00D8E3A029C4 -:04169F0047B1FA0451 -:0416A0004501C11C23 -:0416A100442240B2ED -:0416A200014144922C -:0416A30040188082E9 -:0416A4004E638F05FD -:0416A50045AD020746 -:0416A60000E5F66302 -:0416A700943AC01899 -:0416A800A029C004B1 -:0416A90092634058B0 -:0416AA00C2180286DA -:0416AB0000EF853E89 -:0416AC00051303100F -:0416AD00071300B46B -:0416AE0099610044FA -:0416AF0040E507B358 -:0416B000943ED3F1A0 -:0416B100C0188F09C5 -:0416B200C2D8BF7566 -:0416B30086A2B7C58F -:0416B400BF8540406E -:0416B50000350413E5 -:0416B60003E3987141 -:0416B70005B3FC85F6 -:0416B800853E40A487 -:0416B900279DC03E6B -:0416BA004782577D8F -:0416BB00FAE51AE34F -:0416BC00A303BFBD08 -:0416BD001111008582 -:0416BE00CC06C82668 -:0416BF00C232CA2247 -:0416C000E36384AEAE -:0416C100D6030866DE -:0416C200771300C5D5 -:0416C300CB3D4806CD -:0416C40048C882AAE6 -:0416C5004080470D0D -:0416C60002A707333D -:0416C7004509498CFC -:0416C80040B407B370 -:0416C9004433C03EA8 -:0416CA00851302A7DB -:0416CB00953E001632 -:0416CC0000A47363A0 -:0416CD007613842AE2 -:0416CE00C6364006D6 -:0416CF008516C63D79 -:0416D000C41685A215 -:0416D100ECFFF0EF4B -:0416D20046B242A238 -:0416D300CD2D4731A1 -:0416D400488C4602F6 -:0416D500C42AC63627 -:0416D600C5FFF0EF6D -:0416D70000C4D60372 -:0416D80046B24322B1 -:0416D900B7F67613D7 -:0416DA000806661385 -:0416DB0000C496238E -:0416DC00A823478276 -:0416DD00C8C000641D -:0416DE008C1D933E8E -:0416DF000064A023E0 -:0416E0008336C48009 -:0416E1000066F36349 -:0416E2004592833674 -:0416E300861A40889B -:0416E4002701C01A00 -:0416E50043024498E0 -:0416E6000733450180 -:0416E700C4984067FC -:0416E800933A409859 -:0416E9000064A023D6 -:0416EA008516A80DAC -:0416EB00C416862279 -:0416EC00832A2F0519 -:0416ED0046B242A21D -:0416EE00488CFD45E2 -:0416EF00C016851686 -:0416F000DA5FF0EFDE -:0416F10047314282B9 -:0416F20000E2A0234F -:0416F30000C4D70355 -:0416F4006713557DA6 -:0416F500962304072D -:0416F60040E200E4EA -:0416F70044C2445253 -:0416F800808201717A -:0416F90000C5D7034E -:0416FA00F781011360 -:0416FB00DEA6C12284 -:0416FC0001F107935E -:0416FD00C22AC30634 -:0416FE007713C02E70 -:0416FF0084B20807A2 -:04170000FF87F41358 -:041701004998CB1D1B -:041702000593EB0D53 -:04170300C6360400E2 -:04170400E03FF0EFE3 -:0417050046B247821F -:04170600CB88C38841 -:041707004712E91983 -:04170800557D47B113 -:04170900409AC31C23 -:04170A0054F6440A43 -:04170B00088101133D -:04170C00478280820E -:04170D0004000713BA -:04170E000713CBD81A -:04170F000CA3020025 -:04171000071300E4D7 -:041711002A23030084 -:041712000D2300049F -:04171300CA3600E4EE -:04171400061387260B -:0417150046830250B5 -:04171600C29900076D -:041717000AC6986303 -:0417180040970333C0 -:041719000203026362 -:04171A0045124582AD -:04171B008626869AFE -:04171C00C61AC83AE7 -:04171D00E7FFF0EF03 -:04171E00026356FD0F -:04171F0048541CD539 -:0417200047424332C7 -:04172100C854969A78 -:0417220000074683F3 -:041723001A068963B6 -:041724000017049313 -:041725002023577DA9 -:041726002623000472 -:04172700C0580004A2 -:041728000004242372 -:04172900040401A310 -:04172A0004042C2364 -:04172B000004C5836E -:04172C00000187B77A -:04172D0085134615C5 -:04172E002B7D934735 -:04172F000014871308 -:04173000E539401443 -:041731000106F613A4 -:041732000613C609CB -:0417330001A302000C -:04173400F61304C4E0 -:04173500C60900865B -:0417360002B00613E4 -:0417370004C401A342 -:041738000004C58361 -:0417390002A00613F1 -:04173A0004C58163FE -:04173B008726445465 -:04173C004525458179 -:04173D00460342A974 -:04173E00031300078A -:04173F000613001776 -:041740007963FD06C6 -:04174100C99506C57B -:04174200A805C454DE -:04174300B7A107053E -:04174400000187B762 -:04174500934786132D -:0417460040C5063361 -:04174700153345050C -:041748008EC900C581 -:0417490084BAC0148A -:04174A004652B751FB -:04174B0000460593BC -:04174C00CA2E42104F -:04174D0002064963E4 -:04174E004603C4503A -:04174F0006930007F6 -:04175000116302E03F -:04175100460306D66F -:0417520006930017E3 -:041753001B6302A072 -:0417540046D202D6A1 -:0417550086130709E7 -:041756004294004673 -:04175700C163CA326E -:04175800C054020671 -:041759000633A08132 -:04175A00E69340C012 -:04175B00C450002650 -:04175C00B7E1C0141D -:04175D00025686B3F7 -:04175E00871A45851C -:04175F00BFA596B2DA -:04176000B7C556FDB6 -:041761002223070533 -:0417620045810004B9 -:041763004525468151 -:04176400460342A94D -:041765000313000763 -:04176600061300174F -:041767007763FD06A1 -:04176800F1E106C5E0 -:0417690000074583AD -:04176A00000184B73F -:04176B008513460D8F -:04176C00C63A93C422 -:04176D00473221D10D -:04176E008493CD0192 -:04176F008D0593C48D -:0417700004000693D8 -:0417710000A696B385 -:04177200070540081F -:04177300C0088D55C8 -:0417740000074583A2 -:0417750000018537B3 -:0417760005134619F8 -:04177700049394053E -:041778000C23001727 -:04177900294900B446 -:04177A000737C52D3B -:04177B000713000050 -:04177C00E70D00076E -:04177D00475240147B -:04177E001006F693C8 -:04177F000711CE91EF -:041780004858CA3AC1 -:04178100973E47A2A6 -:04178200B599C858F5 -:04178300025686B3D1 -:04178400871A4585F6 -:04178500BFB596B2A4 -:04178600B7DD0721A3 -:0417870045124602BF -:04178800000166B73F -:0417890086930858E3 -:04178A0085A2AF265F -:04178B0000000097C3 -:04178C00000000E772 -:04178D0047A2C42A81 -:04178E0094E3577D0C -:04178F004782FCE7AA -:04179000D703557DA9 -:04179100771300C703 -:041792001EE3040747 -:041793004848DC07DF -:041794004602BBD975 -:0417950066B74512DC -:0417960008580001EE -:04179700AF26869360 -:041798002A0585A2F7 -:041799001101BFC1BA -:04179A008332CA26A6 -:04179B00459084B63B -:04179C00CC2249947E -:04179D00842ACE06C6 -:04179E00D36387AEDC -:04179F0086B200C648 -:0417A00000D320232F -:0417A1000437C60340 -:0417A2000685C601F1 -:0417A30000D320232C -:0417A400F6934394E1 -:0417A500C6910206E1 -:0417A6000003268393 -:0417A700202306896C -:0417A800A28300D345 -:0417A900839300071F -:0417AA00F29301971E -:0417AB0083630062F2 -:0417AC00439006025E -:0417AD000437C683B4 -:0417AE0002067613A6 -:0417AF0000D036B37D -:0417B0008613EA4171 -:0417B10085A60437CE -:0417B200C41A8522AE -:0417B300C03AC23E38 -:0417B40056FD970245 -:0417B50006D50863EA -:0417B60043224792F1 -:0417B7004394451101 -:0417B8000003260301 -:0417B9008A9947CCF6 -:0417BA00470243019E -:0417BB0000A697638A -:0417BC0040C583336E -:0417BD00000353636F -:0417BE004794430108 -:0417BF0054634B9094 -:0417C0008E9100D630 -:0417C1004281933698 -:0417C200126307E9BE -:0417C3004501065383 -:0417C4000285A81DD5 -:0417C500260347D4DC -:0417C6008E910003FD -:0417C700F8D2DBE396 -:0417C800861E4685AE -:0417C900852285A64A -:0417CA00C63EC81A35 -:0417CB00C21EC41660 -:0417CC009702C03A86 -:0417CD00470256FD7C -:0417CE0042A243925E -:0417CF00434247B298 -:0417D000FCD519E348 -:0417D10040F2557D10 -:0417D20044D2446257 -:0417D30080826105AA -:0417D40000D785B302 -:0417D50003000513F5 -:0417D60004A581A342 -:0417D7000457C5836B -:0417D800001686135E -:0417D9000689963EA9 -:0417DA0004B601A3AD -:0417DB004685BF99E7 -:0417DC0085A6863E1A -:0417DD00C616852285 -:0417DE00C23EC41A29 -:0417DF009702C03A73 -:0417E00001E356FDCE -:0417E10042B2FCD53F -:0417E20047924322C5 -:0417E3004702028532 -:0417E4001101BFAD83 -:0417E500842ECC2260 -:0417E6004603C232C2 -:0417E700CA26018489 -:0417E80084B6CE06EF -:0417E9000693C02A79 -:0417EA00859306E0FD -:0417EB000863043556 -:0417EC00E4631ED6BE -:0417ED00069306C693 -:0417EE00046306305A -:0417EF00ED630AD6C6 -:0417F000046300C6C8 -:0417F1000693200635 -:0417F2000C630580FF -:0417F300031316D6F0 -:0417F40001230424A5 -:0417F500A87904C407 -:0417F6000640069310 -:0417F70000D60663AF -:0417F80006900693BE -:0417F900FED615E320 -:0417FA002303401471 -:0417FB00F6130007DA -:0417FC0005130806C3 -:0417FD00C24900439A -:0417FE00000326833B -:0417FF008637C3085E -:04180000D8630001A8 -:0418010007130006C3 -:0418020006B302D057 -:0418030001A340D02D -:04180400061304E4DF -:041805004729948655 -:041806000693A07D28 -:041807000A63073039 -:04180800EF631AD69A -:04180900069300C67C -:04180A00006306F081 -:04180B00069306D664 -:04180C001EE30700D0 -:04180D004014F8D6B5 -:04180E000206E69355 -:04180F00A809C01450 -:0418100007500693E4 -:0418110004D6036393 -:0418120007800693B2 -:04181300F8D611E30F -:0418140007800693B0 -:041815000001863711 -:0418160004D402A351 -:0418170095C6061359 -:041818004314A0FDD8 -:04181900042403138D -:04181A0000468613EB -:04181B004298C3101C -:04181C0004E40123BC -:04181D00AABD470514 -:04181E000406F613B3 -:04181F000003268319 -:04182000DE35C308E6 -:0418210086C106C2B4 -:041822004008BF9D1E -:041823007293431465 -:04182400831308051D -:041825008663004690 -:041826002023000279 -:041827004294006780 -:041828007513A8018B -:04182900202304056F -:04182A00D975006705 -:04182B000006D6835A -:04182C0006F00513AA -:04182D0000018737F8 -:04182E000CA60D6394 -:04182F009487061381 -:0418300001A34729A0 -:041831004048040423 -:041832004863C4083B -:041833002303000586 -:041834007313000426 -:041835002023FFB3BA -:04183600E2990064CF -:04183700CD11832E1E -:04183800F533832ED3 -:04183900137D02E633 -:04183A00450395329B -:04183B000023000581 -:04183C00D53300A3FD -:04183D00F26302E66A -:04183E0046A10AE6CF -:04183F0000D71E634D -:041840008B054018BC -:041841004054CB1133 -:041842004763481898 -:04184300071300D7B0 -:041844000FA30300EB -:04184500137DFEE32E -:04184600406585B3C1 -:041847004692C80CF1 -:0418480087264502A8 -:0418490085A208105C -:04184A00F0EFC41ADD -:04184B00577DD3DF13 -:04184C0015634322BB -:04184D00557D0CE5D4 -:04184E00446240F2BE -:04184F00610544D219 -:0418500002A38082ED -:04185100863704C40E -:041852000613000178 -:04185300400894862F -:041854000007230363 -:04185500080572937D -:0418560000032683E2 -:041857008163031195 -:041858002023020245 -:04185900771300679A -:04185A00C7010015AD -:04185B00020565130A -:04185C004741C00838 -:04185D004008F6B990 -:04185E00FDF575130C -:04185F00B791C00875 -:041860000405729376 -:0418610000672023D9 -:04186200FC028FE312 -:0418630082C106C276 -:041864000613BFD9CF -:0418650047219487FC -:0418660086AAB72D6A -:041867004010B799DD -:041868004848431495 -:041869000806729368 -:04186A00004683139E -:04186B00000287638D -:04186C0000672023CE -:04186D00C3084298D2 -:04186E002023A80982 -:04186F007613006785 -:041870004298040690 -:041871001023DA6DF9 -:04187200282300A780 -:04187300832E0004BC -:041874004314B7B9A9 -:041875008613458110 -:04187600C310004655 -:041877000006A303C1 -:04187800851A40503D -:041879002849C41A1C -:04187A00C50143223F -:04187B00406505338C -:04187C004058C048C8 -:04187D0001A3C818E3 -:04187E00B715040492 -:04187F004592481432 -:04188000861A45027D -:04188100577D948279 -:04188200F2E507E3A1 -:041883008B09401875 -:041884004742EB15D7 -:0418850051E344489F -:04188600853AF2E5C8 -:041887004592BF3196 -:04188800468545024A -:04188900C63A861ABB -:04188A009482C41A66 -:04188B0004E356FD1F -:04188C004732F0D51A -:04188D0007054322E6 -:04188E004642445436 -:04188F0040E38E9113 -:04189000BFC1FED7FF -:0418910003134701F5 -:04189200B7FD019409 -:04189300C22211510B -:041894006465C026A1 -:04189500852E84AA6E -:041896002623C4063B -:0418970020FDAE047E -:04189800166357FD7F -:04189900278300F5AC -:04189A00C391AEC484 -:04189B0040A2C09C0B -:04189C00448244122C -:04189D008082013113 -:04189E000FF5F593BA -:04189F001463962A0E -:0418A000450100C539 -:0418A1004783808277 -:0418A2008DE30005CD -:0418A3000505FEB782 -:0418A400F363B7FD36 -:0418A50086B304A55D -:0418A6007F6300C597 -:0418A700459302D58E -:0418A8004781FFF67F -:0418A900936317FD31 -:0418AA00808200F543 -:0418AB0000F6873389 -:0418AC0000074303EB -:0418AD0000C78733B6 -:0418AE000023972A52 -:0418AF00B7DD00673A -:0418B00000F5873385 -:0418B1000007468363 -:0418B20000F5073303 -:0418B3000023078582 -:0418B40017E300D75F -:0418B5008082FEF639 -:0418B600BFE54781C2 -:0418B7008082808229 -:0418B80085B2E5818F -:0418B900F2EFF06FEB -:0418BA00C80611311A -:0418BB00C426C62257 -:0418BC00F0EFEA114E -:0418BD004781E72F49 -:0418BE00443240C2AE -:0418BF00853E44A27C -:0418C00080820151D0 -:0418C100C032842E7F -:0418C200203D84AA97 -:0418C30087A24602B0 -:0418C400FEC574E306 -:0418C500852685B23D -:0418C600F0EFC2324B -:0418C70087AAEF8F6E -:0418C8004612DD6186 -:0418C900C02A85A20A -:0418CA00C8EFF0EF84 -:0418CB00852685A247 -:0418CC00E34FF0EF07 -:0418CD00B7C94782CE -:0418CE00FFC5A78328 -:0418CF00FFC78513B7 -:0418D0000007D563D5 -:0418D100419C95AAF7 -:0418D2008082953E3D -:0418D300C4221141D9 -:0418D40027836461A1 -:0418D500C6065304EC -:0418D600832AC22679 -:0418D700530404139F -:0418D8004501EB8556 -:0418D90046014581FE -:0418DA0047014681FB -:0418DB000D60029307 -:0418DC000000007395 -:0418DD005C6384AA1A -:0418DE002881000558 -:0418DF00409004B37E -:0418E000557DC1046D -:0418E100442240B2AB -:0418E20001414492EA -:0418E300C008808237 -:0418E40045814008F2 -:0418E500951A460109 -:0418E60047014681EF -:0418E70002934781A0 -:0418E80000730D601C -:0418E90084AA0000CD -:0418EA000005596339 -:0418EB002831C01AC6 -:0418EC0004B34302FC -:0418ED00C104409062 -:0418EE00401C54FD49 -:0418EF00933E557D52 -:0418F000FC6492E31F -:0418F100853EC0046C -:0418F20067E1BF7576 -:0418F3004A87A50378 -:0418F40000008082EE -:0418F50000000000EF -:0418F60000000000EE +:0414BC000793B5B12C +:0414BD0016233010B2 +:0414BE00479900F456 +:0414BF0000F4092309 +:0414C0000793BDE1F0 +:0414C10016232010BE +:0414C200479500F456 +:0414C3000793BFC507 +:0414C40016231020BB +:0414C500B5C900F4B1 +:0414C6002020079348 +:0414C7000793BFC107 +:0414C800BDAD202076 +:0414C9002020079345 +:0414CA00470DBFF912 +:0414CB000793BD596D +:0414CC00BF6D2030A0 +:0414CD002030079331 +:0414CE000793B59536 +:0414CF00B7E1203031 +:0414D000204007931E +:0414D10000F41623EA +:0414D2000923478D16 +:0414D300079300F487 +:0414D400BDF10280E4 +:0414D5002040079319 +:0414D60000F41623E5 +:0414D7000923479509 +:0414D80047E100F4F4 +:0414D9000793B5E9D7 +:0414DA001623204075 +:0414DB00479900F439 +:0414DC0000F40923EC +:0414DD00BD6547D1D1 +:0414DE00179311113E +:0414DF00CA22018597 +:0414E000CC06C82648 +:0414E100842A87E1F1 +:0414E200DD6384AE94 +:0414E3004581000738 +:0414E4000770051375 +:0414E50001A337D553 +:0414E600478500A195 +:0414E70006A7EE6303 +:0414E80007F474137E +:0414E90045912E9566 +:0414EA002681450111 +:0414EB00D7932E81E4 +:0414EC0002A30184D2 +:0414ED00D79300F1A0 +:0414EE0003230104CF +:0414EF00D79300F19E +:0414F00003A30084CE +:0414F100022300F1E1 +:0414F200042300814E +:0414F300071300914A +:0414F4000793040056 +:0414F500096309502E +:0414F600071300E4F4 +:0414F70047850480A1 +:0414F80000E4146395 +:0414F90008700793DD +:0414FA0000484599C8 +:0414FB0000F104A355 +:0414FC00451524ED81 +:0414FD00842A2EB15E +:0414FE000513458508 +:0414FF0024F500319F +:04150000003107832C +:041501000007D663A6 +:0415020047852E8D5E +:04150300FEF506E308 +:041504002641E0118B +:041505000031450369 +:04150600445240E229 +:04150700017144C268 +:0415080001138082C9 +:04150900C02AFD8176 +:04150A0005134581FF +:04150B00D206049070 +:04150C00CE26D022F5 +:04150D00F45FF0EFA8 +:04150E004501C901C9 +:04150F0054025092A0 +:04151000011344F28D +:041511008082028151 +:041512004515842ACD +:0415130084AA26116F +:0415140005134585F1 +:041515002C510071E4 +:041516000071470316 +:041517000FF0079337 +:0415180000F716635F +:0415190047852E19BB +:04151A00FEF504E3F3 +:04151B002615E09120 +:04151C000071470310 +:04151D000FE0079341 +:04151E00FCF711E3E2 +:04151F00002845C992 +:04152000478224AD2D +:041521000047C78335 +:041522000027F71394 +:041523004503CF1598 +:04152400478300E118 +:04152500470300F187 +:04152600890D012109 +:041527008D5D0522AF +:0415280001014783F3 +:041529004403050A68 +:04152A00839900D1D0 +:04152B0047838D5D08 +:04152C00831D011109 +:04152D000786883D68 +:04152E008FD98B992D +:04152F000505943EDC +:0415300015331465F6 +:04153100BF9D0085D5 +:04153200CB898B9145 +:04153300010145036A +:0415340001114783D7 +:041535008D5D0522A1 +:04153600B7CD47C521 +:0415370045014781A2 +:041538000113BFF1EB +:0415390065D9FDC1B2 +:04153A004629CC264C +:04153B00859384AA66 +:04153C0000683EC540 +:04153D00CE22D006E4 +:04153E00478D24E1D0 +:04153F0022C1C03EC7 +:0415400024A92C218D +:04154100006845A950 +:0415420045812AC9EC +:041543000004A023DD +:041544000400051387 +:04154500E65FF0EF7E +:04154600842A478527 +:041547000EF51B631F +:041548001AA005934D +:041549000480051302 +:04154A00E51FF0EFBA +:04154B001763C22A36 +:04154C004591088538 +:04154D002A550028F3 +:04154E0000A14703AE +:04154F001A63479242 +:0415500047030CF74A +:04155100079300B14B +:0415520014630AA074 +:0415530005130CF779 +:041554002AFD3E80AE +:041555004785241D85 +:0415560000F5196320 +:04155700400005B794 +:041558000E900513D9 +:04155900E15FF0EF6F +:04155A002C01F575F6 +:04155B000663478557 +:04155C00440102F54F +:04155D0047822C395C +:04155E00F79317FDEB +:04155F00C03E0FF784 +:04156000DC35CBD9D2 +:041561008223478515 +:04156200C09C0084A5 +:04156300F0EF8526FA +:04156400157DE95FA9 +:041565002A69C488A3 +:041566004581A041DA +:0415670007A00513C1 +:04156800DD9FF0EF24 +:041569004591F5793A +:04156A0022810028B2 +:04156B000081478331 +:04156C00F79344317C +:04156D00FFDD040793 +:04156E00BF6D4411F8 +:04156F00051345819A +:04157000F0EF0E90FA +:041571000793DB7F82 +:041572006563041099 +:04157300440900A483 +:041574000E9007933B +:041575000FA00513AB +:041576002A9DC23EAA +:0415770047852A7901 +:0415780000F5176300 +:041579004581451251 +:04157A00D91FF0EF96 +:04157B002271F9657B +:04157C004401E11134 +:04157D0045812A7901 +:04157E0007B005139A +:04157F00D7DFF0EFD3 +:041580004401C11150 +:0415810020000593AE +:041582000500051348 +:04158300D6DFF0EFD0 +:041584004401D13D10 +:04158500F43DB78DED +:04158600351320CD2C +:04158700508200147A +:0415880044E2447283 +:041589000241011307 +:04158A00451C8082FA +:04158B00C822112140 +:04158C00C626CA069F +:04158D00C03AC22E70 +:04158E00E163440DC4 +:04158F00CF1902C7A7 +:041590000045478348 +:041591008BA184B6F0 +:041592000626E391B5 +:04159300051385B205 +:04159400F0EF05105F +:04159500C909D27F2F +:04159600204544099F +:0415970040D2852297 +:0415980044B24442D3 +:0415990080820161EA +:04159A0006400513EF +:04159B00458520D58D +:04159C0000B1051382 +:04159D004703289D3B +:04159E00079300B1FE +:04159F0016630FF0D0 +:0415A00028E500F743 +:0415A10004E3478593 +:0415A2002221FEF50F +:0415A30000B1470349 +:0415A4000FE00793BA +:0415A500FCF712E35A +:0415A6000413478261 +:0415A7008C0520206F +:0415A80004428C1D50 +:0415A900C481804138 +:0415AA00450185A6CC +:0415AB004582283D10 +:0415AC002825451297 +:0415AD00450185A2CD +:0415AE004401280DBF +:0415AF002637BF79A3 +:0415B00005B7000675 +:0415B1001537019C4D +:0415B200061300021A +:0415B3008593A8066E +:0415B4000513CC054A +:0415B500A06F02051C +:0415B600862EE9DFB5 +:0415B700153785AAB5 +:0415B8000513000215 +:0415B900A06F020518 +:0415BA00862EF3BFC7 +:0415BB00153785AAB1 +:0415BC000513000211 +:0415BD00A06F020514 +:0415BE008082EFFF39 +:0415BF005783676186 +:0415C000F79359073D +:0415C10007C2F7F76F +:0415C200182383C1A6 +:0415C300173758F787 +:0415C40020230002DE +:0415C50080820EF71B +:0415C600578367617F +:0415C700E793590746 +:0415C80018230807D5 +:0415C900173758F781 +:0415CA0020230002D8 +:0415CB0080820EF715 +:0415CC000029363785 +:0415CD00019C05B7C1 +:0415CE0000021537CB +:0415CF002E060613CB +:0415D000CC0585932E +:0415D10002050513F7 +:0415D200E2BFA06F65 +:0415D300F73FF06F7F +:0415D400278367659D +:0415D500E385AF07F4 +:0415D6008793679DF3 +:0415D70005339787BA +:0415D800115102F5B6 +:0415D9002823C406F9 +:0415DA00A0EFAEA729 +:0415DB0040A29B9FF0 +:0415DC000131450193 +:0415DD0045058082BE +:0415DE0011518082A5 +:0415DF00A0EFC406AF +:0415E00067E59C9F80 +:0415E100AF07A78326 +:0415E200353340A2BB +:0415E300013100F5DD +:0415E40067E58082B5 +:0415E500AE07A82382 +:0415E60047018082B7 +:0415E70000E61463A3 +:0415E80080824501B7 +:0415E90000E507B35F +:0415EA0086B30705B8 +:0415EB00C78300E5CD +:0415EC00C6830007AB +:0415ED0083E3FFF69F +:0415EE008533FED76C +:0415EF00808240D7DF +:0415F00000A5C7B3D8 +:0415F10007338B8DA4 +:0415F200E78100C5C8 +:0415F300E963478DD4 +:0415F40087AA02C7F9 +:0415F5000CE571632D +:0415F6000005C683A3 +:0415F70005850785DA +:0415F800FED78FA3E8 +:0415F900FEE7EAE33C +:0415FA00C6838082A2 +:0415FB00078500055B +:0415FC008FA305852F +:0415FD00EAE3FED748 +:0415FE004402FEE7BE +:0415FF0080820111D4 +:0416000000357693A8 +:04160100CA9187AA59 +:041602000005C68396 +:0416030005850785CD +:04160400FED78FA3DB +:041605000037F69321 +:041606007693B7FD23 +:041607008613FFC780 +:04160800F563FE0682 +:04160900117106C78E +:04160A0049C0C022F1 +:04160B000005A30330 +:04160C000085A3832F +:04160D004D80CBC081 +:04160E000067A023AE +:04160F000045A303EC +:041610004DC0CF807A +:041611000067A223A9 +:0416120000C5A283EA +:041613000105A30327 +:041614000245859373 +:04161500A403CFC09B +:04161600A423FFC545 +:04161700A62300778F +:04161800A8230057AC +:04161900879300674C +:04161A00AE230247B2 +:04161B00EEE3FE8775 +:04161C00F2E3FAC734 +:04161D004190F8D729 +:04161E00059107919A +:04161F00FEC7AE2331 +:041620004190BFCD69 +:041621000591079197 +:04162200FEC7AE232E +:04162300FED7EBE320 +:04162400F4E7E4E320 +:0416250080828082BD +:04162600F7C10113F4 +:04162700C13ED6A644 +:0416280067E1DA8616 +:04162900DCB6D8A2B1 +:04162A00A483DEBAFD +:04162B00DC634B072A +:04162C00079300051B +:04162D00C09C08B0A5 +:04162E0050D6557DC0 +:04162F0054B6544613 +:041630000841011359 +:041631000793808219 +:0416320018232080D9 +:04163300C22A00F1D6 +:041634004781CA2AF6 +:041635008793C1993D +:04163600C63EFFF5B8 +:0416370018B4CC3ED9 +:04163800842E57FDA8 +:04163900004C8526B6 +:04163A0000F119237F +:04163B002601C0368E +:04163C00556357FD9E +:04163D00079300F51A +:04163E00C09C08B094 +:04163F004792DC5D95 +:0416400000078023FC +:04164100C605BF5DBE +:041642004701167DC9 +:0416430000E507B304 +:0416440000E586B384 +:041645000007C78350 +:041646000006C68351 +:0416470000D79663CF +:0416480000C7046370 +:04164900F3FD0705A1 +:04164A0040D78533CD +:04164B004501808253 +:04164C0087AA808267 +:04164D000585CA093C +:04164E00FFF5C703DA +:04164F00167D078578 +:04165000FEE78FA37F +:04165100963EFB6561 +:0416520000C79363D7 +:041653000785808205 +:04165400FE078FA35B +:0416550095AABFD5BE +:04165600856387AA77 +:04165700C70300B70E +:04165800E70100079F +:0416590040A78533EE +:04165A0007858082FE +:04165B00C5D5B7FD3D +:04165C00FFC5A7839C +:04165D00C222115143 +:04165E00C026C406D8 +:04165F00FFC584132C +:041660000007D36349 +:0416610084AA943E85 +:0416620015F000EF90 +:041663002783676111 +:04166400863A530768 +:041665002223EF81CC +:041666002823000431 +:041667004412528750 +:04166800852640A2F1 +:041669000131448285 +:04166A001410006FE9 +:04166B0002F47063B2 +:04166C0007334014EC +:04166D00966300D4AC +:04166E00439800E7B6 +:04166F00973643DC8B +:04167000C05CC01882 +:041671005286282352 +:0416720087BABFD99B +:04167300C31943D87C +:04167400FEE47DE330 +:0416750086334394E1 +:041676001F6300D717 +:041677004010008699 +:04167800C39496B2CF +:0416790000D78633DD +:04167A00FAC71BE3AD +:04167B00435843107D +:04167C00C39496B2CB +:04167D00B765C3D8B2 +:04167E0000C47563CC +:04167F00C09C47B113 +:041680004010BF79DE +:0416810000C406B3E8 +:0416820000D7166314 +:041683004358431471 +:04168400C01496B246 +:04168500C3C0C058C6 +:041686008082B7594E +:04168700C226114125 +:041688000035849312 +:04168900C60698F108 +:04168A0004A1C422D1 +:04168B00FB6347B105 +:04168C0044B104F46D +:04168D0004B4EA6354 +:04168E0000EFC02A7F +:04168F0067610AD0B5 +:041690005307268353 +:041691000613478273 +:041692008436530740 +:041693006461E43179 +:0416940053440413A4 +:04169500EB0140180D +:041696004581853EC7 +:0416970000EFC03E62 +:0416980047827F8086 +:04169900853EC008C2 +:04169A00C03E85A623 +:04169B007EA000EF3E +:04169C004782577DAD +:04169D0006E51563E6 +:04169E00C398473175 +:04169F0000EF853E95 +:0416A000A02906B0C7 +:0416A100FA04D8E38C +:0416A200C11C47B16F +:0416A30040B245010B +:0416A4004492442206 +:0416A50080820141FD +:0416A6008F05401854 +:0416A70002074E6385 +:0416A800F66345ADF3 +:0416A900C01800E580 +:0416AA00C004943AAA +:0416AB004058A029DA +:0416AC0002869263BD +:0416AD00853EC2189C +:0416AE00031000EF36 +:0416AF0000B405136B +:0416B00000440713D8 +:0416B10007B3996181 +:0416B200D3F140E54B +:0416B3008F09943EC9 +:0416B400BF75C01826 +:0416B500B7C5C2D81B +:0416B600404086A288 +:0416B7000413BF85D4 +:0416B80098710035F0 +:0416B900FC8503E3C6 +:0416BA0040A405B390 +:0416BB00C03E853E6A +:0416BC00577D279D92 +:0416BD001AE3478263 +:0416BE00BFBDFAE5CD +:0416BF000085A303FC +:0416C000C826111116 +:0416C100CA22CC0667 +:0416C20084AEC232FE +:0416C3000866E3636F +:0416C40000C5D60384 +:0416C5004806771349 +:0416C60082AACB3DEC +:0416C700470D48C8BB +:0416C8000733408024 +:0416C900498C02A79F +:0416CA0007B3450914 +:0416CB00C03E40B429 +:0416CC0002A74433FA +:0416CD00001685136B +:0416CE007363953E6F +:0416CF00842A00A4C5 +:0416D0004006761347 +:0416D100C63DC63616 +:0416D20085A2851652 +:0416D300F0EFC4165A +:0416D40042A2ECFF43 +:0416D500473146B2A1 +:0416D6004602CD2DCE +:0416D700C636488C3F +:0416D800F0EFC42A41 +:0416D900D603C5FF70 +:0416DA00432200C4E3 +:0416DB00761346B28A +:0416DC006613B7F6E4 +:0416DD009623080642 +:0416DE00478200C47B +:0416DF000064A823D8 +:0416E000933EC8C0AD +:0416E100A0238C1D99 +:0416E200C48000645C +:0416E300F3638336F4 +:0416E40083360066E3 +:0416E5004088459262 +:0416E600C01A861A86 +:0416E70044982701FB +:0416E8004501430273 +:0416E900406707331C +:0416EA004098C498C8 +:0416EB00A023933A6B +:0416EC00A80D0064E1 +:0416ED0086228516B6 +:0416EE002F05C416EA +:0416EF0042A2832A66 +:0416F000FD4546B2BC +:0416F1008516488C86 +:0416F200F0EFC0163F +:0416F3004282DA5FF6 +:0416F400A0234731B7 +:0416F500D70300E235 +:0416F600557D00C45A +:0416F700040767136A +:0416F80000E4962351 +:0416F900445240E235 +:0416FA00017144C274 +:0416FB00D70380820F +:0416FC00011300C511 +:0416FD00C122F7818E +:0416FE000793DEA6CA +:0416FF00C30601F12C +:04170000C02EC22A0B +:04170100080777134B +:04170200F41384B2A6 +:04170300CB1DFF8774 +:04170400EB0D499808 +:041705000400059344 +:04170600F0EFC63604 +:041707004782E03FF6 +:04170800C38846B29A +:04170900E919CB8887 +:04170A0047B147128A +:04170B00C31C557D29 +:04170C00440A409AB1 +:04170D00011354F67A +:04170E00808208814C +:04170F0007134782F3 +:04171000CBD804002E +:0417110002000713B8 +:0417120000E40CA340 +:0417130003000713B5 +:0417140000042A2380 +:0417150000E40D23BC +:041716008726CA3622 +:041717000250061363 +:0417180000074683FD +:041719009863C29976 +:04171A0003330AC6C5 +:04171B00026340978E +:04171C0045820203FD +:04171D00869A451251 +:04171E00C83A862619 +:04171F00F0EFC61A07 +:0417200056FDE7FF8C +:041721001CD502636E +:0417220043324854B2 +:04172300969A474209 +:041724004683C854DC +:0417250089630007CD +:0417260004931A0608 +:04172700577D0017D3 +:041728000004202376 +:04172900000426236F +:04172A002423C0585C +:04172B0001A3000412 +:04172C002C23040462 +:04172D00C583040468 +:04172E0087B7000475 +:04172F00461500015A +:0417300093C78513C3 +:0417310087132B7D72 +:04173200401400144B +:04173300F613E5398B +:04173400C6090106DB +:041735000200061395 +:0417360004C401A343 +:041737000086F6131F +:041738000613C609C5 +:0417390001A302B056 +:04173A00C58304C49B +:04173B00061300048D +:04173C00816302A023 +:04173D00445404C547 +:04173E004581872634 +:04173F0042A9452551 +:041740000007460355 +:041741000017031377 +:04174200FD06061387 +:0417430006C57963FB +:04174400C454C9952B +:041745000705A805E7 +:0417460087B7B7A109 +:041747008613000104 +:04174800063393C70A +:04174900450540C54D +:04174A0000C515338E +:04174B00C0148EC96F +:04174C00B75184BA53 +:04174D000593465268 +:04174E0042100046FF +:04174F004963CA2EF2 +:04175000C450020679 +:041751000007460344 +:0417520002E0069318 +:0417530006D6116342 +:041754000017460331 +:0417550002A0069355 +:0417560002D61B6339 +:04175700070946D266 +:0417580000468613AE +:04175900CA324294BA +:04175A000206C1635F +:04175B00A081C05455 +:04175C0040C0063350 +:04175D000026E693E9 +:04175E00C014C4509F +:04175F0086B3B7E1B5 +:041760004585025663 +:0417610096B2871A9B +:0417620056FDBFA5CC +:041763000705B7C5FA +:041764000004222338 +:0417650046814581F3 +:0417660042A945252A +:04176700000746032E +:041768000017031350 +:04176900FD06061360 +:04176A0006C57763D6 +:04176B004583F1E1E0 +:04176C0084B7000737 +:04176D00460D000124 +:04176E009444851307 +:04176F0021D1C63A84 +:04177000CD0147322E +:041771009444849385 +:0417720006938D0548 +:0417730096B3040025 +:04177400400800A683 +:041775008D55070582 +:041776004583C008DF +:0417770085370007AB +:04177800461900010D +:04177900948505133B +:04177A0000170493BD +:04177B0000B40C2387 +:04177C00C52D294905 +:04177D00000007372A +:04177E000007071346 +:04177F004014E70D1E +:04178000F693475243 +:04178100CE911006EF +:04178200CA3A071147 +:0417830047A24858D9 +:04178400C858973E6C +:0417850086B3B599D9 +:04178600458502563D +:0417870096B2871A75 +:041788000721BFB5C1 +:041789004602B7DD80 +:04178A0066B74512E7 +:04178B0008580001F9 +:04178C00AFC68693CB +:04178D00009785A29A +:04178E0000E7000070 +:04178F00C42A000068 +:04179000577D47A298 +:04179100FCE794E3FA +:04179200557D4782B8 +:0417930000C7D703B1 +:0417940004077713BC +:04179500DC071EE36C +:04179600BBD948482B +:0417970045124602AF +:04179800000166B72F +:0417990086930858D3 +:04179A0085A2AFC6AF +:04179B00BFC12A059B +:04179C00CA26110147 +:04179D0084B6833259 +:04179E004994459095 +:04179F00CE06CC2284 +:0417A00087AE842A62 +:0417A10000C6D36348 +:0417A200202386B2C8 +:0417A300C60300D3A6 +:0417A400C60104373F +:0417A5002023068572 +:0417A600439400D395 +:0417A7000206F693AD +:0417A8002683C6913D +:0417A90006890003AA +:0417AA0000D3202325 +:0417AB000007A2830E +:0417AC00019783938B +:0417AD000062F29351 +:0417AE000602836349 +:0417AF00C68343901A +:0417B0007613043771 +:0417B10036B3020643 +:0417B200EA4100D038 +:0417B300043786135E +:0417B400852285A65F +:0417B500C23EC41A52 +:0417B6009702C03A9C +:0417B700086356FD70 +:0417B800479206D579 +:0417B9004511432271 +:0417BA00260343942B +:0417BB0047CC000314 +:0417BC0043018A99C2 +:0417BD0097634702E5 +:0417BE00833300A6CB +:0417BF00536340C56B +:0417C00043010003DE +:0417C1004B9047946E +:0417C20000D6546396 +:0417C30093368E913A +:0417C40007E942816E +:0417C5000653126352 +:0417C600A81D450114 +:0417C70047D402857C +:0417C80000032603F1 +:0417C900DBE38E913F +:0417CA004685F8D286 +:0417CB0085A6861E4B +:0417CC00C81A852290 +:0417CD00C416C63E3A +:0417CE00C03AC21E3D +:0417CF0056FD97022A +:0417D00043924702F7 +:0417D10047B242A237 +:0417D20019E3434292 +:0417D300557DFCD56F +:0417D400446240F239 +:0417D500610544D294 +:0417D60085B38082D5 +:0417D700051300D71F +:0417D80081A30300E6 +:0417D900C58304A51B +:0417DA008613045717 +:0417DB00963E001620 +:0417DC0001A30689D6 +:0417DD00BF9904B6F6 +:0417DE00863E468578 +:0417DF00852285A634 +:0417E000C41AC6164B +:0417E100C03AC23E0A +:0417E20056FD970217 +:0417E300FCD501E34D +:0417E400432242B2A8 +:0417E50002854792A0 +:0417E600BFAD47024A +:0417E700CC221101FE +:0417E800C232842E57 +:0417E900018446032E +:0417EA00CE06CA2637 +:0417EB00C02A84B6D6 +:0417EC0006E006937A +:0417ED0004358593A7 +:0417EE001ED6086398 +:0417EF0006C6E463E3 +:0417F0000630069326 +:0417F1000AD60463AD +:0417F20000C6ED63DD +:0417F3002006046365 +:0417F40005800693D3 +:0417F50016D60C6395 +:0417F60004240313B1 +:0417F70004C4012302 +:0417F8000693A87933 +:0417F900066306403D +:0417FA00069300D67C +:0417FB0015E306905C +:0417FC004014FED6C1 +:0417FD0000072303BB +:0417FE000806F613D0 +:0417FF00004305138B +:041800002683C24930 +:04180100C308000315 +:041802000001863724 +:041803000006D863A0 +:0418040002D00713F4 +:0418050040D006B316 +:0418060004E401A352 +:041807009506061329 +:04180800A07D47294F +:04180900073006930B +:04180A001AD60A637D +:04180B0000C6EF63C1 +:04180C0006F0069349 +:04180D0006D6006398 +:04180E000700069336 +:04180F00F8D61EE306 +:04181000E693401407 +:04181100C0140206F7 +:041812000693A80988 +:041813000363075014 +:04181400069304D65D +:0418150011E3078054 +:041816000693F8D667 +:041817008637078089 +:0418180002A3000126 +:04181900061304D4DA +:04181A00A0FD964651 +:04181B00031343145C +:04181C008613042407 +:04181D00C3100046AE +:04181E0001234298C8 +:04181F00470504E491 +:04182000F613AABD54 +:041821002683040610 +:04182200C3080003F4 +:0418230006C2DE35E6 +:04182400BF9D86C11D +:041825004314400820 +:0418260008057293AC +:0418270000468313E1 +:0418280000028663D1 +:041829000067202311 +:04182A00A80142943B +:04182B000405751328 +:04182C00006720230E +:04182D00D683D97510 +:04182E000513000698 +:04182F00873706F001 +:041830000D63000143 +:0418310006130CA6E8 +:0418320047299507A6 +:04183300040401A305 +:04183400C40840485C +:0418350000054863FF +:041836000004230384 +:04183700FFB3731375 +:041838000064202305 +:04183900832EE2997F +:04183A00832ECD111B +:04183B0002E6F53399 +:04183C009532137D51 +:04183D00000545035A +:04183E0000A30023E0 +:04183F0002E6D533B5 +:041840000AE6F2635F +:041841001E6346A13B +:04184200401800D773 +:04184300CB118B0535 +:0418440048184054AC +:0418450000D747631E +:041846000300071381 +:04184700FEE30FA30A +:0418480085B3137DD4 +:04184900C80C406522 +:04184A00450246927B +:04184B0008108726D4 +:04184C00C41A85A293 +:04184D00D3DFF0EF06 +:04184E004322577D5D +:04184F000CE515632C +:0418500040F2557D90 +:0418510044D24462D7 +:04185200808261052A +:0418530004C402A324 +:0418540000018637D2 +:0418550095060613DB +:041856002303400820 +:041857007293000781 +:0418580026830805D6 +:041859000311000374 +:04185A0002028163A2 +:04185B0000672023DF +:04185C0000157713E9 +:04185D006513C70147 +:04185E00C0080205B7 +:04185F00F6B947414E +:0418600075134008B4 +:04186100C008FDF5C9 +:041862007293B79135 +:041863002023040535 +:041864008FE30067A7 +:0418650006C2FC02B9 +:04186600BFD982C1A3 +:0418670095070613C8 +:04186800B72D472130 +:04186900B79986AAFB +:04186A0043144010D3 +:04186B0072934848E4 +:04186C0083130806D4 +:04186D008763004647 +:04186E002023000231 +:04186F004298006734 +:04187000A809C308F8 +:0418710000672023C9 +:0418720004067613DF +:04187300DA6D429850 +:0418740000A7102396 +:041875000004282320 +:04187600B7B9832E4D +:041877004581431450 +:04187800004686138D +:04187900A303C310F2 +:04187A0040500006D4 +:04187B00C41A851AEC +:04187C004322284992 +:04187D000533C50169 +:04187E00C0484065B9 +:04187F00C8184058ED +:04188000040401A3B8 +:041881004814B7153B +:041882004502459244 +:041883009482861AAB +:0418840007E3577DA2 +:041885004018F2E530 +:04188600EB158B09CA +:041887004448474248 +:04188800F2E551E351 +:04188900BF31853AAC +:04188A00450245923C +:04188B00861A4685EE +:04188C00C41AC63A7A +:04188D0056FD9482EE +:04188E00F0D504E3AA +:04188F004322473277 +:0418900044540705B0 +:041891008E914642AC +:04189200FED740E35A +:041893004701BFC189 +:0418940001940313A5 +:041895001151B7FD39 +:04189600C026C22284 +:0418970084AA646556 +:04189800C406852ECF +:04189900AE042A234C +:04189A0057FD20FDD9 +:04189B0000F51663DB +:04189C00AF442783AB +:04189D00C09CC39197 +:04189E00441240A20E +:04189F00013144824D +:0418A000F5938082BA +:0418A100962A0FF57F +:0418A20000C5146306 +:0418A30080824501F9 +:0418A4000005478371 +:0418A500FEB78DE31A +:0418A600B7FD050580 +:0418A70004A5F3633E +:0418A80000C586B33E +:0418A90002D57F6382 +:0418AA00FFF645936D +:0418AB0017FD47815D +:0418AC0000F593634D +:0418AD00873380827B +:0418AE00430300F6FA +:0418AF008733000774 +:0418B000972A00C7AC +:0418B10000670023A9 +:0418B2008733B7DDE4 +:0418B300468300F573 +:0418B40007330007EF +:0418B500078500F5AE +:0418B60000D7002334 +:0418B700FEF617E33F +:0418B8004781808262 +:0418B9008082BFE585 +:0418BA00E5818082C2 +:0418BB00F06F85B293 +:0418BC001131F2EF05 +:0418BD00C622C80671 +:0418BE00EA11C42641 +:0418BF00E72FF0EF30 +:0418C00040C247815A +:0418C10044A24432C7 +:0418C2000151853E0D +:0418C300842E80826D +:0418C40084AAC03200 +:0418C5004602203D7A +:0418C60074E387A29E +:0418C70085B2FEC523 +:0418C800C23285267D +:0418C900EF8FF0EFBE +:0418CA00DD6187AAAB +:0418CB0085A246129A +:0418CC00F0EFC02A4F +:0418CD0085A2C8EF39 +:0418CE00F0EF85268C +:0418CF004782E34F1A +:0418D000A783B7C96A +:0418D1008513FFC5B7 +:0418D200D563FFC714 +:0418D30095AA0007CB +:0418D400953E419C60 +:0418D50011418082BB +:0418D6006461C42263 +:0418D700538427838C +:0418D800C226C60658 +:0418D9000413832A47 +:0418DA00EB855384C3 +:0418DB0045814501FD +:0418DC0046814601FA +:0418DD00029347012A +:0418DE0000730D6026 +:0418DF0084AA0000D7 +:0418E00000055C6340 +:0418E10004B32881A3 +:0418E200C10440906D +:0418E30040B2557D3D +:0418E40044924422C4 +:0418E50080820141BB +:0418E6004008C008EE +:0418E70046014581F0 +:0418E8004681951A86 +:0418E90047814701EB +:0418EA000D600293F8 +:0418EB000000007386 +:0418EC00596384AA0E +:0418ED00C01A000518 +:0418EE004302283158 +:0418EF00409004B36E +:0418F00054FDC104DE +:0418F100557D401CC5 +:0418F20092E3933EAC +:0418F300C004FC64CD +:0418F400BF75853EF9 +:0418F500A50367E1FF +:0418F60080824B079A :0418F70000000000ED :0418F80000000000EC -:0418F900FFFFFFFFEF -:0418FA00FFFFFFFFEE -:0418FB000000FFFFEB -:0418FC000001052CB6 -:0418FD0000010532AF -:0418FE0000010538A8 -:0418FF000001053EA1 -:04190000000104E0FE -:0419010000010500DC -:0419020000010500DB +:0418F90000000000EB +:0418FA0000000000EA +:0418FB00FFFFFFFFED +:0418FC00FFFFFFFFEC +:0418FD000000FFFFE9 +:0418FE000001052CB4 +:0418FF0000010532AD +:0419000000010538A5 +:041901000001053E9E +:04190200000104E0FC :0419030000010500DA :0419040000010500D9 :0419050000010500D8 :0419060000010500D7 -:0419070000010504D2 -:041908000001050ACB -:04190900000128A60B -:04190A00000129A20D -:04190B00000129A20C -:04190C00000129B6F7 -:04190D00000129B6F6 -:04190E00000129C2E9 -:04190F00000129C6E4 -:04191000000129D4D5 -:04191100000129D4D4 -:04191200000129ECBB -:0419130000012BB4F0 -:0419140000012BBAE9 -:0419150000012BBAE8 -:0419160000012BB4ED -:0419170000012BBEE2 -:04191800000129ECB5 -:0419190000012BB4EA -:04191A0000012BBAE3 -:04191B0000000001C7 -:04191C0002050300BD -:04191D0000000002C4 -:04191E0000016574EB -:04191F0000016584DA -:0419200000016590CD -:041921000001659CC0 -:04192200000165A8B3 -:04192300000165B4A6 -:04192400000165C099 -:04192500000165CC8C -:04192600000165D87F -:04192700000165E472 -:04192800000165F065 -:0419290074204B4F8C -:04192A006E69206F53 -:04192B0000007469DB -:04192C00736C614631 -:04192D00727420654B -:04192E006567676919 -:04192F000000007242 -:04193000656D695424 -:041931000074756F5A -:04193200252E7525C4 -:041933006D75322E6E -:04193400000000733C -:04193500252E7525C1 -:041936006D75322E6B -:0419370075252F7370 -:04193800322E252EF8 -:0419390000736D7555 -:04193A004353534F71 -:04193B00776620208B -:04193C007525202EBF -:04193D00322E252EF3 -:04193E0000006175CF -:04193F0074696E4910 -:04194000727265203A -:041941002020726F81 -:041942000000642518 -:041943002020202020 -:0419440053204F4E8F -:0419450000434E59B4 -:0419460034313032D6 -:041947003130322DDC -:041948006D202039B5 -:0419490073717261E3 -:04194A000000000099 -:04194B000DC060006B -:04194C0000783C11D2 -:04194D00070180E02E -:04194E000000180E6F -:04194F000000000094 -:041950000D806000A6 -:041951000030180446 -:0419520002014060EE -:041953000000140676 -:04195400000000008F -:0419550025207325B1 -:041956000063257590 -:04195700252E75259F -:041958006B75322E4B -:0419590025207A4883 -:04195A002E252E7593 -:04195B007A4875321F -:04195C000000000087 -:04195D0074736554E6 -:04195E007461702020 -:04195F006E726574CB -:041960000000000083 -:041961003A31564180 -:041962004247522086 -:04196300000000532D -:041964003A3156417D -:041965007347522052 -:04196600000000423B -:041967003A3156417A -:041968006250592050 -:0419690000007250B8 -:04196A003A32564176 -:04196B00625059204D -:04196C0000007250B5 -:04196D003A32564173 -:04196E007347522049 -:04196F000000004232 -:041970003A3356416F -:041971004247522077 -:0419720000005648D3 -:041973003A3356416C -:041974004247522074 -:04197500000000531B -:041976003A33564169 -:041977007347522040 -:041978000000004229 -:041979003A33564166 -:04197A00625059203E -:04197B0000007250A6 -:04197C007473614CD3 -:04197D0065737520F9 -:04197E000000006401 -:04197F000000000064 -:041980000000000162 +:0419070000010500D6 +:0419080000010500D5 +:0419090000010504D0 +:04190A000001050AC9 +:04190B00000128B0FF +:04190C00000129AC01 +:04190D00000129AC00 +:04190E00000129C0EB +:04190F00000129C0EA +:04191000000129CCDD +:04191100000129D0D8 +:04191200000129DEC9 +:04191300000129DEC8 +:04191400000129F6AF +:0419150000012BBEE4 +:0419160000012BC4DD +:0419170000012BC4DC +:0419180000012BBEE1 +:0419190000012BC8D6 +:04191A00000129F6A9 +:04191B0000012BBEDE +:04191C0000012BC4D7 +:04191D0000000001C5 +:04191E0002050300BB +:04191F0000000002C2 +:041920000001657CE1 +:041921000001658CD0 +:0419220000016598C3 +:04192300000165A4B6 +:04192400000165B0A9 +:04192500000165BC9C +:04192600000165C88F +:04192700000165D482 +:04192800000165E075 +:04192900000165EC68 +:04192A00000165F85B +:04192B0074204B4F8A +:04192C006E69206F51 +:04192D0000007469D9 +:04192E00736C61462F +:04192F007274206549 +:041930006567676917 +:041931000000007240 +:04193200656D695422 +:041933000074756F58 +:04193400252E7525C2 +:041935006D75322E6C +:04193600000000733A +:04193700252E7525BF +:041938006D75322E69 +:0419390075252F736E +:04193A00322E252EF6 +:04193B0000736D7553 +:04193C004353534F6F +:04193D007766202089 +:04193E007525202EBD +:04193F00322E252EF1 +:0419400000006175CD +:0419410074696E490E +:041942007272652038 +:041943002020726F7F +:041944000000642516 +:04194500202020201E +:0419460053204F4E8D +:0419470000434E59B2 +:0419480034313032D4 +:041949003130322DDA +:04194A006D202039B3 +:04194B0073717261E1 +:04194C000000000097 +:04194D000DC0600069 +:04194E0000783C11D0 +:04194F00070180E02C +:041950000000180E6D +:041951000000000092 +:041952000D806000A4 +:041953000030180444 +:0419540002014060EC +:041955000000140674 +:04195600000000008D +:0419570025207325AF +:04195800006325758E +:04195900252E75259D +:04195A006B75322E49 +:04195B0025207A4881 +:04195C002E252E7591 +:04195D007A4875321D +:04195E000000000085 +:04195F0074736554E4 +:04196000746170201E +:041961006E726574C9 +:041962000000000081 +:041963003A3156417E +:041964004247522084 +:04196500000000532B +:041966003A3156417B +:041967007347522050 +:041968000000004239 +:041969003A31564178 +:04196A00625059204E +:04196B0000007250B6 +:04196C003A32564174 +:04196D00625059204B +:04196E0000007250B3 +:04196F003A32564171 +:041970007347522047 +:041971000000004230 +:041972003A3356416D +:041973004247522075 +:0419740000005648D1 +:041975003A3356416A +:041976004247522072 +:041977000000005319 +:041978003A33564167 +:04197900734752203E +:04197A000000004227 +:04197B003A33564164 +:04197C00625059203C +:04197D0000007250A4 +:04197E007473614CD1 +:04197F0065737520F7 +:0419800000000064FF :041981000000000062 -:041982000000000061 +:041982000000000160 :041983000000000060 -:04198400000001005E -:04198500010101005B +:04198400000000005F +:04198500000000005E :04198600000001005C -:04198700000000005C -:04198800010000005A -:04198900060B000049 -:04198A000100004414 -:04198B000000000058 -:04198C000001000056 -:04198D008080000C4A -:04198E001A1A1A8087 -:04198F0000000A0842 -:0419900000012E8C98 -:0419910000012F2200 -:0419920000012F2AF7 -:0419930000012F1A06 -:0419940000012F26F9 -:0419950000012F2EF0 -:0419960000012F1EFF -:0419970000012F74A8 -:0419980000012F32E9 -:0419990000012F36E4 -:04199A0000012F3ADF -:04199B0000012F74A4 -:04199C0000012F74A3 -:04199D0000012F74A2 -:04199E0000012F74A1 -:04199F0000012F74A0 -:0419A0000001338E81 +:041987000101010059 +:04198800000001005A +:04198900000000005A +:04198A000100000058 +:04198B00060B000047 +:04198C000100004412 +:04198D000000000056 +:04198E000001000054 +:04198F008080000C48 +:041990001A1A1A8085 +:0419910000000A0840 +:0419920000012E968C +:0419930000012F2CF4 +:0419940000012F34EB +:0419950000012F24FA +:0419960000012F30ED +:0419970000012F38E4 +:0419980000012F28F3 +:0419990000012F7E9C +:04199A0000012F3CDD +:04199B0000012F40D8 +:04199C0000012F44D3 +:04199D0000012F7E98 +:04199E0000012F7E97 +:04199F0000012F7E96 +:0419A00000012F7E95 :0419A10000012F7E94 -:0419A2000001306AA6 -:0419A3000001307A95 -:0419A400000130947A -:0419A500000130D23B -:0419A600000130B656 -:0419A700000130E823 -:0419A800000131F415 -:0419A90000013246C1 -:0419AA00000132689E -:0419AB00656E6F44B2 -:0419AC000000000037 -:0419AD006C696146BA -:0419AE00000064656C -:0419AF00666F72509D -:0419B0002075252E4B -:0419B1000073392561 -:0419B200756C3425F7 -:0419B3006325632520 -:0419B4006C2520205E -:0419B50000000075B9 -:0419B600656E694CA5 -:0419B700746C756D6A -:0419B800646F6D20CB -:0419B90000003A658B -:0419BA00736572706F -:0419BB002D31207337 -:0419BC0000000035F2 -:0419BD0020787525F4 -:0419BE0075736E755A -:0419BF00726F707063 -:0419C00000646574E6 -:0419C100666F72508B -:0419C20020656C69C7 -:0419C30064616F6C80 -:0419C4000000003AE5 -:0419C5007365727064 -:0419C60075252073F0 -:0419C7000075252D55 -:0419C800000167E4CF -:0419C900000167E8CA +:0419A2000001339875 +:0419A30000012F8888 +:0419A400000130749A +:0419A5000001308489 +:0419A6000001309E6E +:0419A700000130DC2F +:0419A800000130C04A +:0419A900000130F217 +:0419AA00000131FE09 +:0419AB0000013250B5 +:0419AC000001327292 +:0419AD00656E6F44B0 +:0419AE000000000035 +:0419AF006C696146B8 +:0419B000000064656A +:0419B100666F72509B +:0419B2002075252E49 +:0419B300007339255F +:0419B400756C3425F5 +:0419B500632563251E +:0419B6006C2520205C +:0419B70000000075B7 +:0419B800656E694CA3 +:0419B900746C756D68 +:0419BA00646F6D20C9 +:0419BB0000003A6589 +:0419BC00736572706D +:0419BD002D31207335 +:0419BE0000000035F0 +:0419BF0020787525F2 +:0419C00075736E7558 +:0419C100726F707061 +:0419C20000646574E4 +:0419C300666F725089 +:0419C40020656C69C5 +:0419C50064616F6C7E +:0419C6000000003AE3 +:0419C7007365727062 +:0419C80075252073EE +:0419C9000075252D53 :0419CA00000167ECC5 :0419CB00000167F0C0 -:0419CC00000166F0C0 -:0419CD00000167F4BA -:0419CE00000167F8B5 +:0419CC00000167F4BB +:0419CD00000167F8B6 +:0419CE00000166F8B6 :0419CF00000167FCB0 :0419D00000016800AA -:0419D100000173108E -:0419D20000016804A4 -:0419D3000001680C9B -:0419D4000001681096 -:0419D500000168188D -:0419D6000001681C88 -:0419D700000168247F -:0419D8000001682C76 -:0419D900000168346D -:0419DA000001683C64 -:0419DB000001684C53 -:0419DC000001685C42 -:0419DD000001686C31 -:0419DE000001687C20 -:0419DF000001688C0F -:0419E0000001689CFE -:0419E100000168A4F5 -:0419E200000168ACEC -:0419E3003EA93E29B2 -:0419E4003EE93E6931 -:0419E5003E993E19D0 -:0419E6003ED93E594F -:0419E7003EC93E397E -:0419E8003E1D3E4D15 -:0419E9003E2D3EED64 -:0419EA003EAD3ECD03 -:0419EB003E653E6DAA -:0419EC001C483E0154 -:0419ED001C501C1856 -:0419EE001CC81CD025 -:0419EF005ED85E5808 -:0419F00000003EB9FC -:0419F1007365725058 -:0419F200000000737E -:0419F300666E6F436A -:0419F400006D7269A7 -:0419F5006D73694D58 -:0419F600686374614D -:0419F7006572202CC9 -:0419F800007972748C -:0419F90000000031B9 -:0419FA0000000032B7 -:0419FB0000000033B5 -:0419FC0000000034B3 -:0419FD0000000036B0 -:0419FE0000000037AE -:0419FF0000000038AC -:041A000000000039A9 -:041A0100554E454DAC -:041A020000000000E0 -:041A030000004B4F45 -:041A04004B434142CD -:041A050000000000DD -:041A06000000505537 -:041A07004E574F44A3 -:041A080000000000DA -:041A09005446454CAE +:0419D10000016804A5 +:0419D20000016808A0 +:0419D3000001731884 +:0419D4000001680C9A +:0419D5000001681491 +:0419D600000168188C +:0419D7000001682083 +:0419D800000168247E +:0419D9000001682C75 +:0419DA00000168346C +:0419DB000001683C63 +:0419DC00000168445A +:0419DD000001685449 +:0419DE000001686438 +:0419DF000001687427 +:0419E0000001688416 +:0419E1000001689405 +:0419E200000168A4F4 +:0419E300000168ACEB +:0419E400000168B4E2 +:0419E5003EA93E29B0 +:0419E6003EE93E692F +:0419E7003E993E19CE +:0419E8003ED93E594D +:0419E9003EC93E397C +:0419EA003E1D3E4D13 +:0419EB003E2D3EED62 +:0419EC003EAD3ECD01 +:0419ED003E653E6DA8 +:0419EE001C483E0152 +:0419EF001C501C1854 +:0419F0001CC81CD023 +:0419F1005ED85E5806 +:0419F20000003EB9FA +:0419F3007365725056 +:0419F400000000737C +:0419F500666E6F4368 +:0419F600006D7269A5 +:0419F7006D73694D56 +:0419F800686374614B +:0419F9006572202CC7 +:0419FA00007972748A +:0419FB0000000031B7 +:0419FC0000000032B5 +:0419FD0000000033B3 +:0419FE0000000034B1 +:0419FF0000000036AE +:041A000000000037AB +:041A010000000038A9 +:041A020000000039A7 +:041A0300554E454DAA +:041A040000000000DE +:041A050000004B4F43 +:041A06004B434142CB +:041A070000000000DB +:041A08000000505535 +:041A09004E574F44A1 :041A0A0000000000D8 -:041A0B0048474952AD -:041A0C000000005482 -:041A0D004F464E49A9 -:041A0E0000000000D4 -:041A0F005F44434CA1 -:041A10004B434142C1 -:041A11004847494CAD -:041A1200000000547C -:041A13004E414353AA -:041A1400454E494CA6 -:041A1500444F4D5F8E -:041A16000000004587 -:041A17004E414353A6 -:041A1800454E494CA2 -:041A19005059545F6D -:041A1A000000004583 -:041A1B004E414353A2 -:041A1C00454E494C9E -:041A1D00544E495F7B -:041A1E000000002B99 -:041A1F004E4143539E -:041A2000454E494C9A -:041A2100544E495F77 -:041A22000000002D93 -:041A2300454E494C97 -:041A2400544C554D7C -:041A2500444F4D5F7E -:041A26000000004577 -:041A2700534148508F -:041A280000002B454A +:041A0B005446454CAC +:041A0C0000000000D6 +:041A0D0048474952AB +:041A0E000000005480 +:041A0F004F464E49A7 +:041A100000000000D2 +:041A11005F44434C9F +:041A12004B434142BF +:041A13004847494CAB +:041A1400000000547A +:041A15004E414353A8 +:041A1600454E494CA4 +:041A1700444F4D5F8C +:041A18000000004585 +:041A19004E414353A4 +:041A1A00454E494CA0 +:041A1B005059545F6B +:041A1C000000004581 +:041A1D004E414353A0 +:041A1E00454E494C9C +:041A1F00544E495F79 +:041A20000000002B97 +:041A21004E4143539C +:041A2200454E494C98 +:041A2300544E495F75 +:041A24000000002D91 +:041A2500454E494C95 +:041A2600544C554D7A +:041A2700444F4D5F7C +:041A28000000004575 :041A2900534148508D -:041A2A0000002D4546 -:041A2B00464F525080 -:041A2C005F454C497D -:041A2D004B544F487F -:041A2E000000594516 -:041A2F006F7272451B -:041A30000000007240 -:041A310053206F4E81 -:041A32006163204488 -:041A33006420647255 -:041A3400002E7465A7 -:041A350061766E491F -:041A36002064696C53 -:041A37006461656819 -:041A380000007265D3 -:041A390061766E491B -:041A3A002064696C4F -:041A3B002072646849 -:041A3C0000435243CE -:041A3D0061766E4917 -:041A3E002064696C4B -:041A3F006174616409 -:041A400043524320AA -:041A410000000000A1 -:041A42006164705516 -:041A43006320657443 -:041A440065636E6107 -:041A450064656C6CFC -:041A4600000000009C -:041A470073616C4615 -:041A4800657220683B -:041A4900652064614F -:041A4A0000007272B4 -:041A4B0073616C4611 -:041A4C007265206837 -:041A4D00206573613C -:041A4E00007272654B -:041A4F0073616C460D -:041A50007277206821 -:041A5100206574692F -:041A52000072726547 -:041A530073616C4609 -:041A5400657620682B -:041A5500206669722C -:041A56006C696166F0 -:041A5700000000008B -:041A580061766E49FC -:041A59002064696C30 -:041A5A0067616D69EA -:041A5B000000006522 -:041A5C004353534F4E -:041A5D000000000085 -:041A5E00696C6156F8 -:041A5F0069746164E1 -:041A60006420676E29 -:041A6100006174614B -:041A62006220752564 -:041A630073657479BA -:041A6400000000007E -:041A6500252E752590 -:041A66002575322E82 -:041A67000073257370 -:041A680061647055F0 -:041A6900676E6974C7 -:041A6A0000574620BB -:041A6B0061656C70D5 -:041A6C007720657307 -:041A6D002E74696109 -:041A6E0000002E2E18 -:041A6F0069726556DD -:041A70006E697966BC -:041A71006C66206718 -:041A72000068736134 -:041A730061656C70CD -:041A74007220657304 -:041A750061747365C0 -:041A76000000747286 -:041A770072746552CE -:041A7800676E6979B3 -:041A79006470752000 -:041A7A00006574612E -:041A7B0061647055DD -:041A7C00203F65742E -:041A7D002C593D3172 -:041A7E004E3D322087 -:041A7F000000000063 -:041A80006D726946D4 -:041A810065726177B2 -:041A820064707520F7 -:041A830064657461C1 -:041A8400000000005E -:041A850000013C2EF2 -:041A860000013BFE22 -:041A870000013BD847 -:041A880000013BF02E -:041A890000013CE03C -:041A8A0000013CE03B -:041A8B0000013DA277 -:041A8C0000013DC850 -:041A8D0000013DD443 -:041A8E0000013E1005 -:041A8F0000013DE431 -:041A900000013CF421 -:041A910000013CF420 -:041A920000013D38DA -:041A930000013D729F -:041A940000013D729E -:041A95006420642540 -:041A9600000000420A -:041A9700252E75255E -:041A98002075322E55 -:041A99000000737561 -:041A9A006C20752522 -:041A9B0073656E6998 -:041A9C000000000046 -:041A9D006C206F4EFC -:041A9E00006B6E6902 -:041A9F000001855469 -:041AA0000000000240 -:041AA10000013AD82E -:041AA2000001719C32 -:041AA300000171A825 -:041AA400000171B01C -:041AA5007020752513 -:041AA6006C6578698A -:041AA70000000073C8 -:041AA800000185565E -:041AA9000000000E2B -:041AAA0000013B1CE0 -:041AAB00706D653CB9 -:041AAC00003E79740B -:041AAD00203A752541 -:041AAE00000073259C -:041AAF00252575254F -:041AB0000000000032 -:041AB10065646956A9 -:041AB2006E69206FCA -:041AB3006F727020BE -:041AB4003E2020634D -:041AB500000000002D -:041AB600706D61539B -:041AB700676E696C81 -:041AB80074706F20B7 -:041AB9003E20202E7D -:041ABA000000000028 -:041ABB00636E79538A -:041ABC0074706F20B3 -:041ABD002020202E97 -:041ABE003E20202086 -:041ABF000000000023 -:041AC0007074754F7A -:041AC1006F207475A9 -:041AC200202E7470EE -:041AC3003E20202081 -:041AC400000000001E -:041AC5006E61635398 -:041AC600656E696C74 -:041AC70074706F20A8 -:041AC8003E20202E6E -:041AC9000000000019 -:041ACA0074736F5072 -:041ACB006F72702D99 -:041ACC0020202E6345 -:041ACD003E20202077 -:041ACE000000000014 -:041ACF00706D6F4384 -:041AD0006269746172 -:041AD10074696C695F -:041AD2003E20207919 -:041AD300000000000F -:041AD400696475418B -:041AD500706F206F9F -:041AD6006E6F697452 -:041AD7003E2020731A -:041AD800000000000A -:041AD9007474655369 -:041ADA0073676E6957 -:041ADB0074706F2094 -:041ADC003E20202068 -:041ADD000000000005 -:041ADE00616F4C3CAC -:041ADF00727020649D -:041AE0006C69666F58 -:041AE100003E20653E -:041AE2007661533C9A -:041AE3007270206598 -:041AE4006C69666F54 -:041AE500003E20653A -:041AE6007365523C96 -:041AE700732074658F -:041AE8006974746544 -:041AE9003E73676E73 -:041AEA0000000000F8 -:041AEB006B6E694C69 -:041AEC006F72702085 -:041AED00693E2D66BB -:041AEE007475706E2D -:041AEF0000000000F3 -:041AF0006B6E694C64 -:041AF100706E69208A -:041AF2003E2D74759C -:041AF300666F727038 -:041AF40000000000EE -:041AF50074696E4959 -:041AF600206C616996 -:041AF70075706E692F -:041AF8000000007476 -:041AF9006F74754150 -:041AFA006574656446 -:041AFB006920746387 -:041AFC007475706E1F -:041AFD0000000000E5 -:041AFE006F7475414B -:041AFF0031564120FB -:041B0000472F5920F2 -:041B0100000000736D -:041B02006F74754146 -:041B030032564120F5 -:041B0400472F5920EE -:041B05000000007369 -:041B06006F74754142 -:041B070033564120F0 -:041B0800472F5920EA -:041B09000000007365 -:041B0A002044434CE4 -:041B0B0074204C42B4 -:041B0C006F656D692B -:041B0D0000007475EB -:041B0E002044534FCD -:041B0F0062616E653C -:041B10000000656C00 -:041B11002044534FCA -:041B12007461747313 -:041B13006420737562 -:041B14002E70736953 -:041B150000000000CC -:041B1600706D493C69 -:041B17002074726F55 -:041B18007474657309 -:041B19003E20202E1C -:041B1A0000000000C7 -:041B1B002E77463C9F -:041B1C00647075205C -:041B1D00206574616A -:041B1E003E20202025 -:041B1F0000000000C2 -:041B20006E776F4429 -:041B21006D61732D52 -:041B22006E696C700C -:041B23000000006757 -:041B24007061775322 -:041B250066656C2065 -:041B260069722F743D -:041B27000074686777 -:041B28002D65725065 -:041B290020434441D0 -:041B2A006E69616718 -:041B2B0000000000B6 -:041B2C006C6C754622 -:041B2D0020585420C8 -:041B2E0075746573F2 -:041B2F000000007042 -:041B300020335641C7 -:041B310065746E6900 -:041B320063616C720D -:041B33007869666502 -:041B340000000000AD -:041B350020335641C2 -:041B3600206573753E -:041B370020315641C2 -:041B380000424752CE -:041B39006166654438 -:041B3A0020746C7532 -:041B3B00494D444884 -:041B3C0043495620A3 -:041B3D0000000000A4 -:041B3E00616E615023 -:041B3F00696E6F73E9 -:041B40006168206355 -:041B410000006B63D2 -:041B420069726F480D -:041B4300746E6F7AD3 -:041B44006D206C6143 -:041B4500006B73615D -:041B460074726556FA -:041B47006C61636901 -:041B480073616D2038 -:041B49000000006B2D -:041B4A006B73614D0B -:041B4B006C6F632038 -:041B4C000000726FB4 -:041B4D006B73614D08 -:041B4E006972622036 -:041B4F006E746867E1 -:041B50000073736546 -:041B510065766552FE -:041B52002065737225 -:041B53000046504CAC -:041B54005949443C6B -:041B550074616C202B -:041B56006574202E64 -:041B5700003E747365 -:041B58006E61635304 -:041B5900656E696CE0 -:041B5A000000007314 -:041B5B00202E6C5379 -:041B5C0065727473C7 -:041B5D006874676ED3 -:041B5E000000000083 -:041B5F00202E6C5375 -:041B600072627968CC -:041B61007320646920 -:041B6200002E72746B -:041B6300202E6C5371 -:041B64006874656DCF -:041B65000000646FA9 -:041B6600202E6C536E -:041B670065746C61D4 -:041B680074616E72C4 -:041B690000676E693A -:041B6A00202E6C536A -:041B6B0067696C61D9 -:041B6C006E656D6EC7 -:041B6D000000007400 -:041B6E00202E6C5366 -:041B6F0020746C6111 -:041B700065746E69C1 -:041B71006C617672BB -:041B7200000000006F -:041B7300202E6C5361 -:041B740065707974AB -:041B7500000000006C -:041B76004320203CAC -:041B77006F7473759F -:041B78006C53206D1D -:041B79003E20202EBC -:041B7A000000000067 -:041B7B007030343260 -:041B7C003838322F94 -:041B7D0072702070F2 -:041B7E000000636F91 -:041B7F007034383353 -:041B80003030342F9E -:041B810072702070EE -:041B82000000636F8D -:041B83006930383459 -:041B84003637352F8C -:041B850072702069F1 -:041B86000000636F89 -:041B8700703038344E -:041B88003637352F88 -:041B890072702070E6 -:041B8A000000636F85 -:041B8B00693036394E -:041B8C003830312F8D -:041B8D00702069302B -:041B8E0000636F720F -:041B8F00656E694CCA -:041B90006D2078321A -:041B91000065646F18 -:041B9200656E694CC7 -:041B93006D20783316 -:041B94000065646F15 -:041B9500656E694CC4 -:041B96006D20783412 -:041B97000065646F12 -:041B9800656E694CC1 -:041B99006D2078350E -:041B9A000065646F0F -:041B9B00656E694CBE -:041B9C006620783512 -:041B9D00616D726F95 -:041B9E0000000074CF -:041B9F00783635322D -:041BA000203034328B -:041BA1006570736197 -:041BA2000000746368 -:041BA3006D20585405 -:041BA4000065646F05 -:041BA500494D44481A -:041BA600435449203B -:041BA700000000003A -:041BA8006C616E41BD -:041BA9007320676FCF -:041BAA0020636E79CD -:041BAB000046504C54 -:041BAC006C616E41B9 -:041BAD007320676FCB -:041BAE0020636E79C9 -:041BAF000068745600 -:041BB0006E7973488F -:041BB1006F742063CA -:041BB2006172656C8B -:041BB3000065636EF8 -:041BB4006E7973567D -:041BB50068742063CD -:041BB6006873657279 -:041BB70000646C6FEB -:041BB8004C502D4818 -:041BB9007250204CFA -:041BBA006F432D65E3 -:041BBB0000747361DE -:041BBC004C502D4814 -:041BBD006F50204CF9 -:041BBE00432D7473CC -:041BBF007473616F6B -:041BC0000000000021 -:041BC1007030383414 -:041BC200206E692008 -:041BC300706D61736D -:041BC4000072656CDA -:041BC5007030303418 -:041BC600206E692004 -:041BC700706D617369 -:041BC8000072656CD6 -:041BC9006F6C6C4190 -:041BCA0056542077D6 -:041BCB00504820500E -:041BCC0078324C4CD3 -:041BCD000000000014 -:041BCE006F6C6C418B -:041BCF007075207796 -:041BD000706D617360 -:041BD1007832656C95 -:041BD200000000000F -:041BD3007664413CB7 -:041BD4006974202EE2 -:041BD500676E696D61 -:041BD6003E2020206D -:041BD700000000000A -:041BD8006564695681 -:041BD900504C206FDD -:041BDA0000000046C1 -:041BDB0050625059AB -:041BDC006E6920729C -:041BDD006C6F4320C6 -:041BDE0000617053DF -:041BDF0072502F52BF -:041BE00066666F20A6 -:041BE10000746573B4 -:041BE20020592F4710 -:041BE3007366666F50 -:041BE4000000746524 -:041BE50062502F42D9 -:041BE60066666F20A0 -:041BE70000746573AE -:041BE80072502F52B6 -:041BE90069616720A7 -:041BEA000000006E89 -:041BEB0020592F4707 -:041BEC006E69616756 -:041BED0000000000F4 -:041BEE0062502F42D0 -:041BEF0069616720A1 -:041BF0000000006E83 -:041BF1002D6572509C -:041BF2002043444107 -:041BF3006E6961476F -:041BF40000000000ED -:041BF5002D62755395 -:041BF600656E696C43 -:041BF7007320312006 -:041BF8000000727403 -:041BF9002D62755391 -:041BFA00656E696C3F -:041BFB007320322001 -:041BFC0000007274FF -:041BFD002D6275538D -:041BFE00656E696C3B -:041BFF0073203320FC -:041C000000007274FA -:041C01002D62755388 -:041C0200656E696C36 -:041C030073203420F6 -:041C040000007274F6 -:041C05002D62755384 -:041C0600656E696C32 -:041C070073203520F1 -:041C080000007274F2 -:041C09002D62755380 -:041C0A00756C6F6323 -:041C0B0031206E6DA9 -:041C0C00727473205B -:041C0D0000000000D3 -:041C0E002D6275537B -:041C0F00756C6F631E -:041C100032206E6DA3 -:041C11007274732056 -:041C120000000000CE -:041C13002D62755376 -:041C1400756C6F6319 -:041C150033206E6D9D -:041C16007274732051 -:041C170000000000C9 -:041C18002D62755371 -:041C1900756C6F6314 -:041C1A0034206E6D97 -:041C1B00727473204C -:041C1C0000000000C4 -:041C1D002D6275536C -:041C1E00756C6F630F -:041C1F0035206E6D91 -:041C20007274732047 -:041C210000000000BF -:041C22002D62755367 -:041C2300756C6F630A -:041C240036206E6D8B -:041C25007274732042 -:041C260000000000BA -:041C270073202E48B0 -:041C28006C706D610E -:041C2900746172650B -:041C2A000000006551 -:041C2B0073202E48AC -:041C2C007461722E3F -:041C2D006461206569 -:041C2E000000006A48 -:041C2F0073202E48A8 -:041C30006C636E79FA -:041C310000006E65DC -:041C320062202E48B6 -:041C3300706B63610E -:041C34006863726F00 -:041C350000000000AB -:041C360061202E48B3 -:041C370076697463F3 -:041C38000000006543 -:041C390073202E5690 -:041C3A006C636E79F0 -:041C3B0000006E65D2 -:041C3C0062202E569E -:041C3D00706B636104 -:041C3E006863726FF6 -:041C3F0000000000A1 -:041C400061202E569B -:041C410076697463E9 -:041C42000000006539 -:041C4300706D61530C -:041C4400676E696CF2 -:041C45006168702042 -:041C460000006573C2 -:041C470063616C4227 -:041C48000000006B2D -:041C490065756C420F -:041C4A000000000096 -:041C4B006565724712 -:041C4C000000006E26 -:041C4D006E61794308 -:041C4E000000000092 -:041C4F000064655276 -:041C50006567614D16 -:041C51000061746E4C -:041C52006C6C6559F8 -:041C53000000776FA7 -:041C540074696857F0 -:041C55000000006526 -:041C56000066664F6F -:041C570072727543ED -:041C580020746E6521 -:041C590075706E69CB -:041C5A000000007412 -:041C5B00206C6C414C -:041C5C0075706E69C8 -:041C5D00000073749C -:041C5E004273475234 -:041C5F000000000081 -:041C60005062505925 -:041C6100000000720D -:041C620000007332D9 -:041C630000007335D5 -:041C640000733031A8 -:041C650000007333D5 -:041C660000733033A4 -:041C67002D706F5419 -:041C68007466656CCD -:041C69000000000077 -:041C6A00746E6543EC -:041C6B00000072659E -:041C6C0074746F42DB -:041C6D00722D6D6FF8 -:041C6E0074686769C6 -:041C6F000000000071 -:041C70002066664F35 -:041C7100207366284E -:041C72003639203DA2 -:041C7300297A486B17 -:041C7400000000006C -:041C75002020783281 -:041C76002073662849 -:041C77003834203DA0 -:041C7800297A486B12 -:041C79000000000067 -:041C7A0000706F5433 -:041C7B0074746F42CC -:041C7C0000006D6F88 -:041C7D0069726F48D1 -:041C7E00746E6F7A97 -:041C7F0000006C6194 -:041C800074726556BF -:041C81006C616369C6 -:041C8200000000005E -:041C830069726F48CB -:041C84002B202E7A69 -:041C8500726556200E -:041C860000002E74B8 -:041C870074737543BA -:041C880000006D6F7C -:041C8900746C754DB5 -:041C8A00696C7069A8 -:041C8B0069746163B4 -:041C8C0000006E6F77 -:041C8D0074627553B5 -:041C8E0074636172A8 -:041C8F00006E6F690B -:041C90006F747541B7 -:041C9100000000004F -:041C920000006E4F91 -:041C9300494D44482B -:041C9400475228206B -:041C950000002942E0 -:041C9600494D444828 -:041C97004359282065 -:041C980034724362FD -:041C990000293434B6 -:041C9A000049564463 -:041C9B0000373A389C -:041C9C0073736150AD -:041C9D007572687480 -:041C9E000000000042 -:041C9F00656E694CB9 -:041CA000282078324E -:041CA10029626F62E3 -:041CA200000000003E -:041CA300656E694CB5 -:041CA4000000783292 +:041A2A0000002B4548 +:041A2B00534148508B +:041A2C0000002D4544 +:041A2D00464F52507E +:041A2E005F454C497B +:041A2F004B544F487D +:041A30000000594514 +:041A31006F72724519 +:041A3200000000723E +:041A330053206F4E7F +:041A34006163204486 +:041A35006420647253 +:041A3600002E7465A5 +:041A370061766E491D +:041A38002064696C51 +:041A39006461656817 +:041A3A0000007265D1 +:041A3B0061766E4919 +:041A3C002064696C4D +:041A3D002072646847 +:041A3E0000435243CC +:041A3F0061766E4915 +:041A40002064696C49 +:041A41006174616407 +:041A420043524320A8 +:041A4300000000009F +:041A44006164705514 +:041A45006320657441 +:041A460065636E6105 +:041A470064656C6CFA +:041A4800000000009A +:041A490073616C4613 +:041A4A006572206839 +:041A4B00652064614D +:041A4C0000007272B2 +:041A4D0073616C460F +:041A4E007265206835 +:041A4F00206573613A +:041A50000072726549 +:041A510073616C460B +:041A5200727720681F +:041A5300206574692D +:041A54000072726545 +:041A550073616C4607 +:041A56006576206829 +:041A5700206669722A +:041A58006C696166EE +:041A59000000000089 +:041A5A0061766E49FA +:041A5B002064696C2E +:041A5C0067616D69E8 +:041A5D000000006520 +:041A5E004353534F4C +:041A5F000000000083 +:041A6000696C6156F6 +:041A610069746164DF +:041A62006420676E27 +:041A63000061746149 +:041A64006220752562 +:041A650073657479B8 +:041A6600000000007C +:041A6700252E75258E +:041A68002575322E80 +:041A6900007325736E +:041A6A0061647055EE +:041A6B00676E6974C5 +:041A6C0000574620B9 +:041A6D0061656C70D3 +:041A6E007720657305 +:041A6F002E74696107 +:041A700000002E2E16 +:041A710069726556DB +:041A72006E697966BA +:041A73006C66206716 +:041A74000068736132 +:041A750061656C70CB +:041A76007220657302 +:041A770061747365BE +:041A78000000747284 +:041A790072746552CC +:041A7A00676E6979B1 +:041A7B0064707520FE +:041A7C00006574612C +:041A7D0061647055DB +:041A7E00203F65742C +:041A7F002C593D3170 +:041A80004E3D322085 +:041A81000000000061 +:041A82006D726946D2 +:041A830065726177B0 +:041A840064707520F5 +:041A850064657461BF +:041A8600000000005C +:041A870000013C38E6 +:041A880000013C0815 +:041A890000013BE23B +:041A8A0000013BFA22 +:041A8B0000013CEA30 +:041A8C0000013CEA2F +:041A8D0000013DAC6B +:041A8E0000013DD244 +:041A8F0000013DDE37 +:041A900000013E1AF9 +:041A910000013DEE25 +:041A920000013CFE15 +:041A930000013CFE14 +:041A940000013D42CE +:041A950000013D7C93 +:041A960000013D7C92 +:041A9700642064253E +:041A98000000004208 +:041A9900252E75255C +:041A9A002075322E53 +:041A9B00000073755F +:041A9C006C20752520 +:041A9D0073656E6996 +:041A9E000000000044 +:041A9F006C206F4EFA +:041AA000006B6E6900 +:041AA1000001855C5F +:041AA200000000023E +:041AA30000013AE222 +:041AA400000171A428 +:041AA500000171B01B +:041AA600000171B812 +:041AA7007020752511 +:041AA8006C65786988 +:041AA90000000073C6 +:041AAA000001855E54 +:041AAB000000000E29 +:041AAC0000013B26D4 +:041AAD00706D653CB7 +:041AAE00003E797409 +:041AAF00203A75253F +:041AB000000073259A +:041AB100252575254D +:041AB2000000000030 +:041AB30065646956A7 +:041AB4006E69206FC8 +:041AB5006F727020BC +:041AB6003E2020634B +:041AB700000000002B +:041AB800706D615399 +:041AB900676E696C7F +:041ABA0074706F20B5 +:041ABB003E20202E7B +:041ABC000000000026 +:041ABD00636E795388 +:041ABE0074706F20B1 +:041ABF002020202E95 +:041AC0003E20202084 +:041AC1000000000021 +:041AC2007074754F78 +:041AC3006F207475A7 +:041AC400202E7470EC +:041AC5003E2020207F +:041AC600000000001C +:041AC7006E61635396 +:041AC800656E696C72 +:041AC90074706F20A6 +:041ACA003E20202E6C +:041ACB000000000017 +:041ACC0074736F5070 +:041ACD006F72702D97 +:041ACE0020202E6343 +:041ACF003E20202075 +:041AD0000000000012 +:041AD100706D6F4382 +:041AD2006269746170 +:041AD30074696C695D +:041AD4003E20207917 +:041AD500000000000D +:041AD6006964754189 +:041AD700706F206F9D +:041AD8006E6F697450 +:041AD9003E20207318 +:041ADA000000000008 +:041ADB007474655367 +:041ADC0073676E6955 +:041ADD0074706F2092 +:041ADE003E20202066 +:041ADF000000000003 +:041AE000616F4C3CAA +:041AE100727020649B +:041AE2006C69666F56 +:041AE300003E20653C +:041AE4007661533C98 +:041AE5007270206596 +:041AE6006C69666F52 +:041AE700003E206538 +:041AE8007365523C94 +:041AE900732074658D +:041AEA006974746542 +:041AEB003E73676E71 +:041AEC0000000000F6 +:041AED006B6E694C67 +:041AEE006F72702083 +:041AEF00693E2D66B9 +:041AF0007475706E2B +:041AF10000000000F1 +:041AF2006B6E694C62 +:041AF300706E692088 +:041AF4003E2D74759A +:041AF500666F727036 +:041AF60000000000EC +:041AF70074696E4957 +:041AF800206C616994 +:041AF90075706E692D +:041AFA000000007474 +:041AFB006F7475414E +:041AFC006574656444 +:041AFD006920746385 +:041AFE007475706E1D +:041AFF0000000000E3 +:041B00006F74754148 +:041B010031564120F8 +:041B0200472F5920F0 +:041B0300000000736B +:041B04006F74754144 +:041B050032564120F3 +:041B0600472F5920EC +:041B07000000007367 +:041B08006F74754140 +:041B090033564120EE +:041B0A00472F5920E8 +:041B0B000000007363 +:041B0C002044434CE2 +:041B0D0074204C42B2 +:041B0E006F656D6929 +:041B0F0000007475E9 +:041B10002044534FCB +:041B110062616E653A +:041B12000000656CFE +:041B13002044534FC8 +:041B14007461747311 +:041B15006420737560 +:041B16002E70736951 +:041B170000000000CA +:041B1800706D493C67 +:041B19002074726F53 +:041B1A007474657307 +:041B1B003E20202E1A +:041B1C0000000000C5 +:041B1D002E77463C9D +:041B1E00647075205A +:041B1F002065746168 +:041B20003E20202023 +:041B210000000000C0 +:041B22006E776F4427 +:041B23006D61732D50 +:041B24006E696C700A +:041B25000000006755 +:041B26007061775320 +:041B270066656C2063 +:041B280069722F743B +:041B29000074686775 +:041B2A002D65725063 +:041B2B0020434441CE +:041B2C006E69616716 +:041B2D0000000000B4 +:041B2E006C6C754620 +:041B2F0020585420C6 +:041B300075746573F0 +:041B31000000007040 +:041B320020335641C5 +:041B330065746E69FE +:041B340063616C720B +:041B35007869666500 +:041B360000000000AB +:041B370020335641C0 +:041B3800206573753C +:041B390020315641C0 +:041B3A0000424752CC +:041B3B006166654436 +:041B3C0020746C7530 +:041B3D00494D444882 +:041B3E0043495620A1 +:041B3F0000000000A2 +:041B4000616E615021 +:041B4100696E6F73E7 +:041B42006168206353 +:041B430000006B63D0 +:041B440069726F480B +:041B4500746E6F7AD1 +:041B46006D206C6141 +:041B4700006B73615B +:041B480074726556F8 +:041B49006C616369FF +:041B4A0073616D2036 +:041B4B000000006B2B +:041B4C006B73614D09 +:041B4D006C6F632036 +:041B4E000000726FB2 +:041B4F006B73614D06 +:041B50006972622034 +:041B51006E746867DF +:041B52000073736544 +:041B530065766552FC +:041B54002065737223 +:041B55000046504CAA +:041B56005949443C69 +:041B570074616C2029 +:041B58006574202E62 +:041B5900003E747363 +:041B5A006E61635302 +:041B5B00656E696CDE +:041B5C000000007312 +:041B5D00202E6C5377 +:041B5E0065727473C5 +:041B5F006874676ED1 +:041B60000000000081 +:041B6100202E6C5373 +:041B620072627968CA +:041B6300732064691E +:041B6400002E727469 +:041B6500202E6C536F +:041B66006874656DCD +:041B67000000646FA7 +:041B6800202E6C536C +:041B690065746C61D2 +:041B6A0074616E72C2 +:041B6B0000676E6938 +:041B6C00202E6C5368 +:041B6D0067696C61D7 +:041B6E006E656D6EC5 +:041B6F0000000074FE +:041B7000202E6C5364 +:041B710020746C610F +:041B720065746E69BF +:041B73006C617672B9 +:041B7400000000006D +:041B7500202E6C535F +:041B760065707974A9 +:041B7700000000006A +:041B78004320203CAA +:041B79006F7473759D +:041B7A006C53206D1B +:041B7B003E20202EBA +:041B7C000000000065 +:041B7D00703034325E +:041B7E003838322F92 +:041B7F0072702070F0 +:041B80000000636F8F +:041B81007034383351 +:041B82003030342F9C +:041B830072702070EC +:041B84000000636F8B +:041B85006930383457 +:041B86003637352F8A +:041B870072702069EF +:041B88000000636F87 +:041B8900703038344C +:041B8A003637352F86 +:041B8B0072702070E4 +:041B8C000000636F83 +:041B8D00693036394C +:041B8E003830312F8B +:041B8F007020693029 +:041B900000636F720D +:041B9100656E694CC8 +:041B92006D20783218 +:041B93000065646F16 +:041B9400656E694CC5 +:041B95006D20783314 +:041B96000065646F13 +:041B9700656E694CC2 +:041B98006D20783410 +:041B99000065646F10 +:041B9A00656E694CBF +:041B9B006D2078350C +:041B9C000065646F0D +:041B9D00656E694CBC +:041B9E006620783510 +:041B9F00616D726F93 +:041BA00000000074CD +:041BA100783635322B +:041BA2002030343289 +:041BA3006570736195 +:041BA4000000746366 +:041BA5006D20585403 +:041BA6000065646F03 +:041BA700494D444818 +:041BA8004354492039 +:041BA9000000000038 +:041BAA006C616E41BB +:041BAB007320676FCD +:041BAC0020636E79CB +:041BAD000046504C52 +:041BAE006C616E41B7 +:041BAF007320676FC9 +:041BB00020636E79C7 +:041BB10000687456FE +:041BB2006E7973488D +:041BB3006F742063C8 +:041BB4006172656C89 +:041BB5000065636EF6 +:041BB6006E7973567B +:041BB70068742063CB +:041BB8006873657277 +:041BB90000646C6FE9 +:041BBA004C502D4816 +:041BBB007250204CF8 +:041BBC006F432D65E1 +:041BBD0000747361DC +:041BBE004C502D4812 +:041BBF006F50204CF7 +:041BC000432D7473CA +:041BC1007473616F69 +:041BC200000000001F +:041BC3007030383412 +:041BC400206E692006 +:041BC500706D61736B +:041BC6000072656CD8 +:041BC7007030303416 +:041BC800206E692002 +:041BC900706D617367 +:041BCA000072656CD4 +:041BCB006F6C6C418E +:041BCC0056542077D4 +:041BCD00504820500C +:041BCE0078324C4CD1 +:041BCF000000000012 +:041BD0006F6C6C4189 +:041BD1007075207794 +:041BD200706D61735E +:041BD3007832656C93 +:041BD400000000000D +:041BD5007664413CB5 +:041BD6006974202EE0 +:041BD700676E696D5F +:041BD8003E2020206B +:041BD9000000000008 +:041BDA00656469567F +:041BDB00504C206FDB +:041BDC0000000046BF +:041BDD0050625059A9 +:041BDE006E6920729A +:041BDF006C6F4320C4 +:041BE00000617053DD +:041BE10072502F52BD +:041BE20066666F20A4 +:041BE30000746573B2 +:041BE40020592F470E +:041BE5007366666F4E +:041BE6000000746522 +:041BE70062502F42D7 +:041BE80066666F209E +:041BE90000746573AC +:041BEA0072502F52B4 +:041BEB0069616720A5 +:041BEC000000006E87 +:041BED0020592F4705 +:041BEE006E69616754 +:041BEF0000000000F2 +:041BF00062502F42CE +:041BF100696167209F +:041BF2000000006E81 +:041BF3002D6572509A +:041BF4002043444105 +:041BF5006E6961476D +:041BF60000000000EB +:041BF7002D62755393 +:041BF800656E696C41 +:041BF9007320312004 +:041BFA000000727401 +:041BFB002D6275538F +:041BFC00656E696C3D +:041BFD0073203220FF +:041BFE0000007274FD +:041BFF002D6275538B +:041C0000656E696C38 +:041C010073203320F9 +:041C020000007274F8 +:041C03002D62755386 +:041C0400656E696C34 +:041C050073203420F4 +:041C060000007274F4 +:041C07002D62755382 +:041C0800656E696C30 +:041C090073203520EF +:041C0A0000007274F0 +:041C0B002D6275537E +:041C0C00756C6F6321 +:041C0D0031206E6DA7 +:041C0E007274732059 +:041C0F0000000000D1 +:041C10002D62755379 +:041C1100756C6F631C +:041C120032206E6DA1 +:041C13007274732054 +:041C140000000000CC +:041C15002D62755374 +:041C1600756C6F6317 +:041C170033206E6D9B +:041C1800727473204F +:041C190000000000C7 +:041C1A002D6275536F +:041C1B00756C6F6312 +:041C1C0034206E6D95 +:041C1D00727473204A +:041C1E0000000000C2 +:041C1F002D6275536A +:041C2000756C6F630D +:041C210035206E6D8F +:041C22007274732045 +:041C230000000000BD +:041C24002D62755365 +:041C2500756C6F6308 +:041C260036206E6D89 +:041C27007274732040 +:041C280000000000B8 +:041C290073202E48AE +:041C2A006C706D610C +:041C2B007461726509 +:041C2C00000000654F +:041C2D0073202E48AA +:041C2E007461722E3D +:041C2F006461206567 +:041C30000000006A46 +:041C310073202E48A6 +:041C32006C636E79F8 +:041C330000006E65DA +:041C340062202E48B4 +:041C3500706B63610C +:041C36006863726FFE +:041C370000000000A9 +:041C380061202E48B1 +:041C390076697463F1 +:041C3A000000006541 +:041C3B0073202E568E +:041C3C006C636E79EE +:041C3D0000006E65D0 +:041C3E0062202E569C +:041C3F00706B636102 +:041C40006863726FF4 +:041C4100000000009F +:041C420061202E5699 +:041C430076697463E7 +:041C44000000006537 +:041C4500706D61530A +:041C4600676E696CF0 +:041C47006168702040 +:041C480000006573C0 +:041C490063616C4225 +:041C4A000000006B2B +:041C4B0065756C420D +:041C4C000000000094 +:041C4D006565724710 +:041C4E000000006E24 +:041C4F006E61794306 +:041C50000000000090 +:041C51000064655274 +:041C52006567614D14 +:041C53000061746E4A +:041C54006C6C6559F6 +:041C55000000776FA5 +:041C560074696857EE +:041C57000000006524 +:041C58000066664F6D +:041C590072727543EB +:041C5A0020746E651F +:041C5B0075706E69C9 +:041C5C000000007410 +:041C5D00206C6C414A +:041C5E0075706E69C6 +:041C5F00000073749A +:041C60004273475232 +:041C6100000000007F +:041C62005062505923 +:041C6300000000720B +:041C640000007332D7 +:041C650000007335D3 +:041C660000733031A6 +:041C670000007333D3 +:041C680000733033A2 +:041C69002D706F5417 +:041C6A007466656CCB +:041C6B000000000075 +:041C6C00746E6543EA +:041C6D00000072659C +:041C6E0074746F42D9 +:041C6F00722D6D6FF6 +:041C700074686769C4 +:041C7100000000006F +:041C72002066664F33 +:041C7300207366284C +:041C74003639203DA0 +:041C7500297A486B15 +:041C7600000000006A +:041C7700202078327F +:041C78002073662847 +:041C79003834203D9E +:041C7A00297A486B10 +:041C7B000000000065 +:041C7C0000706F5431 +:041C7D0074746F42CA +:041C7E0000006D6F86 +:041C7F0069726F48CF +:041C8000746E6F7A95 +:041C810000006C6192 +:041C820074726556BD +:041C83006C616369C4 +:041C8400000000005C +:041C850069726F48C9 +:041C86002B202E7A67 +:041C8700726556200C +:041C880000002E74B6 +:041C890074737543B8 +:041C8A0000006D6F7A +:041C8B00746C754DB3 +:041C8C00696C7069A6 +:041C8D0069746163B2 +:041C8E0000006E6F75 +:041C8F0074627553B3 +:041C900074636172A6 +:041C9100006E6F6909 +:041C92006F747541B5 +:041C9300000000004D +:041C940000006E4F8F +:041C9500494D444829 +:041C96004752282069 +:041C970000002942DE +:041C9800494D444826 +:041C99004359282063 +:041C9A0034724362FB +:041C9B0000293434B4 +:041C9C000049564461 +:041C9D0000373A389A +:041C9E0073736150AB +:041C9F00757268747E +:041CA0000000000040 +:041CA100656E694CB7 +:041CA200282078324C +:041CA30029626F62E1 +:041CA400000000003C :041CA500656E694CB3 -:041CA600322078323E -:041CA700337830342A -:041CA80000003036D2 -:041CA900656E694CAF -:041CAA003220783339 -:041CAB003378303426 -:041CAC0000003036CE -:041CAD00656E694CAB -:041CAE004720783320 -:041CAF0072656E6587 -:041CB0000000636964 -:041CB100656E694CA7 -:041CB200282078333B -:041CB3006563616C98 -:041CB400000029649F -:041CB500656E694CA3 -:041CB6002820783436 -:041CB70029626F62CD -:041CB8000000000028 -:041CB900656E694C9F -:041CBA00000078337B +:041CA6000000783290 +:041CA700656E694CB1 +:041CA800322078323C +:041CA9003378303428 +:041CAA0000003036D0 +:041CAB00656E694CAD +:041CAC003220783337 +:041CAD003378303424 +:041CAE0000003036CC +:041CAF00656E694CA9 +:041CB000472078331E +:041CB10072656E6585 +:041CB2000000636962 +:041CB300656E694CA5 +:041CB4002820783339 +:041CB5006563616C96 +:041CB600000029649D +:041CB700656E694CA1 +:041CB8002820783434 +:041CB90029626F62CB +:041CBA000000000026 :041CBB00656E694C9D -:041CBC000000783478 +:041CBC000000783379 :041CBD00656E694C9B -:041CBE000000783575 -:041CBF003032393155 -:041CC000383031780F -:041CC10000000030EF -:041CC2003030363157 -:041CC3003032317812 -:041CC40000000030EC -:041CC500303239314F -:041CC600303231780F -:041CC70000000030E9 -:041CC800656E654799 -:041CC90020636972B9 -:041CCA0000333A3475 -:041CCB007832313505 -:041CCC00203034325E -:041CCD006974706F57 -:041CCE0000002E6D77 -:041CCF0078343833FA -:041CD000203034325A -:041CD1006974706F53 -:041CD20000002E6D73 -:041CD3007830323300 -:041CD4002030343256 -:041CD5006974706F4F -:041CD60000002E6D6F -:041CD70078363532F4 -:041CD8002030343252 -:041CD9006974706F4B -:041CDA0000002E6D6B -:041CDB00656E654786 -:041CDC0020636972A6 -:041CDD00393A363129 -:041CDE000000000002 -:041CDF004D352E321F -:041CE00028207A48F6 -:041CE1002978616D90 -:041CE20000000000FE -:041CE300484D303107 -:041CE4006D28207ACD -:041CE5000029646509 -:041CE600484D3333FF -:041CE7006D28207ACA -:041CE80000296E69F8 -:041CE90020414756F9 -:041CEA0078303436E4 -:041CEB004030303421 -:041CEC00000030378D -:041CED0020414756F5 -:041CEE0078303237E1 -:041CEF00403030341D -:041CF0000000303789 -:041CF10020565444E1 -:041CF20070303834E2 -:041CF30000000000ED -:041CF40041534556BD -:041CF5003034362031 -:041CF60030383478D6 -:041CF7000030364043 -:041CF8002E636552A0 -:041CF9003130362030 -:041CFA0000000000E6 -:041CFB002E6365529D -:041CFC003930372024 -:041CFD0000000000E3 -:041CFE00484D3539DF -:041CFF004828207AD7 -:041D000020565444D1 -:041D01000029494923 -:041D0200484D3533E0 -:041D03004828207AD2 -:041D040020565444CD -:041D05000000294968 -:041D0600484D3631DD -:041D07004528207AD1 -:041D080029565444C0 -:041D090000000000D6 -:041D0A007A484D398D -:041D0B0044532820F5 -:041D0C000029565400 -:041D0D006D206425BC -:041D0E00000000567B -:041D0F002020202050 -:041D10000000752535 -:041D1100000186BA8D -:041D120000000024A9 -:041D130000013ABED3 -:041D140064206425BE -:041D150000006765FE -:041D1600252E7525DC -:041D17000075322EF3 -:041D180065206425B9 -:041D19006972746E09 -:041D1A0000007365ED -:041D1B006F706D690F -:041D1C006465747214 -:041D1D0000000000C2 -:041D1E006F706D492C -:041D1F00203F74727B -:041D20002C593D31CC -:041D21004E3D3220E1 -:041D220000000000BD -:041D230064616F4C3C -:041D240020676E695D -:041D250074746573FA -:041D260073676E6908 -:041D270000000000B8 -:041D28004452535579 -:041D290000415441E0 -:041D2A006573753C2C -:041D2B0000003E6412 -:041D2C00000173E05F -:041D2D000000200092 -:041D2E0020002CE580 -:041D2F00E926F4FDB0 -:041D300038BC20009B -:041D310000000000AE -:041D3200000173EC4D -:041D3300000020008C -:041D34002000323E1B -:041D3500F113FA04A8 -:041D36003B612000ED -:041D370000000000A8 -:041D38000001504214 -:041D39000001504213 -:041D3A0000014FA8AD -:041D3B00000150381B -:041D3C00000151361B +:041CBE000000783476 +:041CBF00656E694C99 +:041CC0000000783573 +:041CC1003032393153 +:041CC200383031780D +:041CC30000000030ED +:041CC4003030363155 +:041CC5003032317810 +:041CC60000000030EA +:041CC700303239314D +:041CC800303231780D +:041CC90000000030E7 +:041CCA00656E654797 +:041CCB0020636972B7 +:041CCC0000333A3473 +:041CCD007832313503 +:041CCE00203034325C +:041CCF006974706F55 +:041CD00000002E6D75 +:041CD10078343833F8 +:041CD2002030343258 +:041CD3006974706F51 +:041CD40000002E6D71 +:041CD50078303233FE +:041CD6002030343254 +:041CD7006974706F4D +:041CD80000002E6D6D +:041CD90078363532F2 +:041CDA002030343250 +:041CDB006974706F49 +:041CDC0000002E6D69 +:041CDD00656E654784 +:041CDE0020636972A4 +:041CDF00393A363127 +:041CE0000000000000 +:041CE1004D352E321D +:041CE20028207A48F4 +:041CE3002978616D8E +:041CE40000000000FC +:041CE500484D303105 +:041CE6006D28207ACB +:041CE7000029646507 +:041CE800484D3333FD +:041CE9006D28207AC8 +:041CEA0000296E69F6 +:041CEB0020414756F7 +:041CEC0078303436E2 +:041CED00403030341F +:041CEE00000030378B +:041CEF0020414756F3 +:041CF00078303237DF +:041CF100403030341B +:041CF2000000303787 +:041CF30020565444DF +:041CF40070303834E0 +:041CF50000000000EB +:041CF60041534556BB +:041CF700303436202F +:041CF80030383478D4 +:041CF9000030364041 +:041CFA002E6365529E +:041CFB00313036202E +:041CFC0000000000E4 +:041CFD002E6365529B +:041CFE003930372022 +:041CFF0000000000E1 +:041D0000484D3539DC +:041D01004828207AD4 +:041D020020565444CF +:041D03000029494921 +:041D0400484D3533DE +:041D05004828207AD0 +:041D060020565444CB +:041D07000000294966 +:041D0800484D3631DB +:041D09004528207ACF +:041D0A0029565444BE +:041D0B0000000000D4 +:041D0C007A484D398B +:041D0D0044532820F3 +:041D0E0000295654FE +:041D0F006D206425BA +:041D10000000005679 +:041D1100202020204E +:041D12000000752533 +:041D1300000186C283 +:041D140000000024A7 +:041D150000013AC8C7 +:041D160064206425BC +:041D170000006765FC +:041D1800252E7525DA +:041D19000075322EF1 +:041D1A0065206425B7 +:041D1B006972746E07 +:041D1C0000007365EB +:041D1D006F706D690D +:041D1E006465747212 +:041D1F0000000000C0 +:041D20006F706D492A +:041D2100203F747279 +:041D22002C593D31CA +:041D23004E3D3220DF +:041D240000000000BB +:041D250064616F4C3A +:041D260020676E695B +:041D270074746573F8 +:041D280073676E6906 +:041D290000000000B6 +:041D2A004452535577 +:041D2B0000415441DE +:041D2C006573753C2A +:041D2D0000003E6410 +:041D2E00000173E855 +:041D2F000000200090 +:041D300020002CE57E +:041D3100E926F4FDAE +:041D320038BC200099 +:041D330000000000AC +:041D3400000173F443 +:041D3500000020008A +:041D36002000323E19 +:041D3700F113FA04A6 +:041D38003B612000EB +:041D390000000000A6 +:041D3A000001504C08 +:041D3B000001504C07 +:041D3C0000014FB2A1 :041D3D00000150420F -:041D3E007830323790 -:041D3F00003030340C -:041D4000783034368D +:041D3E00000151400F +:041D3F000001504C03 +:041D4000783032378E :041D4100003030340A -:041D420030303631D6 -:041D4300303432788E -:041D4400C8000000D3 -:041D450007FE00F0A5 -:041D46000FCA20C0E0 -:041D470032100396BD -:041D48000020000275 -:041D490030383231CB -:041D4A003034327887 -:041D4B00A0000000F4 -:041D4C00061800F085 -:041D4D000FAA20C0F9 -:041D4E003210034804 -:041D4F00000102028B -:041D50007830363978 -:041D510000303432F8 -:041D52007800000015 -:041D5300049200F006 -:041D54000F8020C01C -:041D5500321003360F -:041D56000000040283 -:041D57007832313578 -:041D580000303432F1 -:041D59004000000046 -:041D5A0002AA00F0E9 -:041D5B000E4D20C049 -:041D5C00321003320C -:041D5D000042081028 -:041D5E00783438336A -:041D5F0000303432EA -:041D6000300000004F -:041D6100020000F08C -:041D62000E3B20C054 -:041D63003210032512 -:041D640000841020C7 -:041D6500783032336D -:041D660000303432E3 -:041D67002800000050 -:041D680001AA00F0DC -:041D69000E3120C057 -:041D6A003210031F11 -:041D6B00010820400B -:041D6C00783635325E -:041D6D0000303432DC -:041D6E002000000051 -:041D6F00015500F02A -:041D70000E2720C05A -:041D71003210031910 -:041D7200021040809B -:041D73007030343266 -:041D7400000000006B -:041D75005A08000008 -:041D7600035A00F01C -:041D77000F3920C040 -:041D78003210033EE4 -:041D79000000000E58 -:041D7A00303036319E -:041D7B003034327856 -:041D7C00C800004C4F -:041D7D0007FE00F06D -:041D7E0029CA270047 -:041D7F003210039685 -:041D8000002000023D -:041D81003038323193 -:041D82003838327843 -:041D8300A0000000BC -:041D8400061801201C -:041D85000FAA27007A -:041D860032100348CC -:041D87000001020253 -:041D88007830363940 -:041D890000383832B4 -:041D8A0078000000DD -:041D8B00049201209D -:041D8C000F8027009D -:041D8D0032100336D7 -:041D8E00000004024B -:041D8F007832313540 -:041D90004C3034326D -:041D910040000042CC -:041D920002AA00F0B1 -:041D9300294D2700AF -:041D940032100332D4 -:041D950000420810F0 -:041D96007834383332 -:041D97004C30343266 -:041D980030000042D5 -:041D9900020000F054 -:041D9A00293B2700BA -:041D9B0032100325DA -:041D9C00008410208F -:041D9D007830323335 -:041D9E004C3034325F -:041D9F0028000042D6 -:041DA00001AA00F0A4 -:041DA10029312700BD -:041DA2003210031FD9 -:041DA30001082040D3 -:041DA4007836353226 -:041DA5004C30343258 -:041DA60020000042D7 -:041DA700015500F0F2 -:041DA80029272700C0 -:041DA90032100319D8 -:041DAA000210408063 -:041DAB007038383222 -:041DAC000000000033 -:041DAD005A170000C1 -:041DAE0003600120AD -:041DAF0013452700B1 -:041DB0003210033FAB -:041DB1000000000E20 -:041DB2007830383419 -:041DB3000030363393 -:041DB4003C000000EF -:041DB5000258016867 -:041DB6000A3F2EE0D2 -:041DB70044100326AB -:041DB8000000000E19 -:041DB9007830343218 -:041DBA00003036338C -:041DBB002000000004 -:041DBC00012C01688D -:041DBD000A182EE0F2 -:041DBE0044100312B8 -:041DBF00000081009F -:041DC0007034383310 -:041DC100000000001E -:041DC2003E000000DF -:041DC3000280018019 -:041DC4001D3234E0B8 -:041DC5004410033E85 -:041DC6000000000E0B -:041DC7003030363151 -:041DC800303034780B -:041DC900C80000004E -:041DCA0007D00190AD -:041DCB002278382022 -:041DCC00501002F0C1 -:041DCD000000020010 -:041DCE007830323700 -:041DCF00003030347C -:041DD0005A000000B5 -:041DD10003840190F6 -:041DD2002240382053 -:041DD300501002604A -:041DD4000000000CFF -:041DD50078303436F8 -:041DD6000030303475 -:041DD70050000000B8 -:041DD8000320019053 -:041DD900223038205C -:041DDA005010026043 -:041DDB000000000CF8 -:041DDC0078303436F1 -:041DDD000034383363 -:041DDE0050000000B1 -:041DDF00032001805C -:041DE0003F303D80D3 -:041DE100501002603C -:041DE2000000000EEF -:041DE30069303834F7 -:041DE40000000000FB -:041DE5005A0600009A -:041DE600035A00F0AC -:041DE7000F3941A0CF -:041DE8007210033E34 -:041DE9000001020FE4 -:041DEA0070303834E9 -:041DEB0000000000F4 -:041DEC005A02000097 -:041DED00035A01E0B4 -:041DEE001E3C41A0B6 -:041DEF009410063E08 -:041DF0000000000CE3 -:041DF10078303436DC -:041DF2000030383451 -:041DF300500100009B -:041DF400032001E0E7 -:041DF500213041A0B8 -:041DF60094100260E3 -:041DF7000000000CDC -:041DF80078303436D5 -:041DF900003231354E -:041DFA005000000095 -:041DFB0003200200BF -:041DFC001C30470050 -:041DFD0094100260DC -:041DFE000000000CD5 -:041DFF0069363735D5 -:041E000000000000DE -:041E01005A1500006E -:041E02000360012058 -:041E030013454E2015 -:041E04007210033F16 -:041E05000001020FC7 -:041E060070363735C6 -:041E070000000000D7 -:041E08005A1100006B -:041E09000360024030 -:041E0A0027444E20FB -:041E0B0084100540FA -:041E0C000000000CC6 -:041E0D0078303038C1 -:041E0E00003030363A -:041E0F00640000006B -:041E10000420025850 -:041E110017584E8090 -:041E12001010048028 -:041E130000000004C7 -:041E140070303237C1 -:041E150000000000C9 -:041E1600A004000024 -:041E1700067202D07D -:041E180014DC5DC0B9 -:041E19001810052870 -:041E1A0000000004C0 -:041E1B0034323031FC -:041E1C0038363778A5 -:041E1D008000000041 -:041E1E000540030078 -:041E1F001DA064C0DE -:041E20001010068810 -:041E210000000004B9 -:041E220030383231F1 -:041E230032303178B0 -:041E2400A0000034E6 -:041E25000698040017 -:041E260026F88540D5 -:041E27001010037024 -:041E280000000004B2 -:041E290078303436A3 -:041E2A0069303639AC -:041E2B005000000063 -:041E2C00032001E0AE -:041E2D00213083409D -:041E2E00B41002608A -:041E2F000000000DA2 -:041E300030383031E5 -:041E31000000006944 -:041E3200F0050000B7 -:041E33000898021CED -:041E340010948CA0DA -:041E3500B810052CB0 -:041E36000000000D9B -:041E370030383031DE -:041E38000000007036 -:041E3900F0100000A5 -:041E3A0008980438C8 -:041E3B0024948CA0BF -:041E3C001810052C49 -:041E3D00000000049D -:041E3E0030303631D9 -:041E3F003032317894 -:041E4000C8000030A6 -:041E4100087004B071 -:041E42002EFF9C4093 -:041E4300101003F187 -:041E44000000000496 -:041E45007665642F2B -:041E46006370652F31 -:041E47006F635F71F5 -:041E48006F72746ED3 -:041E490072656C6CE6 -:041E4A00615F305F45 -:041E4B006D5F6C76E5 -:041E4C0000006D65C0 -:041E4D002B302D23E6 -:041E4E000000002070 -:041E4F00004C6C686F -:041E50004567666517 -:041E51000000474600 -:041E520033323130C6 -:041E530037363534B5 -:041E54004241393896 -:041E55004645444377 -:041E56000000000088 -:041E570033323130C1 -:041E580037363534B0 -:041E59006261393851 -:041E5A0066656463F2 -:041E5B000000000083 -:041E5C001A808080E8 -:041E5D0000081A1A45 -:041E5E000000000080 -:041E5F00000000007F -:041E600000017914F0 +:041D4200783034368B +:041D43000030303408 +:041D440030303631D4 +:041D4500303432788C +:041D4600C8000000D1 +:041D470007FE00F0A3 +:041D48000FCA20C0DE +:041D490032100396BB +:041D4A000020000273 +:041D4B0030383231C9 +:041D4C003034327885 +:041D4D00A0000000F2 +:041D4E00061800F083 +:041D4F000FAA20C0F7 +:041D50003210034802 +:041D51000001020289 +:041D52007830363976 +:041D530000303432F6 +:041D54007800000013 +:041D5500049200F004 +:041D56000F8020C01A +:041D5700321003360D +:041D58000000040281 +:041D59007832313576 +:041D5A0000303432EF +:041D5B004000000044 +:041D5C0002AA00F0E7 +:041D5D000E4D20C047 +:041D5E00321003320A +:041D5F000042081026 +:041D60007834383368 +:041D610000303432E8 +:041D6200300000004D +:041D6300020000F08A +:041D64000E3B20C052 +:041D65003210032510 +:041D660000841020C5 +:041D6700783032336B +:041D680000303432E1 +:041D6900280000004E +:041D6A0001AA00F0DA +:041D6B000E3120C055 +:041D6C003210031F0F +:041D6D000108204009 +:041D6E00783635325C +:041D6F0000303432DA +:041D7000200000004F +:041D7100015500F028 +:041D72000E2720C058 +:041D7300321003190E +:041D74000210408099 +:041D75007030343264 +:041D76000000000069 +:041D77005A08000006 +:041D7800035A00F01A +:041D79000F3920C03E +:041D7A003210033EE2 +:041D7B000000000E56 +:041D7C00303036319C +:041D7D003034327854 +:041D7E00C800004C4D +:041D7F0007FE00F06B +:041D800029CA270045 +:041D81003210039683 +:041D8200002000023B +:041D83003038323191 +:041D84003838327841 +:041D8500A0000000BA +:041D8600061801201A +:041D87000FAA270078 +:041D880032100348CA +:041D89000001020251 +:041D8A00783036393E +:041D8B0000383832B2 +:041D8C0078000000DB +:041D8D00049201209B +:041D8E000F8027009B +:041D8F0032100336D5 +:041D90000000040249 +:041D9100783231353E +:041D92004C3034326B +:041D930040000042CA +:041D940002AA00F0AF +:041D9500294D2700AD +:041D960032100332D2 +:041D970000420810EE +:041D98007834383330 +:041D99004C30343264 +:041D9A0030000042D3 +:041D9B00020000F052 +:041D9C00293B2700B8 +:041D9D0032100325D8 +:041D9E00008410208D +:041D9F007830323333 +:041DA0004C3034325D +:041DA10028000042D4 +:041DA20001AA00F0A2 +:041DA30029312700BB +:041DA4003210031FD7 +:041DA50001082040D1 +:041DA6007836353224 +:041DA7004C30343256 +:041DA80020000042D5 +:041DA900015500F0F0 +:041DAA0029272700BE +:041DAB0032100319D6 +:041DAC000210408061 +:041DAD007038383220 +:041DAE000000000031 +:041DAF005A170000BF +:041DB00003600120AB +:041DB10013452700AF +:041DB2003210033FA9 +:041DB3000000000E1E +:041DB4007830383417 +:041DB5000030363391 +:041DB6003C000000ED +:041DB7000258016865 +:041DB8000A3F2EE0D0 +:041DB90044100326A9 +:041DBA000000000E17 +:041DBB007830343216 +:041DBC00003036338A +:041DBD002000000002 +:041DBE00012C01688B +:041DBF000A182EE0F0 +:041DC00044100312B6 +:041DC100000081009D +:041DC200703438330E +:041DC300000000001C +:041DC4003E000000DD +:041DC5000280018017 +:041DC6001D3234E0B6 +:041DC7004410033E83 +:041DC8000000000E09 +:041DC900303036314F +:041DCA003030347809 +:041DCB00C80000004C +:041DCC0007D00190AB +:041DCD002278382020 +:041DCE00501002F0BF +:041DCF00000002000E +:041DD00078303237FE +:041DD100003030347A +:041DD2005A000000B3 +:041DD30003840190F4 +:041DD4002240382051 +:041DD5005010026048 +:041DD6000000000CFD +:041DD70078303436F6 +:041DD8000030303473 +:041DD90050000000B6 +:041DDA000320019051 +:041DDB00223038205A +:041DDC005010026041 +:041DDD000000000CF6 +:041DDE0078303436EF +:041DDF000034383361 +:041DE00050000000AF +:041DE100032001805A +:041DE2003F303D80D1 +:041DE300501002603A +:041DE4000000000EED +:041DE50069303834F5 +:041DE60000000000F9 +:041DE7005A06000098 +:041DE800035A00F0AA +:041DE9000F3941A0CD +:041DEA007210033E32 +:041DEB000001020FE2 +:041DEC0070303834E7 +:041DED0000000000F2 +:041DEE005A02000095 +:041DEF00035A01E0B2 +:041DF0001E3C41A0B4 +:041DF1009410063E06 +:041DF2000000000CE1 +:041DF30078303436DA +:041DF400003038344F +:041DF5005001000099 +:041DF600032001E0E5 +:041DF700213041A0B6 +:041DF80094100260E1 +:041DF9000000000CDA +:041DFA0078303436D3 +:041DFB00003231354C +:041DFC005000000093 +:041DFD0003200200BD +:041DFE001C3047004E +:041DFF0094100260DA +:041E00000000000CD2 +:041E010069363735D2 +:041E020000000000DC +:041E03005A1500006C +:041E04000360012056 +:041E050013454E2013 +:041E06007210033F14 +:041E07000001020FC5 +:041E080070363735C4 +:041E090000000000D5 +:041E0A005A11000069 +:041E0B00036002402E +:041E0C0027444E20F9 +:041E0D0084100540F8 +:041E0E000000000CC4 +:041E0F0078303038BF +:041E10000030303638 +:041E11006400000069 +:041E1200042002584E +:041E130017584E808E +:041E14001010048026 +:041E150000000004C5 +:041E160070303237BF +:041E170000000000C7 +:041E1800A004000022 +:041E1900067202D07B +:041E1A0014DC5DC0B7 +:041E1B00181005286E +:041E1C0000000004BE +:041E1D0034323031FA +:041E1E0038363778A3 +:041E1F00800000003F +:041E20000540030076 +:041E21001DA064C0DC +:041E2200101006880E +:041E230000000004B7 +:041E240030383231EF +:041E250032303178AE +:041E2600A0000034E4 +:041E27000698040015 +:041E280026F88540D3 +:041E29001010037022 +:041E2A0000000004B0 +:041E2B0078303436A1 +:041E2C0069303639AA +:041E2D005000000061 +:041E2E00032001E0AC +:041E2F00213083409B +:041E3000B410026088 +:041E31000000000DA0 +:041E320030383031E3 +:041E33000000006942 +:041E3400F0050000B5 +:041E35000898021CEB +:041E360010948CA0D8 +:041E3700B810052CAE +:041E38000000000D99 +:041E390030383031DC +:041E3A000000007034 +:041E3B00F0100000A3 +:041E3C0008980438C6 +:041E3D0024948CA0BD +:041E3E001810052C47 +:041E3F00000000049B +:041E400030303631D7 +:041E41003032317892 +:041E4200C8000030A4 +:041E4300087004B06F +:041E44002EFF9C4091 +:041E4500101003F185 +:041E46000000000494 +:041E47007665642F29 +:041E48006370652F2F +:041E49006F635F71F3 +:041E4A006F72746ED1 +:041E4B0072656C6CE4 +:041E4C00615F305F43 +:041E4D006D5F6C76E3 +:041E4E0000006D65BE +:041E4F002B302D23E4 +:041E5000000000206E +:041E5100004C6C686D +:041E52004567666515 +:041E530000004746FE +:041E540033323130C4 +:041E550037363534B3 +:041E56004241393894 +:041E57004645444375 +:041E58000000000086 +:041E590033323130BF +:041E5A0037363534AE +:041E5B00626139384F +:041E5C0066656463F0 +:041E5D000000000081 +:041E5E001A808080E6 +:041E5F0000081A1A43 +:041E6000000000007E :041E6100000000007D -:041E6200000000007C -:041E63000001035C1B -:041E64000001041C59 -:041E6500000101CEA9 -:041E6600000101EA8C -:041E6700000102363E -:041E680000800000F6 -:041E690000800000F5 -:041E6A000000000074 -:041E6B000000000073 +:041E62000001791CE6 +:041E6300000000007B +:041E6400000000007A +:041E65000001035C19 +:041E66000001041C57 +:041E6700000101CEA7 +:041E6800000101EA8A +:041E6900000102363C +:041E6A0000800000F4 +:041E6B0000800000F3 :041E6C000000000072 :041E6D000000000071 :041E6E000000000070 @@ -7817,628 +7817,628 @@ :041E88000000000056 :041E89000000000055 :041E8A000000000054 -:041E8B0000010174DD -:041E8C0000800000D2 -:041E8D000100000050 -:041E8E00000201004D -:041E8F0000800000CF -:041E9000000000014D -:041E910000000080CD -:041E9200000100004B -:041E9300000001004A -:041E9400000000004A -:041E9500020E04092C -:041E96003D0E00C835 -:041E97003F6E038314 -:041E98003ED03DAC4F -:041E990000000383BF -:041E9A00026404B228 -:041E9B003C9300E98B -:041E9C003F56041693 -:041E9D003E9F3D49DE -:041E9E000000041626 -:041E9F00017804E5DD -:041EA0003CCE0081B3 -:041EA1003FAE0383CA -:041EA2003F333D4944 -:041EA30000000383B5 -:041EA40001B405B8C8 -:041EA5003C49009321 -:041EA6003F9F041640 -:041EA7003F103CD9D3 -:041EA800000004161C -:041EA900000171586B -:041EAA000001715C66 -:041EAB000001716C55 -:041EAC000001657458 -:041EAD000001658447 -:041EAE00000165903A -:041EAF000001659C2D -:041EB000000165A820 -:041EB100000165B413 -:041EB200000165C006 -:041EB300000165CCF9 -:041EB400000165D8EC -:041EB500000165E4DF -:041EB600000165F0D2 -:041EB7000001732093 -:041EB8000001732C86 -:041EB9000001733C75 -:041EBA000001734C64 -:041EBB000001735C53 -:041EBC000001736C42 -:041EBD00000173208D -:041EBE000001732C80 -:041EBF000001733C6F -:041EC0000001734C5E -:041EC1000001735C4D -:041EC200000172FCAD -:041EC300000173089F -:041EC4000001731492 -:041EC500000171584F -:041EC6000001719412 -:041EC7000001719015 -:041EC800000171980C -:041EC9000001711C87 -:041ECA00000171247E -:041ECB000001712C75 -:041ECC00000171346C -:041ECD000001713C63 -:041ECE00000171405E -:041ECF000001714855 -:041ED000000171504C -:041ED1000001709C00 -:041ED200000000020A -:041ED300000186C2C2 -:041ED4000AF0012CE3 -:041ED500000137FCD5 -:041ED600000170ACEB -:041ED7000000000205 -:041ED800000186C8B7 -:041ED90000130000F2 -:041EDA00000137FCD0 -:041EDB00000170BCD6 -:041EDC000000000200 -:041EDD00000186CAB0 -:041EDE0000FF000AF7 -:041EDF00000137FCCB -:041EE000000170C8C5 -:041EE10000000002FB -:041EE200000186B6BF -:041EE30000FF0001FB -:041EE400000137FCC6 -:041EE500000170D8B0 -:041EE60000000002F6 -:041EE700000186C0B0 -:041EE800078000C8A7 -:041EE900000137FCC1 -:041EEA00000170E49F -:041EEB0000000002F1 -:041EEC00000186BCAF -:041EED0000070001E9 -:041EEE00000137FCBC -:041EEF00000170F08E -:041EF00000000002EC -:041EF100000186C6A0 -:041EF200003F0001AC -:041EF300000137FCB7 -:041EF4000001710078 -:041EF50000000002E7 -:041EF600000186BEA3 -:041EF70004B000A093 -:041EF800000137FCB2 -:041EF9000001710C67 -:041EFA0000000002E2 -:041EFB00000186B8A4 -:041EFC00001F0000C3 -:041EFD00000137FCAD -:041EFE0000016C80F3 -:041EFF0000000000DF -:041F00000001865EF8 -:041F010000010001DA -:041F020000018468EE -:041F030000016C90DD -:041F040000000000D9 -:041F05000001865FF2 -:041F060000010001D5 -:041F070000018470E1 -:041F080000016CA0C8 -:041F090000000001D3 -:041F0A0000018660EC -:041F0B0000180000BA -:041F0C0000013AA2F4 -:041F0D0000016CB0B3 -:041F0E0000000000CF -:041F0F0000018659EE -:041F100000010001CB -:041F110000018470D7 -:041F120000016CC09E -:041F130000000000CA -:041F14000001865AE8 -:041F150000010001C6 -:041F160000018470D2 -:041F170000016CD485 -:041F180000000000C5 -:041F19000001865BE2 -:041F1A0000010001C1 -:041F1B0000018470CD -:041F1C0000016CE470 -:041F1D0000000001BF -:041F1E0000018661D7 -:041F1F00001F00009F -:041F2000000139D2B1 -:041F210000016CF857 -:041F220000000000BB -:041F23000001865CD7 -:041F240000010001B7 -:041F250000018470C3 -:041F260000016FD473 -:041F270000000001B5 -:041F280000018630FE -:041F290000100000A4 -:041F2A00000139AACF -:041F2B0000016FE45E -:041F2C0000000001B0 -:041F2D0000018631F8 -:041F2E00001000009F -:041F2F00000139AACA -:041F300000016FF449 -:041F310000000001AB -:041F320000018632F2 -:041F3300001000009A -:041F3400000139AAC5 -:041F35000001700433 -:041F360000000001A6 -:041F370000018633EC -:041F38000010000095 -:041F3900000139AAC0 -:041F3A00000170141E -:041F3B0000000001A1 -:041F3C0000018634E6 -:041F3D000010000090 -:041F3E00000139AABB -:041F3F000001702409 -:041F4000000000019C -:041F410000018635E0 -:041F4200001000008B -:041F4300000139AAB6 -:041F440000017038F0 -:041F45000000000197 -:041F460000018636DA -:041F47000010000086 -:041F4800000139AAB1 -:041F49000001704CD7 -:041F4A000000000192 -:041F4B0000018637D4 -:041F4C000010000081 -:041F4D00000139AAAC -:041F4E0000017060BE -:041F4F00000000018D -:041F500000018638CE -:041F5100001000007C -:041F5200000139AAA7 -:041F530000017074A5 -:041F54000000000188 -:041F550000018639C8 -:041F56000010000077 -:041F5700000139AAA2 -:041F5800000170888C -:041F59000000000183 -:041F5A000001863AC2 -:041F5B000010000072 -:041F5C00000139AA9D -:041F5D0000016AC451 -:041F5E00000000037C -:041F5F0000018504F4 -:041F6000000000007D -:041F6100000000007C -:041F620000016AD838 -:041F63000000000377 -:041F6400000184E410 -:041F65000000000078 -:041F66000000000077 -:041F670000016AEC1F -:041F68000000000372 -:041F6900000184FCF3 -:041F6A000000000073 -:041F6B000000000072 -:041F6C0000016B0005 -:041F6D00000000036D -:041F6E00000184D416 -:041F6F00000000006E -:041F7000000000006D -:041F710000016B14EC -:041F72000000000368 -:041F7300000184ECF9 -:041F74000000000069 -:041F75000000000068 -:041F760000016B28D3 -:041F77000000000363 -:041F7800000184DC04 -:041F79000000000064 -:041F7A000000000063 -:041F7B0000016B3CBA -:041F7C00000000035E -:041F7D00000184BC1F -:041F7E00000000005F -:041F7F00000000005E -:041F800000016B50A1 -:041F81000000000359 -:041F8200000184B422 -:041F8300000000005A -:041F84000000000059 -:041F850000016B6488 -:041F86000000000354 -:041F8700000184F4DD -:041F88000000000055 -:041F89000000000054 -:041F8A0000016DECF9 +:041E8B000000000053 +:041E8C000000000052 +:041E8D0000010174DB +:041E8E0000800000D0 +:041E8F00010000004E +:041E9000000201004B +:041E910000800000CD +:041E9200000000014B +:041E930000000080CB +:041E94000001000049 +:041E95000000010048 +:041E96000000000048 +:041E9700020E04092A +:041E98003D0E00C833 +:041E99003F6E038312 +:041E9A003ED03DAC4D +:041E9B0000000383BD +:041E9C00026404B226 +:041E9D003C9300E989 +:041E9E003F56041691 +:041E9F003E9F3D49DC +:041EA0000000041624 +:041EA100017804E5DB +:041EA2003CCE0081B1 +:041EA3003FAE0383C8 +:041EA4003F333D4942 +:041EA50000000383B3 +:041EA60001B405B8C6 +:041EA7003C4900931F +:041EA8003F9F04163E +:041EA9003F103CD9D1 +:041EAA00000004161A +:041EAB000001716061 +:041EAC00000171645C +:041EAD00000171744B +:041EAE000001657C4E +:041EAF000001658C3D +:041EB0000001659830 +:041EB100000165A423 +:041EB200000165B016 +:041EB300000165BC09 +:041EB400000165C8FC +:041EB500000165D4EF +:041EB600000165E0E2 +:041EB700000165ECD5 +:041EB800000165F8C8 +:041EB9000001732889 +:041EBA00000173347C +:041EBB00000173446B +:041EBC00000173545A +:041EBD000001736449 +:041EBE000001737438 +:041EBF000001732883 +:041EC0000001733476 +:041EC1000001734465 +:041EC2000001735454 +:041EC3000001736443 +:041EC40000017304A2 +:041EC5000001731095 +:041EC6000001731C88 +:041EC7000001716045 +:041EC8000001719C08 +:041EC900000171980B +:041ECA00000171A002 +:041ECB00000171247D +:041ECC000001712C74 +:041ECD00000171346B +:041ECE000001713C62 +:041ECF000001714459 +:041ED0000001714854 +:041ED100000171504B +:041ED2000001715842 +:041ED300000170A4F6 +:041ED4000000000208 +:041ED500000186CAB8 +:041ED6000AF0012CE1 +:041ED70000013806C8 +:041ED800000170B4E1 +:041ED9000000000203 +:041EDA00000186D0AD +:041EDB0000130000F0 +:041EDC0000013806C3 +:041EDD00000170C4CC +:041EDE0000000002FE +:041EDF00000186D2A6 +:041EE00000FF000AF5 +:041EE10000013806BE +:041EE200000170D0BB +:041EE30000000002F9 +:041EE400000186BEB5 +:041EE50000FF0001F9 +:041EE60000013806B9 +:041EE700000170E0A6 +:041EE80000000002F4 +:041EE900000186C8A6 +:041EEA00078000C8A5 +:041EEB0000013806B4 +:041EEC00000170EC95 +:041EED0000000002EF +:041EEE00000186C4A5 +:041EEF0000070001E7 +:041EF00000013806AF +:041EF100000170F884 +:041EF20000000002EA +:041EF300000186CE96 +:041EF400003F0001AA +:041EF50000013806AA +:041EF600000171086E +:041EF70000000002E5 +:041EF800000186C699 +:041EF90004B000A091 +:041EFA0000013806A5 +:041EFB00000171145D +:041EFC0000000002E0 +:041EFD00000186C09A +:041EFE00001F0000C1 +:041EFF0000013806A0 +:041F000000016C88E8 +:041F010000000000DC +:041F020000018666EE +:041F030000010001D8 +:041F040000018470E4 +:041F050000016C98D3 +:041F060000000000D7 +:041F070000018667E8 +:041F080000010001D3 +:041F090000018478D7 +:041F0A0000016CA8BE +:041F0B0000000001D1 +:041F0C0000018668E2 +:041F0D0000180000B8 +:041F0E0000013AACE8 +:041F0F0000016CB8A9 +:041F100000000000CD +:041F110000018661E4 +:041F120000010001C9 +:041F130000018478CD +:041F140000016CC894 +:041F150000000000C8 +:041F160000018662DE +:041F170000010001C4 +:041F180000018478C8 +:041F190000016CDC7B +:041F1A0000000000C3 +:041F1B0000018663D8 +:041F1C0000010001BF +:041F1D0000018478C3 +:041F1E0000016CEC66 +:041F1F0000000001BD +:041F200000018669CD +:041F2100001F00009D +:041F2200000139DCA5 +:041F230000016D004C +:041F240000000000B9 +:041F250000018664CD +:041F260000010001B5 +:041F270000018478B9 +:041F280000016FDC69 +:041F290000000001B3 +:041F2A0000018638F4 +:041F2B0000100000A2 +:041F2C00000139B4C3 +:041F2D0000016FEC54 +:041F2E0000000001AE +:041F2F0000018639EE +:041F3000001000009D +:041F3100000139B4BE +:041F320000016FFC3F +:041F330000000001A9 +:041F34000001863AE8 +:041F35000010000098 +:041F3600000139B4B9 +:041F37000001700C29 +:041F380000000001A4 +:041F39000001863BE2 +:041F3A000010000093 +:041F3B00000139B4B4 +:041F3C000001701C14 +:041F3D00000000019F +:041F3E000001863CDC +:041F3F00001000008E +:041F4000000139B4AF +:041F41000001702CFF +:041F4200000000019A +:041F43000001863DD6 +:041F44000010000089 +:041F4500000139B4AA +:041F460000017040E6 +:041F47000000000195 +:041F48000001863ED0 +:041F49000010000084 +:041F4A00000139B4A5 +:041F4B0000017054CD +:041F4C000000000190 +:041F4D000001863FCA +:041F4E00001000007F +:041F4F00000139B4A0 +:041F500000017068B4 +:041F5100000000018B +:041F520000018640C4 +:041F5300001000007A +:041F5400000139B49B +:041F55000001707C9B +:041F56000000000186 +:041F570000018641BE +:041F58000010000075 +:041F5900000139B496 +:041F5A000001709082 +:041F5B000000000181 +:041F5C0000018642B8 +:041F5D000010000070 +:041F5E00000139B491 +:041F5F0000016ACC47 +:041F6000000000037A +:041F61000001850CEA +:041F6200000000007B +:041F6300000000007A +:041F640000016AE02E +:041F65000000000375 +:041F6600000184EC06 +:041F67000000000076 +:041F68000000000075 +:041F690000016AF415 +:041F6A000000000370 +:041F6B0000018504E8 +:041F6C000000000071 +:041F6D000000000070 +:041F6E0000016B08FB +:041F6F00000000036B +:041F7000000184DC0C +:041F7100000000006C +:041F7200000000006B +:041F730000016B1CE2 +:041F74000000000366 +:041F7500000184F4EF +:041F76000000000067 +:041F77000000000066 +:041F780000016B30C9 +:041F79000000000361 +:041F7A00000184E4FA +:041F7B000000000062 +:041F7C000000000061 +:041F7D0000016B44B0 +:041F7E00000000035C +:041F7F00000184C415 +:041F8000000000005D +:041F8100000000005C +:041F820000016B5897 +:041F83000000000357 +:041F8400000184BC18 +:041F85000000000058 +:041F86000000000057 +:041F870000016B6C7E +:041F88000000000352 +:041F8900000184FCD3 +:041F8A000000000053 :041F8B000000000052 -:041F8C000001864189 -:041F8D00000400014B -:041F8E000001833C8F -:041F8F0000016DFCE4 -:041F9000000000004D -:041F91000001864283 -:041F92000004000146 -:041F93000001835076 -:041F940000016E0CCE -:041F95000000000048 -:041F9600000186437D -:041F97000003000142 -:041F9800000183645D -:041F990000016E1CB9 -:041F9A000000000043 -:041F9B000001864477 -:041F9C00000100013F -:041F9D00000184803B -:041F9E0000016E2CA4 -:041F9F00000000003E -:041FA0000001864571 -:041FA100000100013A -:041FA200000184783E -:041FA30000016E3C8F -:041FA4000000000039 -:041FA5000001863C75 -:041FA6000004000132 -:041FA70000017ADCDF -:041FA80000016E487E -:041FA9000000000034 -:041FAA000001863D6F -:041FAB00000500012C -:041FAC0000017AF0C6 -:041FAD0000016E546D -:041FAE00000000002F -:041FAF000001863E69 -:041FB0000004000128 -:041FB10000017ADCD5 -:041FB20000016E605C -:041FB300000000002A -:041FB4000001863F63 -:041FB5000004000123 -:041FB60000017ADCD0 -:041FB70000016E6C4B -:041FB8000000000025 -:041FB900000186405D -:041FBA000002000120 -:041FBB0000017B089E -:041FBC0000016E7C36 -:041FBD000000000020 -:041FBE000001864652 -:041FBF00000100011C -:041FC0000001846038 -:041FC10000016E8C21 -:041FC200000000001B -:041FC3000001864B48 -:041FC4000002000116 -:041FC500000183ACE8 -:041FC60000016E9414 -:041FC7000000000016 -:041FC8000001864C42 -:041FC9000001000112 -:041FCA00000184701E -:041FCB0000016D089C -:041FCC000000000110 -:041FCD000001864742 -:041FCE0000FF000010 -:041FCF0000013A8C47 -:041FD00000016D1887 -:041FD100000000010B -:041FD200000186483C -:041FD300003F0000CB -:041FD40000013A8C42 -:041FD50000016D2872 -:041FD6000000000007 -:041FD7000001864A35 -:041FD80000070000FE -:041FD90000017B2464 -:041FDA0000016D3461 -:041FDB000000000101 -:041FDC000001864931 -:041FDD00000F0000F1 -:041FDE00000139D2F3 -:041FDF0000016D444C -:041FE00000000001FC -:041FE1000001865D18 -:041FE200001F0000DC -:041FE300000139D2EE -:041FE40000016D503B -:041FE50000000004F4 -:041FE60000012526AB -:041FE70000016A7C0F -:041FE80000000000F5 -:041FE90000016F0480 +:041F8C0000016DF4EF +:041F8D000000000050 +:041F8E00000186497F +:041F8F000004000149 +:041F90000001834485 +:041F910000016E04D9 +:041F9200000000004B +:041F93000001864A79 +:041F94000004000144 +:041F9500000183586C +:041F960000016E14C4 +:041F97000000000046 +:041F98000001864B73 +:041F99000003000140 +:041F9A000001836C53 +:041F9B0000016E24AF +:041F9C000000000041 +:041F9D000001864C6D +:041F9E00000100013D +:041F9F000001848831 +:041FA00000016E349A +:041FA100000000003C +:041FA2000001864D67 +:041FA3000001000138 +:041FA4000001848034 +:041FA50000016E4485 +:041FA6000000000037 +:041FA700000186446B +:041FA8000004000130 +:041FA90000017AE4D5 +:041FAA0000016E5074 +:041FAB000000000032 +:041FAC000001864565 +:041FAD00000500012A +:041FAE0000017AF8BC +:041FAF0000016E5C63 +:041FB000000000002D +:041FB100000186465F +:041FB2000004000126 +:041FB30000017AE4CB +:041FB40000016E6852 +:041FB5000000000028 +:041FB6000001864759 +:041FB7000004000121 +:041FB80000017AE4C6 +:041FB90000016E7441 +:041FBA000000000023 +:041FBB000001864853 +:041FBC00000200011E +:041FBD0000017B1094 +:041FBE0000016E842C +:041FBF00000000001E +:041FC0000001864E48 +:041FC100000100011A +:041FC200000184682E +:041FC30000016E9417 +:041FC4000000000019 +:041FC500000186533E +:041FC6000002000114 +:041FC700000183B4DE +:041FC80000016E9C0A +:041FC9000000000014 +:041FCA000001865438 +:041FCB000001000110 +:041FCC000001847814 +:041FCD0000016D1092 +:041FCE00000000010E +:041FCF000001864F38 +:041FD00000FF00000E +:041FD10000013A963B +:041FD20000016D207D +:041FD3000000000109 +:041FD4000001865032 +:041FD500003F0000C9 +:041FD60000013A9636 +:041FD70000016D3068 +:041FD8000000000005 +:041FD900000186522B +:041FDA0000070000FC +:041FDB0000017B2C5A +:041FDC0000016D3C57 +:041FDD0000000001FF +:041FDE000001865127 +:041FDF00000F0000EF +:041FE000000139DCE7 +:041FE10000016D4C42 +:041FE20000000001FA +:041FE300000186650E +:041FE400001F0000DA +:041FE500000139DCE2 +:041FE60000016D5831 +:041FE70000000004F2 +:041FE800000125309F +:041FE90000016A8405 :041FEA0000000000F3 -:041FEB000001864D1E -:041FEC0000020001EE -:041FED0000018374F8 -:041FEE0000016F146B -:041FEF0000000000EE -:041FF0000001864E18 -:041FF10000010001EA -:041FF20000018490D6 -:041FF30000016F2456 -:041FF40000000000E9 -:041FF5000001864F12 -:041FF60000010001E5 -:041FF70000018470F1 -:041FF80000016F383D -:041FF90000000000E4 -:041FFA00000186500C -:041FFB0000010001E0 -:041FFC0000018470EC -:041FFD0000016F4C24 -:041FFE0000000003DC -:041FFF00000184ACAD -:042000000001744423 -:04200100000137861D -:0420020000016D600C -:0420030000000000D9 -:042004000001862829 -:0420050000020001D4 -:0420060000018380D2 -:0420070000016D6CFB -:0420080000000001D3 -:042009000001862E1E -:04200A00000F0000C3 -:04200B0000013A6630 -:04200C0000016D7CE6 -:04200D0000000001CE -:04200E000001862A1D -:04200F00001C0000B1 -:04201000000139CEC4 -:0420110000016D8CD1 -:0420120000000000CA -:042013000001862B17 -:0420140000010001C6 -:04201500000184A0A2 -:0420160000016D98C0 -:0420170000000000C5 -:042018000001862C11 -:0420190000010001C1 -:04201A0000018470CD -:04201B0000016DA8AB -:04201C0000000000C0 -:04201D000001862F09 -:04201E0000010001BC -:04201F0000018498A0 -:0420200000016DB896 -:0420210000000000BB -:042022000001862D06 -:0420230000010001B7 -:0420240000018470C3 -:0420250000016DCC7D -:0420260000000000B6 -:042027000001862905 -:0420280000030001B0 -:042029000001838CA3 -:04202A0000016DD86C -:04202B0000000003AE -:04202C00000184C467 -:04202D0000000000AF -:04202E0000000000AE -:04202F0000016B78C9 -:0420300000000004A8 -:0420310000012292F6 -:0420320000016AA09F -:0420330000000000A9 -:0420340000016B88B4 -:0420350000000004A3 -:04203600000122F48F -:0420370000016AA09A -:0420380000000000A4 -:0420390000016B989F -:04203A00000000049E -:04203B0000012C680C -:04203C0000000000A0 -:04203D00000000009F -:04203E0000016BAC86 -:04203F00000000019C -:0420400000018669AC -:04204100000A01018F -:0420420000013AF26D -:0420430000016BC06D -:042044000000000098 -:04204500000185AA67 -:042046000001000194 -:0420470000018470A0 -:0420480000016BD454 -:042049000000000093 -:04204A0000018555B7 -:04204B00000A000186 -:04204C0000017AB065 -:04204D0000016BE43F -:04204E00000000008E -:04204F0000018624E2 -:042050000002000189 -:0420510000017AA46C -:0420520000016BF826 -:042053000000000089 -:042054000001858A78 -:042055000001000185 -:042056000001848879 -:0420570000016C0810 -:042058000000000084 -:042059000001844FAF -:04205A000001000180 -:04205B000001848874 -:04205C0000016C18FB -:04205D00000000007F -:04205E000001855C9C -:04205F00000100017B -:04206000000184886F -:0420610000016C28E6 -:04206200000000007A -:04206300000185965D -:042064000003000174 -:0420650000017B14E7 -:0420660000016C38D1 -:042067000000000075 -:04206800000184549B -:042069000001000171 -:04206A00000184707D -:04206B0000016C44C0 -:04206C000000000070 -:04206D000001858663 -:04206E00000300016A -:04206F000001832CBD -:0420700000016C58A7 -:042071000000000467 -:04207200000141C464 -:042073000000000069 -:042074000000000068 -:0420750000016C6C8E -:042076000000000462 -:04207700000133A091 -:042078000000000064 -:042079000000000063 -:04207A0000016EA053 +:041FEB0000016F0C76 +:041FEC0000000000F1 +:041FED000001865514 +:041FEE0000020001EC +:041FEF000001837CEE +:041FF00000016F1C61 +:041FF10000000000EC +:041FF200000186560E +:041FF30000010001E8 +:041FF40000018498CC +:041FF50000016F2C4C +:041FF60000000000E7 +:041FF7000001865708 +:041FF80000010001E3 +:041FF90000018478E7 +:041FFA0000016F4033 +:041FFB0000000000E2 +:041FFC000001865802 +:041FFD0000010001DE +:041FFE0000018478E2 +:041FFF0000016F541A +:0420000000000003D9 +:04200100000184B4A2 +:042002000001744C19 +:042003000001379011 +:0420040000016D6802 +:0420050000000000D7 +:04200600000186301F +:0420070000020001D2 +:0420080000018388C8 +:0420090000016D74F1 +:04200A0000000001D1 +:04200B000001863614 +:04200C00000F0000C1 +:04200D0000013A7024 +:04200E0000016D84DC +:04200F0000000001CC +:042010000001863213 +:04201100001C0000AF +:04201200000139D8B8 +:0420130000016D94C7 +:0420140000000000C8 +:04201500000186330D +:0420160000010001C4 +:04201700000184A898 +:0420180000016DA0B6 +:0420190000000000C3 +:04201A000001863407 +:04201B0000010001BF +:04201C0000018478C3 +:04201D0000016DB0A1 +:04201E0000000000BE +:04201F0000018637FF +:0420200000010001BA +:04202100000184A096 +:0420220000016DC08C +:0420230000000000B9 +:0420240000018635FC +:0420250000010001B5 +:0420260000018478B9 +:0420270000016DD473 +:0420280000000000B4 +:0420290000018631FB +:04202A0000030001AE +:04202B000001839499 +:04202C0000016DE062 +:04202D0000000003AC +:04202E00000184CC5D +:04202F0000000000AD +:0420300000000000AC +:0420310000016B80BF +:0420320000000004A6 +:042033000001229CEA +:0420340000016AA895 +:0420350000000000A7 +:0420360000016B90AA +:0420370000000004A1 +:04203800000122FE83 +:0420390000016AA890 +:04203A0000000000A2 +:04203B0000016BA095 +:04203C00000000049C +:04203D0000012C7200 +:04203E00000000009E +:04203F00000000009D +:0420400000016BB47C +:04204100000000019A +:0420420000018671A2 +:04204300000A01018D +:0420440000013AFC61 +:0420450000016BC863 +:042046000000000096 +:04204700000185B25D +:042048000001000192 +:042049000001847896 +:04204A0000016BDC4A +:04204B000000000091 +:04204C000001855DAD +:04204D00000A000184 +:04204E0000017AB85B +:04204F0000016BEC35 +:04205000000000008C +:042051000001862CD8 +:042052000002000187 +:0420530000017AAC62 +:0420540000016C001B +:042055000000000087 +:04205600000185926E +:042057000001000183 +:04205800000184906F +:0420590000016C1006 +:04205A000000000082 +:04205B0000018457A5 +:04205C00000100017E +:04205D00000184906A +:04205E0000016C20F1 +:04205F00000000007D +:042060000001856492 +:042061000001000179 +:042062000001849065 +:0420630000016C30DC +:042064000000000078 +:042065000001859E53 +:042066000003000172 +:0420670000017B1CDD +:0420680000016C40C7 +:042069000000000073 +:04206A000001845C91 +:04206B00000100016F +:04206C000001847873 +:04206D0000016C4CB6 +:04206E00000000006E +:04206F000001858E59 +:042070000003000168 +:0420710000018334B3 +:0420720000016C609D +:042073000000000465 +:04207400000141CE58 +:042075000000000067 +:042076000000000066 +:0420770000016C7484 +:042078000000000460 +:04207900000133AA85 +:04207A000000000062 :04207B000000000061 -:04207C000001865584 -:04207D00000300015B -:04207E000001839C3E -:04207F0000016EB03E -:04208000000000015B -:042081000001865282 -:04208200001F00003B -:0420830000013A42DC -:0420840000016EC029 -:042085000000000156 -:04208600000186537C -:0420870000FF000056 -:04208800000139FE1C -:0420890000016ED014 -:04208A000000000151 -:04208B000001865476 -:04208C0000C80A007E -:04208D00000139FE17 -:04208E0000016EE0FF -:04208F00000000014C -:04209000000186576E -:042091000005000046 -:04209200000139E828 -:0420930000016EF0EA -:042094000000000147 -:042095000001865868 -:042096000005000041 -:04209700000139E823 -:0420980000016F6074 -:042099000000000043 -:04209A000001865665 -:04209B00000500013B -:04209C00000183B804 -:04209D0000016F6C63 -:04209E00000000003E -:04209F000001865165 -:0420A0000002000139 -:0420A100000183D0E7 -:0420A20000016F7C4E -:0420A3000000000138 -:0420A400000186624F -:0420A50000FF000038 -:0420A600000139D22A -:0420A70000016F883D -:0420A8000000000133 -:0420A9000001866349 -:0420AA0000FF000033 -:0420AB00000139D225 -:0420AC0000016F942C -:0420AD00000000012E -:0420AE000001866443 -:0420AF0000FF00002E -:0420B000000139D220 -:0420B10000016FA01B -:0420B2000000000129 -:0420B300000186653D -:0420B40000FF000029 -:0420B500000139D21B -:0420B60000016FAC0A -:0420B7000000000124 -:0420B8000001866637 -:0420B90000FF000024 -:0420BA00000139D216 -:0420BB0000016FB8F9 -:0420BC00000000011F -:0420BD000001866731 -:0420BE0000FF00001F -:0420BF00000139D211 -:0420C00000016FC4E8 -:0420C100000000011A -:0420C200000186682B -:0420C300000F00000A -:0420C400000139D20C -:0420C500000184CCC6 -:0420C6000000000016 -:0420C7000000000015 +:04207C0000016EA849 +:04207D00000000005F +:04207E000001865D7A +:04207F000003000159 +:04208000000183A434 +:0420810000016EB834 +:042082000000000159 +:042083000001865A78 +:04208400001F000039 +:0420850000013A4CD0 +:0420860000016EC81F +:042087000000000154 +:042088000001865B72 +:0420890000FF000054 +:04208A0000013A080F +:04208B0000016ED80A +:04208C00000000014F +:04208D000001865C6C +:04208E0000C80A007C +:04208F0000013A080A +:0420900000016EE8F5 +:04209100000000014A +:042092000001865F64 +:042093000005000044 +:04209400000139F21C +:0420950000016EF8E0 +:042096000000000145 +:04209700000186605E +:04209800000500003F +:04209900000139F217 +:04209A0000016F686A +:04209B000000000041 +:04209C000001865E5B +:04209D000005000139 +:04209E00000183C0FA +:04209F0000016F7459 +:0420A000000000003C +:0420A100000186595B +:0420A2000002000137 +:0420A300000183D8DD +:0420A40000016F8444 +:0420A5000000000136 +:0420A6000001866A45 +:0420A70000FF000036 +:0420A800000139DC1E +:0420A90000016F9033 +:0420AA000000000131 +:0420AB000001866B3F +:0420AC0000FF000031 +:0420AD00000139DC19 +:0420AE0000016F9C22 +:0420AF00000000012C +:0420B0000001866C39 +:0420B10000FF00002C +:0420B200000139DC14 +:0420B30000016FA811 +:0420B4000000000127 +:0420B5000001866D33 +:0420B60000FF000027 +:0420B700000139DC0F +:0420B80000016FB400 +:0420B9000000000122 +:0420BA000001866E2D +:0420BB0000FF000022 +:0420BC00000139DC0A +:0420BD0000016FC0EF +:0420BE00000000011D +:0420BF000001866F27 +:0420C00000FF00001D +:0420C100000139DC05 +:0420C20000016FCCDE +:0420C3000000000118 +:0420C4000001867021 +:0420C500000F000008 +:0420C600000139DC00 +:0420C700000184D4BC :0420C8000000000014 :0420C9000000000013 :0420CA000000000012 -:0420CB000001718817 -:0420CC000001718C12 +:0420CB000000000011 +:0420CC000000000010 :0420CD00000171900D -:0420CE000001715844 -:0420CF00000172702A -:0420D0000001728C0D -:0420D100000172E4B4 -:0420D200000172ECAB -:0420D300000172F4A2 -:0420D4000001727025 -:0420D5000001728C08 -:0420D60000017294FF -:0420D700000172A4EE -:0420D800000172B4DD -:0420D9000001727020 -:0420DA000001727C13 -:0420DB00000172C4CA -:0420DC00000172D4B9 -:0420DD00000172404C -:0420DE00000173C4C6 -:0420DF00000173D0B9 -:0420E0000001715832 -:0420E1000001724048 -:0420E200000172483F -:0420E300000171F493 -:0420E4000001720085 -:0420E5000001720C78 -:0420E6000001721C67 -:0420E7000001737C05 -:0420E8000001738CF4 -:0420E90000017398E7 -:0420EA000001715828 -:0420EB000001724C32 -:0420EC000001725825 -:0420ED000001726814 -:0420EE00000172403B -:0420EF000001715823 -:0420F000000173F880 -:0420F100000174086E -:0420F200000174185D -:0420F300000174284C -:0420F400000173E094 -:0420F500000173EC87 -:0420F6000001724033 -:0420F70000000000E5 -:0420F80000000000E4 +:0420CE000001719408 +:0420CF000001719803 +:0420D000000171603A +:0420D1000001727820 +:0420D2000001729403 +:0420D300000172ECAA +:0420D400000172F4A1 +:0420D500000172FC98 +:0420D600000172781B +:0420D70000017294FE +:0420D8000001729CF5 +:0420D900000172ACE4 +:0420DA00000172BCD3 +:0420DB000001727816 +:0420DC000001728409 +:0420DD00000172CCC0 +:0420DE00000172DCAF +:0420DF000001724842 +:0420E000000173CCBC +:0420E100000173D8AF +:0420E2000001716028 +:0420E300000172483E +:0420E4000001725035 +:0420E500000171FC89 +:0420E600000172087B +:0420E700000172146E +:0420E800000172245D +:0420E90000017384FB +:0420EA0000017394EA +:0420EB00000173A0DD +:0420EC00000171601E +:0420ED000001725428 +:0420EE00000172601B +:0420EF00000172700A +:0420F0000001724831 +:0420F1000001716019 +:0420F2000001740075 +:0420F3000001741064 +:0420F4000001742053 +:0420F5000001743042 +:0420F600000173E88A +:0420F700000173F47D +:0420F8000001724829 :0420F90000000000E3 :0420FA0000000000E2 :0420FB0000000000E1 @@ -8461,57 +8461,59 @@ :04210C0000000000CF :04210D0000000000CE :04210E0000000000CD -:04210F00000163CA9E -:042110000001844006 -:042111000001844005 -:042112000010801029 -:042113000100800047 -:042114000002400085 -:0421150000000001C5 -:042116000002800043 -:0421170000022000A2 -:042118000001732827 -:042119000001726CE3 -:04211A00000171C08F -:04211B00000171D47A -:04211C0000017158F5 -:04211D000001724803 -:04211E0000017270DA -:04211F000001727CCD -:0421200000017270D8 -:042121000001728CBB -:0421220000017178CF -:0421230000017180C6 -:04212400000173A49F -:04212500000173B48E -:04212600000171E85B -:04212700000171EC56 -:04212800000172241C -:04212900000172340B -:04212A00000183DC51 -:04212B0000000009A7 -:04212C0000017B44EF -:04212D0000000003AB -:04212E0000017BF839 -:04212F0000000005A7 -:0421300000017C34FA -:042131000000000B9F -:0421320000017C9894 -:04213300000000099F -:0421340000017D74B5 -:042135000000000D99 -:0421360000017E28FE -:04213700000000069E -:0421380000017F2CF7 -:04213900000000059D -:04213A0000017FA47D -:04213B000000000997 -:04213C000001800816 -:04213D000000000F8F -:04213E00000180BC60 -:04213F000000000696 -:04214000000181E831 -:042141000000000991 -:0421420000018260B6 -:04214300C896554B9A +:04210F0000000000CC +:0421100000000000CB +:04211100000163D492 +:0421120000018448FC +:0421130000018448FB +:042114000010801027 +:042115000100800045 +:042116000002400083 +:0421170000000001C3 +:042118000002800041 +:0421190000022000A0 +:04211A00000173301D +:04211B0000017274D9 +:04211C00000171C885 +:04211D00000171DC70 +:04211E0000017160EB +:04211F0000017250F9 +:0421200000017278D0 +:0421210000017284C3 +:0421220000017278CE +:0421230000017294B1 +:0421240000017180C5 +:0421250000017188BC +:04212600000173AC95 +:04212700000173BC84 +:04212800000171F051 +:04212900000171F44C +:04212A000001722C12 +:04212B000001723C01 +:04212C00000183E447 +:04212D0000000009A5 +:04212E0000017B4CE5 +:04212F0000000003A9 +:0421300000017C002E +:0421310000000005A5 +:0421320000017C3CF0 +:042133000000000B9D +:0421340000017CA08A +:04213500000000099D +:0421360000017D7CAB +:042137000000000D97 +:0421380000017E30F4 +:04213900000000069C +:04213A0000017F34ED +:04213B00000000059B +:04213C0000017FAC73 +:04213D000000000995 +:04213E00000180100C +:04213F000000000F8D +:04214000000180C456 +:042141000000000694 +:04214200000181F027 +:04214300000000098F +:0421440000018268AC +:04214500C896554B98 :00000001FF diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 758d409..8b76800 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -657,7 +657,7 @@ void program_mode() osd_x_size = (video_modes[cm.id].v_active > 700) ? 1 : 0; osd_y_size = osd_x_size; } else { - osd_x_size = 1 - cm.tx_pixelrep; + osd_x_size = 1 - cm.tx_pixelrep + (cm.fpga_hmultmode == FPGA_H_MULTMODE_OPTIMIZED_1X); osd_y_size = 0; } osd->osd_config.x_size = osd_x_size;