From 3a17642d5b2d6b8d3fbac7bb8a1b2e6e1e68e079 Mon Sep 17 00:00:00 2001 From: marqs Date: Mon, 13 Mar 2023 21:51:40 +0200 Subject: [PATCH] update generated files for v1.01 --- ossc.qsf | 2 +- .../mem_init/sys_onchip_memory2_0.hex | 17202 ++++++++-------- sys.sopcinfo | 60 +- 3 files changed, 8815 insertions(+), 8449 deletions(-) diff --git a/ossc.qsf b/ossc.qsf index 062774d..25c5588 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -219,7 +219,7 @@ set_global_assignment -name ENABLE_SIGNALTAP OFF set_global_assignment -name USE_SIGNALTAP_FILE output_files/ossc_new.stp set_global_assignment -name FITTER_EFFORT "AUTO FIT" -set_global_assignment -name SEED 2 +set_global_assignment -name SEED 3 diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index b52f618..20498df 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -45,9 +45,9 @@ :04002C000000A11718 :04002D00F5010113C5 :04002E000000971720 -:04002F009507071317 +:04002F00E787071345 :04003000000097979E -:04003100FA87879330 +:040031007E478793EC :0400320000F7576319 :04003300000720237F :04003400DDE30711F0 @@ -55,42 +55,42 @@ :040036001151004024 :04003700C4064501B5 :04003800200520057A -:04003900A60367E5CE -:04003A0067E5FF4730 -:04003B00FF87A58313 -:04003C0040A267E592 -:04003D00FFC7A50351 +:04003900A60367E9CA +:04003A0067E98347A8 +:04003B008387A5838F +:04003C0040A267E98E +:04003D0083C7A503CD :04003E00406F0131DD -:04003F00A9850AE0A5 -:0400400067E580826E +:04003F00A9856260CD +:0400400067E980826A :04004100000207377B -:0400420000E7A2230E +:0400420084E7A2238A :0400430007B7656135 -:040044006765019C4F +:040044006769019C4B :04004500CC078793CA -:04004600E9050513B0 -:0400470000F720237B -:040048006765AC6DCF -:040049000007270382 -:04004A00A78367E53C -:04004B00557D004798 +:040046004105051358 +:0400470084F72023F7 +:040048006769AC6DCB +:0400490084072703FE +:04004A00A78367E938 +:04004B00557D844714 :04004C004721CB116C :04004D006741C3D86C :04004E00C798177DBB :04004F004711C7D8B6 :040050004501C3D8CB -:0400510067E580825D -:040052000007A78379 +:0400510067E9808259 +:040052008407A783F5 :04005300CF91557D77 -:04005400A78367E532 -:04005500A823004795 +:04005400A78367E92E +:04005500A823844711 :040056004B880007CC :0400570005424BDC37 :0400580007C2814119 :0400590045138D5D61 :04005A008082FFF5AC -:04005B00A50367E5AD -:04005C008082000797 +:04005B00A50367E9A9 +:04005C008082840713 :04005D002783C90D1F :04005E0011510C052B :04005F00C22205A212 @@ -252,9 +252,9 @@ :0400FB00771346B180 :0400FC0007930FF760 :0400FD00E963FEB7FE -:0400FE0066D902F6C7 +:0400FE0066DD02F6C3 :0400FF008693078A53 -:0401000097B66E86BA +:0401000097B6A146C7 :040101008782439C12 :04010200200007933F :040103000D0526833D @@ -287,7 +287,7 @@ :04011E00D918DD5CB3 :04011F0002052A2388 :04012000C134DD10F9 -:040121009A458593E3 +:04012100ECC5859311 :0401220040A22201D4 :04012300853E47814D :0401240080820131A3 @@ -354,7 +354,7 @@ :04016100BFD507857A :04016200451CC1195E :0401630067E5EF91CC -:040164009AC7A7830C +:04016400ED47A78339 :040165001151C395DC :040166009782C406B2 :0401670047D940A292 @@ -364,8 +364,8 @@ :04016B00419CC11CD6 :04016C00C188C3C8BB :04016D008082450146 -:04016E0005136565AB -:04016F0047D90585E2 +:04016E0005136569A7 +:04016F0047D989459E :040170005529C11C30 :04017100832A8082DB :040172008383CA09B0 @@ -392,8444 +392,8774 @@ :040187001363058574 :04018800FA6500D63E :0401890040D6053324 -:04018A00011380825B -:04018B006765FC4167 -:04018C00DA26DC2271 -:04018D00A1870793AC -:04018E0000E7828381 -:04018F0065654671EB -:0401900002C28633EE -:04019100B785069395 -:040192000237C3036A -:040193000167C40339 -:04019400A187071325 -:0401950096B243815A -:040196000146C60355 -:04019700D603C63293 -:04019800C68300C654 -:04019900C832013631 -:04019A00C683CA3618 -:04019B00C78302779D -:04019C00D43601074D -:04019D00B785069389 -:04019E00CE36C03E5B -:04019F000FD3779370 -:0401A00056A2EB95E3 -:0401A10002974783F7 -:0401A2004685C29933 -:0401A3004602A0056B -:0401A4000B63468D16 -:0401A500458200D6B9 -:0401A6008D6346110E -:0401A700468910C5B0 -:0401A800FED595E308 -:0401A900B7D5078639 -:0401AA0007864682FC -:0401AB0000F697B310 -:0401AC000FF7F393C3 -:0401AD00FFF30693C3 -:0401AE000FF6F693BF -:0401AF0044814785BB -:0401B00000D7EF6322 -:0401B100460346912A -:0401B200E863029765 -:0401B30006930E861B -:0401B40086B3FFF41B -:0401B50096B302C635 -:0401B600F49300D7E7 -:0401B70047830FF675 -:0401B80066E502876F -:0401B900D03E4601ED -:0401BA00A186879300 -:0401BB008693CC3E1D -:0401BC00C202A18654 -:0401BD001513478D42 -:0401BE001A6300269A -:0401BF00C7830CF3F3 -:0401C000859302A67B -:0401C10089BDFFF7FE -:0401C20000A595B34C -:0401C30037B34512F7 -:0401C40097B300F0FD -:0401C5008DC900C719 -:0401C6000077E7B324 -:0401C700F393C22EBE -:0401C80006050FF722 -:0401C90006854795CB -:0401CA00FCF616E346 -:0401CB00C4024681A3 -:0401CC00478D4519FD -:0401CD0000269593E0 -:0401CE000AF31263BB -:0401CF00C78347E2B9 -:0401D000861302F799 -:0401D1008A3DFFF76D -:0401D20000B616332A -:0401D30037B345A257 -:0401D40097B300F0ED -:0401D5008E4D00D774 -:0401D600C4328FC5DB -:0401D7000FF7F49397 -:0401D800068547E26F -:0401D900CC3E07858C -:0401DA00FCA695E307 -:0401DB0087B347F1AE -:0401DC0046F202F2F3 -:0401DD0097B6D202FD -:0401DE008B854F9C22 -:0401DF004782CB8107 -:0401E0004783C791F9 -:0401E100B793026767 -:0401E200D23E0017F2 -:0401E3000227478325 -:0401E4009C6346854D -:0401E500478204D772 -:0401E60047F1CB9979 -:0401E70002F287B3E6 -:0401E80097B646F28E -:0401E90046914BDC14 -:0401EA00916383F5A5 -:0401EB00448104D770 -:0401EC00A82D438176 -:0401ED0002D787B3FB -:0401EE000793BDD5E1 -:0401EF008633FFE470 -:0401F000478D02C76E -:0401F10000C796337A -:0401F2000FF67493FD -:0401F3005782BF0967 -:0401F40000A7953398 -:0401F5008FC94792D5 -:0401F600B7A1C23EAD -:0401F70095B35782E3 -:0401F80047A200B763 -:0401F900C43E8FCDA4 -:0401FA00D3F1BFA5D9 -:0401FB0067A14F5455 -:0401FC001EF68C63FC -:0401FD001CD7E163C7 -:0401FE0010000613D4 -:0401FF008B63479136 -:04020000661100C6BD -:040201008763478D3B -:04020200061300C619 -:040203008663080006 -:0402040087A21CC6EB -:040205004583666562 -:04020600462103F694 -:0402070000C5976334 -:04020800060646326E -:0402090082410642E6 -:04020A004583C63230 -:04020B0045320187F0 -:04020C00F66346054A -:04020D00063300A50F -:04020E00064240B5AF -:04020F004571824172 -:0402100002A285338E -:0402110040F405B3FD -:0402120045F2CC2EB7 -:04021300137D6305EF -:04021400952E07F626 -:0402150081D5450C3E -:040216004583CE2E20 -:0402170042F2012589 -:040218004583D02E1C -:04021900F2B3011724 -:04021A00D62E00627A -:04021B0000E5558322 -:04021C0005B7D82E1C -:04021D0015FD0100CA -:04021E0075B3C62EC0 -:04021F0085B300643F -:0402200052C202556F -:040221000065F5B3CC -:0402220081C105C2CF -:04022300F33305B2FA -:04022400E33300625E -:0402250045B2006579 -:0402260000B373337B -:0402270002C405B355 -:040228000185929327 -:04022900005365B366 -:04022A004583D82E02 -:04022B0045520155E2 -:04022C00051289BD71 -:04022D0075138DC9EF -:04022E00056200F471 -:04022F0045038DC92D -:0402300005720177DB -:0402310025378DC917 -:04023200157DFF0037 -:0402330000A5F2B37D -:04023400450345C277 -:04023500F313043784 -:0402360055827FF579 -:04023700893D0352A8 -:0402380002B405B354 -:040239001FF5F59325 -:04023A0000B3633377 -:04023B0004474583AC -:04023C000592899D01 -:04023D0045038DC91F -:04023E00897D05872A -:04023F008D4D051EBE -:040240000577458376 -:0402410005B28985F4 -:0402420075418DC9AC -:04024300B69396AA2E -:0402440006B60016E4 -:0402450055828ECD83 -:04024600962E456249 -:04024700063345E253 -:0402480045F202B6C3 -:0402490002A585B3D2 -:04024A00C5B34509EA -:04024B0095B202A5C1 -:04024C003FF5F593F2 -:04024D008DD505BA8C -:04024E00460256B25C -:04024F0006E28A8DAC -:0402500075938ECD47 -:0402510005EA007644 -:0402520046928DD56E -:04025300F7938DDDB3 -:0402540006B201F3FA -:0402550007D282B199 -:0402560046838FD577 -:04025700F613024751 -:040258008AFD03F424 -:040259008FD506E651 -:04025A00025746837E -:04025B008A85571227 -:04025C008FD506FA3A -:04025D0046B2077E20 -:04025E0047228FD9CB -:04025F0057228EF99B -:040260008F51071E95 -:040261000186D61329 -:040262000406761305 -:0402630046328F513F -:040264008EF10762AE -:0402650056C28F5599 -:040266000002263735 -:040267002823C6542E -:040268002A230066DF -:04026900CE0C005661 -:04026A00D218CE5C7C -:04026B0054D25462B3 -:04026C0003C10113B6 -:04026D0007B78082CD -:04026E008C6300207D -:04026F0007B702F6D5 -:040270008C63040097 -:04027100664102F6EA -:0402720085E3479940 -:040273000637E4C6A0 -:0402740087A200401D -:0402750002C6F96361 -:040276004603BD3549 -:040277008F91040758 -:04027800F79307866B -:04027900B53D0FF789 -:04027A00040746032C -:04027B008F91479187 -:04027C004603BFCDA9 -:04027D004795040796 -:04027E004603BFDD97 -:04027F004799040790 -:04028000F7938F91D0 -:0402810046030FF72A -:0402820015E303A7D6 -:040283004642E00609 -:04028400FE860313DC -:040285000103161348 -:04028600C8328241B7 -:040287000593465243 -:04028800961300C603 -:0402890082410105A8 -:04028A00B3EDCA32D4 -:04028B00C78367E5D9 -:04028C006765054756 -:04028D0004F709A3C6 -:04028E0087B347717A -:04028F00676502E7B6 -:04029000B787071312 -:04029100D68397BABF -:04029200676500E7B5 -:0402930004D7122357 -:0402940066E54B9838 -:0402950093238B7DA7 -:04029600C68304E631 -:04029700676501474F -:0402980004D7142350 -:040299000127C683F0 -:04029A001123676560 -:04029B00479804D7A5 -:04029C00835566E53B -:04029D0004E69023C0 -:04029E000157C683BB -:04029F001823676554 -:0402A000C68304D736 -:0402A1006765013755 -:0402A20004D7172343 -:0402A30000C7D68337 -:0402A4001623676551 -:0402A500C70304D7B0 -:0402A60067E50167A0 -:0402A70004E79523B0 -:0402A8006785808264 -:0402A9008027879390 -:0402AA0002F507B39F -:0402AB0000022737EF -:0402AC00110707131C -:0402AD0067218FF93D -:0402AE000207071329 -:0402AF0002E505332C -:0402B0000008873784 -:0402B10044070713E4 -:0402B2008D5D8D7958 -:0402B300879367C105 -:0402B40005331017E7 -:0402B500814102F58C -:0402B6000FF57513B8 -:0402B70057138082D7 -:0402B8001793018512 -:0402B9008FD9018553 -:0402BA0000FF06B784 -:0402BB000085171390 -:0402BC008FD98F75D2 -:0402BD00071367417B -:0402BE008121F007A3 -:0402BF008D5D8D794B -:0402C000C609808269 -:0402C100000217B769 -:0402C2000007A0236E -:0402C300F693470166 -:0402C4001637FFC525 -:0402C50007B3000279 -:0402C600646300E588 -:0402C700898D02D744 -:0402C8009463470DE7 -:0402C900D68302E5F1 -:0402CA0017370007DB -:0402CB0012230002F8 -:0402CC00C78300D70D -:0402CD0002230027E1 -:0402CE0017B700F767 -:0402CF004B88000256 -:0402D000439C808249 -:0402D100C25C0711F3 -:0402D2004709B7F928 -:0402D30000E5996346 -:0402D4000007D70345 -:0402D500000217B755 -:0402D60000E7922388 -:0402D7004705BFF91F -:0402D800FCE59DE3C1 -:0402D9000007C70350 -:0402DA00000217B750 -:0402DB0000E7822393 -:0402DC006765B7E9B2 -:0402DD000387071379 -:0402DE00E793431C43 -:0402DF00C31C08072D -:0402E00000021737CA -:0402E1000EF72023D1 -:0402E20011518082B4 -:0402E3001437C222E8 -:0402E400C02600022E -:0402E50084AA4601A0 -:0402E60004C00593B8 -:0402E70004040513F3 -:0402E800320DC40609 -:0402E9000FF4F59386 -:0402EA0005134601B1 -:0402EB003A8104044C -:0402EC0004C00593B2 -:0402ED0004040513ED -:0402EE003229460566 -:0402EF0004040513EB -:0402F00040A24412D2 -:0402F1004585448279 -:0402F200BA290131F3 -:0402F300C4221141CF -:0402F40000021437B9 -:0402F500C02AC22633 -:0402F600460184AE8B -:0402F70004C00593A7 -:0402F80004040513E2 -:0402F90038F9C60604 -:0402FA0046014782F0 -:0402FB0004040513DF -:0402FC000FF7F59370 -:0402FD00051332298A -:0402FE00442204048E -:0402FF0085A640B2DE -:0403000046054492D8 -:04030100B8E5014119 -:04030200451111513F -:040303003FB5C40638 -:04030400659340A21B -:04030500F593004527 -:0403060045110FF599 -:04030700B77D01318C -:04030800C2221151AB -:04030900842A45817C -:04030A00C406453DA3 -:04030B00051337455A -:04030C003FA10C10F1 -:04030D000FE57593F0 -:04030E0005138DC185 -:04030F0037790C101E -:0403100040A24412B1 -:040311000513458DFE -:0403120001310C6049 -:040313001141B7419C -:040314001437C422B4 -:04031500C2260002FA -:0403160084AEC02AC7 -:040317000593460103 -:04031800051304A025 -:04031900C60604040C -:04031A0085DFF0EF9C -:04031B0046014782CE -:04031C0004040513BD -:04031D00F0EF85BEBA -:04031E000513889F9C -:04031F00442204046C -:0403200085A640B2BC -:0403210046054492B7 -:04032200F06F014136 -:040323001141875F9E -:040324001437C422A4 -:04032500C2260002EA -:0403260084AEC02AB7 -:0403270005934601F3 -:04032800051302C0F7 -:04032900C6060404FC -:04032A0081DFF0EF90 -:04032B0046014782BE -:04032C0004040513AD -:04032D00F0EF85BEAA -:04032E000513849F90 -:04032F00442204045C -:0403300085A640B2AC -:0403310046054492A7 -:04033200F06F014126 -:040333001151835F82 -:040334001437C22296 -:04033500C0260002DC -:0403360084AA46014E -:0403370005C0059365 -:0403380004040513A1 -:04033900F0EFC40617 -:04033A00F593FDEF4B -:04033B0046050FF470 -:04033C00040405139D -:04033D0080BFF0EF9E -:04033E0005C005935E -:04033F00040405139A -:04034000F0EF46058F -:040341000513FC2F75 -:040342004412040459 -:04034300448240A20E -:0403440001314585B9 -:04034500FD0FF06F49 -:04034600C42211417B -:040347000002143765 -:04034800C02AC226DF -:04034900460184AE37 -:04034A0005C0059352 -:04034B00040405138E -:04034C00F0EFC60602 -:04034D004782F92FBB -:04034E00051346014C -:04034F00F59304041A -:04035000F0EF0FF7C4 -:040351000513FBCFC6 -:040352004422040439 -:0403530085A640B289 -:040354004605449284 -:04035500F06F014103 -:040356001151FA8FB8 -:04035700C222C406F4 -:040358000065478372 -:04035900456D842A40 -:04035A000047959330 -:04035B00F5938DDDAC -:04035C00375D0FF505 -:04035D000064458370 -:04035E003F7945712D -:04035F00003445839E -:040360003F59452993 -:04036100004445838C -:04036200377945257D -:04036300005445837A -:04036400375945219F -:0403650000044583C8 -:040366003FBD45351D -:0403670000144583B6 -:040368003F9D45313F -:0403690000244583A4 -:04036A0040A2441257 -:04036B000131452DEA -:04036C001141B7A5DF -:04036D00842AC422F8 -:04036E00C22645114D -:04036F0084AEC03266 -:040370003731C60655 -:040371007593460238 -:04037200C65D0F85D0 -:040373007FF007937D -:040374000A87E463AD -:04037500E593040602 -:040376004511001518 -:040377003F2D0442D0 -:0403780055938041D8 -:04037900F5930044B4 -:04037A0045050FF531 -:04037B00159337356A -:04037C00F5930044B1 -:04037D0045090F051A -:04037E0007B7370581 -:04037F008793019CC3 -:04038000D7B3CC071C -:040381005737029751 -:040382000713022536 -:0403830045810FF7AA -:04038400028787B3B2 -:0403850002F77063A8 -:04038600042C2737E5 -:04038700D7F707138A -:0403880079634585CB -:04038900F5B700F7CD -:04038A008593080B44 -:04038B00B5B3FBF516 -:04038C00058900F5EA -:04038D00879367E10A -:04038E0097AEE2C77D -:04038F000007C5039B -:04039000028007934D -:040391000533471DCC -:04039200579302F586 -:04039300953E00147F -:040394000285443367 -:040395000FF47413DA -:040396000FF4779356 -:040397000087736305 -:040398004422479D17 -:04039900449240B298 -:04039A00059A078E2B -:04039B00F5938DDD6C -:04039C00450D0F8577 -:04039D00B54D014118 -:04039E003D7945114F -:04039F005583B79D2E -:0403A000115100A552 -:0403A10081A1C22252 -:0403A2000513842A91 -:0403A300C40604B0D8 -:0403A40045833561F7 -:0403A500051300A498 -:0403A6003DBD04A0B5 -:0403A70000C45583B6 -:0403A80004D0051365 -:0403A9003D8D81A164 -:0403AA0000C44583C3 -:0403AB0004C0051372 -:0403AC00558335A59B -:0403AD00051300E450 -:0403AE0081A104F035 -:0403AF0045833DB194 -:0403B000051300E44D -:0403B1003D8904E09E -:0403B200004455832B -:0403B3000510051319 -:0403B400359981A155 -:0403B5000044458338 -:0403B6000500051326 -:0403B70055833D35F8 -:0403B80005130064C5 -:0403B90081A10530E9 -:0403BA0045833D0535 -:0403BB0005130064C2 -:0403BC00351D0520C6 -:0403BD0000845583E0 -:0403BE0005500513CE -:0403BF003D2981A1B2 -:0403C00000844583ED -:0403C10005400513DB -:0403C20055833D0121 -:0403C3000513010419 -:0403C40081A105709E -:0403C5004583351126 -:0403C6000513010416 -:0403C7003BED0560A5 -:0403C8000124558334 -:0403C9000590051383 -:0403CA0033FD81A1DD -:0403CB000124458341 -:0403CC000580051390 -:0403CD00558333D54C -:0403CE0005130144CE -:0403CF0081A105B053 -:0403D00045833BE145 -:0403D100441201448D -:0403D200051340A22D -:0403D300013105A04F -:0403D4001151B3E12F -:0403D500842AC22292 -:0403D60003F0051318 -:0403D7003B85C40698 -:0403D8000F05759305 -:0403D90044128DC17C -:0403DA00051340A225 -:0403DB00013103F0F9 -:0403DC001151B365A3 -:0403DD00842AC2228A -:0403DE00C4064569A3 -:0403DF0075133B89CE -:0403E000041A03F503 +:04018A00CE018082A0 +:04018B0002000793D4 +:04018C0049638F91A3 +:04018D00051300F066 +:04018E004701FE0621 +:04018F0000A5D533BF +:04019000808285BA2A +:0401910000C5D7339B +:0401920000C555331C +:0401930000F595B32B +:04019400B7FD8D4DD9 +:04019500656565E156 +:0401960006131151EA +:040197008593042028 +:040198000513B7C5CF +:04019900C40604C5CF +:04019A0065E13FB923 +:04019B00061365657D +:04019C008593738054 +:04019D000513BC0585 +:04019E0037B1090567 +:04019F0067E940A22A +:0401A0008723470565 +:0401A100450188E7A5 +:0401A2008082013125 +:0401A300C78367E9BE +:0401A40067698907F7 +:0401A50088F707A32D +:0401A60002C0071379 +:0401A70002E787B331 +:0401A800071367656D +:0401A90097BA0907F1 +:0401AA000127D683D0 +:0401AB00102367694D +:0401AC00C68388D7A7 +:0401AD006769014736 +:0401AE0088D71123BA +:0401AF0001C7D6832B +:0401B0001223676946 +:0401B100D68388D792 +:0401B20067690187F1 +:0401B30086D71F23A9 +:0401B40000C7D68327 +:0401B5001E23676935 +:0401B600C68386D79F +:0401B700676901E78C +:0401B80088D71623AB +:0401B90001A7D68341 +:0401BA001523676939 +:0401BB00D68388D788 +:0401BC00676900E788 +:0401BD0088D71423A8 +:0401BE000207C7036A +:0401BF00932367E936 +:0401C000808288E7CA +:0401C1008793678534 +:0401C20007B38027D8 +:0401C300273702F5E3 +:0401C400071300021B +:0401C5008FF9110796 +:0401C6000713672193 +:0401C70005330207F3 +:0401C800873702E58E +:0401C9000713000810 +:0401CA008D794407E0 +:0401CB0067C18D5D1E +:0401CC0010178793EE +:0401CD0002F50533FF +:0401CE0075138141E3 +:0401CF0080820FF526 +:0401D000018557133B +:0401D10001851793FA +:0401D20006B78FD904 +:0401D300171300FFFF +:0401D4008F7500859E +:0401D50067418FD916 +:0401D600F007071314 +:0401D7008D7981217C +:0401D80080828D5D37 +:0401D90017B7C60985 +:0401DA00A02300025C +:0401DB0047010007D1 +:0401DC00FFC5F693D2 +:0401DD0000021637CF +:0401DE0000E507B37E +:0401DF0002D764637C +:0401E000470D898DB1 +:0401E10002E594633C +:0401E2000007D683B9 +:0401E30000021737C8 +:0401E40000D712230B +:0401E5000027C783A5 +:0401E60000F70223F9 +:0401E700000217B744 +:0401E80080824B883E +:0401E9000711439C1B +:0401EA00B7F9C25C43 +:0401EB0099634709C4 +:0401EC00D70300E550 +:0401ED0017B7000739 +:0401EE009223000256 +:0401EF00BFF900E76D +:0401F0009DE347053F +:0401F100C703FCE55F +:0401F20017B7000734 +:0401F3008223000261 +:0401F400B7E900E780 +:0401F50001C5578366 +:0401F6000FF00713EC +:0401F70002B787B311 +:0401F8000CF75A6343 +:0401F900F017871361 +:0401FA000FF0079368 +:0401FB0000F51E23CA +:0401FC00018557839F +:0401FD0002B787B30B +:0401FE00071397BA92 +:0401FF0074631FF016 +:04020000079300F769 +:040201001C231FF0AB +:04020200578300F529 +:04020300670500C5C6 +:04020400A007071335 +:0402050002B787B302 +:0402060000F7536347 +:04020700162387BA79 +:04020800478300F533 +:04020900471501454F +:04020A0002B787B3FD +:04020B0002E787B3CC +:04020C00064007138E +:04020D0003278793A9 +:04020E0002E7C7B389 +:04020F00012557036B +:0402100002E585B3CB +:0402110095BE473D12 +:0402120001E5478338 +:0402130000B51923F6 +:0402140002C787B3E3 +:0402150006F7546331 +:04021600FF17871334 +:040217000F2347BDAD +:04021800578300F513 +:0402190087B301A501 +:04021A0097BA02C7C6 +:04021B001FF00713B6 +:04021C0000F7746310 +:04021D001FF0079334 +:04021E0000F51D23A7 +:04021F0000E557831C +:040220005A00071366 +:0402210002C787B3D6 +:0402220000F754632A +:040223005A000793E3 +:0402240001F5470396 +:0402250000F51723A6 +:040226000165578394 +:040227007713C7057D +:04022800E30D0016CC +:0402290087B3820510 +:04022A000FA302C755 +:04022B001B2300058C +:04022C00808200F5D7 +:04022D0083C107C2C0 +:04022E00BF0D4701B8 +:04022F000FF7F7933B +:04023000BF71470152 +:0402310002C7863347 +:0402320000C51B23C5 +:0402330067698082F5 +:0402340087470713DE +:04023500E793431CEC +:04023600C31C0807D6 +:040237000002173773 +:040238000EF720237A +:0402390067658082F3 +:04023A007DC7071362 +:04023B0000C757831E +:04023C00012756033D +:04023D0016FD6685BF +:04023E008E758FF535 +:04023F008FD107B2A2 +:0402400001C74603A9 +:04024100010003377E +:040242000662137DC0 +:040243000067F7B3A6 +:0402440056038FD1FD +:04024500550300E776 +:04024600715D01875E +:040247007FF67613B5 +:04024800C4A6C6A2E0 +:040249001FF5751315 +:04024A008E49065281 +:04024B0001F745036F +:04024C005783D03EC6 +:04024D00458301A73D +:04024E00057E01E741 +:04024F00DE328E49C4 +:0402500000C786134A +:04025100F793962E5B +:0402520089BD1FF74C +:040253007FF67613A9 +:040254008FCD0792B1 +:040255008E5D06367E +:04025600879367E53E +:04025700C5837C8758 +:0402580089BD002735 +:040259008E4D05E2DF +:04025A000037C58321 +:04025B008E4D05F2CD +:04025C006669D232CB +:04025D00808606137E +:04025E0000C65583FE +:04025F00012655031C +:04026000016653835D +:040261008D758DF515 +:040262008DC905B28B +:0402630001C6450388 +:040264000065F5B389 +:040265007FF3F3939D +:040266008DC90562D7 +:0402670001865503B4 +:04026800428303A624 +:04026900751301E622 +:04026A00E3B31FF5E6 +:04026B00550300A394 +:04026C00D42E00E6A6 +:04026D0001A655830E +:04026E0001F646034C +:04026F007FF575138F +:04027000E53305521B +:04027100067E00A362 +:04027200D6328E49A9 +:0402730000C7D603E7 +:040274001FF5F593EA +:04027500F293059269 +:04027600761300F209 +:04027700E2B37FF679 +:0402780006360055F1 +:0402790000C2E2B32A +:04027A000062F633F5 +:04027B00D603C0B234 +:04027C00D58300A77F +:04027D00636500872E +:04027E007FF676137E +:04027F0006328DF5C1 +:0402800095838E4D87 +:0402810005DE00672F +:04028200D8328E4D93 +:040283000007858368 +:0402840000178603D6 +:0402850000E7C503C6 +:04028600D603C432A5 +:04028700052A0047FD +:040288003FF67613B4 +:04028900C5038E49D2 +:04028A0047A200F790 +:04028B0003F57513EF +:04028C008D51054A41 +:04028D0000F5F6136F +:04028E008E4906622D +:04028F00051307F25A +:040290008FD1F40313 +:040291004783DA3E87 +:04029200460303E537 +:040293008B9D03D567 +:0402940007928A3D06 +:0402950046038FD1BC +:040296008A7D03F565 +:040297008FD1061EDF +:0402980047838EFD0D +:0402990006130455EF +:04029A00C232F40375 +:04029B0016079E6341 +:04029C00023747835B +:04029D008B8587893D +:04029E008FD507BA37 +:04029F0047A2DC3E58 +:0402A000008147038F +:0402A10000F04363C3 +:0402A200666947053D +:0402A3000187179325 +:0402A40086E608A33F +:0402A500769387E1E4 +:0402A60054630FF797 +:0402A700F69300B01A +:0402A80067690FF57E +:0402A90086D70823C9 +:0402AA0047034712AD +:0402AB00C03A02A7AC +:0402AC00470D4602B2 +:0402AD0000E61863EC +:0402AE0047034712A9 +:0402AF00739303B78B +:0402B000E31900F757 +:0402B10000F6F393CD +:0402B200468D46022D +:0402B3000153971349 +:0402B40010D61E63DF +:0402B500C683469224 +:0402B600896303C68F +:0402B700F7931006A3 +:0402B80096930076A3 +:0402B900666501274E +:0402BA0000D764B352 +:0402BB00F4060693AC +:0402BC0002E6C7038C +:0402BD00450245852C +:0402BE008713C63AA2 +:0402BF008705001798 +:0402C00000E595B30D +:0402C100078915FD97 +:0402C2000FF5F7132A +:0402C300C6838785E2 +:0402C40017B302F674 +:0402C500F79300F7B4 +:0402C600F59303F7B2 +:0402C700CE3E03F52F +:0402C80001000337F7 +:0402C900F40607939D +:0402CA000FD57513C4 +:0402CB00CC36CA2E35 +:0402CC000613C83E0F +:0402CD004701F406EB +:0402CE00478146819D +:0402CF00137D4581D5 +:0402D0004502C2AA77 +:0402D1009293440DB3 +:0402D200136300258D +:0402D30047030A854E +:0402D4000513030605 +:0402D500893DFFF769 +:0402D60000E03733DA +:0402D7000055153386 +:0402D80000B7173321 +:0402D9008ED98FC962 +:0402DA000067F7B30F +:0402DB0003F6F6939D +:0402DC000585470548 +:0402DD0006054519B4 +:0402DE00FCA595E303 +:0402DF0083A107A24E +:0402E00006E2C31956 +:0402E10087138FD51B +:0402E20046020013BD +:0402E30046858705C0 +:0402E40000E696B3E7 +:0402E500167D16FD6F +:0402E6003FF6F713D5 +:0402E7000FF6761385 +:0402E8005381CA3A3A +:0402E9004581470103 +:0402EA004301428109 +:0402EB004681450102 +:0402EC004602CC32C8 +:0402ED001763440D42 +:0402EE0047420686F7 +:0402EF004603441D61 +:0402F0000713035796 +:0402F1008B3DFFF64C +:0402F20004D4466387 +:0402F300002694133A +:0402F4000087173335 +:0402F50036338D59B6 +:0402F600163300C0FB +:0402F7008DD100D6CF +:0402F8003FF5F59346 +:0402F900A88147058C +:0402FA00B57117FDC6 +:0402FB00BDD58B9D45 +:0402FC0012B34532C2 +:0402FD00E7B300550E +:0402FE00451500F2B0 +:0402FF000067F7B3EA +:04030000F6A599E3E2 +:04030100F535451673 +:04030200C319476272 +:04030300B78D46F27A +:04030400BFB946D265 +:040305000077173333 +:0403060000676333F6 +:040307000FF373136A +:04030800BF55428516 +:040309004F63461DDB +:04030A0044320AD699 +:04030B00002696131F +:04030C0000C41633E0 +:04030D0046428D5186 +:04030E0003910685CC +:04030F00C8320605E5 +:0403100098E34629FF +:040311008663F6C643 +:04031200F69300025C +:04031300E4B3F0045B +:04031400CB090066AB +:04031500FFFC0737AB +:040316000FF70713C3 +:040317008F6505A247 +:0403180000B764B313 +:0403190046924712AF +:04031A0002C74703CC +:04031B0002D6C683BD +:04031C00069E071A18 +:04031D000407771347 +:04031E00D6938F558E +:04031F00F6930187C9 +:040320008F5503F6FC +:04032100076207A2C6 +:040322008FD983A14B +:0403230046854712B2 +:0403240002974703F2 +:0403250000D7136387 +:04032600EB1147226E +:04032700C1000737D3 +:040328008FF9177DB5 +:04032900FFFC073797 +:04032A000FF70713AF +:04032B0056828CF971 +:04032C00000227376D +:04032D00C754443637 +:04032E00CB1456F2A4 +:04032F00CB545692C3 +:04033000CF1456A2EE +:04033100CF5456B29D +:04033200D314468614 +:04033300D35456C287 +:04033400D71456D2B2 +:04033500D75456E261 +:04033600DB48DB1CA9 +:0403370044A6DF04F5 +:0403380080826161FD +:040339004285463281 +:04033A000076163300 +:04033B0000666333C2 +:04033C0073134625CC +:04033D0090E30FF347 +:04033E004662F4C659 +:04033F006CE3440522 +:0403400045D2F2C4EC +:040341001151B5C5DC +:040342001437C22288 +:04034300C0260002CE +:0403440084AA460140 +:0403450004C0059358 +:040346000404051393 +:04034700F0EFC40609 +:04034800F593FA6FC0 +:0403490046010FF466 +:04034A00040405138F +:04034B00FD2FF0EFA3 +:04034C0004C0059351 +:04034D00040405138C +:04034E00F0EF460581 +:04034F000513F8AFEB +:04035000441204044B +:04035100448240A200 +:0403520001314585AB +:04035300F98FF06FBF +:04035400C42211416D +:040355000002143757 +:04035600C02AC226D1 +:04035700460184AE29 +:0403580004C0059345 +:040359000404051380 +:04035A00F0EFC606F4 +:04035B004782F5AF31 +:04035C00051346013E +:04035D00F59304040C +:04035E00F0EF0FF7B6 +:04035F000513F84F3B +:04036000442204042B +:0403610085A640B27B +:040362004605449276 +:04036300F06F0141F5 +:040364001151F70F2D +:04036500C406451174 +:0403660040A237BDBD +:040367000045659355 +:040368000FF5F59305 +:040369000131451108 +:04036A001151B76511 +:04036B004581C222E4 +:04036C00453D842A5D +:04036D003F69C4061A +:04036E000C10051357 +:04036F00759337A9A2 +:040370008DC10FE547 +:040371000C10051354 +:040372004412376199 +:04037300458D40A2D2 +:040374000C60051301 +:04037500BFAD0131E6 +:04037600C22211513D +:040377000002143735 +:040378004601C02654 +:04037900059384AABA +:04037A00051304A0C3 +:04037B00C4060404AC +:04037C00ED4FF0EF62 +:04037D00460185A60A +:04037E00040405135B +:04037F00F02FF0EF7C +:0403800004A005933D +:040381000404051358 +:04038200F0EF46054D +:040383000513EBAFC4 +:040384004412040417 +:04038500448240A2CC +:040386000131458577 +:04038700EC8FF06F98 +:04038800C422114139 +:040389000002143723 +:04038A00C02AC2269D +:04038B00460184AEF5 +:04038C0004A0059331 +:04038D00040405134C +:04038E00F0EFC606C0 +:04038F004782E8AF0A +:04039000051346010A +:0403910085BE04041D +:04039200EB6FF0EF2E +:040393000404051346 +:0403940040B244220D +:04039500449285A663 +:0403960001414605D6 +:04039700EA2FF06FEA +:04039800C422114129 +:040399000002143713 +:04039A00C02AC2268D +:04039B00460184AEE5 +:04039C0002C0059303 +:04039D00040405133C +:04039E00F0EFC606B0 +:04039F004782E4AFFE +:0403A00005134601FA +:0403A10085BE04040D +:0403A200E76FF0EF22 +:0403A3000404051336 +:0403A40040B24422FD +:0403A500449285A653 +:0403A60001414605C6 +:0403A700E62FF06FDE +:0403A800C22211510B +:0403A9000002143703 +:0403AA004601C02622 +:0403AB00059384AA88 +:0403AC00051305C070 +:0403AD00C40604047A +:0403AE00E0CFF0EFBD +:0403AF000FF4F593BF +:0403B00005134605E6 +:0403B100F0EF040461 +:0403B2000593E38F3D +:0403B300051305C069 +:0403B40046050404F2 +:0403B500DF0FF0EF77 +:0403B6000404051323 +:0403B70040A244120A +:0403B80045854482B1 +:0403B900F06F0131AF +:0403BA001141DFEF1F +:0403BB001437C4220D +:0403BC00C226000253 +:0403BD0084AEC02A20 +:0403BE00059346015C +:0403BF00051305C05D +:0403C000C606040465 +:0403C100DC0FF0EF6E +:0403C2004601478227 +:0403C3000404051316 +:0403C4000FF7F593A7 +:0403C500DEAFF0EFC8 +:0403C6000404051313 +:0403C70040B24422DA +:0403C800449285A630 +:0403C90001414605A3 +:0403CA00DD6FF06F84 +:0403CB0067E5115180 +:0403CC00C22266E5FE +:0403CD008E23C406B1 +:0403CE0087937EA7EC +:0403CF00C7837C86DE +:0403D000842A002754 +:0403D1007C8686930D +:0403D2008537CB851B +:0403D3000785000595 +:0403D400E405051324 +:0403D50002F54733B3 +:0403D6008593658D19 +:0403D7000533BF2506 +:0403D80057B302B461 +:0403D900753302E591 +:0403DA00F79302E5AE +:0403DB0005160FF7FD +:0403DC0002E55533AE +:0403DD000FF5741391 +:0403DE0081A34511A1 +:0403DF00370D00F6E0 +:0403E000040E891D61 :0403E10075938C493B :0403E20044120FF4BE -:0403E300456940A286 -:0403E400B3590131D7 -:0403E500C2221151CE -:0403E600842EC0267B -:0403E700843384AA2D -:0403E8004511028435 -:0403E9003325C406EE -:0403EA007593891D61 -:0403EB00058E01F486 -:0403EC0045118DC961 -:0403ED0040A2339562 -:0403EE00405455130F -:0403EF0044824412EE -:0403F0000FF575137D -:0403F10080820131D4 -:0403F200C2221151C1 -:0403F30000021437B9 -:0403F4000593460126 -:0403F500051302C02A -:0403F600C406040431 -:0403F700CE8FF0EFC6 -:0403F80045854605EC -:0403F90004040513E0 -:0403FA00D16FF0EFE0 -:0403FB0002C00593A4 -:0403FC0004040513DD -:0403FD00F0EF4605D2 -:0403FE000513CCEF28 -:0403FF00441204049C -:04040000458540A24C -:04040100F06F013166 -:040402001151CDEFD8 -:04040300C222C40647 -:040404003F5D842AAA -:04040500FE75759378 -:040406008C4D040E07 -:040407000FF4741367 -:04040800450585A27F -:0404090085A231ADEA -:04040A0031954509DA -:04040B00441285A270 -:04040C00450D40A2B8 -:04040D00B9A101315F -:04040E00C0261151A2 -:04040F00C40667E5D3 -:04041000C703C2223A -:0404110084AA03D7DF -:0404120067E5E14970 -:04041300A1878793A3 -:0404140004374794CE -:040415000413019C2F -:040416005433CC048B -:04041700878302D401 -:0404180046F100E7C2 -:0404190002D786B3CD -:04041A00879367E578 -:04041B0097B6B78752 -:04041C0000E7D7839B -:04041D0002F40433AE -:04041E001D6347898A -:04041F00C7B700F764 -:04042000879301C9F4 -:04042100E36337F763 -:0404220044BD00874E -:0404230035D1852624 -:04042400A03D450DA5 -:0404250067E53D7DCD -:0404260003F7C7030E -:04042700FF870793B1 -:040428000F77F793C0 -:040429004791C79997 -:04042A001B6345010A -:04042B00450500F78C -:04042C00B537A80137 -:04042D00051304C4EB -:04042E0035333FF52E -:04042F000509008536 -:0404300040A2441290 -:0404310001314482CF -:040432004409B78939 -:0404330000871763C4 -:040434008EE3453DD1 -:040435004501FAE49F -:040436004501BF5D60 -:04043700450D3D9D95 -:04043800FE9470E3DB -:040439008D054515D3 -:04043A000FF5751332 -:04043B001793BFD183 -:04043C00655D0025D5 -:04043D007B05051323 -:04043E00410C953E9A -:04043F004645656564 -:04044000AA050513F1 -:0404410048E0506FD0 -:04044200053345F148 -:0404430065E502B5B4 -:04044400B785859360 -:0404450095AA4645E9 -:0404460005136565D0 -:04044700506FAA0543 -:0404480047A9474039 -:0404490000F50E6349 -:04044A00050A67E157 -:04044B00F847879354 -:04044C00410C953E8C -:04044D004645656556 -:04044E00AA050513E3 -:04044F004560506F45 -:04045000859365D952 -:04045100B7FD71C5BD -:04045200112167E528 -:040453000207A68373 -:04045400C82267E56E -:040455008793C6269D -:04045600CA06B30718 -:040457000377C7035D -:040458000387C6034D -:040459003E800413CA -:04045A00D4334785CB -:04045B00648D028624 -:04045C0000F715632D -:04045D008493648997 -:04045E00458580044C -:04045F00C432453D21 -:04046000C036C23AA6 -:04046100A49FF0EF75 -:0404620005134581B8 -:04046300F0EF033083 -:04046400D593A3FF8A -:0404650005130084F7 -:04046600F0EF034070 -:040467004581A33FE9 -:040468000350051325 -:04046900A29FF0EF6F -:04046A000FF4759383 -:04046B000300051372 -:04046C00A1DFF0EF2D -:04046D00008455931F -:04046E000FF5F593FE -:04046F00031005135E -:04047000A0DFF0EF2A -:04047100010455939A -:040472000FF5F593FA -:04047300032005134A -:040474009FDFF0EF27 -:04047500453D45813B -:040476009F5FF0EFA5 -:0404770005134581A3 -:04047800F0EF0C5045 -:0404790046829EBF5A -:04047A00471264E5DC -:04047B00A08487933F -:04047C004785C79455 -:04047D0084934622FC -:04047E004409A08409 -:04047F0000F703631C -:040480004685442940 -:04048100008483A3CD -:0404820000D48223FD -:04048300036347BD0B -:04048400478100D6D6 -:0404850000F482A35A -:040486000E0005134C -:040487000C000593CD -:04048800F0EFC03A97 -:0404890047029ABFCD -:04048A00051347858A -:04048B001D63059058 -:04048C00F0EF10F786 -:04048D007593959F2F -:04048E00E5930FC51E -:04048F00051300153C -:04049000F0EF0590F4 -:04049100451198BFBA -:04049200943FF0EFB4 -:040493000EB575939A -:04049400F0EF45112F -:04049500C58397BFC5 -:040496000513004406 -:04049700F0EF0E1064 -:04049800059396FF33 -:0404990005130E40F9 -:04049A00F0EF0E2051 -:04049B00C583963F40 -:04049C0005130054F0 -:04049D0089BD0E30D7 -:04049E00955FF0EF87 -:04049F00051345A15B -:0404A000F0EF0E402B -:0404A100458594BF3A -:0404A200F0EF453DF5 -:0404A30045A1943F9C -:0404A4000910051323 -:0404A500939FF0EF42 -:0404A6000513458174 -:0404A700F0EF092049 -:0404A800458592FFF5 -:0404A90009300513FE -:0404AA00925FF0EF7E -:0404AB00051345816F -:0404AC00F0EF094024 -:0404AD0085A291BFD4 -:0404AE0009800513A9 -:0404AF00911FF0EFBA -:0404B0000FF445936D -:0404B100E593059238 -:0404B200F59300B509 -:0404B30005130FB569 -:0404B400F0EF0990CC -:0404B50045818FBF2F -:0404B600F0EF453DE1 -:0404B70005938F3FDB -:0404B80005130C100C -:0404B900F0EF0E0052 -:0404BA0007938E7F97 -:0404BB008323FC108B -:0404BC00458500F47E -:0404BD00F0EF453DDA -:0404BE0045858D7F64 -:0404BF00068005139B -:0404C0008CDFF0EFEE -:0404C1000513458159 -:0404C200F0EF0690C1 -:0404C30045818C3FA4 -:0404C40006B0051366 -:0404C5008B9FF0EF2A -:0404C6000513458154 -:0404C700F0EF06C08C -:0404C80005938AFF0F -:0404C9000513070010 -:0404CA00F0EF06D079 -:0404CB0045818A3F9E -:0404CC00F0EF453DCB -:0404CD00444289BF5D -:0404CE0044B240D222 -:0404CF000513458D3F -:0404D00001610CE0DA -:0404D100889FF06FA1 -:0404D200843FF0EF84 -:0404D3000FC5759349 -:0404D4000113B5FD5E -:0404D500D006FDC18F -:0404D600CC26CE2240 -:0404D70087AA4709A0 -:0404D80010E59C632C -:0404D9000500071300 -:0404DA0000E105A395 -:0404DB0000B1470322 -:0404DC00007614137F -:0404DD0004800593FF -:0404DE00008464131F -:0404DF000036F4935C -:0404E0000027671377 -:0404E10000B106233D -:0404E2000FF474138C -:0404E300453D4585C9 -:0404E40000F10723F9 -:0404E50005A3C03E6D -:0404E600C23A00E135 -:0404E700008106A3E7 -:0404E800009107A3D5 -:0404E900CA02C80279 -:0404EA00825FF0EF4E -:0404EB00051347129C -:0404EC0085BA058048 -:0404ED00819FF0EF0C -:0404EE0004800593EE -:0404EF00059005135C -:0404F00080DFF0EFCA -:0404F100051385A2C8 -:0404F200F0EF05A082 -:0404F3004782803F7D -:0404F40005B0051337 -:0404F500F0EF85BEE1 -:0404F60085A6FF6F69 -:0404F70005C0051324 -:0404F800FECFF0EF54 -:0404F9000513458121 -:0404FA00F0EF05E03A -:0404FB004581FE2F0A -:0404FC0005F00513EF -:0404FD00FD8FF0EF90 -:0404FE00051345811C -:0404FF00F0EF060014 -:040500004581FCEF46 -:0405010006100513C8 -:04050200FC4FF0EFCB -:040503000513458116 -:04050400F0EF0620EE -:040505004581FBAF82 -:0405060006300513A3 -:04050700FB0FF0EF07 -:040508000513458111 -:04050900F0EF0640C9 -:04050A004581FA6FBE -:04050B00065005137E -:04050C00F9CFF0EF44 -:04050D000713003C94 -:04050E0045810151D1 -:04050F000037C68368 -:040510008D95078539 -:040511000FF5F5935A -:04051200FEF71AE3F3 -:0405130006F58593D1 -:040514000FF5F59357 -:0405150005D00513F5 -:04051600F74FF0EFBC -:04051700453D458198 -:04051800F6CFF0EF3B -:040519005082447256 -:04051A00458D44E2E5 -:04051B000CD00513E8 -:04051C000241011384 -:04051D00F58FF06FF7 -:04051E00B5FD47419F -:04051F00CA221111CA -:040520004505842ADF -:04052100C826CC0616 -:04052200F98FF0EF6E -:04052300F0EF45119F -:040524006593EFCF1D -:04052500F5930085C5 -:0405260045110FF577 -:04052700F30FF0EFEF -:040528000300059334 -:0405290006100513A0 -:04052A00F24FF0EFAD -:04052B0005134581EE -:04052C00F0EF0CD010 -:04052D0067E5F1AFDE -:04052E00A187871307 -:04052F0001474483B9 -:04053000A187879385 -:04053100C03E470978 -:04053200009034B34E -:04053300C23A4785FC -:0405340003630485D4 -:04053500C20200F40A -:04053600451145F531 -:04053700EF0FF0EFE3 -:04053800079366E5DA -:040539008613FFE442 -:04053A0037B3A086AD -:04053B00971300F022 -:04053C0047830017DA -:04053D009BF500C664 -:04053E0087138FD9B7 -:04053F000623A08669 -:04054000C43A00F6C3 -:04054100C7818B895A -:04054200F0EF45058C -:0405430047A2F16F6B -:040544000700051394 -:040545000037C78331 -:04054600F0EFC63ECE -:0405470047B2E70FC1 -:040548000035759372 -:040549000087F7131D -:04054A00E593C31959 -:04054B00F71302059B -:04054C00C3190017B8 -:04054D000105E5932C -:04054E000027F71378 -:04054F00E593C31954 -:040550008B91008506 -:04055100E593C399D2 -:040552000513004548 -:04055300F0EF0700BE -:040554004792E7EFF4 -:04055500C3B5458164 -:04055600879367E53B -:04055700C5839C4775 -:040558000513000780 -:04055900F0EF073088 -:04055A0067E5E66FFC -:04055B009C4787131F -:04055C0000174583BC -:04055D00074005133B -:04055E00E54FF0EF86 -:04055F00879367E532 -:04056000C5839C476C -:040561000513002757 -:04056200F0EF07505F -:040563006761E42FB9 -:0405640007134781B1 -:0405650006B3FB07D7 -:04056600C58300F752 -:0405670085130006F2 -:04056800C23E076721 -:04056900E28FF0EF3E -:04056A0067614792EC -:04056B00078546C9F1 -:04056C00FB0707136F -:04056D00FED791E341 -:04056E0005134589A3 -:04056F00C22E072071 -:04057000DCAFF0EF1D -:0405710089714592B5 -:0405720005138DC917 -:04057300F0EF07207E -:0405740047A2DFEFCC -:04057500C78345856E -:040576008B8900C7A6 -:040577004581E39146 -:040578000C0005135B -:04057900DE8FF0EF32 -:04057A00451145D50D -:04057B00DE0FF0EFB0 -:04057C00051345C15D -:04057D00F0EF061085 -:04057E004789DD6F5D -:04057F000EF49E6375 -:040580000880059357 -:040581000620051338 -:04058200DC4FF0EF6B -:04058300051345C156 -:04058400F0EF06305E -:040585000593DBAF50 -:040586000513084011 -:04058700F0EF06404B -:040588000513DAEF8E -:04058900E0EF3E80E1 -:04058A004511AD9FCB -:04058B00D5EFF0EFC9 -:04058C0001D575938D -:04058D00F0EF451135 -:04058E006561D96F5B -:04058F006A050513E1 -:04059000ABFFE0EFEE -:04059100F0EF451131 -:040592007593D44F3A -:0405930045110155B8 -:04059400D7CFF0EFDE -:040595000640049385 -:04059600F0EF453904 -:040597008941D30FB4 -:0405980014FDE9095C -:04059900051304C280 -:04059A0080C13E805E -:04059B00A93FE0EFA5 -:04059C004581F4E5BC -:04059D00F0EF453105 -:04059E000593D56F7D -:04059F0045350400DA -:0405A000D4CFF0EFD5 -:0405A100453945850E -:0405A200D44FF0EF53 -:0405A3004539458110 -:0405A400D3CFF0EFD2 -:0405A500453D45810A -:0405A600D34FF0EF50 -:0405A7000513458172 -:0405A800F0EF06105A -:0405A9004789D2AFFD -:0405AA0002F40563EF -:0405AB0064E547823A -:0405AC00B30484937D -:0405AD000137C683C9 -:0405AE000157C50329 -:0405AF000244C60339 -:0405B000008035B3DF -:0405B1003171058619 -:0405B2000244C783B5 -:0405B30003234702D5 -:0405B400F0EF04F769 -:0405B5004581D36F3A -:0405B6000CE005133D -:0405B700CF0FF0EF83 -:0405B8000463478908 -:0405B900F0EF00F46B -:0405BA004452A63FC2 -:0405BB0044C240E214 -:0405BC000171450183 -:0405BD00D2CFF06F3A -:0405BE00051345E1FB -:0405BF00F0EF062033 -:0405C00045C1CCEF76 -:0405C10006300513E8 -:0405C200CC4FF0EF3B -:0405C300B73145B156 -:0405C40011416789F1 -:0405C50071078793A0 -:0405C60054B3C22642 -:0405C70066C102F512 -:0405C800C606C4227D -:0405C90016FD4401D6 -:0405CA00000217B75D -:0405CB000D07A7036E -:0405CC00879367E5C5 -:0405CD008F75AB4734 -:0405CE000347861346 -:0405CF000007D50349 -:0405D00002E50463D9 -:0405D1009BE3078918 -:0405D2006509FEC7F2 -:0405D3007105051396 -:0405D400E0EFC02E66 -:0405D50067C19ADF81 -:0405D6004582040551 -:0405D700FFF7869311 -:0405D800FC9464E348 -:0405D900A01945011F -:0405DA004505C1987A -:0405DB00442240B2C4 -:0405DC000141449203 -:0405DD0011418082C6 -:0405DE0000A101A3D4 -:0405DF0000021537CA -:0405E0004605C422E6 -:0405E1000513842E4C -:0405E2000593040574 -:0405E300C606003117 -:0405E400DB9FE0EFCA -:0405E500E0EF85229C -:0405E60040B2969FEA -:0405E7000141442268 -:0405E80011518082AB -:0405E90002200513D4 -:0405EA00C222C4065F -:0405EB00F0EFC02647 -:0405EC007413D20FA3 -:0405ED0045CD0FF5F4 -:0405EE00F0EF45598C -:0405EF006489D5EF57 -:0405F00071048513FA -:0405F10093BFE0EFE5 -:0405F200455D458D91 -:0405F300D4CFF0EF82 -:0405F40071048513F6 -:0405F50092BFE0EFE2 -:0405F60008046593FD -:0405F70002200513C6 -:0405F800D38FF0EFBE -:0405F9003E80051328 -:0405FA00917FE0EF1E -:0405FB0007F47593F9 -:0405FC0040A24412C3 -:0405FD00051344821C -:0405FE0001310220A5 -:0405FF00D1CFF06FF9 -:04060000E9634789DA -:0406010011510CA7E0 -:04060200C026C2222A -:0406030084B7C406EE -:04060400409C000214 -:0406050083ED842AD3 -:0406060088638BBDBD -:0406070047D10AA726 -:0406080002F507B33D -:04060900859365DD93 -:04060A0046517BC515 -:04060B00004485130F -:04060C00040A883D17 -:04060D00E0EF95BEC7 -:04060E00409CD91F14 -:04060F00FC37F7932A -:04061000C0808C5DBD -:040611000793646582 -:04061200C783A18475 -:0406130004130207C3 -:04061400CF91A1845D -:0406150007136765FB -:04061600431C0387F7 -:040617009BF54529E1 -:040618001737C31CB1 -:040619002023000298 -:04061A00E0EF0EF708 -:04061B0017B7895F25 -:04061C00A7830002AE -:04061D0007370D0787 -:04061E008FF9000848 -:04061F0087B7EB8D21 -:0406200043980002F9 -:040621000007596312 -:0406220045054398AF -:040623000017671342 -:04062400E0EFC398A8 -:0406250087B786DF2E -:0406260043980002F3 -:040627009B7945294D -:040628004398C39898 -:04062900002767132C -:04062A00E0EFC398A2 -:04062B004783855F1D -:04062C00CF81020474 -:04062D0007136765E3 -:04062E00431C0387DF -:04062F000027E79326 -:040630001737C31C99 -:040631002023000280 -:0406320040A20EF7DD -:0406330044824412A7 -:04063400808201318E -:0406350065E1808279 -:040636001151656594 -:040637000460061342 -:040638008A85859397 -:04063900B3050513ED -:04063A00E0EFC40623 -:04063B0065E1CDDFC9 -:04063C0006136565D7 -:04063D00859347C09A -:04063E0005138F050C -:04063F00E0EFB785AC -:0406400040A2CC9F69 -:04064100470567E51D -:0406420004E789231D -:04064300013145013B -:040644000693808217 -:0406450005334670C3 -:04064600069302D540 -:0406470066590640AA -:0406480072460613DD -:0406490046B345C5AA -:04064A00656502D50B -:04064B00AA050513E4 -:04064C0025E0406FF6 -:04064D00000F46B79D -:04064E002406869365 -:04064F0002D5053398 -:04065000879367899C -:0406510007139647AE -:0406520036B73E80F9 -:040653008693006327 -:040654006659EA06F3 -:0406550072C6061350 -:0406560057B345C58C -:04065700F7B302F5FE -:04065800472902E745 -:0406590002D556B3BD -:04065A0005136565BA -:04065B00D733AA05E2 -:04065C00406F02E702 -:04065D00069321C01F -:04065E000505271057 -:04065F0002D5053388 -:0406600006400693B7 -:0406610006136659BD -:0406620045C5738691 -:0406630002D546B3C3 -:0406640005136565B0 -:04066500406FAA0533 -:0406660006931F8058 -:040667000533271020 -:04066800069302D51E -:040669006659064088 -:04066A00738606137A -:04066B0046B345C588 -:04066C00656502D5E9 -:04066D00AA050513C2 -:04066E001D60406F5C -:04066F0086AABFF99F -:0406700065656659FD -:0406710074060613F2 -:04067200051345C562 -:04067300406FAA0525 -:0406740086AA1C0036 -:0406750065656659F8 -:0406760074C606132D -:04067700051345C55D -:04067800406FAA0520 -:0406790086AA1AC073 -:04067A0065656659F3 -:04067B007586061367 -:04067C00051345C558 -:04067D00406FAA051B -:04067E000693198046 -:04067F0006E2F80592 -:040680006565665DE9 -:04068100061386E1F5 -:0406820045C5A48640 -:04068300AA050513AC -:0406840017E0406FCC -:040685000185169342 -:04068600665986E14A -:0406870016D16565BE -:0406880075C606131A -:04068900051345C54B -:04068A00406FAA050E -:04068B00468516404A -:04068C0000A696B37B -:04068D0065656659E0 -:04068E0074060613D5 -:04068F00051345C545 -:04069000406FAA0508 -:04069100050514C087 -:0406920096B3468550 -:04069300665900A6FE -:04069400061365657F -:0406950045C574C61D -:04069600AA05051399 -:040697001320406F7D -:04069800004547834F -:0406990086B24711CD -:04069A0006F7696393 -:04069B00078A67590A -:04069C007787071342 -:04069D00439C97BA29 -:04069E00451C8782EE -:04069F00C703464502 -:0406A000491C0007EA -:0406A10097BA070AF3 -:0406A2006565438CBB -:0406A300AA0505138C -:0406A4003030406F43 -:0406A500491C45188F -:0406A6000007450301 -:0406A700491C8782E1 -:0406A80087824508F8 -:0406A900802367E55E -:0406AA008082AA0799 -:0406AB00E611C195FE -:0406AC00464565D981 -:0406AD007645859376 -:0406AE005063BFC90D -:0406AF006659020680 -:0406B0000613656563 -:0406B10045C576C6FF -:0406B200AA0505137D -:0406B3000C20406F68 -:0406B400DBE9455CDD -:0406B500479C439883 -:0406B6008082B7C1C6 -:0406B700C78367E5A9 -:0406B800C3E101E7B2 -:0406B900C70367E527 -:0406BA0047859D07CC -:0406BB000AF71B63BC -:0406BC00111167654C -:0406BD008607071392 -:0406BE00C826CA225E -:0406BF004401CC0620 -:0406C00047814481A9 -:0406C1006765C23A6D -:0406C20001F74703F2 -:0406C300070E469246 -:0406C4004310973612 -:0406C50000064703E1 -:0406C60000E7CD6319 -:0406C700000247B72F -:0406C8003C97A22396 -:0406C9003C87A423A3 -:0406CA00445240E274 -:0406CB00017144C2B3 -:0406CC004751808290 -:0406CD0002E7873386 -:0406CE00969342506D -:0406CF00C63E0057CC -:0406D000000247B726 -:0406D10000F6853377 -:0406D2009732C03665 -:0406D3004641430C4D -:0406D40040EFC43AF5 -:0406D5004722241084 -:0406D600458547B25D -:0406D700004746038F -:0406D80000F592B3E4 -:0406D9001675C616B6 -:0406DA000FF676138E -:0406DB000054E4B330 -:0406DC0002C5F763F9 -:0406DD004601853A13 -:0406DE00C43E458150 -:0406DF0047B735D50F -:0406E000851300027C -:0406E1004782010744 -:0406E200464165E543 -:0406E3008593953E28 -:0406E40040EFAA0534 -:0406E50042B22010ED -:0406E600643347A290 -:0406E700078500542F -:0406E8008082B79DB8 -:0406E900FD8101137B -:0406EA00D22267654C -:0406EB000487540329 -:0406EC0047036765F4 -:0406ED00D0260427E8 -:0406EE00C03A62E5C7 -:0406EF0057036765E1 -:0406F00064E50407B2 -:0406F100C23A66653E -:0406F20057036765DE -:0406F300D68305079E -:0406F4005303044464 -:0406F500C43A04A659 -:0406F60047036765EA -:0406F70085AA04E7E5 -:0406F800044484939F -:0406F9006765C63A31 -:0406FA0004C75703D7 -:0406FB000462D503BD -:0406FC0004A6061337 -:0406FD006765C83A2B -:0406FE00A1870713B6 -:0406FF0000F743833A -:04070000046282937A -:04070100CC1667E5C6 -:0407020062E5CA26BC -:04070300C783CE32A8 -:0407040082930537A0 -:040705008163B782D3 -:0407060006030803DB -:040707001D6300E787 -:04070800477106F639 -:0407090002E60733CA -:04070A005383971668 -:04070B00916300E70F -:04070C00238306D36A -:04070D0074930107D9 -:04070E00F3930FF55D -:04070F00996301F3F6 -:040710004383049388 -:0407110095630147A4 -:040712004383048396 -:0407130044820127F4 -:040714000493906357 -:0407150000872383B3 -:04071600D3934492A3 -:04071700996301538E -:040718004383029382 -:0407190044A201579E -:04071A00029394634F -:04071B0001374383DC -:04071C009F6344B2E1 -:04071D0057030093EB -:04071E0044C200C70A -:04071F0000971A63C2 -:0407200006334771E4 -:04072100961602E640 -:040722000166470322 -:040723000067066302 -:0407240046056765BA -:0407250004C70923D9 -:0407260087B34771DD -:04072700771302E75B -:0407280092BE01F587 -:040729000102D7836F -:04072A0000D297233F -:04072B0000828A239B -:04072C00E5339B8195 -:04072D00982300E726 -:04072E00478200A25C -:04072F0000628B23B6 -:04073000892365654F -:04073100479200F2F9 -:0407320000579613C3 -:0407330000A2D783C6 -:040734008FD18BFDD9 -:0407350000F2952316 -:040736008AA347A2A9 -:0407370047B200F2D3 -:0407380000F289A39F -:04073900962347C2FA -:04073A0047F200F290 -:04073B0002F59663CA -:04073C00465006938A -:04073D0002D30333AD -:04073E0006400693D8 -:04073F0006136659DE -:0407400046B378C67E -:04074100541202D379 -:0407420045C55482D3 -:04074300AA050513EB -:04074400028101131A -:0407450067B0306FFA -:04074600966347D29D -:04074700665900F5FA -:040748007586061399 -:0407490047E2B7CDFF -:04074A0000F59963BA -:04074B006659469510 -:04074C0002D706B317 -:04074D0079460613D0 -:04074E00D683B7F99E -:04074F00B7C5000525 -:04075000879367E53F -:0407510043980307BF -:04075200671DEF1917 -:04075300978707136A -:0407540002E5053382 -:04075500C406115174 -:04075600E0EFC38885 -:0407570040A2BC8F71 -:040758000131450125 -:040759004505808250 -:04075A001151808237 -:04075B00E0EFC40601 -:04075C0067E5BD8F01 -:04075D000307A78364 -:04075E00353340A24D -:04075F00013100F56F -:04076000473D80820F -:0407610024A7636303 -:04076200445257B7EF -:04076300EDC10113D0 -:0407640035578793EB -:0407650057B7CC3E78 -:040766008793004134 -:04076700CE3E441727 -:0407680000F53793CE -:0407690010812E23AA -:04076A001211202325 -:04076B0010912C239A -:04076C0002F1012372 -:04076D001F63842A58 -:04076E0067950CE59A -:04076F005007879315 -:04077000102365E508 -:04077100462902F122 -:0407720004A0079345 -:04077300A8058593BD -:040774000251051316 -:0407750002F101A3E9 -:040776000201022357 -:04077700FEAFE0EF02 -:04077800C78367E5E7 -:0407790065E503C768 -:04077A0003600613FF -:04077B0002F108235C -:04077C00C78367E5E3 -:04077D008593014718 -:04077E000513AB456F -:04077F0008A3039137 -:0407800067E502F136 -:040781000257C783D1 -:0407820004930820B4 -:0407830007A306F1D1 -:0407840067E502F132 -:0407850001C7C7835E -:0407860002F1092350 -:04078700C78367E5D8 -:0407880009A300B70A -:0407890067E502F12D -:04078A000097C7838A -:04078B0002F10A234A -:04078C00C78367E5D3 -:04078D000AA39C0718 -:04078E0067E502F128 -:04078F0000A7C78375 -:0407900002F10B2344 -:04079100C78367E5CE -:040792000BA39D0711 -:0407930067E502F123 -:040794009D17C78363 -:0407950002F10C233E -:04079600F6EFE0EFAB -:040797000004450312 -:04079800E0EF040585 -:040799000FA3C41FC7 -:04079A0019E3FEA4BD -:04079B0067E5FE947C -:04079C000187A50329 -:04079D00057006934A -:04079E0005B7083063 -:04079F00E0EF001F68 -:0407A0002083C6CF1D -:0407A100240312011A -:0407A200248311C1DA -:0407A30001131181AC -:0407A40080821241FC -:0407A5008793679936 -:0407A6001023800795 -:0407A70007B702F19D -:0407A8008793047CB3 -:0407A90064E5046798 -:0407AA00C783D83EEB -:0407AB00EB89B20420 -:0407AC000613665971 -:0407AD0045B579C60F -:0407AE00B2048513F9 -:0407AF004D3030EFAA -:0407B000B204859377 -:0407B10005134635B1 -:0407B20040EF0231E1 -:0407B30065E56C800C -:0407B40004600613C4 -:0407B500B305859370 -:0407B600E0EF184810 -:0407B70064E5EECF38 -:0407B80009E006133B -:0407B900B7848593E9 -:0407BA0007A105137B -:0407BB00EDAFE0EFCF -:0407BC00C503083C2D -:0407BD00C03E000733 -:0407BE00BABFE0EFEF -:0407BF000A3847822B -:0407C00000A78023EB -:0407C10096E307852F -:0407C2000441FEE709 -:0407C3000FF47413A8 -:0407C4000104179382 -:0407C50067E5C23EE4 -:0407C6000187A503FF -:0407C7001000069385 -:0407C800159308304D -:0407C900E0EF010458 -:0407CA0067E5BC4FD4 -:0407CB000187879388 -:0407CC00F921C63E0B -:0407CD00B7848793D3 -:0407CE0007130442C7 -:0407CF0084931004FB -:0407D000879309E71B -:0407D100C03A49E7FA -:0407D2000413C43E0A -:0407D30006933DE06C -:0407D4008722100068 -:0407D5000086F46343 -:0407D60010000713F5 -:0407D7008341074211 -:0407D80085A6863A32 -:0407D900C83A0828EA -:0407DA00E5EFE0EF78 -:0407DB000834474255 -:0407DC000006C5034B -:0407DD00C836CA3A16 -:0407DE00B2BFE0EFD7 -:0407DF000A3C46C2C8 -:0407E00080234752D9 -:0407E100068500A6E3 -:0407E200FEF694E3A8 -:0407E300460247B2D1 -:0407E400438845926F -:0407E500E0EF083405 -:0407E60014E3A8AFC1 -:0407E7000693EE0582 -:0407E8008722100054 -:0407E90000D4746361 -:0407EA0010000713E1 -:0407EB00F007079379 -:0407EC00010794135A -:0407ED008493478228 -:0407EE008041100432 -:0407EF0010078793D5 -:0407F00047A2C03E1E -:0407F100F8F495E3A0 -:0407F200557DBD6D07 -:0407F300115180829E -:0407F40064E5C026D2 -:0407F5000274849373 -:0407F6000004C50333 -:0407F700C406C22250 -:0407F800842A334DCF -:0407F900C703E51538 -:0407FA0067E50004AB -:0407FB00832346818D -:0407FC0067E502E7C4 -:0407FD000257C78355 -:0407FE0067E5C78163 -:0407FF00A387C68383 -:04080000879367E58E -:0408010097B6A807F7 -:0408020000E7802368 -:040803003B95453D9F -:04080400852240A267 -:0408050044824412D3 -:0408060080820131BA -:04080700EDC101132B -:04080800802367E5FD -:04080900C43EB20730 -:04080A001211202384 -:04080B0010812E2307 -:04080C0010912C23F8 -:04080D00473DC22E73 -:04080E00636357FDCC -:04080F00676506A76C -:040810007793054194 -:0408110025030FF5B7 -:040812009593018732 -:040813000693010740 -:040814000830100098 -:04081500E0EFC03E12 -:040816006765B4CF8F -:040817000713082497 -:0408180087AA018723 -:04081900C63A842631 -:04081A00000445038E -:04081B000405C83ECA -:04081C00A33FE0EF27 -:04081D00FEA40FA383 -:04081E0047C20A388B -:04081F00FE8716E357 -:0408200065D9E3852E -:040821007A458593FC -:04082200E0EF0828D3 -:0408230087AAD88F39 -:040824001E051F632B -:040825000221470362 -:040826004685CF1123 -:040827000ED70D6378 -:040828001201208316 -:0408290011C12403D2 -:04082A001181248391 -:04082B000113853EF2 -:04082C008082124173 -:04082D00020147037A -:04082E001C071D6323 -:04082F0002114683E9 -:040830000550071355 -:040831001CE69763C7 -:040832000241470335 -:0408330002314683C5 -:040834008F550722B3 -:0408350004A0069382 -:04083600FCD714E3F4 -:04083700F369471208 -:040838004781676528 -:04083900071345B9A3 -:04083A004629A8079C -:04083B0000D4C6839C -:04083C0000D5E6639A -:04083D0000F7053388 -:04083E0000D50023BE -:04083F0004850785A0 -:04084000FEC796E376 -:0408410003114783D5 -:040842000A236765B9 -:04084300472500F74E -:0408440000F76663F0 -:040845000E236765B2 -:04084600A02902F7EC -:0408470003014783DF -:04084800FEF77AE35A -:04084900033147032D -:04084A00656567E594 -:04084B0000E785A39A -:04084C00034147031A -:04084D00061367E542 -:04084E0084A303601C -:04084F00470300E774 -:0408500067E5035104 -:040851000391059377 -:040852009CE780237C -:0408530003614703F3 -:04085400051367E53C -:040855008523AB4507 -:04085600470300E76D -:0408570067E50371DD -:040858009CE788236E -:0408590003814703CD -:04085A0088A367E523 -:04085B0047039CE7CC -:04085C0067E502F159 -:04085D0002E782A389 -:04085E00C70367E580 -:04085F0067E5A8079A -:0408600002E7832305 -:040861000321470325 -:040862008E2367E595 -:04086300E0EF00E7DB -:040864004781C38F76 -:040865004483B731E0 -:040866009C6302018C -:0408670046830E04B2 -:04086800071302115F -:04086900966305800D -:04086A0056830EE6BD -:04086B00071303016B -:04086C0097E30460AA -:04086D005403EEE65C -:04086E000713032148 -:04086F0011E347C08A -:0408700047A2EEE4C9 -:040871004635C82A16 -:04087200B207851331 -:0408730002310593B6 -:040874003C2040EFF5 -:0408750047C247121D -:04087600EC0714E394 -:04087700061365659A -:04087800184C0460B4 -:04087900B3050513AB -:04087A00BDEFE0EFFF -:04087B0067654782E4 -:04087C00B787071320 -:04087D00C23E07A2CE -:04087E000793C0021A -:04087F00C43A062051 -:040880004682472243 -:04088100100006134A -:0408820005338E1D8F -:04088300083800D75A -:0408840000F705B3C1 -:0408850006C44563FD -:04088600E0EFC83E99 -:0408870047C2BACFDB -:04088800048547029A -:040889008F1D943EED -:04088A00F49347920A -:04088B0007130FF44C -:04088C0085B3100719 -:04088D0047B200F47A -:04088E008341074259 -:04088F000413438883 -:040890000693F004D7 -:04089100083010001B -:04089200C03A05A2C1 -:04089300E0EF04424C -:040894008041954FBB -:04089500083887AAEE -:04089600000745030F -:04089700C83ACA3E53 -:04089800843FE0EFCA -:040899000A34474294 -:04089A00002347D21E -:04089B00070500A7A6 -:04089C00FEE694E3FD -:04089D00E20796E3F5 -:04089E00F059478145 -:04089F008622A021EC -:0408A000B46FE0EF62 -:0408A100470567E5BB -:0408A20004E78923BB -:0408A3004785B719B5 -:0408A4004789BD01C2 -:0408A5001151B53107 -:0408A60064E5C0261F -:0408A70002748493C0 -:0408A8000004C50380 -:0408A900C2224581A1 -:0408AA00F0EFC406A1 -:0408AB00842AD73F85 -:0408AC00C703E1217C -:0408AD0067E50004F7 -:0408AE00832346A9B1 -:0408AF0067E502E710 -:0408B000B757C783EC -:0408B10000D7856384 -:0408B2008E2366E546 -:0408B30067E502F6FD -:0408B4000257C7839D -:0408B500C781468130 -:0408B600C68367E5A9 -:0408B70067E503C727 -:0408B800A807879373 -:0408B900802397B64B -:0408BA00453D00E7D1 -:0408BB00A97FF0EF32 -:0408BC00852240A2AF -:0408BD00448244121B -:0408BE008082013102 -:0408BF004585114119 -:0408C000C02AC6067E -:0408C100D19FF0EFE4 -:0408C200478367659C -:0408C3004682B207B0 -:0408C400B20707135D -:0408C5006759E78107 -:0408C6007AC70713D3 -:0408C700665940B27C -:0408C8000613656549 -:0408C90045C57B4660 -:0408CA00AA05051363 -:0408CB00306F014148 -:0408CC0011210610E0 -:0408CD00C822676571 -:0408CE000387041385 -:0408CF00CA06401CF9 -:0408D000000216B755 -:0408D100F9F7F793A9 -:0408D200C626C01C5A -:0408D300A023C02E70 -:0408D40005930EF684 -:0408D50084AA3200BF -:0408D600F0EF4505F5 -:0408D7000513C1CF75 -:0408D800D0EF190044 -:0408D900401CD9DF07 -:0408DA00000216B74B -:0408DB00E79345C199 -:0408DC00C01C020733 -:0408DD000EF6A02350 -:0408DE0040EF85263C -:0408DF00779323C028 -:0408E000EB890FF59C -:0408E100464565E142 -:0408E200D9C585935C -:0408E30040EF852637 -:0408E40047852040E4 -:0408E50086B347018E -:0408E600C50300E462 -:0408E70045D10006F1 -:0408E800C23AC43E0E -:0408E900BD2FF0EF40 -:0408EA0047A24712C8 -:0408EB0076930705F4 -:0408EC00E2E30FF73D -:0408ED00401CFEF6B7 -:0408EE00000214B739 -:0408EF00F79345D165 -:0408F000C01CFDF734 -:0408F1000EF4A0233E -:0408F2000C000513DE -:0408F300BAAFF0EFB9 -:0408F4004502401C5D -:0408F500E79345C17F -:0408F600C01C020719 -:0408F7000EF4A02338 -:0408F8001D6040EF50 -:0408F9000FF57493F0 -:0408FA004502E88942 -:0408FB00464565E128 -:0408FC00D9C5859342 -:0408FD0019E040EFCF -:0408FE004781448565 -:0408FF0045D1470296 -:04090000973EC23E1E -:0409010000074503A3 -:04090200B6EFF0EF6D -:04090300078547928B -:040904000FF7F713DF -:04090500FE9764E312 -:0409060040D2401C7F -:04090700000217379C -:040908000407E79366 -:040909004442C01C88 -:04090A000EF72023A1 -:04090B00016144B290 -:04090C001141808293 -:04090D00C226C42218 -:04090E004785C6064D -:04090F00646564E5D2 -:0409100000F5086383 -:04091100468367654D -:0409120047099D07ED -:0409130004E69463FF -:040914008593464140 -:040915004537A8C4F6 -:0409160040EF0002AC -:0409170047B713804B -:040918008513000241 -:04091900464102074A -:04091A00AA04059393 -:04091B00126040EF37 -:04091C00000247B7D7 -:04091D003C07A623CA -:04091E00A223470DBC -:04091F00A4233CE7EA -:0409200005933C07F8 -:040921004422AA04BE -:04092200851340B247 -:040923004492A8C48E -:04092400B545014193 -:04092500FEE517E3F1 -:0409260047036765B7 -:04092700464101F74D -:04092800AA04059385 -:0409290000371693EA -:04092A0007136765E3 -:04092B00973686076E -:04092C0000474683B7 -:04092D000002473746 -:04092E0000569513C7 -:04092F00953A0541AF -:0409300040EFC0369E -:0409310046820D00ED -:040932000002473741 -:040933003C872603D4 -:0409340097B34785A9 -:040935008FD100D787 -:040936003CF7242343 -:0409370067E5B75D5C -:0409380001D7C78399 -:04093900FD81011328 -:04093A000785D0223B -:04093B000FF7F79328 -:04093C00646507B235 -:04093D000713C83E96 -:04093E00431C0384CF -:04093F00D20646C2D4 -:040940008FD5CE265B -:040941008FD566A147 -:04094200C202C31C0E -:040943000002173760 -:0409440064E5C402A0 -:0409450020236659AC -:0409460006130EF78F -:0409470045C57BC661 -:04094800AA04851365 -:0409490066A030EF85 -:04094A00372145010B -:04094B00177D67416C -:04094C0004134785C4 -:04094D00CA3A03841B -:04094E000002173755 -:04094F000D072683E7 -:040950008EF146528C -:04095100E7C5C636FA -:0409520067E5C2DDB6 -:04095300AB47879394 -:040954000167D6035E -:04095500401445B253 -:040956000EB61F6357 -:0409570017FD77F51C -:04095800C01C8FF53B -:040959000EF7202352 -:04095A0080234501B0 -:04095B0035D1AA04E4 -:04095C000006253735 -:04095D00A8050513D1 -:04095E00B87FD0EF9F -:04095F004742401CAF -:040960000513669184 -:040961008FD93E806C -:04096200C01C8FD551 -:040963000002173740 -:040964000EF7202347 -:04096500FACFF0EFE6 -:04096600FD2FF0EF82 -:04096700106347854D -:0409680027B702F5B6 -:04096900479C0002A5 -:04096A0001079713D7 -:04096B00C23A8341C8 -:04096C000047971396 -:04096D00C43A8351B4 -:04096E00DFE3CC3EB9 -:04096F0067E5FC0735 -:040970000207A823AF -:04097100EB9D479221 -:0409720006136659A9 -:0409730045C57C8674 -:04097400AA04851339 -:040975005BA030EF64 -:040976003DA1450159 -:040977007771401C38 -:040978008FF9177D5F -:040979001737C01C50 -:04097A002023000234 -:04097B0065090EF705 -:04097C0071050513E9 -:04097D00B0BFD0EF48 -:04097E00BF3D47B280 -:04097F004752479202 -:0409800000E7966393 -:04098100061366599A -:04098200B7D17D86E6 -:0409830045A2471230 -:04098400079366056A -:04098500167D064095 -:0409860002F756B36B -:0409870002F77733C9 -:0409880000C59B63A8 -:040989000613665992 -:04098A0045C57E06DB -:04098B00AA04851322 -:04098C0055E030EF13 -:04098D004622B755F2 -:04098E00851345C5C3 -:04098F007633AA040D -:04099000C03202F679 -:0409910057B34622F0 -:04099200665902F6AA -:040993007EC6061303 -:0409940053E030EF0D -:04099500D783B751FC -:04099600463201875D -:04099700F8C790E32A -:0409980017FD77C50B -:04099900C01C8FF5FA -:04099A005402509221 -:04099B000EF7202310 -:04099C00450144F2DB -:04099D0002810113BF -:04099E0067E5808207 -:04099F0001E7C78322 -:0409A0001151E3B559 -:0409A100C222C406A4 -:0409A200C909C02699 -:0409A30000024737D0 -:0409A4003C07278362 -:0409A5000027E793AD -:0409A6003CF72023D7 -:0409A700859364E5EB -:0409A8004641AEC452 -:0409A90000024537CC -:0409AA006EB030EF0C -:0409AB0000024437CB -:0409AC00859367E5E3 -:0409AD000513B00777 -:0409AE0046410204B8 -:0409AF006D7030EF48 -:0409B0002623470DA6 -:0409B10022233C04BD -:0409B20024233CE4DA -:0409B30044123C04AA -:0409B400851340A2C5 -:0409B5004482AEC406 -:0409B600859367E5D9 -:0409B7000131B00753 -:0409B800C53FF06FD8 -:0409B90067E58082EC -:0409BA004705636525 -:0409BB0002E78A23A2 -:0409BC00A183079379 -:0409BD007159479C89 -:0409BE00D686D2A661 -:0409BF000493D4A227 -:0409C0008963A18323 -:0409C100409814073F -:0409C20014070663AD -:0409C300019C073755 -:0409C400CC07071342 -:0409C50002F75333AF -:0409C600C43740DC16 -:0409C7000413A0EE87 -:0409C8005433B004F0 -:0409C900051302F41C -:0409CA00C21A03B09A -:0409CB00DA2FE0EF50 -:0409CC004783C62A6D -:0409CD00051300C14D -:0409CE00CC3E03C058 -:0409CF00D92FE0EF4D -:0409D0000204C783D3 -:0409D10000C4C60395 -:0409D2009713431222 -:0409D30067DD0027B5 -:0409D400748787930A -:0409D500439497BAF6 -:0409D60007934098AB -:0409D700E21907001A -:0409D80006900793EB -:0409D9006565665D8D -:0409DA00800606137A -:0409DB00051345C5F6 -:0409DC00C21AAEC5C8 -:0409DD0041A030EF16 -:0409DE000793431226 -:0409DF000693064035 -:0409E00065653E808B -:0409E100773345C55E -:0409E200051302F403 -:0409E3007633B005B2 -:0409E400C03A02D340 -:0409E5005733472914 -:0409E600665D02E662 -:0409E70080C60613AD -:0409E80002F457B30B -:0409E90002D356B32C -:0409EA003E6030EF4C -:0409EB0035F1450598 -:0409EC00C703409C61 -:0409ED00C28303645A -:0409EE00C83E047487 -:0409EF0000C4C783F6 -:0409F00002010BA352 -:0409F100CA3E638512 -:0409F200DC3E47910F -:0409F30097B347A1CE -:0409F400C70300E74E -:0409F500E79303740D -:0409F600DE3E00875A -:0409F7004000079322 -:0409F80000E797B3CA -:0409F9000384C703A9 -:0409FA0007B7C0BEBD -:0409FB0097B30002AC -:0409FC00C70300E746 -:0409FD00C2BE0394DF -:0409FE00004007B7F7 -:0409FF0000E797B3C3 -:040A00000793C4BED6 -:040A0100C6BE0371F9 -:040A020003B487931F -:040A03008793C8BE4F -:040A0400CABE03C49F -:040A050003D48793FC -:040A06008793CCBE48 -:040A0700CEBE03E478 -:040A080003F48793D9 -:040A0900C783D0BE11 -:040A0A004701048418 -:040A0B0067E5C43E99 -:040A0C00B78786938F -:040A0D00B78787938D -:040A0E00F793C23E5A -:040A0F00D03E0FD2F4 -:040A100001871793B0 -:040A1100CE3E87E16D -:040A120002900793B4 -:040A130000F71B636A -:040A1400AE994791BF -:040A1500679164057C -:040A1600770404134A -:040A1700D547831329 -:040A18004671B5D995 -:040A190002C70633D7 -:040A1A00458D47922D -:040A1B004A5C963E5D -:040A1C00896383F572 -:040A1D0045111AB7AE -:040A1E001AA78D6323 -:040A1F009D63458905 -:040A2000059306B77D -:040A2100C0AE100053 -:040A2200C2AE65C13A -:040A230040000593F7 -:040A24004A10C4AE02 -:040A25001C10059309 -:040A260076138215AC -:040A27001D637FF6D6 -:040A2800D43E04B6FE -:040A2900859367DD6D -:040A2A008536824744 -:040A2B00D83A461D52 -:040A2C00D236D616D2 -:040A2D004B1030EF4B -:040A2E0057A25692E3 -:040A2F00574252B226 -:040A3000E5116385E4 -:040A3100EA0D462262 -:040A320006F10705BD -:040A3300D43EBF9559 -:040A3400859367DD62 -:040A3500853682C7B9 -:040A3600D83A461D47 -:040A3700D236D616C7 -:040A3800485030EF03 -:040A390057A25692D8 -:040A3A00574252B21B -:040A3B00E5096385E1 -:040A3C00460545A284 -:040A3D00FCC58AE387 -:040A3E0006334671C4 -:040A3F00459202C713 -:040A40005583962E16 -:040A4100464500A680 -:040A42008B6389FD3C -:040A4300861300C551 -:040A44008A7D00D5D2 -:040A4500756345058B -:040A4600467D00C524 -:040A470000C59763EC -:040A48000613660526 -:040A490061E357B658 -:040A4A0010C8FA8650 -:040A4B0000279613D7 -:040A4C002603962ABD -:040A4D00A083FE86FE -:040A4E0046030186D4 -:040A4F00060A00068D -:040A50002603962AB9 -:040A5100F633FD4635 -:040A5200DE3D00C0C5 -:040A5300C513435232 -:040A54008905FFF021 -:040A5500F6A31AE307 -:040A560005334571AE -:040A5700431202A79D -:040A58004908951A9A -:040A5900811543427E -:040A5A007FF575139C -:040A5B006DE30579C9 -:040A5C004505F465F3 -:040A5D0000A48B2343 -:040A5E0000049923D4 -:040A5F0000048AA362 -:040A600000048C23DF -:040A61006521CCD06F -:040A620036A60E6343 -:040A630014C56663ED -:040A64001000051366 -:040A650032A6026350 -:040A660010C56263F2 -:040A67000F63454193 -:040A68006B6310A606 -:040A690045110CC562 -:040A6A001CA6036360 -:040A6B001DE345A1A1 -:040A6C004685F0B615 -:040A6D0000D4882306 -:040A6E000554C683E2 -:040A6F002E06956357 -:040A700006B346F192 -:040A7100461202D750 -:040A7200D60396B25F -:040A7300069300E600 -:040A7400EA6357706A -:040A750096932CC662 -:040A7600566301D7EB -:040A7700468D2CD0AC -:040A780000D488A37B -:040A79008B234689FC -:040A7A00869300D48B -:040A7B00F693FFE708 -:040A7C00CE990FD62A -:040A7D0094634695A3 -:040A7E0047F12ED737 -:040A7F0002F7073340 -:040A8000973E4792C4 -:040A810000E7570330 -:040A82004AF007939C -:040A83002CE7E96310 -:040A840004A4C7837C -:040A85002C07826355 -:040A8600000488A33D -:040A87008B234789ED -:040A8800AC7500F455 -:040A89004000061310 -:040A8A000637C0B2B9 -:040A8B00C2B20002F1 -:040A8C005503B5E178 -:040A8D00460900A670 -:040A8E001E63897DDD -:040A8F00866300C5B5 -:040A90004605000215 -:040A9100EAC28AE348 -:040A920045E2B54143 -:040A93000520061321 -:040A9400EAB674E367 -:040A95004E90BD952D -:040A960020067613AD -:040A970095E3C61904 -:040A98000613E6B2A9 -:040A9900DE32200029 -:040A9A004605BD410F -:040A9B00E8C516E3B1 -:040A9C001BE3560200 -:040A9D00B549E4066D -:040A9E0004000793B6 -:040A9F0000F60A63F0 -:040AA00008000793B0 -:040AA10022F60463D2 -:040AA20002000793B4 -:040AA300E2F61EE376 -:040AA4003010079374 -:040AA50000F498239E -:040AA600B75147916C -:040AA70024760B6343 -:040AA80002C3E3633F -:040AA9004000059371 -:040AAA0020B60E6301 -:040AAB008006079327 -:040AAC0022078C632E -:040AAD00200007938B -:040AAE00E0F618E373 -:040AAF002010079379 -:040AB00000F4982393 -:040AB1006789BFA1F1 -:040AB20022F60863BD -:040AB3001DE3679147 -:040AB4000793DEF6D0 -:040AB500BF7D2020C1 -:040AB600002005B760 -:040AB70024B60863F6 -:040AB80002C5EE6322 -:040AB900000405B779 -:040ABA0022B60C63F1 -:040ABB0000C5ED6322 -:040ABC000C6365C1A1 -:040ABD0005B720B6A3 -:040ABE0017E3000238 -:040ABF00470DDCB64D -:040AC00000E4982393 -:040AC10007B7A2E1F0 -:040AC2000E630008B7 -:040AC30007B720F65B -:040AC4001BE3001020 -:040AC5000793DAF6C3 -:040AC600BFAD203070 -:040AC700010007B76C -:040AC80020F60F63A2 -:040AC90002C7E46319 -:040ACA00004007B72A -:040ACB0020F60363AB -:040ACC00008007B7E8 -:040ACD00D8F61AE35A -:040ACE00204007932A -:040ACF0000F4982374 -:040AD0008B23478DA0 -:040AD100079300F493 -:040AD200AAC1028033 -:040AD300020007B75F -:040AD40020F60063A5 -:040AD500040007B75B -:040AD600D6F618E355 -:040AD7002040079321 -:040AD80000F498236B -:040AD9008B2347998B -:040ADA0047D100F40C -:040ADB009823A27D3D -:040ADC008AA30004E5 -:040ADD00F71300B457 -:040ADE0046850057F2 -:040ADF0000D70963D0 -:040AE00090634709CF -:040AE100F09302E7A5 -:040AE2008C63002001 -:040AE300C7830000C5 -:040AE400866304A47D -:040AE5004789100726 -:040AE60000F48B236A -:040AE70089A3478513 -:040AE8008E6300F425 -:040AE90047F21205B9 -:040AEA0000F487236A -:040AEB0000E4878319 -:040AEC0046126765E2 -:040AED0004F70A23DD -:040AEE008733477192 -:040AEF00C68302E7D1 -:040AF00097320164D4 -:040AF10046154B005B -:040AF2000433887DC4 -:040AF300043302D4F2 -:040AF400061302C41F -:040AF50004130640A0 -:040AF600443303245E -:040AF700560302C4DC -:040AF80086B300E7DA -:040AF900666502C666 -:040AFA0003F60613E6 -:040AFB0004429436E7 -:040AFC009D23804175 -:040AFD004703008427 -:040AFE00C432017786 -:040AFF0001F77693F2 -:040B000000638B21E2 -:040B01006765160707 -:040B020003E74603BC -:040B030047214689B7 -:040B040000C6F363D1 -:040B050046A247417C -:040B060000E6802362 -:040B0700449047329D -:040B08000FF77593DB -:040B0900028585B329 -:040B0A0016934722D5 -:040B0B0082C101069C -:040B0C004703C6369F -:040B0D004681000716 -:040B0E0002C5D53314 -:040B0F000494C58302 -:040B100046F1C98958 -:040B110002D787B3CD -:040B120097B64692BA -:040B130082854F94F4 -:040B140045F98A8590 -:040B150002B405B36E -:040B160082410642D0 -:040B17000FF6F7934B -:040B180057D9C83EA3 -:040B190002C5C5B399 -:040B1A00C5B30595C5 -:040B1B00C78302F595 -:040B1C00879305D4E2 -:040B1D0007E2F807EC -:040B1E0095AA87E12C -:040B1F000FF5F59346 -:040B200005C295BEB7 -:040B210081C14785C2 -:040B22000EF7016366 -:040B2300056347A17E -:040B2400059930F708 -:040B250085C105C2BF -:040B260046C14789F4 -:040B27004785A8E175 -:040B280000F4892329 -:040B290088A3BDE5FB -:040B2A00B38100048F -:040B2B0030100793EC -:040B2C0000F4982316 -:040B2D00B3A547998C -:040B2E0020100793F9 -:040B2F0000F4982313 -:040B3000BBB1479579 -:040B310098234709B5 -:040B3200470D00E487 -:040B330000E79963DB -:040B340004A4C783CB -:040B3500D40794E36A -:040B36008923478543 -:040B3700C78300F47C -:040B38008AA305C4C3 -:040B3900B5C100F44E -:040B3A0010200793ED -:040B3B0000F4982307 -:040B3C000793B7FD67 -:040B3D00B3E92020D8 -:040B3E0020200793D9 -:040B3F0000F4982303 -:040B4000BB31478DF1 -:040B410020200793D6 -:040B42000793BF5501 -:040B430098232020B3 -:040B4400479D00F4D5 -:040B450000F48B230A -:040B46008C2347B500 -:040B4700B7C100F43E -:040B480020300793BF -:040B49000793BB71E2 -:040B4A00BFC92030CF -:040B4B0020300793BC -:040B4C004791B771A5 -:040B4D0000F49823F5 -:040B4E000780079382 -:040B4F000793BFF950 -:040B50009823204086 -:040B5100479100F4D4 -:040B520000F48B23FD -:040B5300B7F147F9B6 -:040B540020400793A3 -:040B550000F49823ED -:040B56008B23479511 -:040B570047E100F47E -:040B58004722BF6D04 -:040B590000D700239E -:040B5A000589BD55F7 -:040B5B0085C105C289 -:040B5C0046994785EA -:040B5D0000D7863304 -:040B5E002205C86341 -:040B5F0000D5853305 -:040B6000051397AA38 -:040B610055630FF0D9 -:040B6200059300F502 -:040B63008D910FF071 -:040B64000FF5F793FF -:040B6500451585BEEF -:040B6600D032CC3A83 -:040B6700CE36CA3E7E -:040B6800F79FD0EF34 -:040B6900451946F2F2 -:040B6A00D0EF85B68D -:040B6B000593F6FFF9 -:040B6C000513080065 -:040B6D00D0EF026063 -:040B6E0047D2F63F35 -:040B6F000513560212 -:040B700085B3031036 -:040B7100F59300C731 -:040B7200D0EF0FF5BC -:040B73004762F4FFE2 -:040B740045B547C17B -:040B750000F703631F -:040B7600051345A579 -:040B7700D0EF022099 -:040B78004642F3BF3F -:040B7900852245B2DA -:040B7A00FCBFD0EFFD -:040B7B000514C50395 -:040B7C00A48FE0EF73 -:040B7D0004B4C503F4 -:040B7E00F86347854C -:040B7F0047A200A7E2 -:040B80000007C503A2 -:040B810035131561B2 -:040B820047E1001532 -:040B830002F505333F -:040B8400879367DD0F -:040B8500953E7747DB -:040B8600866FE0EFA7 -:040B870000E487837C -:040B8800C583477169 -:040B890087B30164C9 -:040B8A00471202E725 -:040B8B00C50397BA4D -:040B8C00E0EF01672E -:040B8D00C783962F55 -:040B8E008BA3010430 -:040B8F0086B700A481 -:040B9000B713000295 -:040B9100429C00176B -:040B92008FD99BF963 -:040B9300C783C29CB6 -:040B9400470D010404 -:040B950014F76C6382 -:040B96006B63470541 -:040B9700956314F757 -:040B9800478500E7A6 -:040B990002F480A33F -:040B9A000214C50379 -:040B9B00995FE0EF8F -:040B9C000104C70386 -:040B9D0014071063C6 -:040B9E0000E4878365 -:040B9F0087B3477160 -:040BA000471202E70F -:040BA100D70397BA25 -:040BA2004F9C00C79D -:040BA3002BD7371302 -:040BA40000174713DC -:040BA50097BA8B85EB -:040BA60000024637CC -:040BA70038060613F3 -:040BA80075F9423465 -:040BA9007FF58593BC -:040BAA008EED8B8DB4 -:040BAB008FD507AE2D -:040BAC00423CC23CC9 -:040BAD0000D71693C4 -:040BAE00177D7769CF -:040BAF008F558F7D52 -:040BB000D0EFC23888 -:040BB100C703F68FF1 -:040BB2004789045417 -:040BB3000124C683D0 -:040BB400076344018E -:040BB500C40300F77E -:040BB6008C15013465 -:040BB70000143413DF -:040BB800453D4581F1 -:040BB900D0EFC23681 -:040BBA000513CE7FD2 -:040BBB00D0EF0590E2 -:040BBC007593C9DF85 -:040BBD00E80902F54C -:040BBE009793469231 -:040BBF008DDD006662 -:040BC0000FF5F593A5 -:040BC1000105E593B2 -:040BC2000590051382 -:040BC300CC1FD0EF84 -:040BC40007B7449893 -:040BC5008793019C75 -:040BC600D7B3CC07CE -:040BC700D70302E767 -:040BC800C60301A4BB -:040BC900468D011440 -:040BCA0002E787B304 -:040BCB000104C70357 -:040BCC0087B30705DF -:040BCD00C70302E771 -:040BCE0007050124F2 -:040BCF0002E787B3FF -:040BD0002023676512 -:040BD100071302F70D -:040BD200186302079B -:040BD300838508D638 -:040BD4004314C31CE7 -:040BD50005110737C8 -:040BD600F407071306 -:040BD7006B63478580 -:040BD800773700D794 -:040BD9000713047882 -:040BDA0047818BF7CD -:040BDB0000D7746368 -:040BDC000144C78386 -:040BDD000544C70301 -:040BDE000454C58373 -:040BDF00C703E70958 -:040BE0000363014466 -:040BE100542606F799 -:040BE2008A2350B65C -:040BE300549600F430 -:040BE4006165852E94 -:040BE500CE8FE06F60 -:040BE60003258593CB -:040BE70085C105C2FD -:040BE800069347A188 -:040BE900B3F902005A -:040BEA00B3DD4581B1 -:040BEB00BD454711AC -:040BEC00BD4D47892B -:040BED000114C783A5 -:040BEE000124C68395 -:040BEF00B79317F5AC -:040BF0008F950017C6 -:040BF10000178693D0 -:040BF20000373793FE -:040BF3000017C7938D -:040BF400F79397B626 -:040BF50047010FF7AE -:040BF6004585B5C1BB -:040BF700F6B61BE350 -:040BF800D7B3078ADE -:040BF900B7AD02D7BB -:040BFA008063478944 -:040BFB00C50302F537 -:040BFC00C19101544E -:040BFD0054264589AC -:040BFE000134C68375 -:040BFF000464C603C1 -:040C0000549650B600 -:040C0100E06F6165DA -:040C020050B6B4CF65 -:040C03005496542689 -:040C04008082616524 -:040C0500A70367E5F5 -:040C060067E5028715 -:040C0700AB478793DD -:040C0800464546AD6A -:040C09000167D58327 -:040C0A0004E59F63FB -:040C0B000FF6F69357 -:040C0C000A63E1197D -:040C0D001121280782 -:040C0E0064E5C626AD -:040C0F0001F4C50324 -:040C10006465C8222D -:040C110086040793BB -:040C12000035161380 -:040C1300CA0697B2C4 -:040C1400C783438CC3 -:040C150047510047FC -:040C16000045A303EF -:040C170002E7873336 -:040C180001F48493CC -:040C19008604041336 -:040C1A004339971AA9 -:040C1B0002D363633A -:040C1C00636343319A -:040C1D0047AD04D308 -:040C1E000CF68063ED -:040C1F00086686637A -:040C200047014781C0 -:040C21000685A0F1B3 -:040C22009DE30789BE -:040C23004681F8C648 -:040C24004783B74506 -:040C2500460D004731 -:040C260016C79B63EF -:040C270045BD475030 -:040C280047834218A4 -:040C29009C630007C1 -:040C2A00C7811EB6AA -:040C2B00F79317FD27 -:040C2C0000230FF79B -:040C2D00B7E900F72C -:040C2E000047450333 -:040C2F0015754705EB -:040C30000FF5751334 -:040C310000A76E6347 -:040C32000002453740 -:040C33003C852303D6 -:040C340000F717337B -:040C3500FFF747136B -:040C360000677733A9 -:040C37003CE5242351 -:040C38009C6347353D -:040C3900E39900E655 -:040C3A000005C78367 -:040C3B00F79317FD17 -:040C3C0096220FF7F6 -:040C3D0000F6022398 -:040C3E00C703B761D0 -:040C3F000785000520 -:040C4000E7B396225E -:040C4100B7FD02E712 -:040C4200157DC5193E -:040C430000A4802366 -:040C44009CDFE0EF62 -:040C450067E5B7B5F3 -:040C460000078F23F1 -:040C47000002473729 -:040C48003C072783BB -:040C49009BED4501D9 -:040C4A003CF7202330 -:040C4B0040D244420D -:040C4C00016144B24C -:040C4D00D46FF06F01 -:040C4E000047478391 -:040C4F008163468DEA -:040C500046910AD7E8 -:040C5100F2D79EE355 -:040C52009782471C22 -:040C5300470587AA20 -:040C54000004C6834F -:040C5500656545D1BB -:040C560096A2068ECE -:040C5700C68342907E -:040C5800051300463A -:040C59004250A8C598 -:040C5A0002B686B3A5 -:040C5B00C23AC43E97 -:040C5C00428C96B27E -:040C5D00C036464512 -:040C5E0041A030EF92 -:040C5F0047A247124F -:040C600085BA468289 -:040C61008536863E10 -:040C62008D9FE0EF93 -:040C63000004C7833F -:040C640044B765E547 -:040C6500078E0002F4 -:040C66004503943E70 -:040C670046410044BE -:040C6800AA058593C1 -:040C69000541051626 -:040C6A0030EF9526AC -:040C6B0046033E807E -:040C6C004785004474 -:040C6D0000C797B372 -:040C6E003CF4A62389 -:040C6F00EB09471234 -:040C70004611468261 -:040C71000046C7036F -:040C72000EC7156331 -:040C7300C76D46D82B -:040C740000024737FC -:040C75003C8726830F -:040C760024238FD5CF -:040C7700A8E93CF7B5 -:040C7800C7814B1CC9 -:040C79009782C03A64 -:040C7A00C7834702E3 -:040C7B004714000416 -:040C7C00971307853E -:040C7D000633003703 -:040C7E00420C00E440 -:040C7F0000D58463B5 -:040C80000006022345 -:040C8100C3149722DF -:040C820000F48023D7 -:040C83006963B711D9 -:040C8400460902F625 -:040C850004C78863B5 -:040C8600433D470C97 -:040C870000C745035A -:040C880000D7460348 -:040C89000005C78318 -:040C8A0000E7470335 -:040C8B000266916309 -:040C8C0000F67C638F -:040C8D00F71317FD45 -:040C8E0080230FF7B9 -:040C8F00B58900E53E -:040C90008DE3461199 -:040C9100BD2DE4C7CA -:040C92008732F96D3F -:040C9300F863B7FD4E -:040C9400078500E7E9 -:040C95000FF7F6134C -:040C960000C58023F2 -:040C9700FD6DB51525 -:040C9800BFDD863AFC -:040C9900453D470C82 -:040C9A0000C7560336 -:040C9B000005D783F6 -:040C9C0000E7570313 -:040C9D0000A69E63AC -:040C9E0000F6776382 -:040C9F00971317FD93 -:040CA0008341010784 -:040CA100C211A019C3 -:040CA20090238732E2 -:040CA300BBCD00E5E0 -:040CA40000E7F4630E -:040CA500B7E5078523 -:040CA6004701FA6D9B -:040CA7004683B7FDCC -:040CA800F5630046AA -:040CA900078500D7E4 -:040CAA000FF7F693B7 -:040CAB0000D700234B -:040CAC00460DBBC175 -:040CAD00F0C70CE39D -:040CAE0040D24442AA -:040CAF00450144B205 -:040CB000F06F01617F -:040CB1008082970F97 -:040CB20085AA862E5B -:040CB30000021537EF -:040CB400020505131D -:040CB500A48FD06FC9 -:040CB600179311116E -:040CB700CA220185C7 -:040CB800CC06C82678 -:040CB900842A87E121 -:040CBA00DD6384AEC4 -:040CBB004581000768 -:040CBC0007700513A5 -:040CBD0001A337D583 -:040CBE00478500A1C5 -:040CBF000AA7E2633B -:040CC00007F47413AE -:040CC10086FFD0EFEB -:040CC2004501459112 -:040CC30067653F75AD -:040CC4000387071388 -:040CC500F793431C42 -:040CC600C31CF7F75D -:040CC70000021737D9 -:040CC8000EF72023E0 -:040CC9000184D79338 -:040CCA0000F102A390 -:040CCB000104D793B6 -:040CCC0000F103230D -:040CCD000084D79335 -:040CCE0000F103A38B -:040CCF00008102237B -:040CD0000091042368 -:040CD1000400071301 -:040CD200095007932B -:040CD30000E40963CD -:040CD400048007137E -:040CD50014634785D8 -:040CD600079300E49C -:040CD7001537087055 -:040CD80046190002B7 -:040CD9000513004CB3 -:040CDA0004A3020568 -:040CDB00D0EF00F165 -:040CDC0045159DAF6E -:040CDD009CDFE0EFC9 -:040CDE004585842A9A -:040CDF0000310513C8 -:040CE000078337A1AE -:040CE100D7630031A4 -:040CE200E0EF000738 -:040CE30047859E1F84 -:040CE400FEF505E331 -:040CE50067E5E401DA -:040CE6000207A82336 -:040CE7000031450390 -:040CE800445240E250 -:040CE900017144C28F -:040CEA0067E58082B8 -:040CEB00B1478793F3 -:040CEC0011314798E3 -:040CED00C806C6224D -:040CEE00440DC426C7 -:040CEF000CB76B6370 -:040CF0000047C7836F -:040CF1008BA184AAA5 -:040CF20005A6E391DF -:040CF3000580051360 -:040CF400F09FF0EF8E -:040CF500ED4D842A13 -:040CF60000021537AC -:040CF700051357F991 -:040CF80046050205A6 -:040CF90000710593EE -:040CFA0000F103A35F -:040CFB0095CFD0EFD2 -:040CFC0000021737A4 -:040CFD000513478113 -:040CFE0086B30207B0 -:040CFF00C68300F4B4 -:040D0000460500069E -:040D010000710593E5 -:040D020003A3C03E49 -:040D0300D0EF00D15C -:040D0400478293AFE0 -:040D0500000217379A -:040D06002000069330 -:040D07000513078544 -:040D08009CE302075F -:040D090054FDFCD7C2 -:040D0A000593460502 -:040D0B0003A30071CD -:040D0C00D0EF009193 -:040D0D001737916F94 -:040D0E0005130002C7 -:040D0F00460502078C -:040D100000710593D6 -:040D1100009103A3A7 -:040D1200900FD0EF7F -:040D130005134585FA -:040D1400F0EF00718B -:040D15004783E77FAA -:040D1600471500710C -:040D170090638BFD5D -:040D1800051304E7D4 -:040D1900E0EF0FA058 -:040D1A0045858DBFBF -:040D1B00007105134B -:040D1C00E59FF0EF70 -:040D1D00007144839A -:040D1E00E0EFE4918D -:040D1F0047858F1F56 -:040D2000FEF505E3F4 -:040D2100A82367E5B7 -:040D2200E48102075F -:040D2300A0114411C6 -:040D240040C244097C -:040D250044328522AD -:040D2600015144A291 -:040D2700441580826D -:040D280067E5BFCDEF -:040D2900B1478793B4 -:040D2A0011314798A4 -:040D2B00C806C6220E -:040D2C00C02AC426EF -:040D2D006F63440D9F -:040D2E00C78300B7C0 -:040D2F0084B2004743 -:040D3000E3918BA11F -:040D3100051305A6FB -:040D3200F0EF0510C9 -:040D3300842AE0FF2F -:040D34004409C901A4 -:040D3500852240C211 -:040D360044A244325D -:040D37008082015164 -:040D38000640051359 -:040D390085DFE0EF83 -:040D3A0005134585D3 -:040D3B00F0EF007164 -:040D3C004703DDBFCD -:040D3D0007930071A7 -:040D3E0017630FF038 -:040D3F00E0EF00F7EA -:040D4000478586DF7E -:040D4100FEF502E3D6 -:040D420000714703F2 -:040D4300A82367E595 -:040D44000793020708 -:040D45001EE30FE0BA -:040D46004502FAF771 -:040D4700F0EF85A69E -:040D48000593DABF76 -:040D49008D85202054 -:040D4A0081C105C29C -:040D4B00F0EF45017F -:040D4C00B74DD9BF07 -:040D4D0065DD7139B6 -:040D4E004629C42A44 -:040D4F0083458593C0 -:040D5000DE0608486B -:040D5100DA26DC22A0 -:040D520087EFD0EF68 -:040D5300C03E478DCA -:040D5400000627B7B7 -:040D5500000214374D -:040D5600019C04B741 -:040D5700A807861350 -:040D5800CC048593AF -:040D59000204051378 -:040D5A00F33FC0EFB4 -:040D5B00E06FD0EF86 -:040D5C00000627B7AF -:040D5D00A80786134A -:040D5E00CC048593A9 -:040D5F000204051372 -:040D6000F1BFC0EF30 -:040D61000204051370 -:040D6200084C4629CA -:040D6300FBDFC0EF03 -:040D6400458164E57C -:040D6500040005136E -:040D6600B004AA2308 -:040D6700D3DFF0EFF7 -:040D6800842A47850D -:040D6900B14484937A -:040D6A001CF51763FA -:040D6B001AA0059332 -:040D6C0004800513E7 -:040D6D00D25FF0EF72 -:040D6E001D63C22A15 -:040D6F004591148511 -:040D7000F0EF080890 -:040D71004703D07FE5 -:040D72004792012182 -:040D73001AF71563F3 -:040D740001314703FF -:040D75000AA0079336 -:040D760018F71F63E8 -:040D77003E800513A2 -:040D7800F60FE0EFA3 -:040D7900F86FE0EF40 -:040D7A00196347852D -:040D7B0005B700F5C3 -:040D7C00051340001B -:040D7D00F0EF0E90F5 -:040D7E00F56DCE3F02 -:040D7F00F6EFE0EFBC -:040D8000056347853B -:040D810044010EF526 -:040D8200A82367E556 -:040D8300478202079A -:040D8400F79317FDCD -:040D8500C03E0FF766 -:040D86001607816368 -:040D87004785D815AF -:040D88000513458189 -:040D8900C09C049076 -:040D8A00008482233C -:040D8B00CADFF0EFDC -:040D8C00E551478165 -:040D8D00E0EF451539 -:040D8E00842AF0AF14 -:040D8F00051345857E -:040D9000F0EF00F18F -:040D91004703C87FCD -:040D9200079300F1D2 -:040D930017630FF0E3 -:040D9400E0EF00F795 -:040D95004785F18F0E -:040D9600FEF502E381 -:040D970067E5E40127 -:040D98000207A82383 -:040D990000F146839C -:040D9A000FE007134C -:040D9B009863478191 -:040D9C0045C904E65B -:040D9D00F0EF10085B -:040D9E00C703C53F83 -:040D9F007693004403 -:040DA000866300273F -:040DA10047031006EE -:040DA2004783026120 -:040DA3004603027190 -:040DA4008B0D02A110 -:040DA5008F5D072235 -:040DA60002814783FC -:040DA7004683070A6E -:040DA80083990251D8 -:040DA90047038FD994 -:040DAA00821D029113 -:040DAB0007068ABDF0 -:040DAC008F518B19BF -:040DAD00078596BA66 -:040DAE0097B316E5FC -:040DAF00363700D7FC -:040DB00005B700295A -:040DB1001537019C55 -:040DB20017FD000227 -:040DB3002E060613EF -:040DB400CC05859352 -:040DB500020505131B -:040DB600C0EFC49C2A -:040DB7004522DC1FD6 -:040DB80020000613FE -:040DB900F0EF458191 -:040DBA00A859DBDF7A -:040DBB000513458156 -:040DBC00F0EF07A0AD -:040DBD0018E3BE7FFA -:040DBE004591F00566 -:040DBF00F0EF080841 -:040DC0004783BCBFEA -:040DC10044310101B7 -:040DC2000407F79398 -:040DC300EE079EE3B6 -:040DC400BDDD44113C -:040DC500051345814C -:040DC600F0EF0E90AC -:040DC7000793BBFFD4 -:040DC800656304104B -:040DC900440900A435 -:040DCA000E900793ED -:040DCB000FA005135D -:040DCC00E0EFC23E54 -:040DCD00E0EFE0EF84 -:040DCE004785E34F23 -:040DCF0000F51763B1 -:040DD0004581451202 -:040DD100B95FF0EF27 -:040DD200E0EFF57DDC -:040DD300E111E20F39 -:040DD40067E544018A -:040DD500051345813C -:040DD600A82307B097 -:040DD700F0EF020730 -:040DD800C111B7BFCF -:040DD9000593440139 -:040DDA0005132000DD -:040DDB00F0EF050030 -:040DDC000EE3B6BFAD -:040DDD004401E805E0 -:040DDE004505BD59B1 -:040DDF00EA0411E32E -:040DE000546250F217 -:040DE100053354D2B0 -:040DE200612140A0AB -:040DE3008B1180826E -:040DE40001E347815F -:040DE5004783F20747 -:040DE600470302813C -:040DE70007A20291CC -:040DE80047458FD913 -:040DE9000113BF0132 -:040DEA000793DD414D -:040DEB00CE3E2010C8 -:040DEC0017B710081D -:040DED002023301F70 -:040DEE002423229107 -:040DEF002223221188 -:040DF000CC3E228152 -:040DF100D71FF0EF29 -:040DF200D0EF84AA10 -:040DF300C4B1BA8F3E -:040DF400409004B374 -:040DF500B9EFD0EF93 -:040DF6008C634705BE -:040DF700456336E436 -:040DF80057293697AA -:040DF90036E48B63EE -:040DFA0036048D63CB -:040DFB00859365DD9A -:040DFC0067E584C55E -:040DFD0085134645CF -:040DFE0020EFAA0731 -:040DFF0045055990BD -:040E00006539C4810B -:040E0100EAD5051316 -:040E020022812083A6 -:040E03002241240361 -:040E04002201248320 -:040E050022C10113F2 -:040E06006561808220 -:040E07006A05051360 -:040E08008DFFC0EFAB -:040E090067D94481E0 -:040E0A0006B31418FF -:040E0B00C583009704 -:040E0C008613DF86E4 -:040E0D0095B265072E -:040E0E0080634609AE -:040E0F00460D06C4C2 -:040E100006C482632F -:040E1100000F443753 -:040E1200240404139D -:040E1300851367E5F7 -:040E14004645AA079E -:040E1500DFC6C483ED -:040E160053B020EFC6 -:040E1700E0EF4509BA -:040E1800084CBD5F66 -:040E1900D0EF85226F -:040E1A0067D9EABFEB -:040E1B006465DD55D8 -:040E1C00AB4406934A -:040E1D00D60347525F -:040E1E0004130006B3 -:040E1F000E63AB446F -:040E2000D60302E60D -:040E21001463002630 -:040E2200D0EF02C744 -:040E23000493AE8FF7 -:040E240065DD0690F2 -:040E25008405859328 -:040E26008437BFA9A5 -:040E27000413001E92 -:040E2800B76D480456 -:040E290000049437F6 -:040E2A003E0404136B -:040E2B00D683B7456E -:040E2C000CE301864C -:040E2D00448DFCD71D -:040E2E006561BF85B6 -:040E2F006A05051338 -:040E300083FFC0EF8D -:040E3100656565DDB1 -:040E32008593464519 -:040E330005138845D6 -:040E340020EFA8C53E -:040E350067E54C1011 -:040E3600859365DD5E -:040E3700464589059E -:040E3800AA0785136D -:040E39004AF020EF6C -:040E3A00E0EF450997 -:040E3B005537B49FD4 -:040E3C00084C004C12 -:040E3D00B4050513E0 -:040E3E00E19FD0EF71 -:040E3F0047D2D55968 -:040E40000004570350 -:040E41000CF7006347 -:040E4200002457032E -:040E4300F6E79FE34C -:040E440067E5C0029C -:040E4500851365DDCF -:040E46004645AA076C -:040E47008A05859300 -:040E4800473020EF20 -:040E4900E0EF450988 -:040E4A005703B0DFBB -:040E4B0047D2002466 -:040E4C0008F71E6322 -:040E4D002000061368 -:040E4E0010084581C2 -:040E4F00CC7FC0EFA5 -:040E500010084582BF -:040E5100A67FF0EF99 -:040E520015E384AA76 -:040E53004782E805E5 -:040E5400001004374F -:040E5500C03E07850F -:040E5600C23E6785AC -:040E570020000793DD -:040E5800F46386A217 -:040E59000693008775 -:040E5A00479220009B -:040E5B00C436101079 -:040E5C0000879593E3 -:040E5D00A50367E59D -:040E5E00C0EF018759 -:040E5F0046A2A29F66 -:040E600084AA101838 -:040E610000D706337D -:040E620018E6166315 -:040E6300E40494E32C -:040E64001FF0071361 -:040E650000876D6332 -:040E66000613101C43 -:040E67008E152000C4 -:040E680000D78533F7 -:040E6900C4364581C5 -:040E6A00C5BFC0EF51 -:040E6B00458246A2D4 -:040E6C00C436100870 -:040E6D009F7FF0EF84 -:040E6E000B6346A22A -:040E6F0004B31605AD -:040E7000BD0940A0D8 -:040E710014000793CF -:040E7200B7A1C03E26 -:040E73002000061342 -:040E7400100845819C -:040E7500C2FFC0EF09 -:040E760085A244010C -:040E7700F0EF100880 -:040E780084AA9CDFCD -:040E7900DE0518E397 -:040E7A0007930405D1 -:040E7B0016E3140066 -:040E7C006459FEF4C3 -:040E7D00650407936E -:040E7E00040785934D -:040E7F001008466DA4 -:040E8000BC7FC0EF84 -:040E8100650407936A -:040E820005C7859388 -:040E830000C84669F4 -:040E8400BB7FC0EF81 -:040E8500879377EDEB -:040E86004581A557A6 -:040E87001F2310080D -:040E8800F0EF20F176 -:040E890084AA989F00 -:040E8A00DA0516E38C -:040E8B004681440157 -:040E8C002000061329 -:040E8D001008458183 -:040E8E00C0EFC236B9 -:040E8F004692BC9F2C -:040E90002010061315 -:040E910010000593B5 -:040E920087938E159F -:040E930006420036DD -:040E9400824107C2CE -:040E95000016B5137B -:040E9600050683C109 -:040E9700F463873247 -:040E9800071300C577 -:040E9900973E100070 -:040E9A0015938F0914 -:040E9B0081C1010709 -:040E9C0057E1E681B3 -:040E9D00478DD03E6F -:040E9E008D1D66C17F -:040E9F0020300313E9 -:040EA000873316FD81 -:040EA100074200A75D -:040EA200E86383413D -:040EA300869306B775 -:040EA40006C2FFD5AE -:040EA500059382C16E -:040EA6001008080424 -:040EA700F0EFC23670 -:040EA80084AA90DFA9 -:040EA900D20518E373 -:040EAA000A0405939E -:040EAB00F0EF10084C -:040EAC0084AA8FDFA6 -:040EAD00D20510E377 -:040EAE00040546925F -:040EAF00079304425F -:040EB00080411FF06E -:040EB100F6D7F6E397 -:040EB2002000061303 -:040EB300100845815D -:040EB400B33FC0EF99 -:040EB500879367D9DF -:040EB60085936507B4 -:040EB7000613078790 -:040EB800100802001C -:040EB900AE3FC0EF99 -:040EBA000C00059390 -:040EBB00F0EF10083C -:040EBC0084AA8BDF9A -:040EBD00E40500E365 -:040EBE001010B9F166 -:040EBF009732070659 -:040EC0009363863E74 -:040EC100863600670A -:040EC20007C20785D7 -:040EC30000C7102331 -:040EC400BF8583C1A2 -:040EC50000074503DA -:040EC600C636C83232 -:040EC700C0EFC43A7A -:040EC8004722F85F66 -:040EC90046B24642A5 -:040ECA0000A700235A -:040ECB00BDA90705B1 -:040ECC00D71347826F -:040ECD008C150086FA -:040ECE00C03E078596 -:040ECF0097BA4792F5 -:040ED0001DE3C23E1E -:040ED100B179E0040F -:040ED200069007136C -:040ED300D4E483E3FD -:040ED40065DDB971AE -:040ED50086C58593B6 -:040ED60065DDB969B4 -:040ED70085C58593B5 -:040ED80065DDB949D2 -:040ED90087C58593B1 -:040EDA000113B169E6 -:040EDB00CC26FDC163 -:040EDC00CE22D0064C -:040EDD0084AEC42AF1 -:040EDE00C236C03226 -:040EDF00E3994782CA -:040EE000A015450113 -:040EE100079344022D -:040EE200F463200095 -:040EE300041300876D -:040EE40045A2200003 -:040EE5001613451289 -:040EE6008241010440 -:040EE700907FF0EF19 -:040EE8000533C909FC -:040EE900508240A053 -:040EEA0044E2447228 -:040EEB0002410113AC -:040EEC00F793808276 -:040EED0096130FF455 -:040EEE00CB9D008414 -:040EEF0086B34792ED -:040EF0009163008783 -:040EF10067E504D7D6 -:040EF200A50346927C -:040EF300D59301870B -:040EF40087220084CD -:040EF500C0EF05C283 -:040EF600F571E4AFFF -:040EF700078547A282 -:040EF8005793C43E0A -:040EF90094BE00841F -:040EFA008F8147821B -:040EFB00B779C03EC5 -:040EFC00A50367E5FE -:040EFD0085B2018732 -:040EFE00C0EFC63249 -:040EFF004632DCEFAC -:040F0000B755DD55AF -:040F01000007C5031D -:040F0200C832CA36F1 -:040F0300C0EFC63E37 -:040F040047B2E95FA8 -:040F0500464246D248 -:040F060000A780239D -:040F0700B75507854E -:040F0800DB010113F5 -:040F0900262300C8D3 -:040F0A002423241167 -:040F0B0022232481F8 -:040F0C00F0EF24914D -:040F0D00842A903F63 -:040F0E00F3BFC0EF7E -:040F0F00408007B364 -:040F10001C041A6340 -:040F110000CC4611B9 -:040F120020EF104874 -:040F130065DD1490F4 -:040F1400859346116A -:040F1500104891C52A -:040F160010D020EFE8 -:040F1700440DC91D9F -:040F180006400793F5 -:040F1900C0EFC23E25 -:040F1A004792F0DF2B -:040F1B000680071332 -:040F1C0000F74D632A -:040F1D000630071380 -:040F1E0030F7426303 -:040F1F00F340071381 -:040F200036E78963C4 -:040F21008A63470593 -:040F220065DD36E76C -:040F230084C5859369 -:040F24005783A62920 -:040F250005930481AB -:040F2600461D04A1BF -:040F270002A105130B -:040F280002F114239B -:040F29000EF020EFB7 -:040F2A0005215783C3 -:040F2B00020108A314 -:040F2C0002F110239B -:040F2D0005415783A0 -:040F2E0002F1112398 -:040F2F00C0EF5502B8 -:040F30005783E21FE2 -:040F3100DA2A056152 -:040F32001023C22A9C -:040F3300578302F1ED -:040F340011230581FF -:040F3500550202F16E -:040F3600E07FC0EFA9 -:040F370005A1578336 -:040F38001023DC2A7C -:040F3900578302F1E7 -:040F3A00112305C1B9 -:040F3B00550202F168 -:040F3C00DEFFC0EF25 -:040F3D002503DE2A80 -:040F3E00C0EF2401DB -:040F3F004592DE5F9A -:040F40000793C0AAA9 -:040F410087131E20D4 -:040F4200E763FE65FE -:040F430046050CE76C -:040F4400C0EF00C832 -:040F45004786DF1FDD -:040F460000F5066349 -:040F47000793440DBB -:040F4800B7890660FF -:040F4900665D646518 -:040F4A0092460613B2 -:040F4B00051345C580 -:040F4C0010EFA8C436 -:040F4D0056E265D033 -:040F4E00665D67E590 -:040F4F00AA07851355 -:040F500093460613AB -:040F510010EF45C593 -:040F5200450564905D -:040F5300EE6FE0EF6E -:040F5400470157E218 -:040F5500C23E450152 -:040F5600C43E57F24C -:040F570061634792F9 -:040F580047A208F7AD -:040F590020A79463D6 -:040F5A0002A1478326 -:040F5B000281468346 -:040F5C0002914703B4 -:040F5D0067DDCBD5AC -:040F5E00708787937E -:040F5F0002A10613D2 -:040F6000665DC032D8 -:040F610094060613D9 -:040F6200051345C569 -:040F630010EFA8C41F -:040F640067E56010CD -:040F6500464565DDBB -:040F66009985859351 -:040F6700AA0785133D -:040F6800827FC0EFD5 -:040F690064E54505F1 -:040F6A00E8AFE0EF1D -:040F6B00AB4484937C -:040F6C00000216B7B2 -:040F6D000D06A78343 -:040F6E000004D703A1 -:040F6F0083C107C271 -:040F700006F7086315 -:040F71000024D7037E -:040F72001AF7066301 -:040F730005136509F4 -:040F7400C0EF710554 -:040F7500BFE9B2CF4F -:040F76000793440D8C -:040F7700B559065012 -:040F780086B3479263 -:040F7900079340E7B3 -:040F7A00F4632000FC -:040F7B00069300D702 -:040F7C0004932000BA -:040F7D0096132007A0 -:040F7E0082410106A5 -:040F7F000094D59372 -:040F8000C83A00C8A3 -:040F8100F0EFC63691 -:040F820046B2E9CFBB -:040F8300C509474213 -:040F840040A007B3CF -:040F8500B5B9440DA9 -:040F86000017361307 -:040F870000C885B663 -:040F8800CE3FC0EFA9 -:040F8900BF1D8726DB -:040F8A00879367D909 -:040F8B00B7B973C7B8 -:040F8C00973FD0EFCC -:040F8D00071367657A -:040F8E00431C038776 -:040F8F00000216B78F -:040F9000E793650975 -:040F9100C31C002756 -:040F92000EF6A02394 -:040F930071050513CC -:040F9400AAEFC0EF11 -:040F9500051365DDFE -:040F96004645A8C460 -:040F970094C58593E5 -:040F9800732020EFB3 -:040F990067E5440DB7 -:040F9A00851364DD7A -:040F9B004645AA0716 -:040F9C009584859320 -:040F9D0071E020EFF0 -:040F9E00E0EF450536 -:040F9F005662DB8F2C -:040FA000458100D4B3 -:040FA100F0EF450523 -:040FA20087AACE5FED -:040FA300DC051CE36A +:0403E300451140A2DE +:0403E400BFA1013183 +:0403E500C4061151E8 +:0403E6004783C22265 +:0403E700842A0065FF +:0403E8009593456D37 +:0403E9008DDD00475F +:0403EA000FF5F59383 +:0403EB0045833F3DCA +:0403EC0045710064F3 +:0403ED0045833F1DE8 +:0403EE004529003469 +:0403EF004583373DCE +:0403F000452500445B +:0403F1004583371DEC +:0403F200452100544D +:0403F30045833F39C6 +:0403F4004535000487 +:0403F50045833F19E4 +:0403F6004531001479 +:0403F70045833739CA +:0403F8004412002487 +:0403F900452D40A2AC +:0403FA00B701013115 +:0403FB0000A5558381 +:0403FC00C2221151B7 +:0403FD00842A81A12C +:0403FE0004B005132F +:0403FF0035F5C40606 +:0404000000A445838C +:0404010004A005133B +:04040200558335CD1C +:04040300051300C419 +:0404040081A104D0FE +:0404050045833DD915 +:04040600051300C416 +:0404070035F104C007 +:0404080000E4558334 +:0404090004F00513E3 +:04040A0035C181A1D6 +:04040B0000E4458341 +:04040C0004E00513F0 +:04040D0055833D5D79 +:04040E00051300448E +:04040F0081A10510B2 +:040410004583356D7E +:04041100051300448B +:040412003545050067 +:0404130000645583A9 +:040414000530051397 +:040415003D5181A133 +:0404160000644583B6 +:0404170005200513A4 +:04041800558335696A +:040419000513008443 +:04041A0081A1055067 +:04041B0045833DBD1B +:04041C000513008440 +:04041D003D950540C4 +:04041E0001045583FD +:04041F00057005134C +:0404200035A581A1DC +:04042100010445830A +:040422000560051359 +:0404230055833DB907 +:040424000513012497 +:0404250081A105901C +:0404260045833D8944 +:040427000513012494 +:0404280035A1058075 +:0404290001445583B2 +:04042A0005B0051301 +:04042B003D3581A139 +:04042C0001444583BF +:04042D0040A2441293 +:04042E0005A005130D +:04042F00B5350131AD +:04043000C222115182 +:040431000513842A01 +:04043200C40603F009 +:0404330075933BD1B1 +:040434008DC10F0562 +:0404350040A244128B +:0404360003F00513B7 +:04043700B5310131A9 +:04043800C22211517A +:040439004569842A63 +:04043A003B5DC4065C +:04043B0003F575133D +:04043C008C49041AC9 +:04043D000FF47593B0 +:04043E0040A2441282 +:04043F0001314569D9 +:040440001151B3EDB6 +:040441001437C22288 +:04044200460100026D +:0404430002C005935B +:040444000404051394 +:04044500F0EFC4060A +:040446004605BAEFBE +:0404470005134585CF +:04044800F0EF0404C9 +:040449000593BDCF8B +:04044A00051302C0D4 +:04044B00460504045A +:04044C00B94FF0EFC5 +:04044D00040405138B +:04044E0040A2441272 +:04044F0001314585AD +:04045000BA4FF06F40 +:04045100C40611517B +:04045200842AC22214 +:0404530075933F5D01 +:04045400040EFE751F +:0404550074138C4D43 +:0404560085A20FF478 +:04045700330945051B +:04045800450985A22B +:0404590085A239F54A +:04045A0040A2441266 +:04045B000131450D19 +:04045C001151B9C5BC +:04045D00C02667E569 +:04045E00A50384AAC4 +:04045F0067E5F487D2 +:04046000C406C222EA +:040461007DC7879339 +:040462000237C70393 +:04046300019C0437BD +:04046400CC040413AD +:0404650054338B0D74 +:04046600051302A4D4 +:04046700E31935A0C0 +:040468000127D50390 +:04046900C78367E9F5 +:04046A000433879739 +:04046B00E8B9028565 +:04046C009D6347093C +:04046D00C7B700E726 +:04046E00879301C9A6 +:04046F00E36337F715 +:0404700044BD008700 +:040471003DED8526B2 +:04047200A805450D87 +:040473003DCD450135 +:04047400C68367E9EB +:04047500879387B72B +:04047600F793FFC633 +:04047700C7990FB75B +:0404780045014709EA +:0404790000E69B639B +:04047A00A80145058B +:04047B0004C4B537C9 +:04047C003FF5051330 +:04047D00008535338E +:04047E004412050916 +:04047F00448240A2D1 +:04048000B789013106 +:040481009763440930 +:04048200453D00876D +:04048300FAF48DE317 +:04048400BF5545011A +:04048500356D45018B +:0404860070E3450DCD +:040487004795FE9403 +:0404880040978533E1 +:040489000FF57513E3 +:04048A000593BFC94E +:04048B00053302C073 +:04048C0065E502B56B +:04048D000905859345 +:04048E0095AA4645A0 +:04048F000513656587 +:04049000506FFBC5E9 +:0404910047A965E032 +:0404920000F50E6300 +:04049300050A67E10E +:0404940050478793B3 +:04049500410C953E43 +:04049600464565650D +:04049700FBC5051389 +:040498006400506F3D +:04049900859365DD05 +:04049A00B7FDA48581 +:04049B00CA0611215B +:04049C00C626C82286 +:04049D003E31842A3E +:04049E00051345817C +:04049F003CC90CE068 +:0404A0000A6347891B +:0404A10067E51EF4F9 +:0404A200F547A683F1 +:0404A300879367E5EF +:0404A400C70304C7BF +:0404A500C6030397F0 +:0404A600041303A791 +:0404A70047853E80C7 +:0404A8000286D433C1 +:0404A9001563648DE6 +:0404AA00648900F76A +:0404AB0080048493B2 +:0404AC00453D458500 +:0404AD00C23AC43259 +:0404AE003C59C036BF +:0404AF00051345816B +:0404B0003479033068 +:0404B1000084D5935B +:0404B20003400513EB +:0404B30045813451FA +:0404B40003500513D9 +:0404B50075933CB54A +:0404B60005130FF427 +:0404B7003C8D030075 +:0404B80000845593D4 +:0404B9000FF5F593B3 +:0404BA000310051313 +:0404BB00559334958C +:0404BC00F5930104AF +:0404BD0005130FF51F +:0404BE003C99032042 +:0404BF00453D4581F1 +:0404C00045813C81B5 +:0404C1000C500513C3 +:0404C200468234A199 +:0404C300471264E593 +:0404C400F304879323 +:0404C5004785C7940C +:0404C60084934622B3 +:0404C7004409F304ED +:0404C80000F70363D3 +:0404C90046854429F7 +:0404CA00008483A384 +:0404CB0000D48223B4 +:0404CC00036347BDC2 +:0404CD00478100D68D +:0404CE0000F482A311 +:0404CF000E00051303 +:0404D0000C00059384 +:0404D100F0EFC03A4E +:0404D2004702A0BF7E +:0404D3000513478541 +:0404D4001D6305900F +:0404D500F0EF10F73D +:0404D60075939B1F60 +:0404D700E5930FC5D5 +:0404D80005130015F3 +:0404D900F0EF0590AB +:0404DA0045119EBF6B +:0404DB0099BFF0EFE6 +:0404DC000EB5759351 +:0404DD00F0EF4511E6 +:0404DE00C5839DBF76 +:0404DF0005130044BD +:0404E000F0EF0E101B +:0404E10005939CFFE4 +:0404E20005130E40B0 +:0404E300F0EF0E2008 +:0404E400C5839C3FF1 +:0404E50005130054A7 +:0404E60089BD0E308E +:0404E7009B5FF0EF38 +:0404E800051345A112 +:0404E900F0EF0E40E2 +:0404EA0045859ABFEB +:0404EB00F0EF453DAC +:0404EC0045A19A3F4D +:0404ED0009100513DA +:0404EE00999FF0EFF3 +:0404EF00051345812B +:0404F000F0EF092000 +:0404F100458598FFA6 +:0404F20009300513B5 +:0404F300985FF0EF2F +:0404F4000513458126 +:0404F500F0EF0940DB +:0404F60085A297BF85 +:0404F7000980051360 +:0404F800971FF0EF6B +:0404F9000FF4459324 +:0404FA00E5930592EF +:0404FB00F59300B5C0 +:0404FC0005130FB520 +:0404FD00F0EF099083 +:0404FE00458195BFE0 +:0404FF00F0EF453D98 +:040500000593953F8B +:0405010005130C10C2 +:04050200F0EF0E0008 +:040503000793947F47 +:040504008323FC1041 +:04050500458500F434 +:04050600F0EF453D90 +:040507004585937F14 +:040508000680051351 +:0405090092DFF0EF9E +:04050A00051345810F +:04050B00F0EF069077 +:04050C004581923F54 +:04050D0006B005131C +:04050E00919FF0EFDA +:04050F00051345810A +:04051000F0EF06C042 +:04051100059390FFBF +:0405120005130700C6 +:04051300F0EF06D02F +:040514004581903F4E +:04051500F0EF453D81 +:0405160044428FBF0D +:0405170044B240D2D8 +:040518000513458DF5 +:0405190001610CE090 +:04051A008E9FF06F51 +:04051B0089BFF0EFB5 +:04051C000FC57593FF +:04051D0040D2B5FD16 +:04051E0044B244425D +:04051F008082016174 +:04052000FDC1011305 +:04052100CE22D00610 +:040522004709CC2693 +:040523009C6387AAA4 +:04052400071310E5C4 +:0405250005A3050025 +:04052600470300E1A6 +:04052700141300B1F8 +:0405280005930076C1 +:0405290064130480D3 +:04052A00F4930084C2 +:04052B00671300361C +:04052C00062300277B +:04052D00741300B192 +:04052E0045850FF4FC +:04052F000723453D1C +:04053000C03E00F1D8 +:0405310000E105A33D +:0405320006A3C23A20 +:0405330007A3008199 +:04053400C802009168 +:04053500F0EFCA0217 +:04053600471287BF22 +:040537000580051323 +:04053800F0EF85BAA1 +:04053900059386FFA1 +:04053A000513048021 +:04053B00F0EF059048 +:04053C0085A2863FCF +:04053D0005A00513FD +:04053E00859FF0EFB6 +:04053F0005134782D7 +:0405400085BE05B0BF +:0405410084DFF0EF74 +:04054200051385A672 +:04054300F0EF05C010 +:040544004581843F2A +:0405450005E00513B5 +:04054600839FF0EFB0 +:0405470005134581D2 +:04054800F0EF05F0DB +:04054900458182FF67 +:04054A00060005138F +:04054B00825FF0EFEC +:04054C0005134581CD +:04054D00F0EF0610B5 +:04054E00458181BFA3 +:04054F00062005136A +:04055000811FF0EF28 +:0405510005134581C8 +:04055200F0EF063090 +:040553004581807FDF +:040554000640051345 +:04055500FFCFF0EFF5 +:0405560005134581C3 +:04055700F0EF06506B +:04055800003CFF2F35 +:040559000151071332 +:04055A00C68345818E +:04055B0007850037D9 +:04055C00F5938D95F1 +:04055D001AE30FF599 +:04055E008593FEF78C +:04055F00F59306F515 +:0405600005130FF57B +:04056100F0EF05D0E2 +:040562004581FCAF24 +:04056300F0EF453D33 +:040564004472FC2FB2 +:0405650044E250829A +:040566000513458DA7 +:0405670001130CD0A0 +:04056800F06F0241ED +:040569004741FAEF1D +:04056A001111B5FDB9 +:04056B00842ACA22F2 +:04056C00CC0645056F +:04056D00F0EFC826BD +:04056E004511FF4FE5 +:04056F00F4AFF0EF06 +:04057000008565930A +:040571000FF5F593FA +:04057200F0EF451150 +:040573000593F86F85 +:040574000513030068 +:04057500F0EF06108D +:040576004581F7AF15 +:040577000CD005138C +:04057800F70FF0EF9A +:04057900871367E598 +:04057A004483F407BB +:04057B00879301174A +:04057C004709F40730 +:04057D0034B3C23E93 +:04057E00478500901D +:04057F000485C03AF5 +:0405800000F403631D +:0405810045F5C0027A +:04058200F0EF451140 +:0405830066E5F46FC6 +:04058400FFE40793F6 +:04058500F3068613E0 +:0405860000F037B397 +:0405870000179713AF +:0405880000C64783DF +:040589008FD99BF576 +:04058A00F3068713DA +:04058B0000F606234D +:04058C008B89C43A59 +:04058D004505C781D8 +:04058E00F72FF0EF64 +:04058F00051347A267 +:04059000C783070016 +:04059100C63E00372B +:04059200EBEFF0EFAC +:04059300759347B263 +:04059400F713003524 +:04059500C3190087FF +:040596000205E593E2 +:040597000017F7133F +:04059800E593C3190B +:04059900F71301054E +:04059A00C31900275A +:04059B000085E5935F +:04059C00C3998B91E3 +:04059D000045E5939D +:04059E00070005133A +:04059F00ED4FF0EF3D +:0405A00045814782C8 +:0405A10067E5C3B592 +:0405A200EEC7879386 +:0405A3000007C58305 +:0405A4000730051304 +:0405A500EBCFF0EFB9 +:0405A600871367E56B +:0405A7004583EEC7D3 +:0405A8000513001720 +:0405A900F0EF074028 +:0405AA0067E5EAAF68 +:0405AB00EEC787937D +:0405AC000027C583DC +:0405AD0007500513DB +:0405AE00E98FF0EFF2 +:0405AF0047816761B8 +:0405B00053070713D3 +:0405B10000F706B396 +:0405B2000006C583F7 +:0405B300076785133E +:0405B400F0EFC03E66 +:0405B5004782E7EFA3 +:0405B60046C967616A +:0405B700071307859A +:0405B80091E3530771 +:0405B9004589FED79B +:0405BA0007200513FE +:0405BB00F0EFC02E6F +:0405BC004582E18F04 +:0405BD008DC98971EA +:0405BE0007200513FA +:0405BF00E54FF0EF25 +:0405C000458547A284 +:0405C10000C7C78325 +:0405C200E3918B89AD +:0405C3000513458156 +:0405C400F0EF0C0048 +:0405C50045D5E3EF46 +:0405C600F0EF4511FC +:0405C70045C1E36FD8 +:0405C8000610051301 +:0405C900E2CFF0EF9E +:0405CA009663478964 +:0405CB0005930EF492 +:0405CC00051308808B +:0405CD00F0EF062025 +:0405CE0045C1E1AF93 +:0405CF0006300513DA +:0405D000E10FF0EF58 +:0405D1000840059346 +:0405D20006400513C7 +:0405D300E04FF0EF16 +:0405D4003E8005134D +:0405D5009ABFE0EFFA +:0405D600F0EF4511EC +:0405D7007593DACF6F +:0405D800451101D5F3 +:0405D900DECFF0EF92 +:0405DA00051365613F +:0405DB00E0EF6A05DE +:0405DC004511991F0D +:0405DD00D92FF0EF33 +:0405DE0001557593BB +:0405DF00F0EF4511E3 +:0405E0000493DD2F74 +:0405E1004539064052 +:0405E200D7EFF0EF70 +:0405E300E909894158 +:0405E40004C214FD3C +:0405E5003E8005133C +:0405E600E0EF80C101 +:0405E700F4E5965F42 +:0405E80045314581D3 +:0405E900DACFF0EF86 +:0405EA000400059371 +:0405EB00F0EF4535B3 +:0405EC004585DA2F38 +:0405ED00F0EF4539AD +:0405EE004581D9AFBB +:0405EF00F0EF4539AB +:0405F0004581D92F39 +:0405F100F0EF453DA5 +:0405F2004581D8AFB8 +:0405F30006100513D6 +:0405F400D80FF0EF3D +:0405F50006634789C9 +:0405F600479202F432 +:0405F700849364E5A0 +:0405F800C68304C4EE +:0405F90067E90107A6 +:0405FA008127C5038D +:0405FB0003E4C6034C +:0405FC00008035B393 +:0405FD0031690586D5 +:0405FE0003E4C783C8 +:0405FF000BA34712F1 +:04060000852204F754 +:04060100A69FF0EFD1 +:0406020040E244523C +:04060300450144C2A7 +:04060400F06F017121 +:0406050045E1D98F63 +:0406060006200513B2 +:04060700D34FF0EFEE +:04060800051345C1D0 +:04060900F0EF0630D8 +:04060A0045B1D2AF75 +:04060B006789BF310B +:04060C00879311417E +:04060D00C226710789 +:04060E0002F554B3EA +:04060F00C42266C1DA +:040610004401C606D5 +:0406110017B716FD04 +:04061200A703000238 +:0406130067E50D0783 +:04061400FD078793C4 +:0406150086138F7544 +:04061600D5030347BE +:040617000463000771 +:04061800078902E567 +:04061900FEC79BE39A +:04061A000513650956 +:04061B00C02E710577 +:04061C0088FFE0EF84 +:04061D00040567C1A8 +:04061E0086934582F8 +:04061F0064E3FFF79A +:040620004501FC9400 +:04062100C198A019C3 +:0406220040B2450598 +:040623004492442297 +:04062400808201418E +:0406250001A31141DB +:04062600153700A1E3 +:04062700C4220002E7 +:04062800842E4605D1 +:0406290004050513AC +:04062A000031059303 +:04062B00E0EFC60630 +:04062C008522C9BF9B +:04062D0084BFE0EFB7 +:04062E00442240B270 +:04062F008082014183 +:04063000051311514C +:04063100C4060220D9 +:04063200F0EFC22201 +:040633006593DD6F7F +:040634007413F8053E +:04063500F5930FF535 +:0406360005130FF5A4 +:04063700F0EF0220BE +:040638000513E0CFF7 +:04063900E0EF3E8030 +:04063A007593819F94 +:04063B00051307F4A8 +:04063C00F0EF0220B9 +:04063D000513DF8F33 +:04063E00E0EF3E802B +:04063F0045CD805FC6 +:04064000F0EF455939 +:040641004412DE8FF2 +:04064200459D40A2F0 +:0406430002B00513E9 +:04064400F06F013121 +:040645004795DD8F69 +:040646000CA7E963B1 +:04064700C222115169 +:04064800C406C026FE +:04064900000284B770 +:04064A00842A409C22 +:04064B008BBD83EDF3 +:04064C000AA788630E +:04064D0007B347D1D7 +:04064E0065E102F56B +:04064F00A5458593A5 +:040650008513465177 +:04065100883D00449C +:0406520095BE040A43 +:04065300C7BFE0EF4E +:04065400F793409C3C +:040655008C5DFC3785 +:040656006465C08097 +:04065700F40407930D +:040658000187C783CC +:04065900F40404138E +:04065A006769CF916C +:04065B0087470713B3 +:04065C004529431CCD +:04065D00C31C9BF52A +:04065E000002173748 +:04065F000EF720234F +:04066000F7EFE0EFE1 +:04066100000217B7C5 +:040662000D07A78356 +:04066300000807374D +:04066400EB8D8FF992 +:04066500000287B751 +:0406660059634398F9 +:0406670043980007AD +:0406680067134505CA +:04066900C39800171B +:04066A00F56FE0EF59 +:04066B00000287B74B +:04066C004529439841 +:04066D00C3989B791A +:04066E006713439833 +:04066F00C398002705 +:04067000F3EFE0EFD5 +:040671000184478336 +:040672006769CF8164 +:04067300874707139B +:04067400E793431CA9 +:04067500C31C00277B +:040676000002173730 +:040677000EF7202337 +:04067800441240A246 +:040679000131448285 +:04067A008082808278 +:04067B00467006932C +:04067C0002D505336B +:04067D00064006939A +:04067E000613665D9C +:04067F0045C5A506C2 +:0406800002D546B3A6 +:040681000513656593 +:04068200406FFBC505 +:0406830046B749200D +:040684008693000F4A +:04068500053324060F +:04068600678902D5A9 +:040687009647879378 +:040688003E80071396 +:04068900006336B71D +:04068A00EA06869363 +:04068B000613665D8F +:04068C0045C5A58635 +:04068D0002F557B368 +:04068E0002E7F7B3D5 +:04068F0056B34729EE +:04069000656502D5C5 +:04069100FBC505138D +:0406920002E7D73371 +:040693004500406F6F +:040694002710069392 +:04069500053305051F +:04069600069302D5F0 +:04069700665D064056 +:04069800A646061359 +:0406990046B345C55A +:04069A00656502D5BB +:04069B00FBC5051383 +:04069C0042C0406FA9 +:04069D002710069389 +:04069E0002D5053349 +:04069F000640069378 +:0406A0000613665D7A +:0406A10045C5A6465F +:0406A20002D546B384 +:0406A3000513656571 +:0406A400406FFBC5E3 +:0406A500ED0140A083 +:0406A600C70367E936 +:0406A70066DD87077E +:0406A800070567DDFE +:0406A900A6C68693C8 +:0406AA002DC787933E +:0406AB0067DDA8015E +:0406AC00A687869304 +:0406AD00001507131A +:0406AE00A687879301 +:0406AF006565665DBA +:0406B000A746061340 +:0406B100051345C523 +:0406B200406FFBC5D5 +:0406B300ED013D20F8 +:0406B400C70367E928 +:0406B50066DD871760 +:0406B600070567DDF0 +:0406B700A6C68693BA +:0406B8002DC7879330 +:0406B90067DDA80150 +:0406BA00A6878693F6 +:0406BB00001507130C +:0406BC00A6878793F3 +:0406BD006565665DAC +:0406BE00A746061332 +:0406BF00051345C515 +:0406C000406FFBC5C7 +:0406C10086AA39A02C +:0406C2006565665DA7 +:0406C300A7C60613AD +:0406C400051345C510 +:0406C500406FFBC5C2 +:0406C60086AA386068 +:0406C7006565665DA2 +:0406C800B34606131C +:0406C900051345C50B +:0406CA00406FFBC5BD +:0406CB00069337203B +:0406CC0006E2F80545 +:0406CD006565665D9C +:0406CE00061386E1A8 +:0406CF0045C5D4C683 +:0406D000FBC505134E +:0406D1003580406FC1 +:0406D20001851693F5 +:0406D300665D86E1F9 +:0406D40016D1656571 +:0406D500A8860613DA +:0406D600051345C5FE +:0406D700406FFBC5B0 +:0406D800468533E040 +:0406D90000A696B32E +:0406DA006565665D8F +:0406DB00A7C6061395 +:0406DC00051345C5F8 +:0406DD00406FFBC5AA +:0406DE00050532607C +:0406DF0096B3468503 +:0406E000665D00A6AD +:0406E1000613656532 +:0406E20045C5A9065B +:0406E300FBC505133B +:0406E40030C0406F73 +:0406E5000045478302 +:0406E60086B2471180 +:0406E70006F7696346 +:0406E800078A675DB9 +:0406E900AB07071341 +:0406EA00439C97BADC +:0406EB00451C8782A1 +:0406EC00C7034645B5 +:0406ED00491C00079D +:0406EE0097BA070AA6 +:0406EF006565438C6E +:0406F000FBC505132E +:0406F1004DD0406F39 +:0406F200491C451842 +:0406F30000074503B4 +:0406F400491C878294 +:0406F50087824508AB +:0406F6008E2367E503 +:0406F7008082FA07FC +:0406F800E611C195B1 +:0406F900464565DD30 +:0406FA00A9C5859376 +:0406FB005063BFC9C0 +:0406FC00665D02062F +:0406FD000613656516 +:0406FE0045C5AA46FE +:0406FF00FBC505131F +:0407000029C0406F5D +:04070100DBE9455C8F +:04070200479C439835 +:040703008082B7C178 +:04070400C78367E957 +:04070500C3E185D7F0 +:04070600C70367E5D9 +:040707004785EF87AC +:040708000AF71B636E +:0407090011116765FE +:04070A00D7C7071333 +:04070B00C826CA2210 +:04070C004401CC06D2 +:04070D00478144815B +:04070E006769C23A1B +:04070F0085E7470330 +:04071000070E4692F8 +:0407110043109736C4 +:040712000006470393 +:0407130000E7CD63CB +:04071400000247B7E1 +:040715003C97A22348 +:040716003C87A42355 +:04071700445240E226 +:04071800017144C265 +:040719004751808242 +:04071A0002E7873338 +:04071B00969342501F +:04071C00C63E00577E +:04071D00000247B7D8 +:04071E0000F6853329 +:04071F009732C03617 +:040720004641430CFF +:0407210040EFC43AA7 +:04072200472241B079 +:04072300458547B20F +:040724000047460341 +:0407250000F592B396 +:040726001675C61668 +:040727000FF6761340 +:040728000054E4B3E2 +:0407290002C5F763AB +:04072A004601853AC5 +:04072B00C43E458102 +:04072C0047B735D5C1 +:04072D00851300022E +:04072E0047820107F6 +:04072F00464165E5F5 +:040730008593953EDA +:0407310040EFFBC5D5 +:0407320042B23DB0E2 +:04073300643347A242 +:0407340007850054E1 +:040735008082B79D6A +:04073600110166E95E +:0407370088F6869327 +:0407380066E9C03678 +:0407390088468693D5 +:04073A0066E9C23674 +:04073B0087E6869334 +:04073C00C43667E573 +:04073D00CC2266E97B +:04073E008693CA26AE +:04073F00CE0688C694 +:04074000F4078793A0 +:04074100C703C636EE +:0407420066E900E77D +:0407430088A686936B +:04074400666963651A +:0407450063E964E917 +:0407460065E962E916 +:04074700842AC83602 +:04074800090303138B +:040749008806061305 +:04074A0088248493E8 +:04074B0087C383934A +:04074C00888282938A +:04074D0088658593A3 +:04074E008703C379E1 +:04074F00478200D706 +:040750000007C78354 +:040751000AF71D6323 +:0407520002C0079347 +:0407530002F707B3EF +:0407540000065683C2 +:04075500D503979A97 +:040756001063012704 +:04075700C50306D5FB +:04075800C68301470C +:040759001A6300041B +:04075A00469204D5EA +:04075B0001C7D503FA +:04075C000006D6833A +:04075D0004D5136349 +:04075E00D50346A2D7 +:04075F00C6830187C5 +:040760001C63000610 +:04076100D50302D5E5 +:04076200D68300C773 +:040763001663000316 +:0407640046B202D5C2 +:0407650001E7C503E0 +:040766000006D68330 +:0407670000D51F6337 +:04076800D50346C2AD +:04076900C68301A79B +:04076A00186300060A +:04076B00D68300D55C +:04076C00D78300E748 +:04076D00866300029D +:04076E0067E900F641 +:04076F008723468511 +:04077000079388D78C +:04077100073302C088 +:04077200D50302F7B2 +:04077300971A0005CC +:0407740002074783AE +:0407750002A78563EF +:040776000FF57513F3 +:04077700950FF0EFFB +:04077800859367E915 +:0407790067E988673D +:04077A008887829357 +:04077B00839367E914 +:04077C0067E987C7DB +:04077D008807861350 +:04077E00831367E595 +:04077F00478209079D +:0407800002C0071399 +:040781000006568395 +:040782000007C78322 +:0407830087B365656E +:04078400471202E72F +:04078500000747031F +:040786001E23933E5D +:04078700472200E322 +:040788000004C7831F +:0407890000D319235D +:04078A00000747031A +:04078B0000F30A234A +:04078C0000E31C2347 +:04078D000003D7038B +:04078E0000E316234B +:04078F005703473293 +:040790000F2300072C +:04079100474200E3F8 +:040792000007470312 +:0407930000E31D233F +:040794000002D70385 +:0407950000E3172343 +:040796000005C70390 +:0407970002E3002356 +:0407980002B417632D +:040799008693668D50 +:04079A000733BF263C +:04079B00069302D7E8 +:04079C00665D3E80D8 +:04079D00AC4606134D +:04079E0002D746B385 +:04079F0040F244627E +:0407A00045C544D235 +:0407A100FBC505137C +:0407A200406F61053E +:0407A30004630120CA +:0407A400116300C419 +:0407A500471502945E +:0407A60002E78733AC +:0407A70040F2446276 +:0407A800665D44D274 +:0407A900ACC60613C1 +:0407AA00051345C529 +:0407AB006105FBC524 +:0407AC007ED0306F5C +:0407AD005683665DAC +:0407AE00061300042A +:0407AF00BF7DB34611 +:0407B000879367E9DB +:0407B100439886C71C +:0407B200671DEF19B7 +:0407B300978707130A +:0407B40002E5053322 +:0407B500C406115114 +:0407B600E0EFC38825 +:0407B70040A2A48F29 +:0407B80001314501C5 +:0407B90045058082F0 +:0407BA0011518082D7 +:0407BB00E0EFC406A1 +:0407BC0067E9A58FB5 +:0407BD0086C7A783C1 +:0407BE00353340A2ED +:0407BF00013100F50F +:0407C000473D8082AF +:0407C10024A76463A2 +:0407C200445257B78F +:0407C300EDC1011370 +:0407C400355787938B +:0407C50057B7CC3E18 +:0407C60087930041D4 +:0407C700CE3E4417C7 +:0407C80000F537936E +:0407C90010812E234A +:0407CA0012112023C5 +:0407CB0010912C233A +:0407CC0002F1012312 +:0407CD001163842A06 +:0407CE0047850EE568 +:0407CF00102365E5A9 +:0407D000462902F1C3 +:0407D10004B00793D6 +:0407D200F9C585934D +:0407D30002510513B7 +:0407D40002F101A38A +:0407D50002010223F8 +:0407D600E6EFE0EF7B +:0407D700C78367E984 +:0407D80065E58787C5 +:0407D90003600613A0 +:0407DA0002F10823FD +:0407DB00C78367E980 +:0407DC008593854735 +:0407DD000513FD05FE +:0407DE0008A303A1C8 +:0407DF0067E902F1D3 +:0407E0008617C7832E +:0407E1001884082050 +:0407E20002F107A376 +:0407E300C78367E978 +:0407E400092385C799 +:0407E50067E902F1CD +:0407E60084A7C7839A +:0407E70002F109A36F +:0407E800C78367E973 +:0407E9000A238487D4 +:0407EA0067E502F1CC +:0407EB00EE87C7834B +:0407EC0002F10AA369 +:0407ED00C78367E96E +:0407EE000B238497BE +:0407EF0067E502F1C7 +:0407F000EF87C78345 +:0407F10002F10BA363 +:0407F200C78367E56D +:0407F3000C23EF974D +:0407F40067E902F1BE +:0407F5008607C78329 +:0407F60002F10CA35D +:0407F700DEAFE0EFA2 +:0407F80000044503B1 +:0407F900E0EF040524 +:0407FA000FA3F1EF69 +:0407FB0019E3FEA45C +:0407FC0067E9FE9417 +:0407FD008587A50344 +:0407FE0005800693D9 +:0407FF0005B7083002 +:04080000E0EF001F06 +:040801002083AE8F13 +:0408020024031201B8 +:04080300248311C178 +:04080400011311814A +:04080500808212419A +:040806001010079334 +:0408070002F11023C7 +:04080800073807B7EF +:0408090004278793A6 +:04080A00D83E64E58B +:04080B0003C4C783D8 +:04080C00665DEB89B1 +:04080D00AD460613DB +:04080E00851345B554 +:04080F0030EF03C4FF +:04081000859365F077 +:04081100463503C4A1 +:040812000231051397 +:04081300055040EF5D +:04081400061365E57D +:0408150085930420A3 +:04081600184804C5B5 +:04081700D6AFE0EF89 +:04081800061364E57A +:0408190085930A2099 +:04081A0005130904B5 +:04081B00E0EF0761A2 +:04081C00083CD58F30 +:04081D000007C50308 +:04081E00E0EFC03E09 +:04081F004782E8AF75 +:0408200080230A38EF +:04082100078500A7A0 +:04082200FEE796E374 +:040823007413044105 +:0408240017930FF423 +:04082500C23E0104CA +:04082600A50367E9D6 +:040827000693858728 +:040828000830100084 +:04082900010415931E +:04082A00A42FE0EF28 +:04082B00879367E95F +:04082C00C63E8587B8 +:04082D008793F9298B +:04082E000442090473 +:04082F001004071397 +:040830000A2784937C +:040831007A27879308 +:04083200C43EC03AC6 +:0408330069600413E1 +:040834001000069317 +:04083500F4638722BF +:04083600071300861E +:040837000742100064 +:04083800863A834138 +:04083900082885A660 +:04083A00E0EFC83AE9 +:04083B004742CDCF94 +:04083C00C5030834B4 +:04083D00CA3A0006AD +:04083E00E0EFC836E9 +:04083F0046C2E0AF1E +:0408400047520A3CD5 +:0408410000A680236A +:0408420094E30685B0 +:0408430047B2FEF6C4 +:040844004592460291 +:0408450008344388A8 +:04084600908FE0EFC0 +:04084700EE0515E3C2 +:040848001000069303 +:04084900746387222B +:04084A00071300D4BC +:04084B0007931000FF +:04084C009413F0070A +:04084D0047820107D6 +:04084E00100484937B +:04084F0087938041CA +:04085000C03E10078F +:0408510095E347A242 +:04085200BD75F8F484 +:040853008082557DCD +:04085400C026115158 +:04085500849364E93B +:04085600C50386341C +:04085700C2220004B5 +:040858003345C4065A +:04085900E515842AF3 +:04085A000004C703CC +:04085B00468167E982 +:04085C0086E7812387 +:04085D00C78367E9FD +:04085E00C7818617B1 +:04085F00C68367E500 +:0408600067E5F587CC +:04086100F9C78793B9 +:04086200802397B6A2 +:04086300453D00E728 +:0408640040A23B8DE6 +:040865004412852292 +:040866000131448296 +:040867000113808277 +:0408680067E5EDC192 +:0408690002078E23D1 +:04086A002023C43E45 +:04086B002E23121115 +:04086C002C231081A8 +:04086D00C22E1091F6 +:04086E0057FD473DAE +:04086F0006A7636312 +:04087000054167696E +:040871000FF5779375 +:04087200858725034E +:040873000107959351 +:0408740010000693D7 +:04087500C03E083049 +:040876009CAFE0EF64 +:040877000824676981 +:040878008587071356 +:04087900842687AAA0 +:04087A004503C63A32 +:04087B00C83E00046F +:04087C00E0EF0405A0 +:04087D000FA3D12FC5 +:04087E000A38FEA492 +:04087F0016E347C273 +:04088000E385FE8787 +:04088100859365DD19 +:040882000828ADC5D0 +:04088300C06FE0EF73 +:04088400126387AACA +:040885004703200500 +:04088600CF1102216B +:04088700016346853E +:04088800208310D7E2 +:040889002403120131 +:04088A00248311C1F1 +:04088B00853E118114 +:04088C001241011301 +:04088D00460380821C +:04088E004705020117 +:04088F001CE61F63E1 +:040890000211470307 +:040891001C071B63C2 +:0408920002414703D5 +:0408930002314603E5 +:040894008F51072257 +:0408950004B0061392 +:04089600FCC715E3A3 +:04089700F3714712A0 +:0408980047816765C8 +:0408990007134539C3 +:04089A0045A9F9C7AC +:04089B0000D4C603BC +:04089C0000C56663CA +:04089D0000F703332A +:04089E0000C3002370 +:04089F000485078540 +:0408A000FEB796E326 +:0408A1000311478375 +:0408A2000A23676955 +:0408A300472584F76A +:0408A40000F7666390 +:0408A5000C23676950 +:0408A600A02986F708 +:0408A700030147837F +:0408A800FEF77AE3FA +:0408A90003314703CD +:0408AA00656567E930 +:0408AB0084E7852336 +:0408AC0003414703BA +:0408AD00061367E9DE +:0408AE00842303603C +:0408AF00470384E790 +:0408B00067E50351A4 +:0408B10003A1059307 +:0408B200EEE78423C6 +:0408B3000361470393 +:0408B400051367E9D8 +:0408B50084A3FD0516 +:0408B600470384E789 +:0408B70067E503717D +:0408B800EEE78C23B8 +:0408B900038147036D +:0408BA008CA367E5BF +:0408BB004703EEE71A +:0408BC0067E902F1F5 +:0408BD0086E780A3A7 +:0408BE00C70367E520 +:0408BF0067E9F9C725 +:0408C00086E7812323 +:0408C10003214703C5 +:0408C2008E2367E931 +:0408C300470384E77C +:0408C40067E903914C +:0408C50086E780231F +:0408C600AAEFE0EFC6 +:0408C700B71147819D +:0408C8000201468360 +:0408C9000EE69B6339 +:0408CA0002114703CD +:0408CB000ED71763CA +:0408CC00030156834B +:0408CD0004200713E9 +:0408CE00EEE695E3DA +:0408CF0003215403AA +:0408D0007380071317 +:0408D100ECE41FE351 +:0408D200C82A47A247 +:0408D300851346350E +:0408D400059303C7BE +:0408D50040EF0231BD +:0408D600471254A0D1 +:0408D70012E347C21F +:0408D8006565EC075F +:0408D90004200613DE +:0408DA000513184C9E +:0408DB00E0EF04C581 +:0408DC004782A58F1B +:0408DD000713676531 +:0408DE0007A209075D +:0408DF004481C23E50 +:0408E0000793C002B8 +:0408E100C43A05E030 +:0408E20046824722E1 +:0408E30010000613E8 +:0408E40005338E1D2D +:0408E500083800D7F8 +:0408E60000F705B35F +:0408E70006C445639B +:0408E800E0EFC83E37 +:0408E90047C2A24F11 +:0408EA000485470238 +:0408EB008F1D943E8B +:0408EC00F4934792A8 +:0408ED0007130FF4EA +:0408EE0085B31007B7 +:0408EF0047B200F418 +:0408F00083410742F7 +:0408F1000413438821 +:0408F2000693F00475 +:0408F30008301000B9 +:0408F400C03A05A25F +:0408F500D0EF0442FA +:0408F6008041FCDF62 +:0408F700083887AA8C +:0408F80000074503AD +:0408F900C83ACA3EF1 +:0408FA00B1CFE0EFAB +:0408FB000A34474232 +:0408FC00002347D2BC +:0408FD00070500A744 +:0408FE00FEE694E39B +:0408FF00E20793E396 +:04090000F0594781E2 +:040901008622A02189 +:040902009BEFE0EF98 +:04090300470567E954 +:0409040088E78723D6 +:040905004785B7214A +:040906004789B5293F +:040907001151B519BC +:0409080064E9C026B8 +:040909008634849319 +:04090A000004C5031D +:04090B00C22245813E +:04090C00F0EFC4063E +:04090D00842AD6DF83 +:04090E00C703E12119 +:04090F0067E9000490 +:04091000812346A950 +:0409110067E586E729 +:0409120008D7C783B8 +:0409130000D7856321 +:040914008C2366E9E1 +:0409150067E986F612 +:040916008617C783F6 +:04091700C7814681CD +:04091800C68367E942 +:0409190067E5878780 +:04091A00F9C78793FF +:04091B00802397B6E8 +:04091C00453D00E76E +:04091D00A8FFF0EF50 +:04091E00852240A24C +:04091F0044824412B8 +:04092000808201319F +:0409210045851141B6 +:04092200C02AC6061B +:04092300D13FF0EFE1 +:040924004783676539 +:04092500468203C73C +:0409260003C70713E9 +:04092700675DE781A0 +:04092800AE470713BC +:04092900665D40B215 +:04092A0006136565E6 +:04092B0045C5AEC64A +:04092C00FBC50513EF +:04092D00306F0141E5 +:04092E0011211E7005 +:04092F00C82267690A +:0409300087470413DE +:04093100CA06401C96 +:04093200000216B7F2 +:04093300F9F7F79346 +:04093400C626C01CF7 +:04093500A023C02E0D +:0409360005930EF621 +:0409370084AA32005C +:04093800F0EF450592 +:040939000513BB2FB8 +:04093A00D0EF1900E1 +:04093B00401CC15F3C +:04093C00000216B7E8 +:04093D00E79345C136 +:04093E00C01C0207D0 +:04093F000EF6A023ED +:0409400040EF8526D9 +:0409410077933C204C +:04094200EB890FF539 +:04094300464565E1DF +:0409440031C58593A1 +:0409450040EF8526D4 +:04094600478538A009 +:0409470086B347012B +:04094800C50300E4FF +:0409490045D100068E +:04094A00C23AC43EAB +:04094B00B68FF0EF84 +:04094C0047A2471265 +:04094D007693070591 +:04094E00E2E30FF7DA +:04094F00401CFEF654 +:04095000000214B7D6 +:04095100F79345D102 +:04095200C01CFDF7D1 +:040953000EF4A023DB +:040954000C0005137B +:04095500B40FF0EFFC +:040956004502401CFA +:04095700E79345C11C +:04095800C01C0207B6 +:040959000EF4A023D5 +:04095A0035C040EF75 +:04095B000FF574938D +:04095C004502E889DF +:04095D00464565E1C5 +:04095E0031C5859387 +:04095F00324040EFF3 +:040960004781448502 +:0409610045D1470233 +:04096200973EC23EBC +:040963000007450341 +:04096400B04FF0EFB1 +:040965000785479229 +:040966000FF7F7137D +:04096700FE9764E3B0 +:0409680040D2401C1D +:04096900000217373A +:04096A000407E79304 +:04096B004442C01C26 +:04096C000EF720233F +:04096D00016144B22E +:04096E001141808231 +:04096F00C226C422B6 +:040970004785C606EB +:04097100646564E570 +:0409720000F5086321 +:0409730046836765EB +:040974004709EF87B9 +:0409750004E694639D +:0409760085934641DE +:040977004537FA8482 +:0409780040EF00024A +:0409790047B72BE071 +:04097A0085130002DF +:04097B0046410207E8 +:04097C00FBC4059320 +:04097D002AC040EF5D +:04097E00000247B775 +:04097F003C07A62368 +:04098000A223470D5A +:04098100A4233CE788 +:0409820005933C0796 +:040983004422FBC44B +:04098400851340B2E5 +:040985004492FA841A +:04098600B545014131 +:04098700FEE517E38F +:040988004703676951 +:04098900464185E777 +:04098A00FBC4059312 +:04098B000037169388 +:04098C000713676581 +:04098D009736D7C7FB +:04098E000047468355 +:04098F0000024737E4 +:040990000056951365 +:04099100953A05414D +:0409920040EFC0363C +:040993004682256013 +:0409940000024737DF +:040995003C87260372 +:0409960097B3478547 +:040997008FD100D725 +:040998003CF72423E1 +:0409990067E9B75DF6 +:04099A0085D7C783B3 +:04099B001151E3B55E +:04099C00C222C406A9 +:04099D00C909C0269E +:04099E0000024737D5 +:04099F003C07278367 +:0409A0000027E793B2 +:0409A1003CF72023DC +:0409A200859364E5F0 +:0409A3004641008445 +:0409A40000024537D1 +:0409A50020C040EF3F +:0409A60000024437D0 +:0409A700859367E5E8 +:0409A800051301C76B +:0409A90046410204BD +:0409AA001F8040EF7B +:0409AB002623470DAB +:0409AC0022233C04C2 +:0409AD0024233CE4DF +:0409AE0044123C04AF +:0409AF00851340A2CA +:0409B00044820084F9 +:0409B100859367E5DE +:0409B200013101C747 +:0409B300DEFFF06F04 +:0409B4007135808297 +:0409B5006465CD2286 +:0409B60002C0061362 +:0409B700051345815E +:0409B800CF067DC425 +:0409B900D0EFCB268A +:0409BA006665F1DF9E +:0409BB00F406071324 +:0409BC000823435C6D +:0409BD0007A3000785 +:0409BE0047030007E4 +:0409BF00C6B700C7F0 +:0409C0008693A0EE8C +:0409C1003713B00632 +:0409C200070500170E +:0409C30002F6D5B3B0 +:0409C400F40606131C +:0409C5007DC4049356 +:0409C60003B0051362 +:0409C70087B3C232FE +:0409C800071302E728 +:0409C9009823064029 +:0409CA00059300B4DD +:0409CB00C42E7DC4F5 +:0409CC0002E787B304 +:0409CD00D7B3421842 +:0409CE00D7B302E7B2 +:0409CF00C83E02F626 +:0409D000F60FE0EF4F +:0409D1000513CE2A12 +:0409D200E0EF03C08F +:0409D3000513F56FA4 +:0409D400E0EF0380CD +:0409D5004783F4EF71 +:0409D600472201C1F2 +:0409D7001E2346A2F3 +:0409D800479200F74B +:0409D90047A2439856 +:0409DA0000E79B2374 +:0409DB00C603479276 +:0409DC00379300C786 +:0409DD008FA30016CE +:0409DE00479200F646 +:0409DF000187C78342 +:0409E00000279693C3 +:0409E100879367E1B0 +:0409E20097B69F879E +:0409E300079343949F +:0409E400C21906909E +:0409E500070007936D +:0409E6006565665D80 +:0409E700AF460613FE +:0409E800051345C5E9 +:0409E90030EF008566 +:0409EA0047A26F6051 +:0409EB0006934742E6 +:0409EC00D6033E8070 +:0409ED000793010764 +:0409EE0075B3064097 +:0409EF00656502D761 +:0409F00001C5051325 +:0409F1003E800493AD +:0409F20002F677335F +:0409F30002F657B3FE +:0409F400C03A46427D +:0409F500D733472984 +:0409F60045C502E50C +:0409F70002D656B31B +:0409F8000613665D1F +:0409F90030EFB00625 +:0409FA0045056B60E4 +:0409FB0047913DAD36 +:0409FC004792CABE96 +:0409FD00040109A345 +:0409FE00C7036309BF +:0409FF0047A101E724 +:040A00007103031368 +:040A010000E797B3C0 +:040A02000087E793EF +:040A03004792CCBE8C +:040A0400C70346815D +:040A0500079301F75B +:040A060097B3200082 +:040A0700CEBE00E778 +:040A0800C703479247 +:040A090067C10207B8 +:040A0A0000E797B3B7 +:040A0B004792D0BE80 +:040A0C000217C70303 +:040A0D00002007B707 +:040A0E0000E797B3B3 +:040A0F00D2BE4712FA +:040A1000076547929D +:040A1100D8BAD6BABF +:040A1200C78347123D +:040A13000769025716 +:040A14004712DABAF1 +:040A15000793CA3E3B +:040A1600076D053132 +:040A1700DEBADCBAAD +:040A1800D4BE4712EF +:040A19000771C53E5E +:040A1A00C33AC13AE0 +:040A1B000775471202 +:040A1C00C93EC73ACE +:040A1D00C602479234 +:040A1E000237C28356 +:040A1F00D78347A290 +:040A2000D63E01C7F6 +:040A2100D78347A28E +:040A2200D43E012796 +:040A2300C7834792AC +:040A2400D03E024777 +:040A2500C78347A29A +:040A2600D83E01F7BE +:040A2700D78347A288 +:040A2800DA3E01674A +:040A2900D78347A286 +:040A2A00DC3E0107A6 +:040A2B00841367E5E4 +:040A2C00879309079C +:040A2D00CC3E0907AB +:040A2E000FD2F79359 +:040A2F004703DE3E5D +:040A300047950244A0 +:040A31001AE7E96374 +:040A3200E163478DA8 +:040A3300026326E74D +:040A340009501CF752 +:040A350000271793EC +:040A3600A78397B249 +:040A3700C783FD472D +:040A3800078A000722 +:040A3900A58397B248 +:040A3A00541CFC0745 +:040A3B0086638FED52 +:040A3C0047831E07C7 +:040A3D00564201F428 +:040A3E001EC79163DB +:040A3F005783565231 +:040A40005562016496 +:040A410040F607B3C1 +:040A420041F7D6138F +:040A43008F918FB14F +:040A44000104560350 +:040A450040C506336F +:040A460041F655130D +:040A47008E098E295D +:040A48002297C863C6 +:040A49007663451D6E +:040A4A00946300E5CC +:040A4B004663009767 +:040A4C0047092266CE +:040A4D001A9743634E +:040A4E001AF4D16362 +:040A4F00041347B293 +:040A5000656502C016 +:040A51000287843361 +:040A5200462947E208 +:040A5300943E64E980 +:040A540000C4578300 +:040A55005683445828 +:040A5600D03E018409 +:040A57007DC50793BF +:040A58004703C7D8B1 +:040A5900D43601E4AA +:040A5A008F234C1486 +:040A5B00470300E766 +:040A5C00538301447B +:040A5D00530301C47A +:040A5E008A230124C2 +:040A5F00470300E762 +:040A600042830204C7 +:040A6100CF9400A48A +:040A620002E7802304 +:040A63000244468380 +:040A6400023447030E +:040A6500051385A24E +:040A660081A37DC526 +:040A6700822302E7FD +:040A68009E2302D7F0 +:040A69009923007756 +:040A6A00D836006713 +:040A6B000057852388 +:040A6C00DA1ADC1E98 +:040A6D0030EFD6167A +:040A6E0065656EB09C +:040A6F007DC50593A9 +:040A700002C00613A7 +:040A710080848513E5 +:040A7200BFFFD0EF03 +:040A73008084879361 +:040A740000078523CF +:040A7500871367E597 +:040A760046057C872E +:040A77002023569250 +:040A7800222300072E +:040A7900242300072B +:040A7A002623000728 +:040A7B000823000745 +:040A7C0008A30007C4 +:040A7D00541800C742 +:040A7E0084136591E7 +:040A7F008F757C876C +:040A80005CB70C63F0 +:040A8100EE6356C208 +:040A820005933AE5B9 +:040A830009631000F3 +:040A8400535256B7BC +:040A8500E96353E2EC +:040A860045C116E56B +:040A870050B70763FA +:040A8800EF6352B214 +:040A8900459112E59C +:040A8A0044B70A6300 +:040A8B00116345A10D +:040A8C0000A30CB700 +:040A8D00470D00C44D +:040A8E0000E68F638C +:040A8F00FFA6871324 +:040A90000FF77713D2 +:040A910000E679639F +:040A92009D634725F4 +:040A930007134CE613 +:040A940069634AF058 +:040A950047D24C6791 +:040A96004C07806326 +:040A9700460567E5C4 +:040A980085134589F4 +:040A9900D0EF7DC756 +:040A9A004603D6FF3A +:040A9B000605001438 +:040A9C000FF67613C8 +:040A9D004799A1755F +:040A9E00E4F71DE379 +:040A9F0000A44783E5 +:040AA000916346090F +:040AA10089630CC792 +:040AA20047850A0278 +:040AA300E4F283E313 +:040AA4000793A0A96B +:040AA500CEBE2000A1 +:040AA60010000793A2 +:040AA70067A1D0BEB5 +:040AA80057A2D2BEC1 +:040AA900E20797E3E6 +:040AAA00016456038A +:040AAB001C10079381 +:040AAC00E2F611E37A +:040AAD00462967DD92 +:040AAE00B1878593F4 +:040AAF00C69A85223C +:040AB000C296C4B670 +:040AB10030EFC0BAA8 +:040AB20047065AD0C9 +:040AB30046A642967B +:040AB400E51D4336C3 +:040AB5009EE35782E3 +:040AB6000685DE07CC +:040AB70002A00793FF +:040AB80002C404135D +:040AB900DCF69DE3E7 +:040ABA0006D00793C8 +:040ABB00E497D8E301 +:040ABC008CA367E5BB +:040ABD0040FA7C0778 +:040ABE0044DA446A68 +:040ABF008082610DC3 +:040AC000462967DD7F +:040AC100B247859320 +:040AC200C69A852229 +:040AC300C296C4B65D +:040AC40030EFC0BA95 +:040AC500470656107A +:040AC60046A6429668 +:040AC7001AE34336B5 +:040AC8005602DA05F3 +:040AC90016E3478564 +:040ACA00BF45DAF654 +:040ACB00200007936D +:040ACC0067C1CEBE72 +:040ACD00BB71D0BE6B +:040ACE000793563202 +:040ACF00FAE3052021 +:040AD000BF61D8C763 +:040AD10096E346055D +:040AD20057F2D8C738 +:040AD300B351F7D94B +:040AD4008332D22E69 +:040AD500C63684BEDF +:040AD600D22EB7491C +:040AD700BFE58332C2 +:040AD800040006937D +:040AD90000D70A63D5 +:040ADA000800069377 +:040ADB003CD706639B +:040ADC00020006937B +:040ADD00F6D71EE347 +:040ADE0010100713DA +:040ADF0000E41023FC +:040AE00001234705A2 +:040AE100AE6100E41E +:040AE20080070593F1 +:040AE30042058563E0 +:040AE400851365858C +:040AE5006B638005BA +:040AE600059306E589 +:040AE7000B6320007D +:040AE80006933EB77C +:040AE90015E34000D1 +:040AEA00468DF4D76A +:040AEB0002D3D3B3AC +:040AEC0047095782DD +:040AED0000E400A37E +:040AEE0000F41423D9 +:040AEF00871367E919 +:040AF0008613808762 +:040AF1004591808724 +:040AF2008084851364 +:040AF30000771E2347 +:040AF40053335722FF +:040AF500573302D39E +:040AF6001C2302D7E4 +:040AF700570200E6BC +:040AF80002D7573397 +:040AF90000E61623DA +:040AFA00122383053B +:040AFB00460D00E4C0 +:040AFC008087871355 +:040AFD0080878793D4 +:040AFE000067192351 +:040AFF0000078A233F +:040B0000BD5FD0EF16 +:040B010008A34711ED +:040B0200A0A900E4C2 +:040B03003AB70C638E +:040B04001FE36689FC +:040B05000713ECD70F +:040B06001023203068 +:040B0700460D00E4B3 +:040B08004591470DBF +:040B0900808485134C +:040B0A0000E40123DF +:040B0B00BA9FD0EFCE +:040B0C0000040703D7 +:040B0D000014068347 +:040B0E00068507054C +:040B0F0002D70733CF +:040B100000244683F4 +:040B110047330685DB +:040B120008A302D75B +:040B1300470900E4AA +:040B140000E40023D6 +:040B150000140703BE +:040B1600665D67E5CC +:040B170086930705B5 +:040B180006137DC77C +:040B190045A9B30631 +:040B1A00808485133B +:040B1B00230030EF94 +:040B1C0000845703F7 +:040B1D0047A2EB19E7 +:040B1E0000040703C5 +:040B1F0000C7D683B2 +:040B2000073307058B +:040B2100142302D7C0 +:040B2200570300E491 +:040B2300EB0100A43E +:040B2400879367E963 +:040B2500D7038087EB +:040B2600152300E7AC +:040B270067E900E496 +:040B280080878793A8 +:040B2900008456836B +:040B2A0000C7D60327 +:040B2B00557D4709A4 +:040B2C0047338E15A8 +:040B2D00458102E616 +:040B2E0000E41223AA +:040B2F0000A65B635E +:040B300000040603B4 +:040B310040E0073366 +:040B3200473306053A +:040B3300759302C7ED +:040B340003030FF7B1 +:040B3500072300147E +:040B3600471100B4AF +:040B370008E3166356 +:040B38004705479294 +:040B39000227C603C6 +:040B3A0008E6006366 +:040B3B0078000593A6 +:040B3C0086B345092E +:040B3D00C6B340D526 +:040B3E0067E902A6BB +:040B3F008087871311 +:040B4000012757032F +:040B41008087849392 +:040B42008807071306 +:040B430000D41223A5 +:040B4400026746B34B +:040B450002A7473389 +:040B460000D49E2316 +:040B4700808786938A +:040B480000E69C2304 +:040B49008087871307 +:040B4A0000B71623B7 +:040B4B005703EE1549 +:040B4C0046A900E7CF +:040B4D00BC87071347 +:040B4E0002D7473350 +:040B4F00076246955E +:040B500007A387610F +:040B5100073300E482 +:040B5200869302D7AD +:040B5300D68380873E +:040B5400973601A629 +:040B5500808786937C +:040B560000E69D23F5 +:040B57008087879379 +:040B580043800713BC +:040B590000E79723F7 +:040B5A0067E94705FB +:040B5B0000E4082387 +:040B5C0080878713F4 +:040B5D0000A74703A3 +:040B5E000004162356 +:040B5F004712EB014D +:040B60008087879370 +:040B610002774703CD +:040B620000E7852300 +:040B630000C1048346 +:040B640067694792E4 +:040B6500017D80B7D7 +:040B6600009786A3CB +:040B6700460347B248 +:040B680005830024DD +:040B69000823011448 +:040B6A0047A288F71F +:040B6B00809345012D +:040B6C00D78383F0B8 +:040B6D004289012791 +:040B6E0047A2C63E96 +:040B6F000147C783F0 +:040B700005B7ACC554 +:040B71000863001005 +:040B7200E06328B75D +:040B730005B706E5D7 +:040B74000263000216 +:040B7500E86326B754 +:040B760065A102E58E +:040B770024B701633B +:040B780017E365C159 +:040B7900470DD0B79D +:040B7A0000A316F1CD +:040B7B00F69300E409 +:040B7C0060630FF6AD +:040B7D0047D224D661 +:040B7E00C60792E331 +:040B7F000023470503 +:040B8000461100E436 +:040B810006B7AA31D8 +:040B82000D630004FB +:040B830006B722D7B8 +:040B84001FE3000863 +:040B85000713CCD7AF +:040B860010233030D8 +:040B8700470D00E432 +:040B880000E4012361 +:040B8900459146113B +:040B8A0006B7A8659D +:040B8B000363008080 +:040B8C00E36324D724 +:040B8D0006B702E6BF +:040B8E0008630020D8 +:040B8F0006B722D7AC +:040B900017E3004027 +:040B91000713CAD7A5 +:040B920010234020CC +:040B9300470900E42A +:040B940000E4012355 +:040B9500AABD46159A +:040B9600010006B79D +:040B970022D70563F9 +:040B9800020006B79A +:040B9900C8D716E3C0 +:040B9A0040500713AD +:040B9B0000E410233F +:040B9C0001234715D5 +:040B9D00461500E415 +:040B9E00A8C145990C +:040B9F00871367E968 +:040BA0008693808731 +:040BA100D6838087F0 +:040BA20005230166C0 +:040BA300570300579D +:040BA400879301072B +:040BA500C50380877D +:040BA600073301F719 +:040BA700069302D7D8 +:040BA8008637064046 +:040BA9004281017D07 +:040BAA00061343816A +:040BAB00473383F653 +:040BAC00468102D7A5 +:040BAD0002670333A5 +:040BAE000016871393 +:040BAF00026705B321 +:040BB00040A5D5B3D4 +:040BB10004B67063B3 +:040BB2000003866353 +:040BB30000D4012346 +:040BB40000540023C6 +:040BB5000004458370 +:040BB6000585460566 +:040BB7000FF5F593AE +:040BB800808485139D +:040BB9008F1FD0EFCB +:040BBA000004070329 +:040BBB000014068399 +:040BBC00068507059E +:040BBD0002D7073321 +:040BBE000024468346 +:040BBF00473306852D +:040BC000B31102D794 +:040BC100177D07068F +:040BC2000187129302 +:040BC3000FF776931F +:040BC4004182D29305 +:040BC500B74D438560 +:040BC60000234705BC +:040BC700460900E4F7 +:040BC800BF7D45891F +:040BC900458546090F +:040BCA000713BF65E9 +:040BCB0010231010D3 +:040BCC00012300E41D +:040BCD00B7E500C4C4 +:040BCE0010200713D9 +:040BCF0000E410230B +:040BD00001234709AD +:040BD100460900E4ED +:040BD2008513458DB5 +:040BD300D0EF80845B +:040BD4000683887F8D +:040BD500070300040E +:040BD600479200142E +:040BD700001686136B +:040BD80007330705D3 +:040BD900460302C706 +:040BDA0006050024E8 +:040BDB0002C74733D3 +:040BDC0000E408A386 +:040BDD000267C703E1 +:040BDE0000238E99C9 +:040BDF00B9D900D4AC +:040BE00010400713A7 +:040BE10000E41023F9 +:040BE2000123471193 +:040BE300460900E4DB +:040BE400B7B94595C3 +:040BE50016F14709B5 +:040BE60000E400A384 +:040BE7000FF6F6937C +:040BE80000D6696367 +:040BE9009BE347D271 +:040BEA000023AA0733 +:040BEB00460D00C4EF +:040BEC00460DBF856E +:040BED000713BF8D9E +:040BEE0010232010A0 +:040BEF00012300E4FA +:040BF000B7F500C491 +:040BF10020200713A6 +:040BF20000E41023E8 +:040BF300012347098A +:040BF400460D00E4C6 +:040BF500B729458D4A +:040BF6002040071381 +:040BF70000E41023E3 +:040BF8004711460D4E +:040BF9008513459586 +:040BFA0001238084CF +:040BFB00D0EF00E453 +:040BFC000703FE6F7E +:040BFD000683000467 +:040BFE004792001406 +:040BFF00068507055B +:040C000002D70733DD +:040C01000024468302 +:040C020047330685E9 +:040C030008A302D769 +:040C0400C70300E43E +:040C05003713026738 +:040C060007090017C3 +:040C07000713B91501 +:040C08001023206035 +:040C0900471900E4A3 +:040C0A0000E40123DE +:040C0B00459D460DB0 +:040C0C004611BD458B +:040C0D000713BDCD3F +:040C0E00102330106F +:040C0F00012300E4D9 +:040C1000B3C100C4A8 +:040C11003020071375 +:040C120000E41023C7 +:040C13000123470969 +:040C1400461100E4A1 +:040C15000713B741C9 +:040C16001023304037 +:040C1700471100E49D +:040C180000E40123D0 +:040C190045954611A6 +:040C1A004711B5CDFC +:040C1B0000E400A34E +:040C1C00BD55461567 +:040C1D004030071349 +:040C1E0000E41023BB +:040C1F000123470D59 +:040C2000461500E491 +:040C21000713B34DB5 +:040C2200102340401B +:040C2300471100E491 +:040C240000E40123C4 +:040C2500BDED4615C6 +:040C26000015F3932F +:040C270004039163CE +:040C28000255C5B3F9 +:040C29000016961308 +:040C2A007613167DAA +:040C2B0045050FF676 +:040C2C000016069315 +:040C2D008733439531 +:040C2E00073302F690 +:040C2F0003930277B2 +:040C30000713064060 +:040C3100473303271B +:040C320043B2027750 +:040C3300027683B30F +:040C340043C2971E02 +:040C350002E383B3A0 +:040C3600FFE3C41EF6 +:040C3700C509FA7081 +:040C380000C40123D0 +:040C390000B408A358 +:040C3A00000316633A +:040C3B004792C60115 +:040C3C0000C7882342 +:040C3D000114060395 +:040C3E003EC0516300 +:040C3F0006B347A20F +:040C4000479202F6DF +:040C4100CBD465E9C2 +:040C420002C0069353 +:040C430084B347E24D +:040C440094BE02D484 +:040C45000234C6832C +:040C460087B5879354 +:040C4700F613C63E9C +:040C4800CA0900468F +:040C4900C58366E910 +:040C4A00460987A62A +:040C4B0073634691F8 +:040C4C0046A100B607 +:040C4D0065C147B284 +:040C4E00802315FDED +:040C4F00479200D7F1 +:040C500000B774B3C2 +:040C5100479055591A +:040C5200C68347B25C +:040C530017930007EC +:040C540083C1010750 +:040C550077B3C83E6B +:040C560045F900B6A6 +:040C570002B485B3AB +:040C5800C5B3CA3E18 +:040C590047F202F567 +:040C5A00C5B3059584 +:040C5B00F51302A5E6 +:040C5C0047920FF7B5 +:040C5D0002E5073372 +:040C5E0002C757333F +:040C5F00C70395BA78 +:040C6000F59302877F +:040C610007130FF571 +:040C62000762F80726 +:040C630095BA876156 +:040C6400471105C26D +:040C6500916381C155 +:040C660085933AE652 +:040C670005C203259A +:040C6800452185C1DC +:040C6900020006136C +:040C6A0000C503338B +:040C6B003805CC6319 +:040C6C0000C5873305 +:040C6D000513972AAA +:040C6E0056630FF0CA +:040C6F00059300E504 +:040C700085B30FF049 +:040C7100F7134065D0 +:040C720085BA0FF53B +:040C7300D03645151D +:040C7400CE3AD41A86 +:040C7500D0EFD232B8 +:040C76005612D15FE2 +:040C770085B24519E4 +:040C7800D0BFD0EF2A +:040C790008000593D7 +:040C7A0002600513FC +:040C7B00CFFFD0EFE8 +:040C7C005322477246 +:040C7D000310051348 +:040C7E00006705B353 +:040C7F000FF5F593E5 +:040C8000CEBFD0EF24 +:040C8100472156822F +:040C8200836345B58E +:040C830045A500E69D +:040C84000220051332 +:040C8500CD7FD0EF60 +:040C8600D0EF451155 +:040C87007593C87F1A +:040C880045110F857E +:040C8900CC7FD0EF5D +:040C8A00450547C213 +:040C8B000047D593B6 +:040C8C000FF5F593D8 +:040C8D00CB7FD0EF5A +:040C8E0000449593F6 +:040C8F000F05F593C5 +:040C9000D0EF450953 +:040C910047D2CA9FDD +:040C9200019C073783 +:040C9300CC07071370 +:040C940002F75733D9 +:040C9500022556B727 +:040C96000FF686933C +:040C97000733458159 +:040C9800F06302976C +:040C990026B702E692 +:040C9A008693042C0D +:040C9B004585D7F6BE +:040C9C0000E6F96312 +:040C9D00080BF5B794 +:040C9E00FBF585934A +:040C9F0000E5B5B304 +:040CA00067610589FA +:040CA1003AC7071334 +:040CA2004703972E3F +:040CA30006930007AD +:040CA40047C20280C1 +:040CA50002D7073338 +:040CA6000017D693CA +:040CA70044B3973685 +:040CA800469D0297CC +:040CA9000FF4F493BD +:040CAA000FF4F71339 +:040CAB000096F36359 +:040CAC00070E471DCB +:040CAD008DD9059A3E +:040CAE000F85F59326 +:040CAF00D0EF450D30 +:040CB0004792C2DFC6 +:040CB1000467C5030C +:040CB200EABFD0EFD6 +:040CB3004705479218 +:040CB4000457C50319 +:040CB50000A77863B9 +:040CB600C50347B279 +:040CB70015710007AC +:040CB80000153513DB +:040CB9000533476157 +:040CBA00676102E587 +:040CBB00A247071332 +:040CBC00849364E9D0 +:040CBD00953A874499 +:040CBE00CF5FD0EF45 +:040CBF0006934792BF +:040CC000870302C0E4 +:040CC10047E200D72F +:040CC20002D707331B +:040CC3004503973E10 +:040CC400D0EF020764 +:040CC5000703C1BFA1 +:040CC6008637011458 +:040CC700478500025B +:040CC8000027269348 +:040CC9009B794218B9 +:040CCA00C2188F5568 +:040CCB000114050308 +:040CCC0022A7D06328 +:040CCD0019634789D7 +:040CCE00472220F5A4 +:040CCF0002FAF7B777 +:040CD00008078793F7 +:040CD10020E7F263C3 +:040CD200E0EF4515F5 +:040CD300409CDCCF96 +:040CD400DFF7F793BC +:040CD5004098C09CE7 +:040CD60017B764697F +:040CD700A023000254 +:040CD80005130EE70B +:040CD9000793808479 +:040CDA00D5838084BA +:040CDB00C60300E765 +:040CDC00450301F7D4 +:040CDD00D783022592 +:040CDE00041300C734 +:040CDF0043038084C7 +:040CE00087B30214C0 +:040CE100071302A74C +:040CE20005132BC00B +:040CE300D7330640BD +:040CE40095B302E5DD +:040CE50087B300C50C +:040CE600769302A758 +:040CE70085B30FF7CB +:040CE800C7B3026527 +:040CE900458102B788 +:040CEA0003278793C2 +:040CEB0002A7C7B3E2 +:040CEC00F793450530 +:040CED0061630FF739 +:040CEE0096B21AF5AB +:040CEF0046B795B6B9 +:040CF0008513000266 +:040CF100413C380644 +:040CF200898D7679F9 +:040CF3007FF606136F +:040CF40005AE8FF1C9 +:040CF500C12C8DDDA4 +:040CF60075E9413C1F +:040CF70015FD8B0D4F +:040CF80007368FED3F +:040CF900C1388F5D12 +:040CFA00C703479253 +:040CFB00CF19010705 +:040CFC003C06A78388 +:040CFD00870507055B +:040CFE0097BA83AD71 +:040CFF003C06A70305 +:040D000007AE8B8D22 +:040D01008FD18E7987 +:040D02003CF6A023F8 +:040D0300C703479249 +:040D0400C71500F718 +:040D0500070546098F +:040D060002C74733A6 +:040D0700000246B7E9 +:040D08003C06A7837B +:040D090006137679DE +:040D0A0083AD7FF640 +:040D0B00A7038F9912 +:040D0C008B8D3C0689 +:040D0D008F7107AE2D +:040D0E00A0238FD9B6 +:040D0F00D0EF3CF6EF +:040D10004792CA8FAD +:040D110044814709C9 +:040D12000567C68328 +:040D130000F7C4031E +:040D140000E687630B +:040D15000107C4838B +:040D1600B4938C8185 +:040D170045810014FE +:040D1800D0EF453D96 +:040D190005138EFF31 +:040D1A00D0EF059081 +:040D1B00759389DF64 +:040D1C00E88102F573 +:040D1D0000641793C4 +:040D1E00F5938DDDDF +:040D1F00E5930FF554 +:040D200005130105B1 +:040D2100D0EF05907A +:040D220047928CBFA9 +:040D23000511073778 +:040D2400F4070713B6 +:040D250047854BD4DF +:040D260000D76C6323 +:040D2700047877379E +:040D28008BF707132B +:040D29007563478126 +:040D2A00479200D715 +:040D2B000117C78362 +:040D2C0045834712A2 +:040D2D00470305670C +:040D2E00E71105873D +:040D2F00470347121D +:040D30000063011744 +:040D310047120AF764 +:040D320008A3852E5F +:040D3300446A00F717 +:040D340044DA40FA63 +:040D3500E06F610DFD +:040D3600C6198D4FFE +:040D3700468547A204 +:040D3800D6B38E910F +:040D3900B93102D7F3 +:040D3A00C63A67E965 +:040D3B008087871313 +:040D3C0001675503F3 +:040D3D0001275683B1 +:040D3E008087879390 +:040D3F0001F7C603EF +:040D400002A686B3CE +:040D4100010755034E +:040D420002A6B5B39D +:040D430002A685334C +:040D440091AFD0EFAC +:040D4500810D05F621 +:040D46008D4D6689E0 +:040D470086A6869363 +:040D4800650D96AAF5 +:040D49000D4505133C +:040D4A0002A6D6B374 +:040D4B003E800613CD +:040D4C0086B34732F1 +:040D4D00B6F102C633 +:040D4E0005C205993C +:040D4F00450985C10C +:040D5000B19D4641CA +:040D5100B1414581E6 +:040D52007513157D83 +:040D5300BBF50FF5E8 +:040D5400E793409C45 +:040D5500BBFD2007BB +:040D56008385058507 +:040D57000FF5F5930C +:040D58004789BD9971 +:040D5900D8F58963DD +:040D5A00879367E92B +:040D5B00C5038087C5 +:040D5C00C19100A79A +:040D5D0067E5458978 +:040D5E008793446AC9 +:040D5F0040FAF4075B +:040D6000C68344DA28 +:040D6100C6030107BD +:040D6200610D0577A3 +:040D6300EF5FD06FFF +:040D6400A70367E991 +:040D650067E5864771 +:040D6600FD0787936B +:040D6700464546AD0A +:040D68000167D583C7 +:040D690004E59F639B +:040D6A000FF6F693F7 +:040D6B000A63E1191D +:040D6C001121280722 +:040D6D0064E9C62649 +:040D6E0085E4C50350 +:040D6F006465C822CD +:040D7000D7C407934A +:040D71000035161320 +:040D7200CA0697B264 +:040D7300C783438C63 +:040D7400475100479C +:040D75000045A3038F +:040D760002E78733D6 +:040D770085E48493F8 +:040D7800D7C40413C5 +:040D79004339971A49 +:040D7A0002D36363DA +:040D7B00636343313A +:040D7C0047AD04D3A8 +:040D7D000CF680638D +:040D7E00086686631A +:040D7F004701478160 +:040D80000685A0F153 +:040D81009DE307895E +:040D82004681F8C6E8 +:040D83004783B745A6 +:040D8400460D0047D1 +:040D850016C79B638F +:040D860045BD4750D0 +:040D87004783421844 +:040D88009C63000761 +:040D8900C7811EB64A +:040D8A00F79317FDC7 +:040D8B0000230FF73B +:040D8C00B7E900F7CC +:040D8D0000474503D3 +:040D8E00157547058B +:040D8F000FF57513D4 +:040D900000A76E63E7 +:040D910000024537E0 +:040D92003C85230376 +:040D930000F717331B +:040D9400FFF747130B +:040D95000067773349 +:040D96003CE52423F1 +:040D97009C634735DD +:040D9800E39900E6F5 +:040D99000005C78307 +:040D9A00F79317FDB7 +:040D9B0096220FF796 +:040D9C0000F6022338 +:040D9D00C703B76170 +:040D9E0007850005C0 +:040D9F00E7B39622FE +:040DA000B7FD02E7B2 +:040DA100157DC519DE +:040DA20000A4802306 +:040DA300D84FE0EF56 +:040DA40067E9B7B58F +:040DA50084078EA38E +:040DA60000024737C9 +:040DA7003C0727835B +:040DA8009BED450179 +:040DA9003CF72023D0 +:040DAA0040D24442AD +:040DAB00016144B2EC +:040DAC00FB7FE06F7A +:040DAD000047478331 +:040DAE008163468D8A +:040DAF0046910AD788 +:040DB000F2D79EE3F5 +:040DB1009782471CC2 +:040DB200470587AAC0 +:040DB3000004C683EF +:040DB400656545D15B +:040DB50096A2068E6E +:040DB600C68342901E +:040DB70005130046DA +:040DB8004250FA8526 +:040DB90002B686B345 +:040DBA00C23AC43E37 +:040DBB00428C96B21E +:040DBC00C0364645B2 +:040DBD001AC030EF39 +:040DBE0047A24712EF +:040DBF0085BA468229 +:040DC0008536863EB0 +:040DC100C90FE0EF87 +:040DC2000004C783DF +:040DC30044B765E5E7 +:040DC400078E000294 +:040DC5004503943E10 +:040DC600464100445E +:040DC700FBC5859350 +:040DC80005410516C6 +:040DC90030EF95264C +:040DCA00460317A025 +:040DCB004785004414 +:040DCC0000C797B312 +:040DCD003CF4A62329 +:040DCE00EB094712D4 +:040DCF004611468201 +:040DD0000046C7030F +:040DD1000EC71563D1 +:040DD200C76D46D8CB +:040DD300000247379C +:040DD4003C872683AF +:040DD50024238FD56F +:040DD600A8E93CF755 +:040DD700C7814B1C69 +:040DD8009782C03A04 +:040DD900C783470283 +:040DDA0047140004B6 +:040DDB0097130785DE +:040DDC0006330037A3 +:040DDD00420C00E4E0 +:040DDE0000D5846355 +:040DDF0000060223E5 +:040DE000C31497227F +:040DE10000F4802377 +:040DE2006963B71179 +:040DE300460902F6C5 +:040DE40004C7886355 +:040DE500433D470C37 +:040DE60000C74503FA +:040DE70000D74603E8 +:040DE8000005C783B8 +:040DE90000E74703D5 +:040DEA0002669163A9 +:040DEB0000F67C632F +:040DEC00F71317FDE5 +:040DED0080230FF759 +:040DEE00B58900E5DE +:040DEF008DE3461139 +:040DF000BD2DE4C76A +:040DF1008732F96DDF +:040DF200F863B7FDEE +:040DF300078500E789 +:040DF4000FF7F613EC +:040DF50000C5802392 +:040DF600FD6DB515C5 +:040DF700BFDD863A9C +:040DF800453D470C22 +:040DF90000C75603D6 +:040DFA000005D78396 +:040DFB0000E75703B3 +:040DFC0000A69E634C +:040DFD0000F6776322 +:040DFE00971317FD33 +:040DFF008341010724 +:040E0000C211A01962 +:040E01009023873281 +:040E0200BBCD00E57F +:040E030000E7F463AD +:040E0400B7E50785C2 +:040E05004701FA6D3A +:040E06004683B7FD6B +:040E0700F563004649 +:040E0800078500D783 +:040E09000FF7F69356 +:040E0A0000D70023EA +:040E0B00460DBBC114 +:040E0C00F0C70CE33C +:040E0D0040D2444249 +:040E0E00450144B2A4 +:040E0F00E06F01612E +:040E10008082D7DF26 +:040E110085AA862EFA +:040E1200000215378E +:040E130002050513BC +:040E1400CCDFC06F00 +:040E1500179311110D +:040E1600CA22018566 +:040E1700CC06C82617 +:040E1800842A87E1C0 +:040E1900DD6384AE63 +:040E1A004581000707 +:040E1B000770051344 +:040E1C0001A337D522 +:040E1D00478500A164 +:040E1E000AA7E363D9 +:040E1F0007F474134D +:040E200084EFD0EF9C +:040E210045014591B1 +:040E220067693F7548 +:040E230087470713E3 +:040E2400F793431CE1 +:040E2500C31CF7F7FC +:040E26000002173778 +:040E27000EF720237F +:040E28000184D793D7 +:040E290000F102A32F +:040E2A000104D79355 +:040E2B0000F10323AC +:040E2C000084D793D4 +:040E2D0000F103A32A +:040E2E00008102231A +:040E2F000091042307 +:040E300004000713A0 +:040E310009500793CA +:040E320000E409636C +:040E3300048007131D +:040E34001463478577 +:040E3500079300E43B +:040E360015370870F4 +:040E37004619000256 +:040E38000513004C52 +:040E390004A3020507 +:040E3A00C0EF00F114 +:040E3B004515C5FF95 +:040E3C00DD0FE0EFF7 +:040E3D004585842A39 +:040E3E000031051367 +:040E3F00F49FF0EF3D +:040E400000310783F3 +:040E41000007D7636C +:040E4200DE2FE0EFD0 +:040E430004E34785F8 +:040E4400E401FEF5D2 +:040E4500A62367E990 +:040E460045038607D3 +:040E470040E2003154 +:040E480044C244520A +:040E49008082017131 +:040E4A00879367E53E +:040E4B0047980307BA +:040E4C00C622113178 +:040E4D00C426C806E9 +:040E4E006B63440D81 +:040E4F00C7830CB792 +:040E500084AA004729 +:040E5100E3918BA1FD +:040E5200051305A6D9 +:040E5300F0EF058037 +:040E5400842AF07F7D +:040E55001537ED4D13 +:040E560057F9000246 +:040E57000205051378 +:040E580005934605B3 +:040E590003A300717E +:040E5A00C0EF00F1F4 +:040E5B001737BDFF89 +:040E5C0047810002C8 +:040E5D000207051370 +:040E5E0000F486B363 +:040E5F000006C68340 +:040E600005934605AB +:040E6100C03E00711E +:040E620000D103A315 +:040E6300BBDFC0EF42 +:040E64001737478273 +:040E650006930002EE +:040E660007852000DC +:040E67000207051366 +:040E6800FCD79CE334 +:040E6900460554FDE9 +:040E6A00007105937B +:040E6B00009103A34C +:040E6C00B99FC0EF7B +:040E6D000002173731 +:040E6E00020705135F +:040E6F00059346059C +:040E700003A3007167 +:040E7100C0EF00913D +:040E72004585B83FBB +:040E730000710513F2 +:040E7400E75FF0EF55 +:040E7500007147833E +:040E76008BFD471594 +:040E770004E7906399 +:040E78000FA00513AF +:040E7900CDCFE0EF0A +:040E7A000513458592 +:040E7B00F0EF007123 +:040E7C004483E57F47 +:040E7D00E49100718B +:040E7E00CF2FE0EFA3 +:040E7F0005E34785BB +:040E800067E9FEF52B +:040E81008607A62317 +:040E82004411E481B2 +:040E83004409A0116D +:040E8400852240C2C1 +:040E850044A244320D +:040E86008082015114 +:040E8700BFCD441582 +:040E8800879367E500 +:040E8900479803077C +:040E8A00C62211313A +:040E8B00C426C806AB +:040E8C00440DC02A27 +:040E8D0000B76F63D8 +:040E8E000047C783CF +:040E8F008BA184B2FD +:040E900005A6E3913F +:040E91000510051330 +:040E9200E0DFF0EFBE +:040E9300C901842AE3 +:040E940040C244090B +:040E9500443285223C +:040E9600015144A220 +:040E9700051380823D +:040E9800E0EF064041 +:040E99004585C5EFD7 +:040E9A0000710513CB +:040E9B00DD9FF0EFF8 +:040E9C000071470397 +:040E9D000FF00793B8 +:040E9E0000F71763DF +:040E9F00C6EFE0EFCB +:040EA00002E347859D +:040EA1004703FEF510 +:040EA20067E900718B +:040EA3008607A623F5 +:040EA4000FE00793C1 +:040EA500FAF71EE357 +:040EA60085A64502D6 +:040EA700DA9FF0EFEF +:040EA800202005936E +:040EA90005C28D856C +:040EAA00450181C1BC +:040EAB00D99FF0EFEC +:040EAC007139B74D94 +:040EAD00C42A65DD11 +:040EAE0085934629B9 +:040EAF000848B385B7 +:040EB000DC22DE065C +:040EB100C0EFDA268E +:040EB200478DB01F99 +:040EB30027B7C03E5F +:040EB40014370006E9 +:040EB50004B700027C +:040EB6008613019C02 +:040EB7008593A80770 +:040EB8000513CC044E +:040EB900C0EF020480 +:040EBA00C0EF9B5F8B +:040EBB0027B7DE5F18 +:040EBC008613000693 +:040EBD008593A8076A +:040EBE000513CC0448 +:040EBF00C0EF02047A +:040EC000051399DF9E +:040EC10046290204B8 +:040EC200C0EF084C29 +:040EC30064E5A3FF40 +:040EC400051345814C +:040EC500A82304005A +:040EC600F0EF020443 +:040EC7004785D3BFC9 +:040EC8008493842A61 +:040EC90017630304A4 +:040ECA0005931CF57B +:040ECB0005131AA051 +:040ECC00F0EF0480BF +:040ECD00C22AD23F24 +:040ECE0014851D6307 +:040ECF000808459139 +:040ED000D05FF0EF10 +:040ED10001214703B1 +:040ED20015634792CB +:040ED30047031AF7C0 +:040ED400079301314E +:040ED5001F630AA0ED +:040ED600051318F7F1 +:040ED700E0EF3E808A +:040ED800E0EFB62F62 +:040ED9004785B88F02 +:040EDA0000F51963A3 +:040EDB00400005B717 +:040EDC000E9005135C +:040EDD00CE1FF0EF45 +:040EDE00E0EFF56DDF +:040EDF004785B70F7D +:040EE0000EF50563A3 +:040EE10067E9440178 +:040EE2008607A623B6 +:040EE30017FD47822E +:040EE4000FF7F7937A +:040EE5008163C03E27 +:040EE600D8151607FE +:040EE7004581478575 +:040EE800049005135A +:040EE9008223C09C04 +:040EEA00F0EF0084A1 +:040EEB004781CABFB2 +:040EEC004515E55172 +:040EED00B0CFE0EFB3 +:040EEE004585842A88 +:040EEF0000F10513F6 +:040EF000C85FF0EFF8 +:040EF10000F14703C2 +:040EF2000FF0079363 +:040EF30000F717638A +:040EF400B1AFE0EFCB +:040EF50002E3478548 +:040EF600E401FEF520 +:040EF700A62367E9DE +:040EF80046838607A0 +:040EF900071300F1EA +:040EFA0047810FE03D +:040EFB0004E698630E +:040EFC00100845C9CC +:040EFD00C51FF0EF2E +:040EFE000044C703E2 +:040EFF0000277693BF +:040F000010068663EE +:040F0100026147033F +:040F020002714783AE +:040F030002A14603FE +:040F040007228B0D28 +:040F050047838F5D32 +:040F0600070A028153 +:040F070002514683CA +:040F08008FD9839961 +:040F09000291470307 +:040F0A008ABD821DFD +:040F0B008B19070631 +:040F0C0096BA8F51B1 +:040F0D0016E5078559 +:040F0E0000D797B3BE +:040F0F000029363748 +:040F1000019C05B784 +:040F1100000215378E +:040F1200061317FDAE +:040F130085932E068E +:040F14000513CC05F0 +:040F1500C49C020571 +:040F1600843FC0EF65 +:040F17000613452256 +:040F180045812000EF +:040F1900DBDFF0EF3B +:040F1A004581A8590C +:040F1B0007A0051313 +:040F1C00BE5FF0EFD5 +:040F1D00F00518E3E0 +:040F1E0008084591E9 +:040F1F00BC9FF0EF94 +:040F20000101478301 +:040F2100F7934431CD +:040F22009EE304073F +:040F23004411EE0780 +:040F24004581BDDD69 +:040F25000E90051312 +:040F2600BBDFF0EF4E +:040F27000410079318 +:040F280000A4656359 +:040F290007934409DD +:040F2A0005130E900D +:040F2B00C23E0FA013 +:040F2C00A10FE0EF42 +:040F2D00A36FE0EFDF +:040F2E001763478579 +:040F2F00451200F572 +:040F3000F0EF458118 +:040F3100F57DB93F52 +:040F3200A22FE0EF1B +:040F33004401E11183 +:040F3400458167E9A3 +:040F350007B00513E9 +:040F36008607A62361 +:040F3700B79FF0EF81 +:040F38004401C1119E +:040F390020000593FC +:040F3A000500051396 +:040F3B00B69FF0EF7E +:040F3C00E8050EE3D3 +:040F3D00BD59440155 +:040F3E0011E3450571 +:040F3F0050F2EA047E +:040F400054D25462D1 +:040F410040A0053394 +:040F42008082612127 +:040F430047818B1146 +:040F4400F20701E3CC +:040F4500028147835B +:040F460002914703CA +:040F47008FD907A295 +:040F4800BF01474559 +:040F4900DD41011372 +:040F4A0020100793D9 +:040F4B001008CE3E7E +:040F4C00301F17B784 +:040F4D0022912023AA +:040F4E002211242325 +:040F4F0022812223B6 +:040F5000F0EFCC3EB4 +:040F510084AAD71F78 +:040F5200B87FC0EFB5 +:040F530004B3C4B16E +:040F5400C0EF40901A +:040F55004705B7DFB6 +:040F560036E48C638E +:040F57003697456321 +:040F58008B63572927 +:040F59008D6336E48A +:040F5A0065DD360417 +:040F5B00B5058593C0 +:040F5C00464567E5BA +:040F5D00FBC7851336 +:040F5E00329020EFBE +:040F5F00C4814505FF +:040F600005136539D7 +:040F61002083EAD52A +:040F620024032281C1 +:040F63002483224180 +:040F64000113220152 +:040F6500808222C1A3 +:040F660005136561A9 +:040F6700C0EF6A0568 +:040F68004481B60FFB +:040F6900141867DD14 +:040F6A00009706B333 +:040F6B00DF86C583D5 +:040F6C0095C786138C +:040F6D00460995B2EA +:040F6E0006C48063D2 +:040F6F008263460D46 +:040F7000443706C438 +:040F71000413000F56 +:040F720067E5240407 +:040F7300FBC7851320 +:040F7400C4834645A7 +:040F750020EFDFC6C4 +:040F760045092CB04D +:040F7700FDEFE0EFBB +:040F78008522084C7A +:040F7900A4BFD0EF52 +:040F7A00DD5567DDFD +:040F7B000693646510 +:040F7C004752FD04D7 +:040F7D000006D60391 +:040F7E00FD04041357 +:040F7F0002E60E6315 +:040F80000026D6036E +:040F810002C714632C +:040F8200AC7FC0EF91 +:040F8300069004933D +:040F8400859365DD0F +:040F8500BFA9B44507 +:040F8600001E84378E +:040F87004804041303 +:040F88009437B76D76 +:040F89000413000449 +:040F8A00B7453E0425 +:040F8B000186D68382 +:040F8C00FCD70CE39F +:040F8D00BF85448D4B +:040F8E000513656181 +:040F8F00C0EF6A0540 +:040F900065DDAC0F60 +:040F91004645656507 +:040F9200B885859306 +:040F9300FA850513C3 +:040F9400251020EF15 +:040F950065DD67E5CA +:040F9600B945859341 +:040F97008513464533 +:040F980020EFFBC784 +:040F9900450923F0F3 +:040F9A00F52FE0EF60 +:040F9B00004C55377A +:040F9C000513084CE5 +:040F9D00D0EFB405D8 +:040F9E00D5599B9FE7 +:040F9F00570347D2DB +:040FA00000630004E6 +:040FA10057030CF7EF +:040FA2009FE30024A5 +:040FA300C002F6E7AB :040FA40065DD67E5BB -:040FA50085934645A5 -:040FA6008513968594 -:040FA70020EFA8C7C8 -:040FA80067E56F404A -:040FA9009584859313 -:040FAA00AA078513FA -:040FAB0020EF4645A8 -:040FAC0045056E4049 -:040FAD00D7EFE0EFAB -:040FAE00448157E241 -:040FAF00C23E4501F8 -:040FB000C43E57F2F2 -:040FB100C63E67E5EC -:040FB200EF63479210 -:040FB300472202F4DB -:040FB400F34007936C -:040FB500D8A718E3BE -:040FB600C9BFC0EF00 -:040FB70065DD47B2FB -:040FB8008593464592 -:040FB90085139AC53D -:040FBA00C0EFA8C715 -:040FBB0067E5EDCF2A -:040FBC00464565DD64 -:040FBD0097858593FC -:040FBE00AA078513E6 -:040FBF00696020EF56 -:040FC000E0EF450514 -:040FC100A001D30FA9 -:040FC20086B3479219 -:040FC30007934097B9 -:040FC400F4631000C2 -:040FC500069300D7B8 -:040FC60067E51000CB -:040FC7000187A503F6 -:040FC80085A600D02A -:040FC900C0EFC83677 -:040FCA0046C2C7CF85 -:040FCB0087AA00D021 -:040FCC0000D605B393 -:040FCD0000064503D2 -:040FCE00CC2ECE3621 -:040FCF00C832CA3E1C -:040FD000B63FC0EF79 -:040FD10045E246426D -:040FD200002347D2DF -:040FD300060500A669 -:040FD40091E346F26D -:040FD50097E3FEC5DB -:040FD600B613D00777 -:040FD70085B60014C7 -:040FD800C0EF00C89E -:040FD9008493BA1F24 -:040FDA00BFB9100487 -:040FDB000793440D27 -:040FDC00B9CD067015 -:040FDD000793440D25 -:040FDE00B1ED0680EB -:040FDF00F9B78713C4 -:040FE000E063468DF7 -:040FE10066DD08E6DB -:040FE2008693070AE1 -:040FE30097369C069B -:040FE4008702431825 -:040FE500859365DDAE -:040FE600C23E90C5B2 -:040FE700851367E522 -:040FE8004645AA07C9 -:040FE9005EE020EFB7 -:040FEA00E0EF4505EA -:040FEB004537C88F2F -:040FEC000513000FDA -:040FED00C0EF240528 -:040FEE004792948F03 -:040FEF000407D763B9 -:040FF00004805563C1 -:040FF1006565665D6F -:040FF20098860613C4 -:040FF300051345C5D8 -:040FF40010EFA8C58D -:040FF500147D3BD05C -:040FF60065DDB57987 -:040FF7008C4585930D -:040FF80065DDBF6D87 -:040FF9008D4585930A -:040FFA0065DDBF4DA5 -:040FFB008E858593C7 -:040FFC0065DDB76D8B -:040FFD008B05859348 -:040FFE0065DDB74DA9 -:040FFF0086C585938B -:0410000065DDBF6982 -:041001008FC585937F -:04100200D0EFBF4923 -:041003002083AD3F5A -:04100400240324C1DC -:04100500248324819B -:04100600557D2441AF -:0410070025010113AB -:0410080001138082CE -:041009000828DDC115 -:04100A00221120236C -:04100B0020812E23EF -:04100C0020912C23E0 -:04100D00D00FF0EF21 -:04100E00C0EFC02A45 -:04100F004502B39F44 -:041010006465E931F9 -:04101100464565DD0E -:041012009EC585935F -:04101300AA04051313 -:04101400D76FC0EFE3 -:04101500E0EF4509BA -:04101600C222BDCF66 -:0410170004136465F5 -:0410180017B7AB4417 -:04101900A7830002A7 -:04101A0057030D0764 -:04101B0007C2000404 -:04101C00046383C125 -:04101D00570304F77A -:04101E001A6300242D -:04101F00479202F7FB -:04102000464565DDFF -:04102100840585932A -:04102200AA07851381 -:04102300506020EF0A -:04102400068005132A -:04102500C0EFC02A2E -:041026002083ADDF97 -:04102700240322017B -:04102800450221C19B -:04102900218124837A -:04102A00224101134B -:04102B006509808251 -:04102C007105051332 -:04102D0084AFC0EFDD -:04102E004792B76DC1 -:04102F00464565DDF0 -:04103000AA07851373 -:041031009D05859301 -:041032004CA020EFBF -:04103300E0EF45099C -:041034000737B64F75 -:041035000793FFF02E -:04103600C0022007CD -:04103700C43E6485CA -:04103800941347A224 -:04103900462D0084BC -:04103A008425943E37 -:04103B00006885A222 -:04103C00BB2FF0EFE7 -:04103D000533C501B1 -:04103E00BF6940A0A6 -:04103F00859365D957 -:0410400000687A4585 -:04104100D0EFC0EF3D -:041042004703E10D72 -:04104300468501617C -:0410440006D7156353 -:04104500014147031B -:041046004683EB09E9 -:041047000713015139 -:041048000613058006 -:0410490086634DE08D -:04104A00849306E69F -:04104B00670910041D -:04104C00FAE498E347 -:04104D003E800513C9 -:04104E00FC7FB0EF84 -:04104F00453D458155 -:04105000EDDFD0EF11 -:04105100C70367E585 -:0410520067E503C784 -:04105300A8078793D0 -:04105400C50397BA7F -:0410550045810007CA -:04105600832367E5A4 -:04105700D0EF02A72D -:041058004792EBFFD1 -:04105900665D468208 -:04105A009DC6061316 -:04105B00851345C5EF -:04105C0010EFAA07E0 -:04105D00450521D054 -:04105E00FB45BF315E -:04105F000141470301 -:041060004683F74D7F -:04106100071301511F -:0410620090E30550C2 -:041063000613FAE690 -:0410640008340570D7 -:04106500852285A6B5 -:041066009D3FF0EFCB -:04106700EE051CE393 -:04106800078547822F -:04106900B751C03E7D -:04106A00F6810113F7 -:04106B00C922CB06C5 -:04106C001437C72648 -:04106D00B0EF0002DE -:04106E00C62AFB7F14 -:04106F0008040493DA -:04107000D0BC47C5E4 -:041071000E04202326 -:04107200000227B79A -:041073000007A623A9 -:041074000007A823A6 -:041075000007AA23A3 -:0410760085136789EE -:04107700B0EF71075E -:0410780066E5F21F18 -:0410790003868793D0 -:04107A001D1007132B -:04107B00D0B8C3988E -:04107C00D0EF45016B -:04107D001537E0EF54 -:04107E000513000353 -:04107F00B0EFD405F5 -:041080002637F01F00 -:0410810005B70006A9 -:041082000613019CB4 -:041083008593A806A3 -:041084000513CC057F -:04108500C0EF0404B0 -:0410860067E5A84F23 -:041087000387871341 -:04108800431887BAC8 -:041089007713455143 -:04108A00C398F9F717 -:04108B00B0EFD0B83A -:04108C0045D1ED1F3E -:04108D0003800513C4 -:04108E00D3EFD0EFDD -:04108F00051345D12F -:04109000D0EF03900A -:0410910045D1D34F23 -:04109200D0EF455105 -:0410930045D1D2CFA2 -:041094000710051329 -:04109500D22FD0EF97 -:04109600051345D128 -:04109700D0EF05E0B1 -:0410980045D1D18FDE -:0410990006D0051365 -:04109A00D0EFD0EFD4 -:04109B00453145D1C5 -:04109C00D06FD0EF52 -:04109D003200059385 -:04109E00D0EF450545 -:04109F0045D1CFCF99 -:0410A000D0EF45192F -:0410A1000593CF4F95 -:0410A20045093200CA -:0410A300CEAFD0EF0D -:0410A400879367E5E2 -:0410A50043980387E2 -:0410A6004581460139 -:0410A70004076713C0 -:0410A800D0B8C39861 -:0410A9000404051323 -:0410AA00A56FC0EF7F -:0410AB00B0EF452934 -:0410AC0045E1E51F16 -:0410AD00C0EF450546 -:0410AE0045E19D9FDC -:0410AF00C0EF450940 -:0410B00045E19D1F5A -:0410B100C0EF450D3A -:0410B200C0EF9C9F50 -:0410B30066E5CFFF20 -:0410B4000386871315 -:0410B500C83A47E10D -:0410B60058F51B636B -:0410B700C0EF450140 -:0410B80007939F1FDC -:0410B9000B630FF0C6 -:0410BA0087B75AF5A5 -:0410BB0087931A807D -:0410BC00D8BE08078B -:0410BD008793678925 -:0410BE001A23A1A7A9 -:0410BF0047A106F14E -:0410C00006F10B2307 -:0410C100C9EFD0EFB4 -:0410C200C0EF4569CD -:0410C30075939C5F26 -:0410C400E5930F554C -:0410C500456900A5D4 -:0410C600A01FC0EFB8 -:0410C7000513458543 -:0410C800C0EF035022 -:0410C900655D9F7F43 -:0410CA00774505134E -:0410CB00B53FC0EF7E -:0410CC00C0EF45012B -:0410CD004599C41F5E -:0410CE0003D0051333 -:0410CF009DDFC0EFF2 -:0410D0000440059340 -:0410D100C0EF4545E2 -:0410D20045859D3F74 -:0410D300C0EF4549DC -:0410D40045819CBFF7 -:0410D500C0EF454DD6 -:0410D60018889C3F9B -:0410D7009FFFC0EFC8 -:0410D800C0EF450917 -:0410D9007513829F6A -:0410DA0047CD0FF5FA -:0410DB0052F51A634D -:0410DC00849364E5B0 -:0410DD00C583A084A3 -:0410DE004515002490 -:0410DF00851FC0EFBA -:0410E0000024C7839E -:0410E10003D00593A0 -:0410E200839D451194 -:0410E3000027971338 -:0410E40000C4C783FA -:0410E5008FD99BED17 -:0410E60000F4862369 -:0410E700831FC0EFB4 -:0410E8003E8005132E -:0410E900D5BFB0EFD0 -:0410EA00451145F572 -:0410EB00821FC0EFB1 -:0410EC000300059365 -:0410ED0006100513D1 -:0410EE00815FC0EF6F -:0410EF000B2005933A -:0410F000C0EF4525E3 -:0410F100059380BF24 -:0410F20045290F80FD -:0410F300801FC0EFAB -:0410F40003700593ED -:0410F500C0EF452DD6 -:0410F6004581FF6FC2 -:0410F700C0EF453DC4 -:0410F8004581FEEF41 -:0410F9000C9005133F -:0410FA00FE4FC0EFF6 -:0410FB000513458113 -:0410FC00C0EF0CA095 -:0410FD004581FDAF7D -:0410FE000CB005131A -:0410FF00FD0FC0EF32 -:04110000051345810D -:04110100C0EF0CC06F -:041102004581FC6FB8 -:041103000CD00513F4 -:04110400FBCFC0EF6E -:041105000513458108 -:04110600C0EF0CE04A -:041107004581FB2FF4 -:041108000CF00513CF -:04110900FA8FC0EFAA -:04110A000513458103 -:04110B00C0EF0D0024 -:04110C00C583F9EFAF -:04110D000513004482 -:04110E00C0EF0E1010 -:04110F004601F92F6D -:0411100004A005939F -:0411110004040513BA -:0411120087CFC0EFD4 -:0411130045954601B7 -:0411140004040513B7 -:041115008AAFC0EFEE -:0411160005934605F2 -:04111700051304A018 -:04111800C0EF04041C -:041119004585862F53 -:04111A0004040513B1 -:04111B00878FC0EF0B -:04111C0008600793CD -:04111D0006F512635E -:04111E0007500593DE -:04111F0007000513AD -:04112000FCEFC0EF31 -:041121000900059329 -:0411220002000513AF -:04112300FC2FC0EFEE -:0411240005134581E9 -:04112500C0EF021005 -:041126004581FB8F75 -:04112700022005138A -:04112800FAEFC0EF2B -:041129000513458DD8 -:04112A00C0EF0230E0 -:04112B004581FA4FB1 -:04112C000280051325 -:04112D00F9AFC0EF67 -:04112E000B0005931A -:04112F00071005138D -:04113000F8EFC0EF25 -:04113100070005931B -:04113200070005139A -:04113300F82FC0EFE2 -:04113400470567E51F -:0411350002E7822328 -:04113600A78367E53F -:0411370067659A4707 -:0411380000F72C236D -:041139003C0780638C -:04113A00BEEFD0EF45 -:04113B00079363654E -:04113C0065E1A18345 -:04113D0002278513ED -:04113E000460061330 -:04113F008A85859385 -:041140008C6FC0EF01 -:04114100676166E597 -:041142008707059383 -:04114300AB4685131F -:04114400036006132B -:041145008B2FC0EF3D -:04114600453D45815D -:04114700B01FD0EF16 -:04114800C50364E592 -:041149004581026476 -:04114A00D0EF646519 -:04114B0045B7AF3FB6 -:04114C008593000285 -:04114D0041B0380570 -:04114E000513757997 -:04114F008E697FF531 -:0411500041B0C1B039 -:04115100157D75692A -:04115200C1B08E6931 -:0411530067E541B05B -:04115400A187879355 -:04115500F1F6761326 -:041156000606661310 -:0411570041B0C1B032 -:041158008FF6761385 -:0411590030066613E3 -:04115A006665C1B055 -:04115B009D064503A5 -:04115C0000A032B30A -:04115D00997941A893 -:04115E0000556533A0 -:04115F004503C1A8DB -:04116000890D9D1444 -:0411610000351293B0 -:04116200991D41A8EA -:04116300005565339B -:0411640041A8C1A835 -:0411650012FD72A164 -:041166000055753388 -:04116700653362A1E9 -:04116800C1A80055C5 -:04116900450145896E -:04116A0000B78AA39D -:04116B00ED1FC0EFC5 -:04116C0017B76365E9 -:04116D000713000262 -:04116E00A583A18331 -:04116F00C43A0D076A -:04117000CE3A6761AB -:041171008713666515 -:04117200D23A026407 -:041173009D060713BB -:041174000713C4BADF -:04117500C6BA9D1445 -:04117600071367658F -:04117700CA3A0287E7 -:04117800071367658D -:04117900D43A00C79D -:04117A0007B76765E7 -:04117B0066E5000223 -:04117C00010707134D -:04117D00DE368FEDDE -:04117E009263D63A68 -:04117F0066E114070A -:04118000804687130B -:04118100CC3A44819F -:0411820065DD64655E -:0411830085934645C5 -:041184000513A0852A -:04118500D03EA8C4EC -:0411860077B010EF3F -:04118700969347E212 -:041188006565002475 -:04118900428C96BE40 -:04118A0005134645BE -:04118B0010EFAA05B2 -:04118C00463776501C -:04118D0026830002B3 -:04118E0045053C06D1 -:04118F000046E6939D -:041190003CD6202306 -:04119100DEFFD0EFBE -:0411920046815782B9 -:041193000002163709 -:041194000D0625031C -:04119500177D67411A -:0411960000E575B348 -:041197000337475281 -:04119800C30C000381 -:041199000D06260316 -:04119A00461357227F -:04119B007633FFF6B2 -:04119C00C310006616 -:04119D008763C98516 -:04119E0067E502F50A -:04119F00AB47879340 -:0411A000001496138E -:0411A100EAAD97B26A -:0411A200902365DD54 -:0411A300464500A716 -:0411A400A105859389 -:0411A500A8C40513C2 -:0411A6006FB010EF27 -:0411A700D0EF45053B -:0411A8004685D95F40 -:0411A900A30357A2A3 -:0411AA0057B2000731 -:0411AB00E39D439CE1 -:0411AC00116367C1A3 -:0411AD00E0BD02F3AC -:0411AE00061347F2EB -:0411AF00D01A0360EF -:0411B0008707859395 -:0411B10044ED57F2C0 -:0411B200AB478513AF -:0411B300EFBFB0EFEB -:0411B4004689530213 -:0411B50047D2573294 -:0411B60020234609A3 -:0411B700D8360067BF -:0411B8008063439C71 -:0411B900650904C6FA -:0411BA0071050513A3 -:0411BB00B0EFD03E83 -:0411BC005782A11F96 -:0411BD00BF9956C2BE -:0411BE000007D783CC -:0411BF0083E34689F7 -:0411C00065DDFAF5FA -:0411C1008593464587 -:0411C2000513A185EB -:0411C30010EFA8C4BD -:0411C4004505685025 -:0411C500D1FFD0EF97 -:0411C600B76946813E -:0411C700468914F948 -:0411C8000485BF5586 -:0411C900D1E346E93F -:0411CA00453DEE961B -:0411CB00E56FD0EF0D -:0411CC00000247379F -:0411CD003C07278331 -:0411CE0020239BED52 -:0411CF0047B23CF7F0 -:0411D000665D64E50F -:0411D10000A7D5138B -:0411D20012C00793AD -:0411D30002F507B367 -:0411D40005A0071358 -:0411D5000613468136 -:0411D60045C5A286E3 -:0411D700AEC485130A -:0411D800C2BE6465CA -:0411D90042A010EF31 -:0411DA00464565DD44 -:0411DB00B105859342 -:0411DC00B004051343 -:0411DD00E53FB0EF4B -:0411DE00D0EF450504 -:0411DF00A537F01F21 -:0411E00005130007EC -:0411E100B0EF120554 -:0411E200B0EF979F34 -:0411E3004799999FF0 -:0411E400CE02D03E29 -:0411E500D802CC025E -:0411E600CAA2C8A62B -:0411E700000217B734 -:0411E8000D07A783C5 -:0411E900674146D242 -:0411EA008F7D177D61 -:0411EB00C693C2984D -:0411EC000737FFF7CB -:0411ED008F750003F7 -:0411EE00D61356A21C -:0411EF0087B201873B -:0411F00066E5C29856 -:0411F10002C6869319 -:0411F2001679CA1987 -:0411F3000FF676136A -:0411F400F663458DCC -:0411F500C60300C568 -:0411F6001563000677 -:0411F700465200F666 -:0411F80000062023AA -:0411F90000F6802359 -:0411FA00439C57B209 -:0411FB0057B2EFD523 -:0411FC006465C398CB -:0411FD0000B4041323 -:0411FE00000447831F -:0411FF000E078663EE -:04120000C78347A2B7 -:0412010081630207FC -:0412020047A20E07EA -:0412030047036765D1 -:04120400C78301E7B4 -:041205008FD900F786 -:041206000FF7F79354 -:04120700B0EFE7F16C -:041208004796929FD4 -:041209000CF562631B -:04120A00079347728D -:04120B00ED63063059 -:04120C0046830AE724 -:04120D00478500040D -:04120E0087634705A6 -:04120F00578200F60C -:04121000FFA787139A -:0412110000E037338F -:0412120046A147A208 -:041213000207C78384 -:04121400F79317FD38 -:04121500E7630FF785 -:0412160066DD06F695 -:04121700B2C6869342 -:0412180097B6078AF4 -:0412190067E54394AE -:04121A0003C78793EC -:04121B0056F9868278 -:04121C006565665D41 -:04121D00A3C606134B -:04121E00051345C5AA -:04121F0010EFAEC559 -:0412200065D931005B -:041221004645656574 -:0412220073C5859378 -:04122300B0050513FA -:04122400503010EF47 -:04122500D0EF4505BC -:04122600A001DE5FE6 -:04122700BFC956F5F0 -:04122800B7F956F1CB -:04122900B7E956FDCE -:04122A00C39857B25C -:04122B00A02357A203 -:04122C00B78100077F -:04122D0047036765A7 -:04122E0037330097BB -:04122F00070900E0CB -:0412300000E7802330 -:04123100570247F227 -:04123200F7930785A2 -:04123300CE3E0FF7A5 -:04123400076347996C -:04123500079300F724 -:04123600F793001713 -:04123700D03E0FF79F -:04123800843FB0EF50 -:04123900CC3E4785DB -:04123A00067107939F -:04123B00D8BE646550 -:04123C00B30407935D -:04123D00019787137B -:04123E0065DDDABAD6 -:04123F0001A7871369 -:04124000461DDCBAB1 -:0412410001B7871357 -:04124200B245859399 -:0412430010A807F1F7 -:04124400C13EDEBA0F -:0412450003A3C33EFE -:04124600B0EF0601FE -:0412470047A2CADF11 -:04124800B304069352 -:04124900C783C6365B -:04124A0046850207CC -:04124B00DA36472523 -:04124C0000E78663CE -:04124D00F793078587 -:04124E00DA3E0FF77E -:04124F00468147D2BB -:04125000439045E999 -:04125100879357F236 -:04125200D703AB47CC -:041253001A63000713 -:0412540047E904E67C -:0412550054D7EC631B -:041256009793675DA6 -:041257000713002653 -:0412580097BAB50785 -:041259008782439CA9 -:04125A00E7194685C5 -:04125B0046836765FA -:04125C00B6939C07A2 -:04125D0006910016E0 -:04125E0000D7802312 -:04125F004699B7A154 -:04126000C683DF65FD -:04126100BFCD0007F6 -:04126200BF1D471D48 -:041263004703676571 -:04126400373300A775 -:04126500072100E07D -:041266004699B725C9 -:041267004685FF7148 -:041268000789BFE152 -:0412690028B685E33B -:04126A00B7450685F9 -:04126B00474247852A -:04126C00431846C21B -:04126D00100747130C -:04126E005722C298A9 -:04126F00431866C1F9 -:04127000C2918EF9A0 -:0412710006B757D293 -:041272008F75000272 -:041273004732CF111E -:041274004681460564 -:041275000007470324 -:0412760000E66563C6 -:04127700769307055E -:0412780047320FF7F3 -:0412790000D7002377 -:04127A004729646537 -:04127B000413DA027C -:04127C00866303C4BE -:04127D00002300E763 -:04127E00478500F4AC -:04127F0047C2DA3E4A -:04128000F713439C81 -:0412810047C2FF372A -:0412820067E5C398C1 -:0412830001E7C68336 -:0412840001E7879364 -:04128500EA81DC3EE0 -:04128600C78367E5CE -:04128700078A01C70A -:0412880047428FD971 -:0412890047C2C31C79 -:04128A0017B74398B7 -:04128B00A02300029A -:04128C0057E20EE730 -:04128D000007C7830C -:04128E004501C781CE -:04128F00DD8FE0EF20 -:04129000470347A227 -:04129100C78300040B -:041292008463020768 -:0412930057D200E747 -:0412940047E2E799AD -:0412950047A2CF8518 -:0412960000F7C78313 -:0412970067E5CB85B7 -:041298000257C783AF -:04129900C39DCC3EE7 -:04129A00879367E5EA -:04129B0097BAA8074F -:04129C000007C5037F -:04129D00CC02579296 -:04129E000007C783FB -:04129F0000A78863B9 -:0412A000458157929B -:0412A10000A78023FF -:0412A200D94FD0EF61 -:0412A3004783472214 -:0412A4006465000479 -:0412A50002074703F2 -:0412A60003E4041346 -:0412A70000F71F63CA -:0412A8000004468375 -:0412A9007763470917 -:0412AA0047321ED7D2 -:0412AB00034746832C -:0412AC00470347228B -:0412AD008F630567DF -:0412AE0064E51CE6F1 -:0412AF0003D486934B -:0412B00002048EA303 -:0412B1000004002312 -:0412B200C0B6470D6E -:0412B30018F778E3CD -:0412B4004605473272 -:0412B5000347468322 -:0412B60014C69EE3D9 -:0412B700FFA78613F4 -:0412B8000FF67613A4 -:0412B900F463448D09 -:0412BA00106F00C6EB -:0412BB00861309602D -:0412BC007593FFF730 -:0412BD0046210FF6C1 -:0412BE0002B66163B0 -:0412BF000613665D4F -:0412C000962E73C62D -:0412C10000064703D9 -:0412C200D83A4619B7 -:0412C30016C788E3DF -:0412C40014F66AE3CF -:0412C5008FE3460568 -:0412C600472214C7E0 -:0412C7000023CCB67E -:0412C80007A302F77F -:0412C900C0EF00076B -:0412CA0046E6CA2FFB -:0412CB007593478947 -:0412CC0099E30D8510 -:0412CD00E59314F69B -:0412CE0045050015BD -:0412CF00C0EFCCAEF2 -:0412D00045E6950F4B -:0412D100C0EF45091C -:0412D20045E6948FCA -:0412D300C0EF450D16 -:0412D400C0EF940FC4 -:0412D500C0EFC51F82 -:0412D60067E58B2F0E -:0412D7000247C78380 -:0412D8004585C38500 -:0412D900009595B334 -:0412DA000405E5938F -:0412DB000FF5F59383 -:0412DC00CCAE451936 -:0412DD008DAFC0EF22 -:0412DE00451D45E67F -:0412DF008D2FC0EFA0 -:0412E0004483478676 -:0412E100458100043F -:0412E2000007C70337 -:0412E300E6634789EE -:0412E4009593009747 -:0412E50005E20064BA -:0412E600179385E1F4 -:0412E7001693004713 -:0412E8008FD5002777 -:0412E9008DDD8FD92F -:0412EA000FF5F59374 -:0412EB00C0EF4565A6 -:0412EC00454196AF33 -:0412ED0091AFC0EF0E -:0412EE00478D57428F -:0412EF000F8575935F -:0412F00000F714638C -:0412F1000055E5932C -:0412F200C0EF4541C3 -:0412F300478994EFA4 -:0412F40005B00593A9 -:0412F5000097F96302 -:0412F6000593479184 -:0412F700F463052077 -:0412F80005930097C3 -:0412F900453905303E -:0412FA00930FC0EF9F -:0412FB003E80051319 -:0412FC00D0EFB0EF90 -:0412FD00C0EF4551A8 -:0412FE0057428D8F37 -:0412FF0045C1478D11 -:0413000000F703638C -:04130100456145817C -:04130200910FC0EF98 -:041303000513458108 -:04130400C0EF0360D3 -:0413050047A2906FFC -:04130600FFFC0737AA -:04130700A42356C203 -:0413080047C20007D1 -:04130900439C177D6D -:04130A0047058FF90B -:04130B0006E684E38B -:04130C0046454742C9 -:04130D0047C2C31CF4 -:04130E0017B7439832 -:04130F00A023000215 -:0413100047A20EE7FB -:041311000207C78385 -:041312000027971306 -:04131300879367DD78 -:0413140097BA748789 -:0413150047C6438CF8 -:04131600AEC78513C6 -:04131700137010EF50 -:0413180065DD47D672 -:0413190085134645AD -:04131A008593B00700 -:04131B0010EFB045DA -:04131C004505125021 -:04131D00A07FD0EFEE -:04131E00CF8957D24A -:04131F00C70367E5B4 -:0413200047A9014791 -:0413210000F7156359 -:04132200D0EF453D86 -:04132300B0EF8F8F09 -:04132400CE02C94FDD -:04132500C70347B201 -:0413260047A20237A1 -:041327000457C7831D -:0413280002F707635E -:04132900468147A210 -:04132A00C5034601B0 -:04132B0045810157A0 -:04132C00EA2FC0EFF5 -:04132D00C50347B2FB -:04132E00C0EF0237D3 -:04132F0047B2FC2F96 -:04133000C783472206 -:041331002423023738 -:0413320002A300070B -:0413330047B204F7C2 -:041334000237C58334 -:041335008A634789F7 -:0413360047B202F5C3 -:041337000247C603A0 -:04133800C78347A27E -:041339008263046760 -:04133A0047A202C7FD -:04133B000157C5038E -:04133C004589C1918D -:04133D00C68347A27A -:04133E00C0EF0137C4 -:04133F0047B2E58F3D -:04134000C7834722F6 -:041341000323024739 -:0413420047B204F7B3 -:04134300C7834722F3 -:041344004703034711 -:0413450005630567D0 -:04134600472200F743 -:0413470004F70B2379 -:0413480046B747A6B7 -:04134900C7030002D4 -:04134A0047B600079B -:04134B0000E0363355 -:04134C003C06A703B1 -:04134D000007C7834B -:04134E0018638B0590 -:04134F00A68300E68B -:04135000828D3C0648 -:041351008C638A8D92 -:0413520046B702F6A2 -:04135300869300027B -:0413540042B838065D -:04135500078E8B8DE7 -:041356008F519B799F -:0413570042B8C2B81E -:041358008FD99B1D71 -:0413590057E2C2BCD9 -:04135A000007C7833E -:04135B0047D2CB8921 -:04135C000007A023C3 -:04135D00D69FC0EF68 -:04135E00E0EF450572 -:04135F0047A2A9AF49 -:041360000207C78336 -:0413610058078EE3B8 -:04136200000444033C -:04136300879367E124 -:0413640027376A07B6 -:04136500431400022B -:041366000006C46356 -:04136700FFE517FD8A -:04136800B0EF45514C -:041369004791F2DFD7 -:04136A006E87FB632C -:04136B000885751369 -:04136C00F7850513E9 -:04136D0000153493A0 -:04136E00C78347A248 -:04136F00C78100F73B -:04137000000227B799 -:041371000513439C81 -:04137200B0EF037065 -:041373000513F05F0F -:04137400B0EF038053 -:0413750027B7EFDFC8 -:04137600A3030002CB -:0413770081190007D1 -:04137800731389055D -:04137900DC1A7FF308 -:04137A00802D4380FF -:04137B004793880507 -:04137C00DA3E001441 -:04137D0086A347A25A -:04137E00051300A7AC -:04137F00B0EF039038 -:041380000513ED1F45 -:04138100B0EF03A026 -:0413820027B7EC9FFE -:0413830043D400024D -:0413840047A25362C7 -:04138500573306B222 -:04138600C403008319 -:0413870082B100F738 -:04138800D73367E50B -:04138900879302E65E -:04138A0016E30087DF -:04138B0086E34404AD -:04138C00860346048A -:04138D004589000787 -:04138E0068C5D463F7 -:04138F00802346224F -:041390004785000786 -:0413910000F607A3B8 -:04139200ADB54411A0 -:04139300B68547894B -:04139400BEB1478D12 -:04139500BEA147911D -:04139600BE91479528 -:04139700BE81479933 -:04139800B6B1479D06 -:04139900B6A147A111 -:04139A00B69147A51C -:04139B000713676568 -:04139C00468301E79C -:04139D0046370007C8 -:04139E00B7930002FF -:04139F000023001611 -:0413A000971300F7A8 -:0413A1002783002777 -:0413A2009BED3C067D -:0413A30020238FD99B -:0413A40057923CF62A -:0413A5000007C70373 -:0413A60083A367E5D1 -:0413A700906302E766 -:0413A800C0EF380654 -:0413A9004505C3BF74 -:0413AA0096CFE0EF0B -:0413AB00B60147A997 -:0413AC00000227B75D -:0413AD00F71343846B -:0413AE00C0BA7FF44E -:0413AF004703676524 -:0413B00043DC01E732 -:0413B10007B2F76523 -:0413B200061383B1EA -:0413B30045813C0034 -:0413B40000024537B7 -:0413B500B0EFDC3E7B -:0413B6005792F2CF89 -:0413B700C5034585A0 -:0413B800D0EF00076B -:0413B900665D93AF2B -:0413BA00A4C60613AC -:0413BB00453745C1AC -:0413BC0000EF00023C -:0413BD00579249D02A -:0413BE0045376765E3 -:0413BF00C6830002DF -:0413C0004783000758 -:0413C1000713B20755 -:0413C200E781B20706 -:0413C300071367594C -:0413C40066597AC725 -:0413C5007B4606134A -:0413C600054145C1D7 -:0413C700473000EFBC -:0413C800C78347A2EE -:0413C900C3E900F77D -:0413CA0000024437A2 -:0413CB000613665D42 -:0413CC0045C1A586EC -:0413CD0002040513FE -:0413CE00457000EF77 -:0413CF0046F147A2FA -:0413D0008783665950 -:0413D100061300E718 -:0413D20045C17B8610 -:0413D30002D787B303 -:0413D400869366E5B1 -:0413D5000513B786BF -:0413D60096BE0304B8 -:0413D700433000EFB0 -:0413D8000613665D35 -:0413D90045C1A686DE -:0413DA0004040513EF -:0413DB00423000EFAD -:0413DC0000B4D793EF -:0413DD008B85D0A686 -:0413DE0005040513EA -:0413DF00069007135A -:0413E0000713E39973 -:0413E10007B7070043 -:0413E2008CFD00037B -:0413E30002A00793CA -:0413E4000793E099F2 -:0413E500566202004A -:0413E600A0EEC6B7F8 -:0413E700B006869333 -:0413E80002C6D6B3B0 -:0413E90006400613A1 -:0413EA000002443782 -:0413EB0002C6F5B38E -:0413EC0002C6D6B3AC -:0413ED00C22E665D49 -:0413EE00A7860613B5 -:0413EF00C03645C1FE -:0413F00000EF46863E -:0413F100665D3CD029 -:0413F200A90606132F -:0413F300051345C1D8 -:0413F40000EF0604FC -:0413F50056E23BD0B1 -:0413F6000613665D17 -:0413F70045C1AA063C -:0413F80007040513CE -:0413F9003AB000EF17 -:0413FA000002443772 -:0413FB000613665D12 -:0413FC0045C1AA46F7 -:0413FD0008040513C8 -:0413FE00397000EF53 -:0413FF000713665D0D -:04140000468105A07C -:04140100AB0606131D -:04140200051345C1C8 -:0414030000EF0904E9 -:041404000793381002 -:0414050043B83804AC -:041406000027671341 -:041407002623C3B81D -:04140800477D3C04DC -:04140900C7B8C3F8A5 -:04140A0047C2B551CF -:04140B00439C474275 -:04140C000107C7937A -:04140D00BD9DC31CA2 -:04140E00468547B216 -:04140F00C783470147 -:04141000E563000789 -:04141100078500F655 -:041412000FF7F713C6 -:04141300802347B239 -:0414140067E500E7A1 -:0414150001E7C783A1 -:041416006461E7B175 -:041417004F04041367 -:041418004641400CFD -:041419000002453751 -:04141A0052A010EFDD -:04141B00C70347B20A -:04141C00481C000761 -:04141D0097BA070A69 -:04141E004641438C74 -:04141F00000244374C -:0414200002040513AA -:0414210050E010EF98 -:041422003C042783DC -:041423000027E79324 -:041424003CF4202351 -:041425003C0426233A -:041426002223478DA9 -:0414270024233CF44A -:04142800B5293C04A2 -:04142900C78367E529 -:04142A00971301F71C -:04142B0067E500373A -:04142C008607879315 -:04142D00676197BAA2 -:04142E00E7070713B2 -:04142F0097E3439C60 -:04143000C0EFDEE744 -:04143100B3DDA1BFC7 -:04143200468547B2F2 -:04143300C783470123 -:04143400E563001755 -:04143500078500F631 -:041436000FF7F713A2 -:0414370080A347B295 -:0414380067E500E77D -:0414390001E7C7837D -:04143A006461FFD515 -:04143B004F04041343 -:04143C0008C4258338 -:04143D0045374641A8 -:04143E0010EF0002A9 -:04143F0047B24980E7 -:041440000017C703C7 -:0414410009C4278330 -:0414420047B2B7B541 -:04144300C7834759BB -:04144400906300674A -:04144500C78104E671 -:04144600F79317FD04 -:0414470047320FF722 -:0414480000F7032383 -:04144900C78367E509 -:04144A00FFAD01E70A -:04144B0004136461C1 -:04144C00484C4F04B5 -:04144D004537464198 -:04144E0010EF000299 -:04144F00473245805B -:041450004503505CA4 -:041451009782006717 -:04145200464165E5C5 -:04145300AA058593CE -:0414540046B9B735A9 -:04145500F363873E78 -:04145600473900F61C -:04145700070547B28C -:0414580000E7832303 -:0414590065DDB7C1D5 -:04145A004645656539 -:04145B00ABC5859305 -:04145C00A8C5051307 -:04145D0041E010EF6B -:04145E0065DD64657F -:04145F0085934645E6 -:041460000513ACC5FF -:0414610010EFAA04DA -:04146200473740C008 -:0414630027830002D9 -:0414640045053C07F7 -:04146500E79364E5C0 -:0414660020230047F8 -:04146700D0EF3CF78F -:041468008493A94F71 -:0414690017B7B78476 -:04146A00A7030002D2 -:04146B0067C10D0741 -:04146C008F7D17FD5C -:04146D00879367E515 -:04146E004681AB47C1 -:04146F00D583466D6E -:041470000663000708 -:04147100068500B735 -:041472009AE3078969 -:0414730047A2FEC6C8 -:0414740087834771B2 -:0414750087B300E752 -:04147600471502E72D -:041477004BDC97A60D -:04147800646383F531 -:04147900471102F71E -:04147A0006D74263EC -:04147B00973E01781F -:04147C00FDC747035E -:04147D0040D75733CA -:04147E00C7158B05FE -:04147F000178078A5F -:04148000A78397BAED -:041481008023FE477F -:0414820067E500D743 -:0414830000078F23AC -:0414840000024737E4 -:041485003C07278376 -:0414860020239BED97 -:0414870045013CF7E8 -:04148800C5AFD0EF2D -:04148900665DB1618A -:04148A0006130685BA -:04148B0045C5AD8620 -:04148C00AA04051396 -:04148D0015B000EFA7 -:04148E00D0EF450551 -:04148F00A5379F8F4F -:041490000513000739 -:04149100A0EF1205B1 -:04149200B7C1EB9F54 -:041493008EE347B1EC -:041494006509FAF6F6 -:0414950071050513C5 -:04149600EA7FA0EF5A -:0414970047A2B7A908 -:0414980064654671D0 -:0414990000E787835E -:04149A00B7840713F9 -:04149B00B7840413FB -:04149C0002C78633CA -:04149D0046659732D7 -:04149E000167470398 -:04149F0008C699637F -:0414A000C701467DBD -:0414A1007613177D2A -:0414A20046F10FF709 -:0414A30002D786B333 -:0414A40096A2676540 -:0414A50000C68B23CF -:0414A600092346854B -:0414A700676504D79A -:0414A80005374703BA -:0414A90000E79B635A -:0414AA0087B347714C -:0414AB0097A202E71B -:0414AC000167C7030A -:0414AD00952367E537 -:0414AE0067E504E703 -:0414AF0001E7C78307 -:0414B00067E1EFA958 -:0414B1000CC7A5833C -:0414B2004537464133 -:0414B30010EF000234 -:0414B40047A22C40DF -:0414B50006934771E2 -:0414B6008783465092 -:0414B700665900E78B -:0414B80078C60613D9 -:0414B90002E787B30C -:0414BA00943E45C552 -:0414BB0001644783FE -:0414BC00051364654B -:0414BD0087B3AA0443 -:0414BE00069302D7B8 -:0414BF00C6B306406A -:0414C00000EF02D760 -:0414C100464108D0C8 -:0414C200AA040593E0 -:0414C30046F9BB85A6 -:0414C400ECE346010E -:0414C5000705F6E63B -:0414C60067E5B7BD62 -:0414C70001F7C783DF -:0414C800003797133F -:0414C900879367E5B9 -:0414CA0097BA860740 -:0414CB00071367613B -:0414CC00B369E30716 -:0414CD00430144850E -:0414CE0065DD67E58C -:0414CF00A8C7851312 -:0414D0008593464575 -:0414D100DC1AAE85EE -:0414D20024A010EF53 -:0414D30046A9536271 -:0414D40006B347957F -:0414D500873602D381 -:0414D60000D7D36305 -:0414D70064654715EC -:0414D8000725665D21 -:0414D900AF860613C1 -:0414DA00051345C5EC -:0414DB00DC1AAA0469 -:0414DC0001F000EF2C -:0414DD00000247378B -:0414DE003C0727831D -:0414DF00E793450545 -:0414E000202300477E -:0414E100D0EF3CF715 -:0414E20017378ACF5F -:0414E300228300025E -:0414E40067410D0748 -:0414E500177D87A642 -:0414E600F4B35362A6 -:0414E700EF9900E297 -:0414E80067E5CC9157 -:0414E900AB47871373 -:0414EA00478146ED03 -:0414EB00000756039D -:0414EC0000C48D6348 -:0414ED00070907855F -:0414EE00FED79AE3A8 -:0414EF000513650973 -:0414F000DC1A71058C -:0414F100D3BFA0EFD6 -:0414F2004725B7C90A -:0414F30000E7886323 -:0414F4001363471126 -:0414F5004725000384 -:0414F60002E7DA63CC -:0414F70007854729F5 -:0414F80002E7E7B36D -:0414F90002E30333D4 -:0414FA00979A6765F1 -:0414FB0002F703A34E -:0414FC00EA7FC0EFD4 -:0414FD0066DDC901DE -:0414FE00A00686932B -:0414FF000613665911 -:04150000B5357B86FC -:04150100869366D98E -:04150200BFCD76469D -:04150300956347693C -:04150400431300E7A6 -:04150500B70D00130B -:0415060091E34731F5 -:04150700B3F5FAE757 -:04150800C70367E5C9 -:0415090057D201E7CD -:04150A00D807036398 -:04150B0047A9B441F7 -:04150C00D8AFF06FF5 -:04150D0078634715A3 -:04150E00470974F71E -:04150F0000E69863F7 -:04151000FFA7871397 -:041511000FF7771346 -:0415120072E67F639B -:0415130047094686B8 -:041514008023448567 -:04151500468900E61D -:04151600E96FF06F1A -:041517004685448D34 -:04151800E8EFF06F99 -:041519008D63461D7B -:04151A00460900C7B7 -:04151B0000C40023E5 -:04151C00EAAFF06FD3 -:04151D0000F40023B3 -:04151E00EA2FF06F51 -:04151F00B7FD460DC1 -:04152000B7ED4615C8 -:041521008DD50696C8 -:041522000FF5F59339 -:041523000045E59307 -:04152400EAAFF06FCB -:041525000004073780 -:04152600F06F8FD9FA -:041527004789F96F88 -:041528000FF57493B4 -:041529000087F863DC -:04152A000905751327 -:04152B00F7050513A8 -:04152C00905FF06F6D -:04152D000014D513BE -:04152E00001574939D -:04152F008FDFF06FEB -:041530008023060509 -:0415310047A200C706 -:0415320006136665D1 -:04153300439C03468C -:0415340002F3126349 -:0415350055D247A2A2 -:0415360000C7C783A0 -:0415370000B79C63FA -:0415380043DC47A2A7 -:04153900FCE78593B3 -:04153A0000B6E663AE -:04153B000327879368 -:04153C005AD7F8631F -:04153D000006002381 -:04153E00C58347B268 -:04153F0047A2019727 -:0415400003B7C60324 -:04154100849367E543 -:041542009763A18783 -:0415430047B20EC5D8 -:0415440001A7C583B3 -:04154500C60347A2F0 -:041546009F6303C7D5 -:0415470047B20CC5D6 -:0415480001B7C5839F -:04154900C60347A2EC -:04154A00976303D7C9 -:04154B0047B20CC5D2 -:04154C0001C7C5838B -:04154D00C60347A2E8 -:04154E009F6303E7AD -:04154F0047B20AC5D0 -:0415500001D7C58377 -:04155100C60347A2E4 -:04155200976303F7A1 -:0415530047B20AC5CC -:041554000147C58303 -:04155500C60347A2E0 -:041556009F63036725 -:0415570047B208C5CA -:041558000157C583EF -:04155900C60347A2DC -:04155A009763037719 -:04155B0047B208C5C6 -:04155C000167C583DB -:04155D00C60347A2D8 -:04155E009F630387FD -:04155F0047B206C5C4 -:041560000177C583C7 -:04156100C60347A2D4 -:0415620097630397F1 -:0415630047B206C5C0 -:041564000187C583B3 -:04156500C60347A2D0 -:041566009F6303A7D5 -:0415670067E504C56B -:04156800B3078793AB -:041569000277C583BD -:04156A000494C6031C -:04156B0004C59663BA -:04156C00879367E515 -:04156D00C583B30778 -:04156E00C603028727 -:04156F009D6304A4D0 -:0415700067E502C564 -:04157100B3078793A2 -:041572000337C583F3 -:041573000554C60352 -:0415740002C59463B5 -:04157500879367E50C -:04157600C583B3076F -:04157700C60303A7FD -:041578009B6305C4A8 -:0415790067E500C55D -:04157A00B307879399 -:04157B0003B7C5836A -:04157C0005D4C603C9 -:04157D0000C58963B9 -:04157E0086224589F3 -:04157F0000B47363DE -:041580007413460991 -:0415810047B20FF668 -:041582000257C583C4 -:04158300C60347A2B2 -:041584008A630477FB -:04158500860302C512 -:0415860045F100E744 -:0415870002B605B3F0 -:04158800061366657B -:04158900962EB7865D -:04158A0005934A106B -:04158B00821520D0D5 -:04158C007FF676135D -:04158D0000B6196328 -:04158E0086224589E3 -:04158F0000B47363CE -:041590007413460981 -:0415910047B20FF658 -:041592000267C583A4 -:04159300C60347A2A2 -:041594008A630487DB -:04159500860302C502 -:0415960045F100E734 -:0415970002B605B3E0 -:04159800061366656B -:04159900962EB7864D -:04159A0005934A105B -:04159B0082151C1089 -:04159C007FF676134D -:04159D0000B6196318 -:04159E0086224589D3 -:04159F0000B47363BE -:0415A0007413460971 -:0415A10047A20FF658 -:0415A2000002863786 -:0415A300C5834210AA -:0415A400826D02173B -:0415A50089638A3D8F -:0415A600458900C5AE -:0415A70073638622C2 -:0415A800460900B43C -:0415A9000FF67413B2 -:0415AA0045836665AA -:0415AB000793052677 -:0415AC00DC3E0526F6 -:0415AD008583C9C9A0 -:0415AE0043F100E421 -:0415AF0085B362E5B9 -:0415B0008513027528 -:0415B100C603B78234 -:0415B20043950164F8 -:0415B300498895AA24 -:0415B4000533897DF5 -:0415B500053302C533 -:0415B6000393027524 -:0415B70005130640D2 -:0415B800453303258F -:0415B900D383027561 -:0415BA00063300E50F -:0415BB00C38302766E -:0415BC0095320494CC -:0415BD008141054221 -:0415BE0000A49D23C5 -:0415BF0085634601F9 -:0415C0004D90000347 -:0415C1008A05820510 -:0415C200CEBA859A7E -:0415C300C09ACCB648 -:0415C400EA2FB0EF6B -:0415C50000E48603B5 -:0415C60067E545F19F -:0415C70002B606332F -:0415C800B7878293CC -:0415C9000164C58371 -:0415CA00C50392B211 -:0415CB00B0EF01621A -:0415CC008BA3867FE8 -:0415CD00430600A42D -:0415CE00477646E630 -:0415CF00E01186227F -:0415D0007413460545 -:0415D10057D20FF6E8 -:0415D200C0D4C49825 -:0415D30000F4862377 -:0415D400C70347B250 -:0415D500A023022429 -:0415D600C683006464 -:0415D7009D63000709 -:0415D800C6830EE6D2 -:0415D900C70300172D -:0415DA0097630234DD -:0415DB00C6830EE6CF -:0415DC00C70300271A -:0415DD0091630244D0 -:0415DE00C6830EE6CC -:0415DF00C703003707 -:0415E0009B630254B3 -:0415E100C6830CE6CB -:0415E200C7030067D4 -:0415E3009563028486 -:0415E40046150CE6B6 -:0415E50002A4859344 -:0415E60000878513E2 -:0415E7005A3000EF87 -:0415E80047B2ED45D4 -:0415E9008593461987 -:0415EA00851302F46F -:0415EB0000EF00D736 -:0415EC00E15D591054 -:0415ED00C70347B237 -:0415EE00C68302644A -:0415EF009D630047B1 -:0415F000C68308E6C0 -:0415F100C7030057D5 -:0415F2009763027485 -:0415F300C68308E6BD -:0415F400C7030077B2 -:0415F5009163029468 -:0415F60067E508E6B7 -:0415F700B30787931C -:0415F80001F7C683AE -:0415F9000414C7030C -:0415FA0006E6986306 -:0415FB00879367E586 -:0415FC00C683B307E8 -:0415FD00C703020717 -:0415FE009F630424BF -:0415FF0067E504E6B2 -:04160000B307879312 -:041601000217C68383 -:041602000434C703E2 -:0416030004E6966300 -:04160400879367E57C -:04160500C683B307DE -:04160600C7030227ED -:041607009D63044497 -:0416080067E502E6AA -:04160900B307879309 -:04160A0001E7C683AB -:04160B000404C70309 -:04160C0002E69463FB -:04160D00879367E573 -:04160E00C683B307D5 -:04160F00C7030367A3 -:041610009B6305844F -:0416110067E500E6A3 -:04161200B307879300 -:041613000357C68330 -:041614000574C7038F -:0416150000E6876301 -:04161600E011872236 -:0416170074134705FC -:0416180047B20FF7CF -:0416190004C4C7033B -:04161A0002A7C583DB -:04161B000E63DA2E52 -:04161C00454100B78D -:04161D00C5AFB0EFB6 -:04161E00891D55D2FB -:04161F008DC9058EDE -:041620000FF5F5933A -:04162100B0EF4541A0 -:0416220047B2C92FD3 -:0416230004D4C70321 -:0416240002B7C583C1 -:0416250000B70663A1 -:0416260003D00513D5 -:04162700C7CFB0EF8A -:04162800C70347B2FB -:04162900C58304E48D -:04162A00056302C78B -:04162B00454500B77A -:04162C00C68FB0EFC6 -:04162D00C68347B277 -:04162E00C583052447 -:04162F00C7030307E3 -:0416300096630317A3 -:04163100C68300B6B6 -:041632008B6305348D -:04163300454900E63F -:04163400B0EFC63A13 -:041635004732C46F05 -:0416360085BA454DDF -:04163700C3CFB0EF7E -:04163800879367E548 -:04163900C503B3072B -:04163A00C703029749 -:04163B00046304B48C -:04163C00470502A7B5 -:04163D0000A7786327 -:04163E004503676594 -:04163F00156103F737 -:041640000015351349 -:0416410005334761C5 -:04164200675D02E5F9 -:0416430077470713CB -:04164400B0EF953A34 -:0416450067E5D6CFB0 -:04164600B3078793CC -:0416470002F7C503DE -:041648000514C703BB -:0416490000A704638F -:04164A00F10FB0EFFD -:04164B00879367E535 -:04164C00C503B30718 -:04164D00C70302D7F6 -:04164E00046304F439 -:04164F00B0EF00A751 -:0416500067E5E34F18 -:04165100B3078793C1 -:0416520002E7C58363 -:041653000504C703C0 -:041654000F63C62E2C -:04165500456900B72C -:04165600B76FB0EFCB -:04165700751345B210 -:041658000592FCF506 -:04165900F5938DC9AF -:04165A0045690FF5DA -:04165B00BACFB0EF63 -:04165C00871367E5A4 -:04165D004703B30785 -:04165E00C68303C775 -:04165F00879305E484 -:04166000C583B30784 -:04166100966303D7B2 -:04166200C68300E655 -:041663008A6305F49D -:04166400058E00B639 -:04166500F5938DD993 -:0416660005130FF564 -:04166700B0EF02805E -:0416680067E5B7AFCC -:04166900B3078793A9 -:04166A0003E78713F8 -:04166B00461D853A59 -:04166C000604859358 -:04166D0038B000EFA2 -:04166E00871367E592 -:04166F00C501B6E714 -:04167000B0EF853A18 -:0416710067E5B98FE1 -:04167200B307871320 -:041673000377468330 -:041674000594C7030F -:0416750000E69A638E -:04167600B30787939C -:041677000387C6839C -:0416780005A4C703FB -:0416790002E685639D -:04167A00879367E506 -:04167B00C683B30768 -:04167C00C636023735 -:04167D00A14FB0EFDA -:04167E00051345818A -:04167F00B0EF0CE0DC -:0416800046B29CEFE3 -:04168100846347092E -:04168200B0EF00E6DF -:041683006765F3EFB5 -:0416840002474703CF -:0416850067E5C71539 -:04168600B30787938C -:041687000397C5837D -:0416880005B4C703DB -:0416890000B70E6335 -:04168A00058615D1EB -:04168B000FF5F593CF -:04168C00C62E45051C -:04168D00A1AFB0EF6A -:04168E00450945B213 -:04168F00A12FB0EFE8 -:04169000859367E5F2 -:041691000613B30782 -:041692008513046058 -:04169300A0EF02249E -:0416940057E2B79FC3 -:04169500802347095E -:041696000D630007D9 -:04169700471110E403 -:0416980006E40463FD -:041699001D63470581 -:04169A00C7830AE414 -:04169B00CBCD00F4BF -:04169C00BBBFA0EF41 -:04169D00E095A075BF -:04169E0000078603B8 -:04169F00596355F145 -:0416A000802300B6ED -:0416A10047A2000755 -:0416A200000787A313 -:0416A300BBCFF06F5A -:0416A4008023167D0C -:0416A500440100C735 -:0416A6008023B43DAC -:0416A700BFE5000794 -:0416A800000647836E -:0416A90089E3458507 -:0416AA000785A4B755 -:0416AB000FF7F793AB -:0416AC0000F6002321 -:0416AD00A4B792E369 -:0416AE0087A24609C0 -:0416AF0000C473639D -:0416B000F41347895F -:0416B100BC0D0FF766 -:0416B20000F4C70376 -:0416B3006765C3257F -:0416B400038707138E -:0416B5006409431C65 -:0416B60071040513A3 -:0416B7000027E7938E -:0416B8001737C31C01 -:0416B90020230002E8 -:0416BA00A0EF0EF798 -:0416BB0045C5E14FF1 -:0416BC00B0EF4559ED -:0416BD000513A26F00 -:0416BE00A0EF710424 -:0416BF004581E04F32 -:0416C000B0EF455DE5 -:0416C1000513A16FFD -:0416C200A0EF710420 -:0416C300C0EFDF4F46 -:0416C40067E5BD9F7A -:0416C500B30787934D -:0416C6000237C5031F -:0416C700961FB0EFCB -:0416C80012C0051334 -:0416C900DDAFA0EF02 -:0416CA00C75FE06FA7 -:0416CB000004A42350 -:0416CC00C73FB0EF75 -:0416CD000204C783C9 -:0416CE0046456565C3 -:0416CF000027971346 -:0416D000879367DDB8 -:0416D10097BA7487C9 -:0416D2000513438C2D -:0416D30000EFAEC5B1 -:0416D40065DD24505C -:0416D50046456565BC -:0416D600B045859303 -:0416D700B005051342 -:0416D800233000EFCC -:0416D900C0EF450514 -:0416DA00A0EFB15F6D -:0416DB00D002DB8FCF -:0416DC00B77DCE0206 -:0416DD0000F4C783CB -:0416DE00C0EFD7C5BD -:0416DF00B74DB6DF6E -:0416E00065E3471562 -:0416E10044898CF7B5 -:0416E200E06F4681EE -:0416E3000113F65F9A -:0416E400D6A6F7C1CE -:0416E500DA86C13EA2 -:0416E600D8A267E53A -:0416E700DEBADCB6D5 -:0416E800A047A483F0 -:0416E9000005DC63B9 -:0416EA0008B00793AA -:0416EB00557DC09CCD -:0416EC00544650D63A -:0416ED00011354B6DB -:0416EE0080820841AD -:0416EF0020800793BD -:0416F00000F11823CA -:0416F100CA2AC22A15 -:0416F2004781842E7A -:0416F3008793C1997F -:0416F400C63EFFF5FA -:0416F50018B4CC3E1B -:0416F600004C57FD50 -:0416F7001923852608 -:0416F800C03600F107 -:0416F90057FD2C5914 -:0416FA0000F555633F -:0416FB0008B0079399 -:0416FC00DC5DC09C55 -:0416FD00802347926D -:0416FE00BF5D0007C5 -:0416FF00A783C5C533 -:041700001141FFC5CF -:04170100C606C42232 -:04170200FFC5841388 -:041703000007D363A5 -:04170400C02A943E25 -:041705000BB000EF36 -:041706002783676569 -:04170700450205C7CB -:04170800EB91863AA1 -:041709000004222393 -:04170A0004872E23FF -:04170B0040B2442282 -:04170C00006F014128 -:04170D007F6309F0FD -:04170E00401400F48F -:04170F0000D40733C8 -:0417100000E79663F5 -:0417110043DC4398DA -:04171200C01897362E -:041713002E23C05C65 -:04171400BFE904869F -:0417150043DC873EEC -:041716007DE3C39913 -:041717004314FEF485 -:0417180000D70633BD -:0417190000861F63C4 -:04171A0096B2401033 -:04171B000633C314BA -:04171C009DE300D772 -:04171D004390FAC734 -:04171E0096B243DC60 -:04171F00C35CC314D0 -:041720007563B775C1 -:0417210047B100C408 -:04172200B74DC11CE2 -:0417230006B34010B9 -:04172400966300C404 -:04172500439400D712 -:0417260096B243DC58 -:04172700C05CC014CE -:04172800B769C3409A -:041729001141808268 -:04172A008493C226BC -:04172B0098F10035FC -:04172C00C422C60607 -:04172D00473104A19B -:04172E00FC6387AA27 -:04172F0044B104E4D9 -:0417300004B4EB63AF -:04173100C03E853EF3 -:04173200007000EF54 -:04173300268367653D -:04173400478205C71C -:0417350005C70613CB -:04173600E4318436E0 -:0417370004136465CE -:04173800401806044B -:04173900853EEB01FD -:04173A00C03E4581E7 -:04173B0079C000EF82 -:04173C00C008478218 -:04173D0085A6853EBA -:04173E0000EFC03EBA -:04173F00577D78E07A -:041740001663478263 -:04174100473106E541 -:04174200853EC39885 -:041743007C4000EFF7 -:04174400D7E3A0291E -:041745004731FA042A -:041746004501C398FE -:04174700442240B246 -:041748000141449285 -:041749004018808242 -:04174A004F638F0555 -:04174B0045AD02079F -:04174C0000E5F6635B -:04174D00943AC018F2 -:04174E00A029C0040A -:04174F009363405808 -:04175000C218028633 -:0417510000EF853EE2 -:04175200051378A063 -:04175300079300B444 -:041754009961004453 -:0417550040F5073321 -:04175600FCF502E3B9 -:041757008F89943AA8 -:04175800BF6DC01C85 -:04175900BFF9C2D83A -:04175A00404086A2E3 -:04175B000413B7BDFF -:04175C00987100354B -:04175D00FC8502E322 -:04175E0040A405B3EB -:04175F00C03E853EC5 -:04176000577D272169 -:0417610019E34782BF -:04176200BFB5FAE530 -:04176300C23211116C -:04176400C8264590BE -:04176500CA22CC06C2 -:04176600E46384AE06 -:0417670082AA08C684 -:0417680000C5D503E0 -:0417690048057713A5 -:04176A0048D0CB3D5B -:04176B004080470D66 -:04176C0002C7073376 -:04176D004609498C54 -:04176E0040B407B3C9 -:04176F004433C03E01 -:04177000861302C713 -:04177100963E00168A -:0417720000C47363D9 -:041773007513843234 -:04177400C636400530 -:041775008516C535DB -:04177600C41685A26E -:04177700ECBFF0EFE4 -:0417780046B242A291 -:04177900CD25473102 -:04177A00488C46024F -:04177B00C42AC63680 -:04177C00FD6FA0EF6E -:04177D0000C4D603CB -:04177E0046B243220A -:04177F00B7F6761330 -:0417800008066613DE -:0417810000C49623E7 -:04178200A8234782CF -:04178300C8C0006476 -:041784000733933E56 -:04178500A02340F469 -:04178600863600643F -:04178700F363C498AC -:04178800863600C6DB -:0417890040884592BD -:04178A00A0EFC032DA -:04178B004498FB2F54 -:04178C0045014602CB -:04178D00C4988F115C -:04178E00963A4098AF -:04178F00A80DC09051 -:041790008622851612 -:041791002571C416E4 -:0417920046B242A277 -:04179300FD4D832A5B -:041794008516488CE2 -:04179500F0EFC0169B -:041796004282DA7F32 -:04179700A023473113 -:04179800D70300E291 -:04179900557D00C4B6 -:04179A0004076713C6 -:04179B0000E49623AD -:04179C00445240E291 -:04179D00017144C2D0 -:04179E00D70380826B -:04179F00011300C56D -:0417A000C122F781EA -:0417A1000793DEA626 -:0417A200C30601F188 -:0417A300C02EC22A68 -:0417A40008077713A8 -:0417A500F41384B203 -:0417A600CB1DFF87D1 -:0417A700EB0D499865 -:0417A80004000593A1 -:0417A900F0EFC63661 -:0417AA004782E01F73 -:0417AB00C38846B2F7 -:0417AC00E919CB88E4 -:0417AD0047B14712E7 -:0417AE00C31C557D86 -:0417AF00440A409A0E -:0417B000011354F6D7 -:0417B10080820881A9 -:0417B2000713478250 -:0417B300CBD804008B -:0417B4000200071315 -:0417B50000E40CA39D -:0417B6000300071312 -:0417B70000042A23DD -:0417B80000E40D2319 -:0417B9008726CA367F -:0417BA0002500613C0 -:0417BB00000746835A -:0417BC009663C299D5 -:0417BD0006B30AC69F -:0417BE0001634097EC -:0417BF0045820297C6 -:0417C0008626451222 -:0417C100C636C83A26 -:0417C200E85FF0EFFD -:0417C3000A63567DE2 -:0417C40048501AC5AA -:0417C500474246B29F -:0417C600C85096363B -:0417C700000746834E -:0417C8001A06816319 -:0417C900001704936E -:0417CA002023577D04 -:0417CB0026230004CD -:0417CC00C0580004FD -:0417CD0000042423CD -:0417CE00040401A36B -:0417CF0004042C23BF -:0417D0000004C583C9 -:0417D100461567E171 -:0417D200D98785131B -:0417D300401423A5F6 -:0417D4000014871363 -:0417D500F613E539E9 -:0417D600C609010639 -:0417D70002000613F3 -:0417D80004C401A3A1 -:0417D9000086F6137D -:0417DA000613C60923 -:0417DB0001A302B0B4 -:0417DC00C58304C4F9 -:0417DD0006130004EB -:0417DE008F6302A073 -:0417DF00445402C5A7 -:0417E0004581872692 -:0417E10042A94525AF -:0417E20000074603B3 -:0417E30000170313D5 -:0417E400FD060613E5 -:0417E50006C577635B -:0417E600C454C98599 -:0417E7000705A0351D -:0417E80067E1B7B14D -:0417E900D987861303 -:0417EA0046058D1112 -:0417EB0000A615330C -:0417EC00C0148EC9CE -:0417ED00B76984BA9A -:0417EE0005934652C7 -:0417EF00421000465E -:0417F0004963CA2E51 -:0417F100C4500206D8 -:0417F20000074603A3 -:0417F30002E0069377 -:0417F40006D61163A1 -:0417F5000017460390 -:0417F60002A00693B4 -:0417F70002D61B6398 -:0417F800070946D2C5 -:0417F900004686130D -:0417FA00CA32429419 -:0417FB000206C163BE -:0417FC00A081C054B4 -:0417FD0040C00633AF -:0417FE000026E69348 -:0417FF00C014C450FE -:0418000086B3B7E113 -:04180100871A0256EA -:0418020096B24585D0 -:0418030056FDBFB51A -:041804000705B7C558 -:041805000004222396 -:041806004681458151 -:0418070042A9452588 -:04180800000746038C -:0418090000170313AE -:04180A00FD060613BE -:04180B0006C5736338 -:04180C004583F1E13E -:04180D0064E100078B -:04180E008513460DEB -:04180F00C63ADA04F7 -:04181000473229959D -:041811008493CD01EE -:041812008D05DA0462 -:041813000400069334 -:0418140000A696B3E1 -:04181500070540087B -:04181600C0088D5524 -:0418170000074583FE -:0418180046196561A7 -:04181900DA45051394 -:04181A00001704931C -:04181B0000B40C23E6 -:04181C00C135219120 -:04181D0000000713AD -:04181E004014E70D7E -:04181F00F6934752A3 -:04182000CE9110064F -:04182100CA3A0711A7 -:0418220047A2485839 -:04182300C858973ECC -:0418240086B3BD9931 -:04182500871A0256C6 -:0418260096B24585AC -:041827000721B7518D -:041828004602B7DDE0 -:0418290066D9451225 -:04182A008693085841 -:04182B0085A2D8C6F4 -:04182C000000009721 -:04182D00000000E7D0 -:04182E0047A2C42ADF -:04182F0095E3577D69 -:041830004782FCE708 -:04183100D703557D07 -:04183200771300C761 -:0418330017E30407AC -:041834004848DE073B -:041835004602B3E5CF -:0418360066D9451218 -:041837008693085834 -:0418380085A2D8C6E7 -:04183900BFC92215EC -:04183A0087AE110163 -:04183B0085B6CA267E -:04183C00479484BA8F -:04183D00CC224B98D6 -:04183E00842ACE0624 -:04183F00536383323A -:04184000873600D710 -:0418410000E320237D -:041842000437C6831E -:041843000705C6814E -:0418440000E320237A -:04184500771343983A -:04184600C7110207BD -:041847000003270370 -:041848002023070949 -:04184900439800E3DD -:04184A000197861369 -:04184B00C3358B19FD -:04184C00C683439874 -:04184D0077130437D2 -:04184E0036B30207A4 -:04184F00E75100D08D -:0418500004378613C0 -:04185100C41A85220E -:04185200C02EC23EA4 -:04185300577D9482A7 -:0418540006E507633B -:041855004322479251 -:0418560043944582F0 -:041857000003260361 -:041858008A99431115 -:04185900470147C834 -:04185A00006697632A -:04185B0040C507334A -:04185C0000075363CB -:04185D004794470164 -:04185E0054634B90F4 -:04185F008E9100D690 -:041860004301973673 -:0418610001A7861342 -:0418620006671063A2 -:04186300A80D450186 -:0418640047D4070559 -:041865000003250354 -:041866005BE38E8929 -:041867004685F8D7E3 -:04186800C81A8522F3 -:04186900C43AC63E79 -:04186A00C02EC23298 -:04186B0056FD948210 -:04186C004612458259 -:04186D0047B2472215 -:04186E001BE34342F3 -:04186F00557DFCD5D2 -:04187000446240F29C -:04187100610544D2F7 -:0418720086338082B7 -:04187300051300D782 -:0418740001A30300C9 -:04187500C60304A6FC -:041876008713045779 -:04187700973E001682 -:0418780001A3068939 -:04187900BFA904C738 -:04187A0085224685F8 -:04187B00C43AC61A8B -:04187C00C02EC23286 -:04187D0057FD9482FD -:04187E00FCF503E38F -:04187F004722433287 -:041880004582461245 -:04188100B74903055B -:04188200CC22110162 -:04188300CE06CA269D -:04188400842EC02AC4 -:04188500043585138E -:041886000185C58390 -:04188700C23284B62F -:04188800078006933C -:0418890000B6EC6356 -:04188A00062006939B -:04188B0000B6ED6353 -:04188C001C058C6348 -:04188D000580069339 -:04188E0012D58D637F -:04188F000424031317 -:0418900004B4012378 -:041891008693A8058D -:04189200F693F9D5FB -:0418930046550FF6B1 -:04189400FED666E333 -:04189500068A6661F8 -:04189600DD46061312 -:04189700429496B22F -:0418980043148682ED -:04189900042403130D -:04189A00004686136B -:04189B004298C3109C -:04189C0004E401233C -:04189D00AA754705DC -:04189E0023034014CC -:04189F00F613000735 -:0418A000059308069E -:0418A100C20D004331 -:0418A2000003268396 -:0418A3006661C30CAB -:0418A4000006D863FF -:0418A50002D0071353 -:0418A60040D006B375 -:0418A70004E401A3B1 -:0418A800DAC6061383 -:0418A900A0B9472972 -:0418AA000406F61327 -:0418AB00000326838D -:0418AC00DE71C30C1A -:0418AD0086C106C228 -:0418AE004010BFD94E -:0418AF0072934314D9 -:0418B0008313080690 -:0418B1008663004604 -:0418B20020230002ED -:0418B30042940067F4 -:0418B4007613A801FE -:0418B50020230406E2 -:0418B600DA75006778 -:0418B7000006D683CE -:0418B800071366614B -:0418B900061306F01C -:0418BA008763DAC6A0 -:0418BB0047290EE5C6 -:0418BC00040401A37C -:0418BD00C40C404CCB -:0418BE000005C863F6 -:0418BF0000042303FB -:0418C000FFB37313EC -:0418C100006420237C -:0418C200832AE299FA -:0418C300832ACD990E -:0418C40002E6F5B390 -:0418C50095B2137D48 -:0418C6000005C583D1 -:0418C70000B3002347 -:0418C800D6B385B658 -:0418C900F5E302E65B -:0418CA0046A1FEE550 -:0418CB0000D71E63C1 -:0418CC008B05401830 -:0418CD004054CB11A7 -:0418CE00476348180C -:0418CF00071300D724 -:0418D0000FA303005F -:0418D100137DFEE3A2 -:0418D2004065053335 -:0418D3004692C80869 -:0418D400872645021C -:0418D50085A20810D0 -:0418D600F0EFC41A51 -:0418D700577DD8FF62 -:0418D8001C63432228 -:0418D900557D0CE548 -:0418DA00446240F232 -:0418DB00610544D28D -:0418DC00666180823F -:0418DD0004B402A3AA -:0418DE00DAC606134D -:0418DF002303400C93 -:0418E000F293000778 -:0418E100268308054D -:0418E20003110003EB -:0418E30002028D630D -:0418E4000067202356 -:0418E5000015F713E0 -:0418E600E593C701BE -:0418E700C00C02052A -:0418E800F6B94741C5 -:0418E900F593400C27 -:0418EA00C00CFDF53C -:0418EB004014B7915D -:0418EC000206E69377 -:0418ED000693C0148A -:0418EE0066610780A8 -:0418EF0004D402A378 -:0418F000DC060613F9 -:0418F100F293BF654A -:0418F20020230405A6 -:0418F30083E3006724 -:0418F40006C2FC022A -:0418F500BF7D82C170 -:0418F600BF194721AE -:0418F7004314401046 -:0418F8007293484C53 -:0418F9008313080647 -:0418FA0087630046BA -:0418FB0020230002A4 -:0418FC0042980067A7 -:0418FD00A809C30C67 -:0418FE00006720233C -:0418FF000406761352 -:04190000DA6D4298C2 -:0419010000B71023F8 -:041902000004282392 -:04190300B781832AFB -:0419040045814314C2 -:0419050000468613FF -:04190600A303C31064 -:041907004050000646 -:04190800C41A851A5E -:04190900432228410C -:04190A000533C501DB -:04190B00C04840652B -:04190C00C81840585F -:04190D00040401A32A -:04190E004814BF19A1 -:04190F0045024592B6 -:041910009482861A1D -:0419110000E3577D1B -:041912004018F2E5A2 -:04191300EB0D8B0944 -:0419140044484742BA -:04191500F0E55AE3BC -:04191600B739853A1E -:0419170045024592AE -:04191800C63A468500 -:041919009482C432BE -:04191A000EE356FD85 -:04191B004732EED58C -:04191C000705462253 -:04191D0045C2445427 -:04191E0041E38E8D86 -:04191F00BFC9FED767 -:041920000613470162 -:04192100B7FD019479 -:04192200C22211517B -:04192300842AC0262C -:04192400852E64E5C3 -:04192500AC23C40625 -:041926002A15040476 -:04192700166357FDEF -:04192800A78300F59C -:04192900C3910584DD -:04192A0040A2C01CFB -:04192B00448244129C -:04192C008082013183 -:04192D000FF5F5932A -:04192E001463962A7E -:04192F00450100C5A9 -:0419300047838082E7 -:041931008DE300053D -:041932000505FEB7F2 -:041933008082B7FDFA -:04193400E581808247 -:04193500F06F85B218 -:041936001131FD0F5F -:04193700C622C806F6 -:04193800EA11C426C6 -:04193900F18FF0EF4B -:04193A0040C24781DF -:04193B0044A244324C -:04193C000151853E92 -:04193D00842E8082F2 -:04193E0084AAC03285 -:04193F004602203DFF -:0419400074E387A223 -:0419410085B2FEC5A8 -:04194200C232852602 -:04194300F9AFF0EF19 -:04194400DD6187AA30 -:0419450085A246121F -:04194600A0EFC02A24 -:0419470085A28ACF1C -:04194800F0EF852611 -:041949004782EDAF35 -:04194A00A783B7C9EF -:04194B008513FFC53C -:04194C00D563FFC799 -:04194D0095AA000750 -:04194E00953E419CE5 -:04194F00470180824A -:0419500000E6146336 -:04195100808245014A -:0419520000E507B3F2 -:0419530086B307054B -:04195400C78300E560 -:04195500C68300073E -:0419560083E3FFF632 -:041957008533FED7FF -:04195800808240D772 -:04195900167DC60D24 -:04195A0007B3470187 -:04195B0086B300E56A -:04195C00C78300E558 -:04195D00C683000736 -:04195E009463000688 -:04195F00156300D735 -:04196000853300C704 -:04196100808240D769 -:04196200F3E507059D -:041963004501BFDD9E -:0419640087AA80824C -:04196500C703CA09E1 -:0419660005850005EE -:041967008FA30785BE -:04196800167DFEE703 -:04196900963EFB6546 -:04196A0000C79363BC -:04196B0007858082EA -:04196C00FE078FA340 -:04196D0095AABFD5A3 -:04196E00856387AA5C -:04196F00C70300B7F3 -:04197000E701000784 -:0419710040A78533D3 -:0419720007858082E3 -:0419730063E5B7FD74 -:041974000643A783FC -:04197500C222115128 -:04197600842AC406F5 -:04197700064383930D -:041978004501E79DA1 -:04197900460145815D -:04197A00470146815A -:04197B000D60029366 -:04197C0000000073F4 -:04197D001A6357FD95 -:04197E00283500F513 -:04197F00C11C47B18F -:0419800040A2557DAF -:0419810001314412DA -:04198200A02380829C -:04198300A30300A317 -:041984004581000396 -:0419850005334601DF -:041986004681006432 -:04198700478147014C -:041988000D60029359 -:0419890000000073E7 -:04198A0018E3941AB0 -:04198B00A023FC8514 -:04198C00851A00A315 -:04198D0067E5B7F95A -:04198E00A047A503C6 -:04198F000000808252 -:041990000000000053 -:041991000000000052 -:041992000000000051 -:041993000000000050 -:041994004320445355 -:041995002044524157 -:041996004C4C495715 -:0419970000454220A5 -:041998005245564F0F -:041999005449525704 -:04199A00214E455441 -:04199B0045002121C1 -:04199C00726F70787E -:04199D0031203F7442 -:04199E00202C593D63 -:04199F00004E3D3287 -:0419A00073657250A9 -:0419A100203120735E -:0419A2003220726F0E -:0419A3000000000040 -:0419A4004D9000EB77 -:0419A5004E495753FD -:0419A60000312E34AA -:0419A70000800402B6 -:0419A8000008000231 -:0419A9000020F880A2 -:0419AA0000FF003FFB -:0419AB00F42900809B -:0419AC004F04C6CF4F -:0419AD0050435353FD -:0419AE0049464F5205 -:0419AF004146534C0E -:0419B0002036315458 -:0419B10000002020F2 -:0419B2004353534FF9 -:0419B300464F5250F9 -:0419B400204E494236 -:0419B500B5048E00E7 -:0419B600516F516FAD -:0419B700891700008C -:0419B8000002516F69 -:0419B9000010020018 -:0419BA0000010454D0 -:0419BB000001045AC9 -:0419BC0000010460C2 -:0419BD0000010466BB -:0419BE000001040818 -:0419BF0000010428F7 -:0419C00000010428F6 -:0419C10000010428F5 -:0419C20000010428F4 -:0419C30000010428F3 -:0419C40000010428F2 -:0419C5000001042CED -:0419C60000010432E6 -:0419C7006C206F4ED3 -:0419C800006B6E69D9 -:0419C9006D20642504 -:0419CA0000000056C3 -:0419CB00252E75252B -:0419CC002075322E22 -:0419CD00000073752E -:0419CE002525752531 -:0419CF000000000014 -:0419D0006C207525ED -:0419D10073656E6963 -:0419D2000000000011 -:0419D30070207525E6 -:0419D4006C6578695D -:0419D500000000739B -:0419D6000000752573 -:0419D70064206425FF -:0419D80000000042C9 -:0419D900656E6F4484 -:0419DA000000000009 -:0419DB006C6961468C -:0419DC0028206465F6 -:0419DD000029642554 -:0419DE0000011A7A70 -:0419DF0000011A9455 -:0419E00000011A9E4A -:0419E10000011AD017 -:0419E20000011AAC3A -:0419E30064206425F3 -:0419E4000000676533 -:0419E500322E252E4B -:0419E6000000007588 -:0419E7006573753C73 -:0419E80000003E6459 -:0419E90044525355BC -:0419EA000041544123 -:0419EB00706D653C7A -:0419EC00003E7974CC -:0419ED00203A752502 -:0419EE00000073255D -:0419EF0074204B4FC6 -:0419F0006E69206F8D -:0419F1000000746915 -:0419F200736C61466B -:0419F3007274206585 -:0419F4006567676953 -:0419F500000000727C -:0419F600656D69545E -:0419F7000074756F94 -:0419F800252E7525FE -:0419F9006D75322EA8 -:0419FA000000007376 -:0419FB00252E7525FB -:0419FC006D75322EA5 -:0419FD0075252F73AA -:0419FE00322E252E32 -:0419FF0000736D758F -:041A00002520732505 -:041A010063252D75B7 -:041A020000000000E0 -:041A0300252E7525F2 -:041A04006B75322E9E -:041A050025207A48D6 -:041A06002E252E75E6 -:041A07007A48753272 -:041A080000000000DA -:041A090078303237C8 -:041A0A000030303444 -:041A0B0078303436C5 -:041A0C000030303442 -:041A0D00FFFFFFFFD9 -:041A0E00FFFFFFFFD8 -:041A0F000000FFFFD5 -:041A1000636E61435D -:041A1100656C6C652F -:041A1200000000646C -:041A1300462F4453C3 -:041A14006873616C26 -:041A15007272652064 -:041A16000000726FEB -:041A170061766E493D -:041A18002064696C71 -:041A19006172617025 -:041A1A00002E736DBA -:041A1B0053206F4E97 -:041A1C00616320449E -:041A1D00642064726B -:041A1E00002E7465BD -:041A1F006363755335 -:041A20000073736577 -:041A210046204453C4 -:041A2200616D726F11 -:041A2300000000744B -:041A240041463D31C9 -:041A25002C363154D6 -:041A2600523D3220DB -:041A27000000574123 -:041A28006F7078451E -:041A29006E697472FC -:041A2A002E2E2E67C7 -:041A2B0000000000B7 -:041A2C0073616C4630 -:041A2D006576206852 -:041A2E002066697253 -:041A2F006C69616617 -:041A300000000000B2 -:041A310061766E4923 -:041A32002064696C57 -:041A33002072646851 -:041A340000435243D6 -:041A350061766E491F -:041A36002064696C53 -:041A37006174616411 -:041A380043524320B2 -:041A390000000000A9 -:041A3A00616470551E -:041A3B00632065744B -:041A3C0065636E610F -:041A3D0064656C6C04 -:041A3E0000000000A4 -:041A3F0061766E4915 -:041A40002064696C49 -:041A410067616D6903 -:041A4200000000653B -:041A430061766E4911 -:041A44002064696C45 -:041A4500646165680B -:041A460000007265C5 -:041A47004353534F63 -:041A4800000000009A -:041A4900696C61560D -:041A4A0069746164F6 -:041A4B006420676E3E -:041A4C000061746160 -:041A4D006220752579 -:041A4E0073657479CF -:041A4F000000000093 -:041A5000252E7525A5 -:041A51002575322E97 -:041A52000073257385 -:041A53006164705505 -:041A5400676E6974DC -:041A550000574620D0 -:041A560061656C70EA -:041A5700772065731C -:041A58002E7469611E -:041A590000002E2E2D -:041A5A0069726556F2 -:041A5B006E697966D1 -:041A5C006C6620672D -:041A5D000068736149 -:041A5E0061656C70E2 -:041A5F007220657319 -:041A600061747365D5 -:041A6100000074729B -:041A620072746552E3 -:041A6300676E6979C8 -:041A64006470752015 -:041A65000065746143 -:041A660061647055F2 -:041A6700203F657443 -:041A68002C593D3187 -:041A69004E3D32209C -:041A6A000000000078 -:041A6B006D726946E9 -:041A6C0065726177C7 -:041A6D00647075200C -:041A6E0064657461D6 -:041A6F000000000073 -:041A700000013F949E -:041A710000013FDA57 -:041A720000013FE24E -:041A730000013FEA45 -:041A740064616F4CEE -:041A75002E676E6901 -:041A760000002E2E10 -:041A7700732064254F -:041A780073746F6CA8 -:041A7900616F6C200D -:041A7A00006465643B -:041A7B006F706D49D2 -:041A7C00203F747221 -:041A7D002C593D3172 -:041A7E004E3D322087 +:040FA500FBC78513EE +:040FA60085934645A4 +:040FA70020EFBA4538 +:040FA80045092030A7 +:040FA900F16FE0EF15 +:040FAA0000245703C5 +:040FAB001E6347D2A8 +:040FAC00061308F729 +:040FAD00458120005A +:040FAE00C0EF100878 +:040FAF004582F48FF4 +:040FB000F0EF100846 +:040FB10084AAA67FE9 +:040FB200E80515E356 +:040FB3000437478236 +:040FB400078500109D +:040FB5006785C03E4E +:040FB6000793C23E9D +:040FB70086A22000EE +:040FB8000087F46357 +:040FB900200006937B +:040FBA00101047923A +:040FBB009593C43610 +:040FBC0067E900875A +:040FBD008587A5037C +:040FBE00CAAFC0EF07 +:040FBF00101846A21E +:040FC000063384AAC6 +:040FC100166300D7DC +:040FC20094E318E6B6 +:040FC3000713E40428 +:040FC4006D631FF04A +:040FC500101C008775 +:040FC60020000613EE +:040FC70085338E15CB +:040FC800458100D788 +:040FC900C0EFC4367B +:040FCA0046A2EDCF7F +:040FCB001008458243 +:040FCC00F0EFC43648 +:040FCD0046A29F7F1A +:040FCE0016050B6396 +:040FCF0040A004B387 +:040FD0000793BD09BD +:040FD100C03E14000A +:040FD2000613B7A1AA +:040FD3004581200034 +:040FD400C0EF100852 +:040FD5004401EB0FD9 +:040FD600100885A2D8 +:040FD7009CDFF0EFBC +:040FD80018E384AAEC +:040FD9000405DE0528 +:040FDA001400079365 +:040FDB00FEF416E327 +:040FDC000793645DB6 +:040FDD00859395C49F +:040FDE00466D040751 +:040FDF00C0EF100847 +:040FE0000793E48F00 +:040FE100859395C49B +:040FE200466905C790 +:040FE300C0EF00C893 +:040FE40077EDE38F33 +:040FE500A5578793F2 +:040FE6001008458129 +:040FE70020F11F23B3 +:040FE800989FF0EFEF +:040FE90016E384AADD +:040FEA004401DA05DF +:040FEB000613468122 +:040FEC00458120001B +:040FED00C2361008F0 +:040FEE00E4AFC0EFBD +:040FEF00061346920D +:040FF0000593201035 +:040FF1008E15100049 +:040FF20000368793AB +:040FF30007C20642E9 +:040FF400B51382416E +:040FF50083C100169E +:040FF6008732050633 +:040FF70000C5F463DA +:040FF80010000713CB +:040FF9008F09973E87 +:040FFA000107159343 +:040FFB00E68181C149 +:040FFC00D03E57E1AB +:040FFD0066C1478DF5 +:040FFE0003138D1D2F +:040FFF0016FD20308B +:0410000000A787338B +:0410010083410742DE +:0410020006B7E863E2 +:04100300FFD58693FC +:0410040082C106C2DD +:041005000804059343 +:04100600C2361008D6 +:0410070090DFF0EF97 +:0410080018E384AABB +:041009000593D20574 +:04100A0010080A04BC +:04100B008FDFF0EF94 +:04100C0010E384AABF +:04100D004692D20530 +:04100E00044204058F +:04100F001FF0079334 +:04101000F6E3804142 +:041011000613F6D7F5 +:0410120045812000F4 +:04101300C0EF100812 +:0410140067DDDB4F6A +:0410150095C7879361 +:041016000787859330 +:0410170002000613BA +:04101800C0EF10080D +:041019000593D64F16 +:04101A0010080C00AE +:04101B008BDFF0EF88 +:04101C0000E384AABF +:04101D00B9F1E4053C +:04101E0007061010A1 +:04101F00863E973240 +:04102000006793636F +:041021000785863683 +:04102200102307C2CE +:0410230083C100C7BE +:041024004503BF853C +:04102500C8320007C6 +:04102600C43AC636CC +:04102700E68FC0EFA1 +:0410280046424722D3 +:04102900002346B2A8 +:04102A00070500A70F +:04102B004782BDA992 +:04102C000086D71350 +:04102D0007858C1592 +:04102E004792C03EE7 +:04102F00C23E97BA6C +:04103000E0041DE3D8 +:041031000713B17977 +:0410320083E30690BE +:04103300B971D4E4D7 +:04103400859365DD5E +:04103500B969B705D9 +:04103600859365DD5C +:04103700B949B605F8 +:04103800859365DD5A +:04103900B169B805DC +:04103A00FDC10113E0 +:04103B00D006CC26E9 +:04103C00C42ACE22D2 +:04103D00C03284AE8B +:04103E004782C236ED +:04103F004501E399EB +:041040004402A015B1 +:0410410020000793F1 +:041042000087F463CC +:041043002000041372 +:04104400451245A26A +:041045000104161379 +:04104600F0EF824104 +:04104700C909907FC4 +:0410480040A005338C +:04104900447250821B +:04104A00011344E268 +:04104B00808202415C +:04104C000FF4F79313 +:04104D000084961372 +:04104E004792CB9D5D +:04104F00008786B3DD +:0410500004D79163CD +:04105100469267E973 +:041052008587A503E6 +:041053000084D593AD +:0410540005C2872228 +:041055008CCFC0EF8D +:0410560047A2F57147 +:04105700C43E078507 +:041058000084579326 +:04105900478294BE78 +:04105A00C03E8F8184 +:04105B0067E9B77911 +:04105C008587A503DC +:04105D00C63285B260 +:04105E00850FC0EF4B +:04105F00DD554632E3 +:04106000C503B755B8 +:04106100CA36000784 +:04106200C63EC8328C +:04106300D78FC0EF74 +:0410640046D247B277 +:04106500802346425C +:04106600078500A753 +:041067000113B75565 +:0410680000C8DB01E0 +:041069002411262305 +:04106A002481242396 +:04106B002491222387 +:04106C00903FF0EFD2 +:04106D00C0EF842A22 +:04106E0007B3F18F44 +:04106F001A63408040 +:0410700046111C0405 +:04107100104800CC57 +:041072006D8020EF7E +:04107300461165DDE0 +:04107400C205859399 +:0410750020EF104810 +:04107600C91D69C067 +:041077000793440D8A +:04107800C23E06402E +:04107900EEAFC0EF27 +:04107A00071347927F +:04107B004D6306803B +:04107C00071300F75F +:04107D004263063094 +:04107E00071330F72D +:04107F008963F3404E +:04108000470536E703 +:0410810036E78A6361 +:04108200859365DD10 +:04108300A629B505E0 +:041084000481578309 +:0410850004A105932A +:041086000513461DEB +:04108700142302A18B +:0410880020EF02F162 +:04108900578367E042 +:04108A0008A3052191 +:04108B00102302012B +:04108C00578302F193 +:04108D0011230541E5 +:04108E00550202F114 +:04108F00D04FC0EF8F +:04109000056157831C +:04109100C22ADA2A6B +:0410920002F1102334 +:0410930005815783F9 +:0410940002F1112331 +:04109500C0EF550251 +:041096005783CEAFFF +:04109700DC2A05A1A9 +:0410980002F110232E +:0410990005C15783B3 +:04109A0002F111232B +:04109B00C0EF55024B +:04109C00DE2ACD2F4C +:04109D002401250302 +:04109E00CC8FC0EF44 +:04109F00C0AA45920C +:0410A0001E20079374 +:0410A100FE6587134E +:0410A2000CE7E7630D +:0410A30000C8460536 +:0410A400CD4FC0EF7D +:0410A5000663478611 +:0410A600440D00F500 +:0410A7000660079345 +:0410A8006465B7893B +:0410A9000613665D67 +:0410AA0045C5C286F0 +:0410AB00FA840513AB +:0410AC003ED010EF33 +:0410AD0067E556E2BB +:0410AE008513665DE3 +:0410AF000613FBC762 +:0410B00045C5C386E9 +:0410B1003D9010EF6F +:0410B200E0EF450521 +:0410B30057E2AF0F42 +:0410B40045014701AA +:0410B50057F2C23EEE +:0410B6004792C43E5B +:0410B70008F7616372 +:0410B800946347A254 +:0410B900478320A7A2 +:0410BA00468302A1C6 +:0410BB004703028164 +:0410BC00CBD50291FD +:0410BD00879367E1CD +:0410BE0006139B87F3 +:0410BF00C03202A198 +:0410C0000613665D50 +:0410C10045C5C44617 +:0410C200FA84051394 +:0410C300391010EFE1 +:0410C40065DD67E59A +:0410C5008593464584 +:0410C6008513C9C500 +:0410C700C0EFFBC7B4 +:0410C8004505AA8FA1 +:0410C900E0EF64E50B +:0410CA008493A94F13 +:0410CB0016B7FD0453 +:0410CC00A7830002F4 +:0410CD00D7030D0632 +:0410CE0007C2000451 +:0410CF00086383C16E +:0410D000D70306F745 +:0410D100066300248E +:0410D20065091AF79B +:0410D300710505138B +:0410D400DAFFB0EFA0 +:0410D500440DBFE91E +:0410D6000650079326 +:0410D7004792B5592E +:0410D80040E786B3B4 +:0410D9002000079359 +:0410DA0000D7F463E4 +:0410DB002000069358 +:0410DC002007049352 +:0410DD00010696135F +:0410DE00D5938241E3 +:0410DF0000C80094B1 +:0410E000C636C83A0E +:0410E100E9CFF0EF74 +:0410E200474246B289 +:0410E30007B3C50981 +:0410E400440D40A0D7 +:0410E5003613B5B950 +:0410E60085B60017B4 +:0410E700C0EF00C88E +:0410E8008726BC6F2C +:0410E90067DDBF1DE3 +:0410EA00A6878793BB +:0410EB00D0EFB7B9D2 +:0410EC006769D12F30 +:0410ED008747071317 +:0410EE0016B7431CD2 +:0410EF00650900028D +:0410F0000027E7935B +:0410F100A023C31C59 +:0410F20005130EF6DE +:0410F300B0EF7105E4 +:0410F40065DDD31FC4 +:0410F500FA84051361 +:0410F6008593464553 +:0410F70020EFC5051C +:0410F800440D4C2037 +:0410F90064DD67E566 +:0410FA00FBC7851398 +:0410FB00859346454E +:0410FC0020EFC5C458 +:0410FD0045054AE07B +:0410FE009C2FE0EF54 +:0410FF0000D4566261 +:0411000045054581DB +:04110100CE5FF0EFDE +:041102001CE387AAB9 +:0411030067E5DC05BB +:04110400464565DD1A +:04110500C6C5859343 +:04110600FA878513CC +:04110700484020EF4D +:04110800859367E57F +:041109008513C5C4C1 +:04110A004645FBC794 +:04110B00474020EF4A +:04110C00E0EF4505C6 +:04110D0057E2988F7E +:04110E0045014481D2 +:04110F0057F2C23E93 +:0411100067E5C43E8D +:041111004792C63EFD +:0411120002F4EF6391 +:0411130007934722D5 +:0411140018E3F340A9 +:04111500C0EFD8A7A8 +:0411160047B2C78F86 +:04111700464565DD07 +:04111800CB058593EB +:04111900FA878513B9 +:04111A0095EFC0EF9E +:04111B0065DD67E542 +:04111C00859346452C +:04111D008513C7C5AA +:04111E0020EFFBC7FC +:04111F0045054260E0 +:0411200093AFE0EFBA +:041121004792A00150 +:04112200409786B3B9 +:04112300100007931E +:0411240000D7F46399 +:04112500100006931D +:04112600A50367E9CD +:0411270000D08587E8 +:04112800C83685A69A +:04112900EFFFB0EF35 +:04112A0000D046C2E9 +:04112B0005B387AAD7 +:04112C00450300D6A1 +:04112D00CE360006B4 +:04112E00CA3ECC2EBB +:04112F00C0EFC83213 +:041130004642A46F20 +:0411310047D245E27A +:0411320000A60023F0 +:0411330046F2060575 +:04113400FEC591E380 +:04113500D00797E365 +:041136000014B613D8 +:0411370000C885B6B1 +:04113800A84FC0EF0D +:041139001004849387 +:04113A00440DBFB9E8 +:04113B0006700793A0 +:04113C00440DB9CDD8 +:04113D00068007938E +:04113E008713B1ED75 +:04113F00468DF9B729 +:0411400008E6E0637A +:04114100070A66DD56 +:04114200CC4686937E +:041143004318973680 +:0411440065DD8702DC +:04114500C1058593C8 +:0411460067E5C23E59 +:04114700FBC785134A +:0411480020EF464509 +:04114900450537E041 +:04114A00892FE0EF1A +:04114B00000F453715 +:04114C00240505135E +:04114D00BCBFB0EF84 +:04114E00D76347928A +:04114F0055630407D9 +:04115000665D048054 +:0411510006136565B7 +:0411520045C5C8C601 +:04115300FA85051301 +:0411540014D010EFB4 +:04115500B579147DD7 +:04115600859365DD3B +:04115700BF6DBC8527 +:04115800859365DD39 +:04115900BF4DBD8544 +:04115A00859365DD37 +:04115B00B76DBEC5E9 +:04115C00859365DD35 +:04115D00B74DBB458A +:04115E00859365DD33 +:04115F00BF69B705A8 +:04116000859365DD31 +:04116100BF49C005BD +:04116200E88FD0EF53 +:0411630024C1208300 +:0411640024812403BB +:04116500244124837A +:041166000113557D9F +:04116700808225015C +:04116800DDC10113D1 +:04116900202308280F +:04116A002E232211FD +:04116B002C23208190 +:04116C00F0EF2091EF +:04116D00C02AD00FB5 +:04116E00B16FC0EFAE +:04116F00E93145021B +:0411700065DD646570 +:0411710085934645D7 +:041172000513CF058D +:04117300B0EFFBC41A +:041174004509FF9F8B +:04117500FE7FD0EF3A +:041176006465C222C8 +:04117700FD0404135C +:04117800000217B7A3 +:041179000D07A78334 +:04117A000004570313 +:04117B0083C107C263 +:04117C0004F704630D +:04117D0000245703F0 +:04117E0002F71A63F7 +:04117F0065DD479251 +:0411800085934645C8 +:041181008513B445D9 +:0411820020EFFBC798 +:0411830005132960C7 +:04118400C02A0680F7 +:04118500ABAFC0EF5D +:04118600220120839F +:0411870021C124035B +:041188002483450275 +:0411890001132181AC +:04118A0080822241FC +:04118B0005136509DA +:04118C00B0EF71054A +:04118D00B76DACDFAF +:04118E0065DD479242 +:04118F008513464539 +:041190008593FBC781 +:0411910020EFCD4539 +:04119200450925A046 +:04119300F6FFD0EFA4 +:04119400FFF007372A +:041195002007079395 +:041196006485C002AA +:0411970047A2C43E69 +:041198000084941328 +:04119900943E462D0D +:04119A0085A2842581 +:04119B00F0EF006809 +:04119C00C501BB2F9F +:04119D0040A0053336 +:04119E0065DDBF69E3 +:04119F00ADC58593C2 +:0411A000B0EF006844 +:0411A100E105F91F4C +:0411A200016147039D +:0411A3001463468506 +:0411A400468306D7A1 +:0411A5009863014109 +:0411A600470300E615 +:0411A70006130151D9 +:0411A800046305E0F7 +:0411A900849306D74E +:0411AA0067091004BD +:0411AB00FAE499E3E6 +:0411AC003E80051369 +:0411AD00A4BFB0EF3C +:0411AE00453D4581F5 +:0411AF00AE3FD0EF90 +:0411B000C70367E921 +:0411B10067E58787E0 +:0411B200F9C787935F +:0411B300C50397BA1F +:0411B400458100076A +:0411B500812367E942 +:0411B600D0EF86A749 +:0411B7004792AC5F50 +:0411B800665D4682A8 +:0411B900CE06061345 +:0411BA00851345C58F +:0411BB0010EFFBC76F +:0411BC0045057AE08B +:0411BD00FB45BF39F6 +:0411BE0001414703A1 +:0411BF00FAD715E363 +:0411C000015147038F +:0411C1000613F34DD1 +:0411C2000834058068 +:0411C300852285A656 +:0411C4009D9FF0EF0C +:0411C500EE051FE331 +:0411C60007854782D0 +:0411C700B761C03E0E +:0411C800F581011399 +:0411C900D122D30656 +:0411CA001437CF26E1 +:0411CB00B0EF00027F +:0411CC00C22AA3FF91 +:0411CD00080404937B +:0411CE00D0BC47C585 +:0411CF000E042023C7 +:0411D000000227B73B +:0411D1000007A6234A +:0411D2000007A82347 +:0411D3000007AA2344 +:0411D400851367898F +:0411D500B0EF7107FF +:0411D60063699A9F10 +:0411D70087430793B0 +:0411D8001D1006934D +:0411D900D0B4C39437 +:0411DA00D0EF45010C +:0411DB0015379ACF5B +:0411DC0005130003F4 +:0411DD00B0EFD40596 +:0411DE002637989F79 +:0411DF0005B700064A +:0411E0000613019C55 +:0411E1008593A80644 +:0411E2000513CC0520 +:0411E300B0EF040461 +:0411E40067E9D0DF08 +:0411E500874787139E +:0411E6004551431418 +:0411E700F9F6F6938C +:0411E800D0B4C314A8 +:0411E90095BFB0EF0F +:0411EA00051345D1D3 +:0411EB00D0EF0380BE +:0411EC0045D18E6FEC +:0411ED000390051353 +:0411EE008DCFD0EFE2 +:0411EF00455145D150 +:0411F0008D4FD0EF60 +:0411F100051345D1CC +:0411F200D0EF071023 +:0411F30045D18CAFA7 +:0411F40005E00513FA +:0411F5008C0FD0EF9C +:0411F600051345D1C7 +:0411F700D0EF06D05F +:0411F80045D18B6FE3 +:0411F900D0EF4531BD +:0411FA0005938AEFE0 +:0411FB004505320074 +:0411FC008A4FD0EF57 +:0411FD00451945D17A +:0411FE0089CFD0EFD6 +:0411FF003200059322 +:04120000D0EF4509DD +:0412010067E9892FE1 +:041202008747879300 +:0412030046014394C9 +:04120400E6934581A7 +:04120500C394040684 +:041206000513D0B448 +:04120700B0EF04043C +:041208004529CE1F87 +:041209008DBFB0EFF6 +:04120A00450545E170 +:04120B00E34FC0EFFE +:04120C00450945E16A +:04120D00E2CFC0EF7D +:04120E00450D45E164 +:04120F00E24FC0EFFB +:041210008C3FC0EF60 +:0412110007136369F3 +:0412120047E18743E6 +:041213001663C43A60 +:0412140045015AF541 +:04121500E4CFC0EF73 +:041216000FF007933B +:041217005CF5066319 +:041218001A8087B7FA +:0412190008078793A8 +:04121A006789D8BE4A +:04121B00A1A78413F0 +:04121C0047A1456938 +:04121D0006F10B23A8 +:04121E0006811A2308 +:04121F00E24FC0EFEB +:041220000F5575935E +:0412210000A5E593AC +:04122200C0EF45696B +:041223004585E60F08 +:04122400035005135B +:04122500E56FC0EFC2 +:04122600051345A5C2 +:04122700C0EF0220F2 +:041228006561E4CF49 +:04122900A2450513C2 +:04122A00F44FC0EFCE +:04122B00C0EF4501CA +:04122C004599833F1E +:04122D0003D00513D2 +:04122E00E32FC0EFFB +:04122F0004400593DF +:04123000C0EF454581 +:041231004585E28F7E +:04123200C0EF45497B +:041233004581E20F00 +:04123400C0EF454D75 +:041235001888E18FA5 +:04123600EBCFC0EF4B +:04123700455D45814B +:04123800E0AFC0EF74 +:04123900FDDFC0EF26 +:04123A00C0EF4509B3 +:04123B007513C1CF97 +:04123C0047CD0FF596 +:04123D0052F51C63E7 +:04123E0004136465CC +:04123F004583F304EC +:04124000451500242C +:04124100C4CFC0EF67 +:0412420000244783BA +:0412430003D005933C +:04124400839D451130 +:0412450000279713D4 +:0412460000C4478316 +:041247008FD99BEDB3 +:0412480000F4062385 +:04124900C2CFC0EF61 +:04124A003E800513CA +:04124B00FD2FB0EFD4 +:04124C00451145F50E +:04124D00C1CFC0EF5E +:04124E000300059301 +:04124F00061005136D +:04125000C10FC0EF1B +:041251000B200593D6 +:04125200C0EF45257F +:041253000593C06FD0 +:0412540045290F8099 +:04125500BFCFC0EF58 +:041256000370059389 +:04125700C0EF452D72 +:041258004581BF2FDE +:04125900C0EF453D60 +:04125A004581BEAF5D +:04125B000C900513DB +:04125C00BE0FC0EF12 +:04125D0005134581AF +:04125E00C0EF0CA031 +:04125F004581BD6F99 +:041260000CB00513B6 +:04126100BCCFC0EF4F +:0412620005134581AA +:04126300C0EF0CC00C +:041264004581BC2FD5 +:041265000CD0051391 +:04126600BB8FC0EF8B +:0412670005134581A5 +:04126800C0EF0CE0E7 +:041269004581BAEF12 +:04126A000CF005136C +:04126B00BA4FC0EFC7 +:04126C0005134581A0 +:04126D00C0EF0D00C1 +:04126E004583B9AF4C +:04126F00051300441F +:04127000C0EF0E10AD +:041271004515B8EF78 +:04127200C10FC0EFF9 +:041273000860079375 +:0412740006F5126306 +:041275000750059386 +:041276000700051355 +:04127700C44FC0EFB1 +:0412780009000593D1 +:041279000200051357 +:04127A00C38FC0EF6F +:04127B000513458191 +:04127C00C0EF0210AD +:04127D004581C2EFF6 +:04127E000220051332 +:04127F00C24FC0EFAB +:041280000513458D80 +:04128100C0EF023088 +:041282004581C1AF32 +:0412830002800513CD +:04128400C10FC0EFE7 +:041285000B000593C2 +:041286000710051335 +:04128700C04FC0EFA5 +:0412880007000593C3 +:041289000700051342 +:04128A00BF8FC0EF63 +:04128B00470567E9C3 +:04128C0084E78FA3C1 +:04128D00A78367E5E7 +:04128E006769ECC7D9 +:04128F0084F72C2391 +:041290003E0788632A +:04129100C11FB0EFDA +:04129200851363E578 +:0412930065E1F4031A +:041294000420061319 +:04129500B7C58593C1 +:04129600B0EF05654B +:0412970062E5B6DF77 +:0412980005936361F6 +:041299008513B443C2 +:04129A000613FD0238 +:04129B00B0EF03604D +:04129C0067E5B59FAE +:04129D0002900713A1 +:04129E00E4E78C23D2 +:04129F00000247B74B +:0412A00038078793F1 +:0412A10076F943B8DF +:0412A2007FF68693BA +:0412A300C3B88F75C8 +:0412A40076E943B8EC +:0412A5008F7516FD2E +:0412A60043B8C3B8CE +:0412A70016FD76A119 +:0412A800F1F77713D0 +:0412A90006076713BA +:0412AA0043B8C3B8CA +:0412AB00453D4581F7 +:0412AC008FF777132E +:0412AD00300767138C +:0412AE0043B8C3B8C6 +:0412AF0064E9646525 +:0412B00000176713A9 +:0412B10043B8C3B8C3 +:0412B20067139B1D06 +:0412B300C3B8008735 +:0412B4008F7543B837 +:0412B5008F5566A14A +:0412B600D0EFC3B8FA +:0412B70066E9EC4FA9 +:0412B8008626C503BE +:0412B900D0EF4581AC +:0412BA006765EB8FEA +:0412BB007C87079392 +:0412BC00A0236565A1 +:0412BD00A223000761 +:0412BE00A42300075E +:0412BF00A62300075B +:0412C0009823000768 +:0412C1000613000709 +:0412C200458102C0A0 +:0412C3007DC50513CD +:0412C400AF3FB0EF99 +:0412C5000904059380 +:0412C60002C0061349 +:0412C70042058593C4 +:0412C8008084851386 +:0412C900AA3FB0EF99 +:0412CA00DBFFB0EFA7 +:0412CB00C0EF45012A +:0412CC0017B7A7DFCA +:0412CD00A603000272 +:0412CE0063E50D07C0 +:0412CF00000207B75B +:0412D000F403871389 +:0412D10066658FF1CE +:0412D20066E9C03ACF +:0412D300F596071372 +:0412D4008713C4BAFE +:0412D500D03A86265F +:0412D600071367652E +:0412D700C6BA7C8790 +:0412D80009040713EB +:0412D9006769C63A41 +:0412DA008647071329 +:0412DB006769C83A3D +:0412DC0084C70713A9 +:0412DD006769D23A31 +:0412DE00636162E501 +:0412DF008507071365 +:0412E000CC1ADC1632 +:0412E100D43AD826FD +:0412E20014079263F8 +:0412E300871366E126 +:0412E4004481AD860E +:0412E5006465CA3A38 +:0412E600464565DD37 +:0412E700D0C5859356 +:0412E800FA8405136C +:0412E90010EFCE3EF6 +:0412EA0047D24FB0E8 +:0412EB0000249693B2 +:0412EC0096BE6565E0 +:0412ED004645428CA4 +:0412EE00FBC5051324 +:0412EF004E5010EF5E +:0412F000000246377B +:0412F1003C0626830E +:0412F200E693450535 +:0412F300202300466E +:0412F400D0EF3CD625 +:0412F50047F29E9F7F +:0412F60016374681E0 +:0412F70025030002C9 +:0412F80067410D0637 +:0412F90075B3177D35 +:0412FA00474200E582 +:0412FB0000030337B2 +:0412FC002603C30CF6 +:0412FD0057120D0671 +:0412FE00FFF646139E +:0412FF0000667633DC +:04130000C985C310C8 +:0413010002F5876307 +:04130200879367E581 +:041303009613FD0739 +:0413040097B2001488 +:0413050065DDEAAD0B +:0413060000A7902389 +:04130700859346453F +:041308000513D145B3 +:0413090010EFFA8463 +:04130A00450547B09E +:04130B0098FFD0EF88 +:04130C005792468529 +:04130D000007A3032F +:04130E00439C57A203 +:04130F0067C1E39D32 +:0413100002F3116370 +:0413110047E2E0BD12 +:04131200036006135B +:041313008593CE1AD6 +:0413140057E2B447A1 +:04131500851344ED0B +:04131600B0EFFD0730 +:04131700437296DFA8 +:041318005722468989 +:04131900460947C278 +:04131A000067202325 +:04131B00439CD636E3 +:04131C0004C6806320 +:04131D000513650946 +:04131E00CE3E710549 +:04131F00C82FB0EF34 +:0413200056B247F288 +:04132100D783BF9916 +:0413220046890007F1 +:04132300FAF583E371 +:04132400464565DDF8 +:04132500D1C5859316 +:04132600FA8405132D +:04132700405010EF33 +:04132800D0EF4505B8 +:041329004681919FC9 +:04132A0014F9B76992 +:04132B00BF554689DB +:04132C0046E9048505 +:04132D00EE96D1E384 +:04132E00D0EF453D7A +:04132F004737A48F09 +:04133000278300020D +:041331009BED3C07ED +:041332003CF7202341 +:0413330064E5479294 +:04133400D513665D0A +:04133500079300A773 +:0413360007B312C027 +:04133700470502F56F +:0413380006134685CD +:0413390045C5D2C60E +:04133A000084851393 +:04133B00C2BE646565 +:04133C001AC010EFD4 +:04133D00464565DDDF +:04133E00E44585936A +:04133F0001C40513CD +:041340008C7FB0EFFF +:04134100D0EF45059F +:04134200A537961F16 +:041343000513000787 +:04134400B0EF1205EF +:04134500B0EFBECF78 +:041346004799C0CF34 +:04134700CC02CE3EC8 +:04134800D602CA02FD +:04134900CAA2C8A6C6 +:04134A00000217B7CF +:04134B000D07A78360 +:04134C00674146C2ED +:04134D008F7D177DFC +:04134E00C693C298E8 +:04134F000737FFF766 +:041350008F75000392 +:04135100D6135692C7 +:0413520087B20187D6 +:0413530066E9C298ED +:041354008686869370 +:041355001679CA1922 +:041356000FF6761305 +:04135700F663458D67 +:04135800C60300C503 +:041359001563000612 +:04135A00464200F611 +:04135B000006202345 +:04135C0000F68023F4 +:04135D00439C57A2B4 +:04135E0057A2EFD5CE +:04135F006469C39862 +:0413600084A404134A +:0413610000044783BA +:041362000E07866389 +:04136300C783478273 +:041364008163018719 +:0413650047820E07A6 +:041366004703676969 +:04136700C78385D7DC +:041368008FD900E732 +:041369000FF7F793F0 +:04136A00B0EFE7F108 +:04136B004796B9CF19 +:04136C000CF56263B7 +:04136D000793476239 +:04136E00ED630630F5 +:04136F0046830AE7C0 +:0413700047850004A9 +:041371008763470542 +:0413720047F200F648 +:04137300FFA7871336 +:0413740000E037332B +:0413750046A14782C4 +:041376000187C783A1 +:04137700F79317FDD4 +:04137800E7630FF721 +:0413790066DD06F631 +:04137A00E64686932A +:04137B0097B6078A90 +:04137C0067E9439446 +:04137D008787879344 +:04137E0056F9868214 +:04137F006565665DDD +:04138000D406061376 +:04138100051345C546 +:0413820010EF0085E3 +:0413830065DD0920FB +:041384004645656510 +:04138500A685859321 +:0413860001C5051385 +:04138700285010EFEB +:04138800D0EF450558 +:04138900A001845FDC +:04138A00BFC956F58C +:04138B00B7F956F167 +:04138C00B7E956FD6A +:04138D00C39857A208 +:04138E00A0235792AF +:04138F00B78100071B +:04139000470367693F +:0413910037338487E3 +:04139200070900E067 +:0413930000E78023CC +:04139400477247E273 +:04139500F79307853E +:04139600CC3E0FF743 +:041397000763479908 +:04139800079300F7C0 +:04139900F7930017AF +:04139A00CE3E0FF73D +:04139B00AB6FB0EF95 +:04139C00CA3E478579 +:04139D0008FC64657F +:04139E000793D8BE1B +:04139F00871304C4E8 +:0413A000DEBA00179A +:0413A1000027871387 +:0413A200C33AC13A4F +:0413A300871365DD6A +:0413A400DABE003776 +:0413A500C53ADCBEAB +:0413A600C73A0791AA +:0413A70008F8462DCF +:0413A800E5858593BF +:0413A900C93A1088A5 +:0413AA00CD3ACB3E2F +:0413AB0004010E2308 +:0413AC00F16FB0EF3E +:0413AD0046854782A8 +:0413AE00C783472585 +:0413AF00DA220187B6 +:0413B0000493C236AA +:0413B100866304C487 +:0413B200078500E7C4 +:0413B3000FF7F793A6 +:0413B40047C2C23E2C +:0413B50045E94701BE +:0413B60057E2439027 +:0413B700FD07879314 +:0413B8000007D683D1 +:0413B90004D61A63D9 +:0413BA00EA6347E9B2 +:0413BB0066DD5CE7A8 +:0413BC00002717935C +:0413BD00E8868693A5 +:0413BE00439C97B6FF +:0413BF004685878256 +:0413C0006765E7195D +:0413C100EE874683EA +:0413C2000016B693C8 +:0413C30080230691EC +:0413C400BF3D00D752 +:0413C500DF65469901 +:0413C6000007C683D3 +:0413C700471DBFCD32 +:0413C8006769B73565 +:0413C90084974703BB +:0413CA0000E03733D5 +:0413CB00BF390721FE +:0413CC00FF714699CE +:0413CD00BFE14685B1 +:0413CE0003E30789A5 +:0413CF00070534B723 +:0413D0004785B74551 +:0413D10046A24722C7 +:0413D2004713431862 +:0413D300C2981007A5 +:0413D40066C1571285 +:0413D5008EF9431832 +:0413D6004792C291E7 +:0413D700000206B753 +:0413D800CF018F753D +:0413D9000104C70341 +:0413DA0046814605FD +:0413DB0000E6656360 +:0413DC0076930705F8 +:0413DD0088230FF75B +:0413DE00646900D46A +:0413DF00C2024729D6 +:0413E00087840413E7 +:0413E10000E7866338 +:0413E20000F40023F0 +:0413E300C23E47853A +:0413E400439C47A23D +:0413E500FF37F713C4 +:0413E600C39847A2BF +:0413E700C68367E969 +:0413E800879385D78B +:0413E900DE3E85D788 +:0413EA0067E9EA8144 +:0413EB0085C7C78368 +:0413EC008FD9078A04 +:0413ED00C31C4722B4 +:0413EE00439847A237 +:0413EF00000217B72A +:0413F0000EE7A02341 +:0413F100C78357F265 +:0413F200C7810007A8 +:0413F300E0EF4501E1 +:0413F4004782DC2F21 +:0413F50000044703A6 +:0413F6000187C78321 +:0413F70000E7846324 +:0413F800E799479298 +:0413F900CF8547D283 +:0413FA00C7834782DC +:0413FB00CB8500E7B7 +:0413FC00C78367E953 +:0413FD00CA3E861747 +:0413FE0067E5C39D3F +:0413FF00F9C7879310 +:04140000C50397BACF +:041401005782000707 +:04140200C783CA02D0 +:0414030088630007F3 +:04140400578200A764 +:04140500802345817A +:04140600D0EF00A77C +:041407004702984FB1 +:041408000004478312 +:04140900018746838E +:04140A0007136769F4 +:04140B009E6387A7AE +:04140C00460300F69D +:04140D004689000705 +:04140E001EC6F8639B +:04140F00C603468248 +:04141000C683040487 +:0414110001630596D8 +:0414120064691ED615 +:0414130087940613A1 +:0414140086040CA39B +:0414150000070023A9 +:04141600C0B2468D8D +:0414170024F6F9E3DB +:041418000404C603FF +:0414190010E3458512 +:04141A00859322B6DE +:04141B00F593FFA79F +:04141C00440D0FF577 +:04141D0000B674633E +:04141E006170006F8A +:04141F00FFF78593BB +:041420000FF5F513BC +:04142100E16345A19D +:0414220065E102A5D9 +:041423009EC585934A +:04142400C68395AA3C +:0414250045990005E0 +:041426008AE3D63649 +:04142700ECE322B719 +:04142800458520F5E1 +:0414290022B781E382 +:04142A00CCB24702F7 +:04142B0000F70C2397 +:04142C00000707238B +:04142D0084EFC0EF99 +:04142E00478946663E +:04142F000D8575931F +:0414300020F61BE3A4 +:041431000015E5932A +:04143200CCAE4505F2 +:04143300D95FB0EFDE +:04143400450945E63B +:04143500D8DFB0EF5D +:04143600450D45E635 +:04143700D85FB0EFDB +:04143800FE0FC0EFF4 +:04143900CAFFB0EF47 +:04143A00C78367E914 +:04143B00676985F761 +:04143C0087A7071364 +:04143D004585C39D81 +:04143E00008595B3DD +:04143F000405E59328 +:041440000FF5F5931C +:04144100CCAE4519CF +:04144200D19FB0EF97 +:04144300451D45E618 +:04144400D11FB0EF15 +:04144500871367E9B9 +:04144600478687A7A7 +:041447000007440353 +:04144800C683458191 +:0414490047890007C8 +:04144A000087E663CE +:04144B000064159391 +:04144C0085E105E24F +:04144D0000269713CB +:04144E00004697932A +:04144F008FD58FD9CD +:04145000F5938DDDA6 +:0414510045650FF5E9 +:04145200DA3FB0EFDE +:04145300B0EF454170 +:041454005732D53FF7 +:041455007593478DB7 +:0414560014630F8587 +:04145700E59300F722 +:0414580045410055B5 +:04145900D87FB0EF99 +:04145A00FEE34789DD +:04145B004791168718 +:04145C0005200593CF +:04145D000087F463AD +:04145E0005300593BD +:04145F00B0EF45396C +:041460006561D6DF0D +:041461006A05051300 +:04146200F77FA0EF81 +:04146300B0EF455150 +:041464005732D13FEB +:0414650045C1478DA9 +:0414660000F7036325 +:041467004561458115 +:04146800D4BFB0EF4E +:0414690005134581A1 +:04146A00B0EF03607C +:04146B004782D41FC1 +:04146C00470556B228 +:04146D000007A423AD +:04146E00439C47A2B2 +:04146F00BFF7F79339 +:0414700012E68CE311 +:041471004645472283 +:0414720047A2C31CAE +:0414730017B74398CC +:04147400A0230002AF +:0414750047820EE7B5 +:041476000187C783A0 +:0414770000279713A0 +:04147800879367E10E +:0414790097BA9F87F8 +:04147A0047C6438C92 +:04147B00008785134E +:04147C006B0010EF02 +:04147D0065DD47D60C +:04147E008513464547 +:04147F00859301C789 +:0414800010EFE38501 +:04148100450569E0D4 +:04148200C5EFD0EFF3 +:04148300CF89479234 +:04148400C70367E94A +:0414850047A98547A7 +:0414860000F71563F3 +:04148700C0EF453D30 +:04148800A0EFCE5FA4 +:04148900CC02F01F82 +:04148A00C7034782CB +:04148B00C78303D43C +:04148C000763056786 +:04148D0057C202F749 +:04148E00460146814C +:04148F008087879338 +:0414900000A7C503E9 +:04149100C0EF4581E2 +:04149200C503A3AF3C +:04149300C0EF03D4CF +:041494004702B5CF87 +:0414950003D4C78332 +:041496000007242304 +:0414970004F70B2328 +:0414980003D4C58331 +:041499008A63478992 +:04149A00478202F58E +:04149B0003E4C6039D +:04149C000577C78386 +:04149D0002C783639C +:04149E00879357C217 +:04149F00C50380877A +:0414A000C19100A74F +:0414A10047824589B0 +:0414A2000107C683F5 +:0414A3009F4FC0EFA8 +:0414A40003E4C78313 +:0414A5000BA347024C +:0414A600470204F7FE +:0414A7000404C783EF +:0414A800059747035A +:0414A90000F70563E0 +:0414AA000CA3470246 +:0414AB0067E504F7F6 +:0414AC00EF87C6837D +:0414AD004703676525 +:0414AE003633EF974B +:0414AF0046B700D06C +:0414B000A78300020C +:0414B1008B853C06E5 +:0414B20000F61863C5 +:0414B3003C06A783C9 +:0414B4008B8D838D0C +:0414B50002E78C635B +:0414B600000247B732 +:0414B70038078793D8 +:0414B8008B0D43B4A1 +:0414B9009AF9070E87 +:0414BA00C3B48ED158 +:0414BB009A9D43B4FF +:0414BC00C3B88F55CD +:0414BD00C78357F298 +:0414BE00CB890007CF +:0414BF00A02347C25D +:0414C000C0EF000772 +:0414C100450590FF4E +:0414C200A88FE0EF20 +:0414C300C783478212 +:0414C4008EE301872B +:0414C5005437300761 +:0414C600A0EF000A89 +:0414C7000413E2DF49 +:0414C800942ACB8413 +:0414C900E23FA0EF6F +:0414CA0000857A63BC +:0414CB00000217B74D +:0414CC000D07A783DE +:0414CD0000100737CD +:0414CE00D7ED8FF9CE +:0414CF00000227B739 +:0414D000438043987A +:0414D10043DC439421 +:0414D2008B058331D2 +:0414D30007B282AD2D +:0414D4007413C23A91 +:0414D5008A857FF491 +:0414D60000C7D31365 +:0414D7000016C71321 +:0414D8000C843793B6 +:0414D9003793C299EA +:0414DA0046021904A9 +:0414DB000017C7939C +:0414DC0000E64603DD +:0414DD007806166314 +:0414DE000C63461243 +:0414DF00C2027806C7 +:0414E00078078B639B +:0414E10047854602F3 +:0414E20000F60723E6 +:0414E300C23E47912D +:0414E400439C47825C +:0414E50002F4116399 +:0414E600C7834782EF +:0414E7009C6300C73B +:0414E800478200E750 +:0414E900861343DC47 +:0414EA006663FCE752 +:0414EB00879300C320 +:0414EC00FC63032773 +:0414ED0045920067BD +:0414EE0047834609E1 +:0414EF00F363004162 +:0414F000478900C563 +:0414F1000FF7F79367 +:0414F20057D2C23ECD +:0414F300464145A683 +:0414F40004C7851391 +:0414F500C0BACC9A13 +:0414F60010EFDE36DF +:0414F70056F2472042 +:0414F80043664706FA +:0414F90067E9E519A1 +:0414FA0088E7C603B6 +:0414FB001C634785A2 +:0414FC00459200F61F +:0414FD0047834609D2 +:0414FE00F363004153 +:0414FF00478900C554 +:041500000FF7F79357 +:0415010047B6C23EE9 +:041502008783460590 +:041503005C6301170D +:0415040085B702F6AF +:04150500419000020F +:04150600826D451598 +:0415070004638A3DB2 +:04150800419002A666 +:0415090007E217FDE1 +:04150A008A3D826D27 +:04150B008C6387E185 +:04150C00459200C73D +:04150D0047834609C1 +:04150E00F363004142 +:04150F00478900C543 +:041510000FF7F79347 +:041511004782C23E0D +:041512005433C3800B +:04151300543300D479 +:04151400A223028389 +:0415150086230067C2 +:04151600C78000E7A3 +:041517004789AD6DE6 +:04151800478DB4D572 +:041519004791B4C57D +:04151A004795BCF144 +:04151B004799BCE14F +:04151C00479DBCD15A +:04151D0047A1BCC165 +:04151E0047A5B4F138 +:04151F006769B4E163 +:0415200085D7071351 +:0415210000074683F6 +:041522000002463746 +:041523000016B79364 +:0415240000F70023A9 +:0415250000279713F1 +:041526003C062783D5 +:041527008FD99BEDD0 +:041528003CF620234A +:04152900C70357821B +:04152A0067E9000766 +:04152B0086E781A32B +:04152C003A06936385 +:04152D00F5CFC0EF47 +:04152E00E0EF4505A0 +:04152F0047A98D6FCC +:0415300067E9B45162 +:0415310085D7C78310 +:041532000613FBFDA4 +:0415330045813C00B2 +:041534000002453735 +:0415350092EFB0EF92 +:04153600458557820E +:041537000002443733 +:041538000007C503E0 +:04153900CBBFC0EF75 +:04153A000613665DD1 +:04153B0045C1D506CB +:04153C00000245372D +:04153D001A9000EF11 +:04153E00665D57C2CD +:04153F00AF060613DA +:041540008087869387 +:04154100051345C188 +:0415420000EF0104B1 +:04154300665D193098 +:04154400D6060613AE +:04154500051345C184 +:0415460000EF0204AC +:0415470067E9183008 +:0415480080878713FE +:04154900010756033D +:04154A0006400693BE +:04154B00773345C1EC +:04154C00051302D6AB +:04154D0056B303048A +:04154E00665D02D6FE +:04154F00D7060613A2 +:0415500015D000EFC3 +:041551000613665DBA +:0415520045C1D7C6F2 +:041553000404051374 +:0415540014D000EFC0 +:04155500871367E9A8 +:0415560087BA808749 +:0415570001C7D6836F +:0415580001E747035D +:04155900861367DDB1 +:04155A0045C1D8C7E8 +:04155B00050405136B +:04155C0012D000EFBA +:04155D000613665DAE +:04155E0045C1D98624 +:04155F000604051366 +:0415600011D000EFB7 +:04156100871367E99C +:0415620087BA80873D +:041563000187D683A3 +:0415640001A7570381 +:04156500861367DDA5 +:0415660045C1D8C7DC +:04156700070405135D +:041568000FD000EFB1 +:041569000613665DA2 +:04156A0045C1DA8617 +:04156B000804051358 +:04156C000ED000EFAE +:04156D00871367E990 +:04156E0087BA808731 +:04156F0000C7D68358 +:0415700000E7570336 +:04157100861367DD99 +:0415720045C1D8C7D0 +:04157300090405134F +:041574000CD000EFA8 +:041575000613665D96 +:0415760045C1DB464A +:041577000A0405134A +:041578000BD000EFA5 +:04157900879367E904 +:04157A00D70380878C +:04157B00D6830167AB +:04157C0067DD0127FF +:04157D00D8C7861332 +:04157E00051345C14B +:04157F0000EF0B046A +:04158000665D09F0AB +:04158100DC0606136B +:04158200051345C147 +:0415830000EF0E0463 +:04158400578208F092 +:04158500051367657E +:04158600C6830F0405 +:04158700478300078F +:04158800071303C77B +:04158900E78103C72C +:04158A000713675D7F +:04158B00665DAE47A4 +:04158C00AEC60613CE +:04158D0000EF45C165 +:04158E004437067068 +:04158F00665D000293 +:04159000DCC606139C +:04159100051345C138 +:0415920000EF100452 +:04159300665D05305C +:04159400468547053C +:04159500DD860613D6 +:04159600051345C133 +:0415970000EF11044C +:04159800079303F0C2 +:0415990043B8380417 +:04159A0000276713AC +:04159B002623C3B888 +:04159C0007133C04F1 +:04159D00C3F81FF080 +:04159E00B591C7B884 +:04159F00472247A2F6 +:0415A000C793439C0E +:0415A100C31C01075F +:0415A200C783BD1D21 +:0415A3004685010474 +:0415A400E5634701B3 +:0415A500078500F6C0 +:0415A6000FF7F71331 +:0415A700C78367E9A6 +:0415A800882385D738 +:0415A900E7A900E4CA +:0415AA00041364655D +:0415AB00400CA2044A +:0415AC004537464138 +:0415AD0010EF000239 +:0415AE00C7031EA0B1 +:0415AF00481C0104CF +:0415B00097BA070AD5 +:0415B1004641438CE0 +:0415B20000024437B8 +:0415B3000204051316 +:0415B4001D0010EF17 +:0415B5003C04278348 +:0415B6000027E79390 +:0415B7003CF42023BD +:0415B8003C042623A6 +:0415B9002223478D15 +:0415BA0024233CF4B6 +:0415BB00BBC13C0470 +:0415BC00C78367E991 +:0415BD00971385E714 +:0415BE0067E50037A6 +:0415BF00D7C7879370 +:0415C000676197BA0E +:0415C1003F070713C6 +:0415C2009AE3439CC9 +:0415C300C0EFDAE7B4 +:0415C400B375D02FFC +:0415C5000114C783C3 +:0415C600470146850E +:0415C70000F6E563E2 +:0415C800F713078589 +:0415C90067E90FF7C8 +:0415CA0085D7C78377 +:0415CB0000E488A30D +:0415CC006465F3E17E +:0415CD00A20404135D +:0415CE004641506CD6 +:0415CF00000245379A +:0415D000160010EF02 +:0415D1000114C70337 +:0415D200BF9D587CE5 +:0415D300C78346D9AB +:0415D4001E6301543D +:0415D500C78102D7F1 +:0415D600F79317FD73 +:0415D7008AA30FF7DD +:0415D80067E900F4CB +:0415D90085D7C78368 +:0415DA006465F7C18C +:0415DB00A20404134F +:0415DC004641484CF0 +:0415DD00000245378C +:0415DE00128010EF78 +:0415DF00C503505C94 +:0415E0009782015499 +:0415E100464165E535 +:0415E200FBC585932D +:0415E30046B9BF3511 +:0415E400F363873EE8 +:0415E500473900F68C +:0415E6008AA30705C8 +:0415E700B7D100E494 +:0415E800656565DDF3 +:0415E900859346455B +:0415EA000513DF0501 +:0415EB0010EFFA857E +:0415EC0064650F2003 +:0415ED00464565DD2D +:0415EE00E0058593FC +:0415EF00FBC4051321 +:0415F0000E0010EFEA +:0415F1000002473776 +:0415F2003C07278308 +:0415F300E793450530 +:0415F4002023004769 +:0415F500C0EF3CF710 +:0415F60017B7DE5FE6 +:0415F700A703000244 +:0415F80067C10D07B3 +:0415F9008F7D17FDCE +:0415FA00879367E587 +:0415FB004681FD0721 +:0415FC00D583466DE0 +:0415FD00066300077A +:0415FE00068500B7A7 +:0415FF009AE30789DB +:041600004782FEC659 +:0416010002C0071309 +:0416020000D7878303 +:0416030002E787B3C0 +:0416040097BA473218 +:041605000247C7834E +:0416060064634729A9 +:04160700471102F78E +:0416080006D742635C +:04160900973E097887 +:04160A00FC4747034F +:04160B0040D757333A +:04160C00C7158B056E +:04160D000978078AC7 +:04160E00A78397BA5D +:04160F008023FD47F0 +:0416100067E900D7AF +:0416110084078EA319 +:041612000002473754 +:041613003C072783E6 +:0416140020239BED07 +:0416150045013CF758 +:04161600E0FFC0EF42 +:04161700665DB18DCE +:04161800061306852A +:0416190045C5E0C61D +:04161A00FBC40513F5 +:04161B00630000EF79 +:04161C00C0EF4505D1 +:04161D00A537D49F7A +:04161E0005130007A9 +:04161F00A0EF120521 +:04162000B7C1881FA7 +:041621008EE347B15C +:041622006509FAF666 +:041623007105051335 +:0416240086FFA0EFAE +:0416250067E9B79921 +:041626008607C783E9 +:04162700C20781E392 +:04162800463247827D +:0416290000D78683DD +:04162A0002C0079360 +:04162B0002F687B389 +:04162C00466597B2C6 +:04162D000207C78366 +:04162E0008C71E6368 +:04162F00C781467DAC +:04163000F61317FD99 +:0416310007130FF795 +:04163200873302C038 +:0416330047B202E6D2 +:041634000793973E43 +:0416350087B302C0B5 +:04163600002302F695 +:04163700473202C76D +:04163800C50397BA95 +:0416390067E9020754 +:04163A0088F7C783E3 +:04163B0000D79563DC +:04163C00932367E9A4 +:04163D00B0EF88A7DB +:04163E0067E9E36F06 +:04163F0085D7C78301 +:0416400067E1E3A5D6 +:0416410064C7A58352 +:0416420045374641A1 +:0416430000EF0002B2 +:041644004782793030 +:0416450002C00713C5 +:041646008783668DA3 +:04164700869300D7AF +:041648006465BF26F0 +:0416490002E787B37A +:04164A00665D473260 +:04164B00AC46061390 +:04164C00051345C578 +:04164D0097BAFBC489 +:04164E000207C78345 +:04164F0002D787B384 +:041650003E8006933F +:0416510002D7C6B343 +:04165200554000EF10 +:041653000593464174 +:04165400BB9DFBC47B +:04165500460147798A +:04165600F6F767E359 +:04165700B7950785B7 +:04165800C78367E9F4 +:04165900971385E777 +:04165A0067E5003709 +:04165B00D7C78793D3 +:04165C00676197BA71 +:04165D003B0707132D +:04165E004285BB41C5 +:04165F0067E54301F7 +:04166000851365DDAC +:041661004645FA8779 +:04166200E1C58593C6 +:04166300DE1AC09635 +:04166400711000EF12 +:0416650046A95372CD +:0416660006B34795EB +:04166700428602D3E2 +:04166800D36387368B +:04166900471500D74A +:04166A00665D6465F0 +:04166B000613072536 +:04166C0045C5E2C6C8 +:04166D00FBC40513A2 +:04166E00DE1AC0962A +:04166F004E0000EF3A +:0416700000024737F6 +:041671003C07278388 +:04167200E7934505B0 +:0416730020230047E9 +:04167400C0EF3CF790 +:041675004286BE9F4C +:041676000002173720 +:04167700879653728D +:041678000D072283B5 +:04167900177D674131 +:04167A0000E2F2B3E5 +:04167B008F63E38511 +:04167C0067E500021C +:04167D00FD078713CB +:04167E00478146ED6D +:04167F000007560307 +:0416800000C28E63B3 +:0416810007090785C9 +:04168200FED79AE312 +:0416830005136509DD +:04168400C096710596 +:04168500A0EFDE1ADA +:04168600BF75EE8FAF +:041687008863472508 +:04168800471100E71F +:0416890000031363E4 +:04168A00DA634725B3 +:04168B00472902E702 +:04168C00E7B3078534 +:04168D00033302E73A +:04168E00676902E3A3 +:04168F0001A3979A82 +:04169000C0EF86F72A +:04169100C9019DDF0F +:04169200869366DDF8 +:04169300665DD0467A +:04169400AF06061384 +:0416950066DDBD0948 +:04169600A9C68693C8 +:041697004769BFCD13 +:0416980000E795636F +:0416990000134313E4 +:04169A004731BF19FC +:04169B00FAE790E3F7 +:04169C0067E9BBC976 +:04169D0085D7C70323 +:04169E000563479207 +:04169F00B481CC073F +:0416A000F06F47A9F7 +:0416A1004695CCEFAF +:0416A20040F6F663B5 +:0416A30018634689F9 +:0416A400869300D653 +:0416A500F693FFA712 +:0416A600FD630FF6DB +:0416A70046063ED5E0 +:0416A8004405468926 +:0416A90000D6002344 +:0416AA00F06F46098E +:0416AB00440DDD2FDE +:0416AC00F06F460590 +:0416AD00459DDCAFCC +:0416AE0000B78D6391 +:0416AF000023458946 +:0416B000F06F00B720 +:0416B1000023DE6FC5 +:0416B200F06F00F7DE +:0416B300458DDDEF95 +:0416B4004595B7FDA4 +:0416B5000616B7ED71 +:0416B600F5938DD14A +:0416B700E5930FF5B3 +:0416B800F06F00458A +:0416B9004539DE6F62 +:0416BA0005B00593DF +:0416BB00BFEFB0EFDE +:0416BC003E80051354 +:0416BD00E94FF06F92 +:0416BE004007E79367 +:0416BF00EC8FF06F4D +:0416C000C2194612F3 +:0416C10028079963FA +:0416C20007234602B2 +:0416C30046110006C6 +:0416C4009FE3C232AC +:0416C50047828607CB +:0416C6008513465DE5 +:0416C7008593010402 +:0416C80000EF029796 +:0416C900C90952B049 +:0416CA0047834712F9 +:0416CB00E3110041E6 +:0416CC00F7934785C4 +:0416CD00C23E0FF713 +:0416CE00C403478288 +:0416CF00C783027457 +:0416D0008D6304071B +:0416D1004541008708 +:0416D200B58FB0EF31 +:0416D300040E891D5B +:0416D40075938C4935 +:0416D50045410FF488 +:0416D600B92FB0EF89 +:0416D700C5834782FE +:0416D800C78302843E +:0416D9008663041709 +:0416DA00051300B73D +:0416DB00B0EF03D099 +:0416DC004782B7CFBB +:0416DD000294C5832B +:0416DE000427C78393 +:0416DF0000B7856368 +:0416E000B0EF4545DD +:0416E1004782B68FF7 +:0416E20002A4C58316 +:0416E30002B4C40386 +:0416E4000437C7837D +:0416E50000B7976350 +:0416E600C7834782ED +:0416E70089630447C8 +:0416E80045490087E9 +:0416E900B46FB0EF3B +:0416EA00454D85A243 +:0416EB00B3EFB0EFBA +:0416EC00C503478269 +:0416ED00C78302C4E9 +:0416EE0084630457B6 +:0416EF00478502A782 +:0416F00000A7F863F4 +:0416F100C50367E9DD +:0416F200157187B730 +:0416F3000015351396 +:0416F400053347E192 +:0416F50067E102F5B2 +:0416F600A2478793ED +:0416F700B0EF953E7D +:0416F8004782C0EF76 +:0416F90002D4C5034F +:0416FA000467C78337 +:0416FB0000A784635D +:0416FC00D82FB0EF44 +:0416FD000793646586 +:0416FE00C503F40428 +:0416FF00C78302E4B7 +:041700000413047753 +:041701008463F40405 +:04170200B0EF00A79D +:04170300C703CD6FDC +:04170400478302F421 +:04170500DA3A048444 +:0417060002E7816312 +:04170700B0EF456991 +:041708005752A82F5D +:04170900FCF5751363 +:04170A0000471793EA +:04170B0000A7E5B39B +:04170C000FF5F5934D +:04170D00B0EF45698B +:04170E00C783AB4F93 +:04170F004703030485 +:04171000C5830494F5 +:041711001663031444 +:04171200470300F792 +:041713000A6304A4BD +:04171400058E00B787 +:04171500F5938DDDDE +:0417160005130FF5B3 +:04171700B0EF0280AD +:041718008793A8CF3C +:04171900853E0324E2 +:04171A000593461DD0 +:04171B0000EF04B423 +:04171C0067E53DF050 +:04171D0007E78793C0 +:04171E00853EC5013E +:04171F00B18FB0EFE7 +:041720000394C70364 +:0417210005244783D1 +:0417220000F7186351 +:0417230003A4C70351 +:0417240005344783BE +:0417250000F709635D +:04172600879367E559 +:04172700C50304C72B +:04172800B0EF03D744 +:0417290067E9DCAFE1 +:04172A0085F7849328 +:04172B000004C703EC +:04172C001007066339 +:04172D00879367E552 +:04172E00C58304C7A4 +:04172F00470303B7B2 +:041730001D630544EC +:0417310067E50CB7A5 +:0417320004C78793CE +:0417330003C7C7031E +:04173400055447838E +:041735000EF7046344 +:041736008BAFB0EFD6 +:04173700879367E548 +:04173800C70304C718 +:0417390064DD03C7A1 +:04173A0095C484933B +:04173B00070607B7DF +:04173C001007879378 +:04173D008593464109 +:04173E001088098482 +:04173F00CEBEDE3A02 +:041740008C7FA0EF0B +:041741008593464105 +:0417420018880A8475 +:041743008BBFA0EFC9 +:04174400189C577224 +:04174500E319DA3E8C +:04174600DA3E109CDB +:04174700450145858E +:04174800900FB0EF5F +:0417490008FC4481D3 +:04174A00009787334A +:04174B0000074583CB +:04174C00B0EF4509AC +:04174D0057D28EEFF2 +:04174E0000249713C9 +:04174F00973E45116B +:041750005593431852 +:04175100F593010704 +:04175200DE3A0FF577 +:041753008D4FB0EF17 +:04175400451557726E +:041755000087559321 +:041756000FF5F59303 +:041757008C4FB0EF14 +:041758004519577266 +:041759000FF775937E +:04175A008B8FB0EFD2 +:04175B004505458576 +:04175C008B0FB0EF50 +:04175D00B0EF45059F +:04175E008915862F34 +:04175F000485FD659B +:0417600092E34711B8 +:041761004581FAE4E0 +:04176200898FB0EFCC +:0417630005644503D1 +:04176400CDCFB0EF46 +:04176500C202A025F7 +:04176600DF8FF06FB2 +:04176700058615D10D +:041768000FF5F593F1 +:04176900DA2E45052A +:04176A00878FB0EFC6 +:04176B00450955D205 +:04176C00870FB0EF44 +:04176D000004C7832A +:04176E00F00797E306 +:04176F00859367E512 +:04177000061304C791 +:041771000513042038 +:04177200A0EF01944F +:041773004712FFCF4B +:04177400872367E977 +:041775004789880711 +:041776000AF7056306 +:041777000B63479128 +:04177800478500F7AA +:0417790004F71563F9 +:04177A0000E44783BD +:04177B00A0EFC3A96F +:04177C00A835AF9F3E +:04177D0000E44783BA +:04177E006769C3A92B +:04177F00874707137E +:0417800045C5431CFC +:04178100E79345594C +:04178200C31C00275D +:041783000002173712 +:041784000EF7202319 +:041785008D6FB0EFC5 +:0417860002B0051395 +:04178700B0EF4581F9 +:04178800C0EF8CCF53 +:0417890045038B1F6A +:04178A00B0EF056453 +:04178B000513C42F4F +:04178C00A0EF12C0F8 +:04178D00E06FACCF8E +:04178E002423EF3FE2 +:04178F00B0EF0004B3 +:041790004783A83FA4 +:041791006565018405 +:04179200971346451E +:0417930067E10027E3 +:041794009F87879311 +:04179500438C97BA30 +:0417960000850513B2 +:04179700245000EFEB +:04179800656565DD41 +:0417990085934645A9 +:04179A000513E385CB +:04179B0000EF01C595 +:04179C0045052330AC +:04179D00FF2FC0EF6B +:04179E00AAAFA0EF5F +:04179F00CC02CE02A8 +:0417A0004783B77D47 +:0417A100D7C500E4C4 +:0417A20084BFC0EF51 +:0417A3004695B74D63 +:0417A400C0F6E7E3C1 +:0417A50046014409AC +:0417A6009E4FF06FF3 +:0417A700F7C1011372 +:0417A800C13ED6A6C2 +:0417A90067E5DA8690 +:0417AA00DCB6D8A22F +:0417AB00A483DEBA7B +:0417AC00DC63F2C741 +:0417AD000793000599 +:0417AE00C09C08B023 +:0417AF0050D6557D3E +:0417B00054B6544691 +:0417B10008410113D7 +:0417B2000793808297 +:0417B3001823208057 +:0417B400C22A00F154 +:0417B500842ECA2A8A +:0417B600C19947810D +:0417B700FFF5879320 +:0417B800CC3EC63E1F +:0417B90057FD18B40C +:0417BA008526004C34 +:0417BB0000F11923FD +:0417BC002C59C036AE +:0417BD00556357FD1C +:0417BE00079300F598 +:0417BF00C09C08B012 +:0417C0004792DC5D13 +:0417C100000780237A +:0417C200C5C5BF5D7D +:0417C300FFC5A78334 +:0417C400C4221141E9 +:0417C5008413C606BD +:0417C600D363FFC525 +:0417C700943E000745 +:0417C80000EFC02A44 +:0417C90067690BB091 +:0417CA008987278361 +:0417CB00863A450213 +:0417CC002223EB9158 +:0417CD002C230004C5 +:0417CE0044228887A2 +:0417CF00014140B2E2 +:0417D00009F0006FAD +:0417D10000F47F633E +:0417D2000733401485 +:0417D300966300D445 +:0417D400439800E74F +:0417D500973643DC24 +:0417D600C05CC0181B +:0417D70088862C23B1 +:0417D800873EBFE9A0 +:0417D900C39943DC91 +:0417DA00FEF47DE3B9 +:0417DB00063343147A +:0417DC001F6300D7B0 +:0417DD004010008632 +:0417DE00C31496B2E8 +:0417DF0000D70633F6 +:0417E000FAC79DE3C4 +:0417E10043DC439012 +:0417E200C31496B2E4 +:0417E300B775C35CB7 +:0417E40000C4756365 +:0417E500C11C47B12B +:0417E6004010B74DAB +:0417E70000C406B381 +:0417E80000D796632D +:0417E90043DC439406 +:0417EA00C01496B2DF +:0417EB00C340C05CDB +:0417EC008082B769D7 +:0417ED00C2261141BE +:0417EE0000358493AB +:0417EF00C60698F1A1 +:0417F00004A1C4226A +:0417F10087AA47314B +:0417F20004E4FC63AC +:0417F300EB6344B1AF +:0417F400853E04B476 +:0417F50000EFC03E03 +:0417F60067690070AF +:0417F7008987268335 +:0417F800061347820B +:0417F9008436898722 +:0417FA006469E43109 +:0417FB0089C4041386 +:0417FC00EB014018A5 +:0417FD004581853E5F +:0417FE0000EFC03EFA +:0417FF00478279C0E4 +:04180000853EC00859 +:04180100C03E85A6BA +:0418020078E000EF9B +:041803004782577D44 +:0418040006E516637C +:04180500C39847310C +:0418060000EF853E2C +:04180700A0297C4058 +:04180800FA04D7E324 +:04180900C398473108 +:04180A0040B24501A2 +:04180B00449244229D +:04180C008082014194 +:04180D008F054018EB +:04180E0002074F631B +:04180F00F66345AD8A +:04181000C01800E517 +:04181100C004943A41 +:041812004058A02971 +:041813000286936353 +:04181400853EC21833 +:0418150078A000EFC8 +:0418160000B4051302 +:0418170000440793EF +:041818000733996198 +:0418190002E340F5B1 +:04181A00943AFCF50B +:04181B00C01C8F89D5 +:04181C00C2D8BF6D02 +:04181D0086A2BFF9E7 +:04181E00B7BD4040D2 +:04181F000035041379 +:0418200002E39871D6 +:0418210005B3FC858A +:04182200853E40A41B +:041823002721C03E7B +:041824004782577D23 +:04182500FAE519E3E4 +:041826001111BFB528 +:041827004590C232F4 +:04182800CC06C826FC +:0418290084AECA229D +:04182A0008C6E463A5 +:04182B00D50382AAB5 +:04182C00771300C569 +:04182D00CB3D480562 +:04182E00470D48D04A +:04182F0007334080BB +:04183000498C02C716 +:0418310007B34609AA +:04183200C03E40B4C0 +:0418330002C7443371 +:041834000016861301 +:041835007363963E05 +:04183600843200C434 +:0418370040057513E0 +:04183800C535C636B6 +:0418390085A28516E9 +:04183A00F0EFC416F1 +:04183B0042A2ECBF1A +:04183C00473146B238 +:04183D004602CD256D +:04183E00C636488CD6 +:04183F00A0EFC42A28 +:04184000D603CC8F70 +:04184100432200C47A +:04184200761346B221 +:041843006613B7F67B +:0418440096230806D9 +:04184500478200C412 +:041846000064A8236F +:04184700933EC8C044 +:0418480040F407332E +:041849000064A02374 +:04184A00C498863682 +:04184B0000C6F3637D +:04184C004592863605 +:04184D00C0324088DD +:04184E00CA4FA0EFEE +:04184F004602449871 +:041850008F114501AE +:041851004098C4985F +:04185200C090963A72 +:041853008516A80D41 +:04185400C41686220E +:0418550042A2257115 +:04185600832A46B2E9 +:04185700488CFD4D6F +:04185800C01685161B +:04185900DA7FF0EF53 +:04185A00473142824E +:04185B0000E2A023E4 +:04185C0000C4D703EA +:04185D006713557D3B +:04185E0096230407C2 +:04185F0040E200E47F +:0418600044C24452E8 +:04186100808201710F +:0418620000C5D703E3 +:04186300F7810113F5 +:04186400DEA6C12219 +:0418650001F10793F3 +:04186600C22AC306C9 +:041867007713C02E05 +:0418680084B2080737 +:04186900FF87F413EE +:04186A004998CB1DB1 +:04186B000593EB0DE9 +:04186C00C636040078 +:04186D00E01FF0EF99 +:04186E0046B24782B5 +:04186F00CB88C388D7 +:041870004712E91919 +:04187100557D47B1A9 +:04187200409AC31CB9 +:0418730054F6440AD9 +:0418740008810113D3 +:0418750047828082A4 +:041876000400071350 +:041877000713CBD8B0 +:041878000CA30200BB +:04187900071300E46D +:04187A002A2303001A +:04187B000D23000435 +:04187C00CA3600E484 +:04187D0006138726A1 +:04187E00468302504B +:04187F00C299000703 +:041880000AC696639B +:04188100409706B3D3 +:041882000297016365 +:041883004512458243 +:04188400C83A8626B2 +:04188500F0EFC63684 +:04188600567DE85F44 +:041887001AC50A6311 +:0418880046B24850CC +:041889009636474206 +:04188A004683C85079 +:04188B00816300076E +:04188C0004931A06A1 +:04188D00577D00176C +:04188E00000420230F +:04188F000004262308 +:041890002423C058F5 +:0418910001A30004AB +:041892002C230404FB +:04189300C583040401 +:0418940067E1000404 +:04189500851346155C +:0418960023A53187CE +:04189700871340145F +:04189800E53900141A +:041899000106F6133B +:04189A000613C60962 +:04189B0001A30200A3 +:04189C00F61304C477 +:04189D00C6090086F2 +:04189E0002B006137B +:04189F0004C401A3D9 +:0418A0000004C583F8 +:0418A10002A0061388 +:0418A20002C58F6389 +:0418A30087264454FC +:0418A4004525458110 +:0418A500460342A90B +:0418A6000313000721 +:0418A700061300170D +:0418A8007763FD065F +:0418A900C98506C522 +:0418AA00A035C4544D +:0418AB00B7B10705C5 +:0418AC00861367E157 +:0418AD008D113187E1 +:0418AE0015334605A3 +:0418AF008EC900A638 +:0418B00084BAC01422 +:0418B1004652B7697B +:0418B2000046059354 +:0418B300CA2E4210E7 +:0418B400020649637C +:0418B5004603C450D2 +:0418B600069300078E +:0418B700116302E0D7 +:0418B800460306D607 +:0418B900069300177B +:0418BA001B6302A00A +:0418BB0046D202D639 +:0418BC00861307097F +:0418BD00429400460B +:0418BE00C163CA3206 +:0418BF00C054020609 +:0418C0000633A081CA +:0418C100E69340C0AA +:0418C200C4500026E8 +:0418C300B7E1C014B5 +:0418C400025686B38F +:0418C5004585871AB4 +:0418C600BFB596B262 +:0418C700B7C556FD4E +:0418C80022230705CB +:0418C9004581000451 +:0418CA0045254681E9 +:0418CB00460342A9E5 +:0418CC0003130007FB +:0418CD0006130017E7 +:0418CE007363FD063D +:0418CF00F1E106C578 +:0418D0000007458345 +:0418D100460D64E17B +:0418D2003204851344 +:0418D3002995C63A53 +:0418D400CD014732C9 +:0418D50032048493C2 +:0418D60006938D05E3 +:0418D70096B30400C0 +:0418D800400800A61E +:0418D9008D5507051D +:0418DA004583C0087A +:0418DB00656100073C +:0418DC000513461991 +:0418DD0004933245F9 +:0418DE000C230017C0 +:0418DF00219100B49F +:0418E0000713C135F4 +:0418E100E70D00000F +:0418E2004752401415 +:0418E3001006F69362 +:0418E4000711CE9189 +:0418E5004858CA3A5B +:0418E600973E47A240 +:0418E700BD99C85887 +:0418E800025686B36B +:0418E9004585871A90 +:0418EA00B75196B2AA +:0418EB00B7DD07213D +:0418EC004512460259 +:0418ED00085866D958 +:0418EE0009A686932E +:0418EF00009785A237 +:0418F00000E700000D +:0418F100C42A000005 +:0418F200577D47A235 +:0418F300FCE795E396 +:0418F400557D478255 +:0418F50000C7D7034E +:0418F6000407771359 +:0418F700DE0717E30E +:0418F800B3E54848C4 +:0418F900451246024C +:0418FA00085866D94B +:0418FB0009A6869321 +:0418FC00221585A28A +:0418FD001101BFC94D +:0418FE00CA2687AEC1 +:0418FF0084BA85B66C +:041900004B98479425 +:04190100CE06CC2220 +:041902008332842A7E +:0419030000D7536353 +:0419040020238736DF +:04190500C68300E3B2 +:04190600C68104375B +:04190700202307058D +:04190800439800E31D +:041909000207771347 +:04190A002703C711D7 +:04190B0007090003C5 +:04190C0000E32023B1 +:04190D008613439862 +:04190E008B19019799 +:04190F004398C33501 +:041910000437C6834F +:04191100020777133F +:0419120000D036B318 +:041913008613E751FF +:0419140085220437ED +:04191500C23EC41AF0 +:041916009482C02EC9 +:041917000763577D8E +:04191800479206E507 +:04191900458243229E +:04191A0026034394C9 +:04191B004311000371 +:04191C0047C88A9995 +:04191D009763470184 +:04191E000733006625 +:04191F00536340C509 +:041920004701000774 +:041921004B9047940C +:0419220000D6546334 +:0419230097368E91D4 +:0419240086134301E2 +:04192500106301A7A3 +:04192600450106670A +:041927000705A80DFB +:04192800250347D478 +:041929008E890003A0 +:04192A00F8D75BE3AC +:04192B008522468546 +:04192C00C63EC81AD1 +:04192D00C232C43AC4 +:04192E009482C02EB1 +:04192F00458256FD9A +:0419300047224612F2 +:04193100434247B234 +:04193200FCD51BE3E2 +:0419330040F2557DAC +:0419340044D24462F3 +:041935008082610546 +:0419360000D786331D +:041937000300051391 +:0419380004A601A35D +:041939000457C60386 +:04193A0000168713F9 +:04193B000689973E44 +:04193C0004C701A338 +:04193D004685BFA973 +:04193E00C61A85221E +:04193F00C232C43AB2 +:041940009482C02E9F +:0419410003E357FD68 +:041942004332FCF53B +:0419430046124722DF +:0419440003054582D0 +:041945001101B7498C +:04194600CA26CC22BF +:04194700C02ACE06DE +:041948008513842E51 +:04194900C583043519 +:04194A0084B60185D9 +:04194B000693C2320B +:04194C00EC630780C1 +:04194D00069300B647 +:04194E00ED6306201F +:04194F008C6300B6EF +:0419500006931C05D9 +:041951008D6305801D +:04195200031312D594 +:041953000123042444 +:04195400A80504B42A +:04195500F9D58693A7 +:041956000FF6F693FF +:0419570066E34655A8 +:041958006661FED6F0 +:041959000613068AE1 +:04195A0096B23546C6 +:04195B0086824294AA +:04195C00031343141A +:04195D0086130424C5 +:04195E00C31000466C +:04195F000123429886 +:04196000470504E44F +:041961004014AA750F +:041962000007230354 +:041963000806F61369 +:0419640000430593A4 +:041965002683C20D06 +:04196600C30C0003AB +:04196700D86366617A +:04196800071300065B +:0419690006B302D0EF +:04196A0001A340D0C5 +:04196B00061304E477 +:04196C00472932C60F +:04196D00F613A0B914 +:04196E0026830406C2 +:04196F00C30C0003A2 +:0419700006C2DE715C +:04197100BFD986C193 +:0419720043144010CA +:04197300080672935D +:041974000046831393 +:041975000002866383 +:0419760000672023C3 +:04197700A8014294ED +:0419780004067613D8 +:0419790000672023C0 +:04197A00D683DA75C1 +:04197B00666100069B +:04197C0006F0071357 +:04197D0032C6061355 +:04197E000EE5876388 +:04197F0001A3472950 +:04198000404C0404CF +:04198100C863C40C67 +:041982002303000536 +:0419830073130004D6 +:041984002023FFB36A +:04198500E29900647F +:04198600CD99832A4A +:04198700F5B3832A07 +:04198800137D02E6E3 +:04198900C58395B2CB +:04198A000023000531 +:04198B0085B600B36A +:04198C0002E6D6B3E6 +:04198D00FEE5F5E39B +:04198E001E6346A1ED +:04198F00401800D725 +:04199000CB118B05E7 +:04199100481840545E +:0419920000D74763D0 +:041993000300071333 +:04199400FEE30FA3BC +:041995000533137D86 +:04199600C8084065D8 +:04199700450246922D +:041998000810872686 +:04199900C41A85A245 +:04199A00D8FFF0EF93 +:04199B004322577D0F +:04199C000CE51C63D7 +:04199D0040F2557D42 +:04199E0044D2446289 +:04199F0080826105DC +:0419A00002A36661D7 +:0419A100061304B471 +:0419A200400C32C6FD +:0419A3000007230313 +:0419A4000805F293AD +:0419A5000003268392 +:0419A6008D63031139 +:0419A70020230202F5 +:0419A800F7130067CA +:0419A900C70100155D +:0419AA000205E593BA +:0419AB004741C00CE4 +:0419AC00400CF6B93C +:0419AD00FDF5F593BC +:0419AE00B791C00C21 +:0419AF00E693401467 +:0419B000C014020657 +:0419B1000780069312 +:0419B20002A36661C5 +:0419B300061304D43F +:0419B400BF653406D1 +:0419B5000405F293A0 +:0419B6000067202383 +:0419B700FC0283E3C8 +:0419B80082C106C220 +:0419B9004721BF7D86 +:0419BA004010BF1901 +:0419BB00484C43143D +:0419BC000806729314 +:0419BD00004683134A +:0419BE000002876339 +:0419BF00006720237A +:0419C000C30C42987A +:0419C1002023A8092E +:0419C2007613006731 +:0419C300429804063C +:0419C4001023DA6DA5 +:0419C500282300B71C +:0419C600832A00046C +:0419C7004314B7818D +:0419C80086134581BC +:0419C900C310004601 +:0419CA000006A3036D +:0419CB00851A4050E9 +:0419CC002841C41AD0 +:0419CD00C5014322EB +:0419CE004065053338 +:0419CF004058C04874 +:0419D00001A3C8188F +:0419D100BF19040432 +:0419D20045924814DE +:0419D300861A450229 +:0419D400577D948225 +:0419D500F2E500E354 +:0419D6008B09401821 +:0419D7004742EB0D8B +:0419D8005AE3444842 +:0419D900853AF0E576 +:0419DA004592B73942 +:0419DB0046854502F6 +:0419DC00C432C63A11 +:0419DD0056FD94829D +:0419DE00EED50EE351 +:0419DF004622473223 +:0419E000445407055F +:0419E1008E8D45C2E0 +:0419E200FED741E308 +:0419E3004701BFC930 +:0419E4000194061351 +:0419E5001151B7FDE8 +:0419E600C026C22233 +:0419E70064E9842A01 +:0419E800C406852E7E +:0419E9008804AA23A1 +:0419EA0057FD2A1566 +:0419EB0000F516638A +:0419EC008944A78300 +:0419ED00C01CC391C6 +:0419EE00441240A2BD +:0419EF0001314482FC +:0419F000F593808269 +:0419F100962A0FF52E +:0419F20000C51463B5 +:0419F30080824501A8 +:0419F4000005478320 +:0419F500FEB78DE3C9 +:0419F600B7FD05052F +:0419F70080828082E8 +:0419F80085B2E5814E +:0419F900FD0FF06F7F +:0419FA00C8061131D9 +:0419FB00C426C62216 +:0419FC00F0EFEA110D +:0419FD004781F18F9E +:0419FE00443240C26D +:0419FF00853E44A23B +:041A0000808201518E +:041A0100C032842E3D +:041A0200203D84AA55 +:041A030087A246026E +:041A0400FEC574E3C4 +:041A0500852685B2FB +:041A0600F0EFC23209 +:041A070087AAF9AF02 +:041A08004612DD6144 +:041A0900C02A85A2C8 +:041A0A00D9FF90EF81 +:041A0B00852685A205 +:041A0C00EDAFF0EF5B +:041A0D00B7C947828C +:041A0E00FFC5A783E6 +:041A0F00FFC7851375 +:041A10000007D56393 +:041A1100419C95AAB5 +:041A12008082953EFB +:041A13001463470110 +:041A1400450100E6A2 +:041A150007B3808211 +:041A1600070500E5DB +:041A170000E586B3AD +:041A18000007C78379 +:041A1900FFF6C6838B +:041A1A00FED783E38D +:041A1B0040D78533F8 +:041A1C00C60D8082F1 +:041A1D004701167DEA +:041A1E0000E507B325 +:041A1F0000E586B3A5 +:041A20000007C78371 +:041A21000006C68372 +:041A220000D79463F2 +:041A230000C7156380 +:041A240040D78533EF +:041A250007058082AF +:041A2600BFDDF3E548 +:041A27008082450173 +:041A2800CA0987AAB6 +:041A29000005C703EA +:041A2A0007850585A2 +:041A2B00FEE78FA3A0 +:041A2C00FB65167DC3 +:041A2D009363963EEB +:041A2E00808200C7EB +:041A2F008FA30785F5 +:041A3000BFD5FE0719 +:041A310087AA95AA41 +:041A320000B7856311 +:041A33000007C703DE +:041A34008533E7010E +:041A3500808240A7C4 +:041A3600B7FD07856C +:041A3700A78363E935 +:041A380011518A03BB +:041A3900C406C222FB +:041A3A008393842AE4 +:041A3B00E79D8A0396 +:041A3C00458145019A +:041A3D004681460197 +:041A3E0002934701C7 +:041A3F0000730D60C3 +:041A400057FD00004E +:041A410000F51A632F +:041A420047B128354B +:041A4300557DC11CF0 +:041A4400441240A266 +:041A45008082013169 +:041A460000A3A02336 +:041A47000003A303F2 +:041A4800460145818D +:041A490000640533FD +:041A4A004701468189 +:041A4B00029347813A +:041A4C0000730D60B6 +:041A4D00941A0000E7 +:041A4E00FC8518E318 +:041A4F0000A3A0232D +:041A5000B7F9851A43 +:041A5100A50367E59D +:041A52008082F2C7D5 +:041A5300000000008F +:041A5400000000008E +:041A5500000000008D +:041A5600000000008C +:041A57004320445391 +:041A58002044524193 +:041A59004C4C495751 +:041A5A0000454220E1 +:041A5B005245564F4B +:041A5C005449525740 +:041A5D00214E45547D +:041A5E0045002121FD +:041A5F00726F7078BA +:041A600031203F747E +:041A6100202C593D9F +:041A6200004E3D32C3 +:041A630073657250E5 +:041A6400203120739A +:041A65003220726F4A +:041A6600000000007C +:041A67004D9000EBB3 +:041A68004E49575339 +:041A690000312E34E6 +:041A6A0000800402F2 +:041A6B00000800026D +:041A6C000020F880DE +:041A6D0000FF003F37 +:041A6E00F4290080D7 +:041A6F004F04C6CF8B +:041A70005043535339 +:041A710049464F5241 +:041A72004146534C4A +:041A73002036315494 +:041A7400000020202E +:041A75004353534F35 +:041A7600464F525035 +:041A7700204E494272 +:041A7800B5048E0023 +:041A7900516F516FE9 +:041A7A0089170000C8 +:041A7B000002516FA5 +:041A7C000010020054 +:041A7D000010000055 +:041A7E000000000064 :041A7F000000000063 -:041A80006C696146E6 -:041A81000000646598 -:041A820073657250C6 -:041A830000000073EC -:041A8400666E6F43D8 -:041A8500006D726915 -:041A86006D73694DC6 -:041A870068637461BB -:041A88006572202C37 -:041A890000797274FA -:041A8A004353534F20 -:041A8B00776620203A -:041A8C007525202E6E -:041A8D00322E252EA2 -:041A8E00000061757E -:041A8F0074696E49BF -:041A900072726520E9 -:041A91002020726F30 -:041A920000006425C7 -:041A9300666F7250B8 -:041A94003A656C69DA -:041A9500000000004D -:041A960065646F4DC7 -:041A970065727020E4 -:041A98003A746573C4 -:041A99000000000049 -:041A9A00646F6D49BF -:041A9B004628206554 -:041A9C002941475045 -:041A9D000000003A0B -:041A9E002D756C2511 -:041A9F006325632533 -:041AA000756C25201C -:041AA100322E252E8E -:041AA2007A48756C9D -:041AA300000000003F -:041AA400746E6343B6 -:041AA50066202F2068 -:041AA600656D617297 -:041AA7000000003A01 -:041AA80000756C2534 -:041AA9006D726946AB -:041AAA006572617789 -:041AAB000000003AFD -:041AAC00252E752549 -:041AAD006175322EFF -:041AAE000000000034 -:041AAF00656E694CAB -:041AB000746C756D70 -:041AB100646F6D20D1 -:041AB20000003A6591 -:041AB3007365727075 -:041AB4002D3120733D -:041AB50000000035F8 -:041AB60020787525FA -:041AB70075736E7560 -:041AB800726F707069 -:041AB90000646574EC -:041ABA00666F725091 -:041ABB0020656C69CD -:041ABC0064616F6C86 -:041ABD000000003AEB -:041ABE00736572706A -:041ABF0075252073F6 -:041AC0000075252D5B -:041AC10020202020A1 -:041AC20053204F4E10 -:041AC30000434E5935 -:041AC4003431303257 -:041AC5003230322D5C -:041AC6006D2020323D -:041AC7007371726164 -:041AC800000000001A -:041AC9000F031F01E7 -:041ACA00000303030F -:041ACB00000148B41A -:041ACC000001496864 -:041ACD000001496863 -:041ACE000001497E4C -:041ACF000001497E4B -:041AD0000001498840 -:041AD1000001498C3B -:041AD2000001499A2C -:041AD3000001499A2B -:041AD400000149AC18 -:041AD50000014E546A -:041AD60000014E5C61 -:041AD70000014E4C70 -:041AD80000014E5863 -:041AD90000014E605A -:041ADA0000014E5069 -:041ADB0000014EAC0C -:041ADC0000014E6453 -:041ADD0000014E684E -:041ADE0000014E6C49 -:041ADF0000014EAC08 -:041AE00000014EAC07 -:041AE10000014EAC06 -:041AE20000014EAC05 -:041AE30000014EAC04 -:041AE4000001542089 -:041AE50000014EB0FE -:041AE6000001502A81 -:041AE7000001503872 -:041AE800000150C8E1 -:041AE9000001510A9D -:041AEA000001510A9C -:041AEB00000151663F -:041AEC000001525E45 -:041AED000001525E44 -:041AEE00000153346C -:041AEF002D6275539C -:041AF000656E696C4A -:041AF100732031200D -:041AF200000072740A -:041AF3002D62755398 -:041AF400656E696C46 -:041AF5007320322008 -:041AF6000000727406 -:041AF7002D62755394 -:041AF800656E696C42 -:041AF9007320332003 -:041AFA000000727402 -:041AFB002D62755390 -:041AFC00656E696C3E -:041AFD0073203420FE -:041AFE0000007274FE -:041AFF002D6275538C -:041B0000656E696C39 -:041B010073203520F8 -:041B020000007274F9 -:041B03002D62755387 -:041B0400756C6F632A -:041B050031206E6DB0 -:041B06007274732062 -:041B070000000000DA -:041B08002D62755382 -:041B0900756C6F6325 -:041B0A0032206E6DAA -:041B0B00727473205D -:041B0C0000000000D5 -:041B0D002D6275537D -:041B0E00756C6F6320 -:041B0F0033206E6DA4 -:041B10007274732058 -:041B110000000000D0 -:041B12002D62755378 -:041B1300756C6F631B -:041B140034206E6D9E -:041B15007274732053 -:041B160000000000CB -:041B17002D62755373 -:041B1800756C6F6316 -:041B190035206E6D98 -:041B1A00727473204E -:041B1B0000000000C6 -:041B1C002D6275536E -:041B1D00756C6F6311 -:041B1E0036206E6D92 -:041B1F007274732049 -:041B200000000000C1 -:041B210073202E48B7 -:041B22006C706D6115 -:041B23007461726512 -:041B24000000006558 -:041B250073202E48B3 -:041B26007461722E46 -:041B2700726620655D -:041B280000006361F5 -:041B290073202E48AF -:041B2A006C636E7901 -:041B2B0000006E65E3 -:041B2C0062202E48BD -:041B2D00706B636115 -:041B2E006863726F07 -:041B2F0000000000B2 -:041B300061202E48BA -:041B310076697463FA -:041B3200000000654A -:041B330073202E5697 -:041B34006C636E79F7 -:041B350000006E65D9 -:041B360062202E56A5 -:041B3700706B63610B -:041B38006863726FFD -:041B390000000000A8 -:041B3A0061202E56A2 -:041B3B0076697463F0 -:041B3C000000006540 -:041B3D00706D615313 -:041B3E00676E696CF9 -:041B3F006168702049 -:041B400000006573C9 -:041B410000007332FB -:041B420000007335F7 -:041B430000733031CA -:041B44000066664F82 -:041B45006C6C754609 -:041B4600000000009B -:041B4700706D695301 -:041B48000000656CC8 -:041B490000007333F2 -:041B4A0000733033C1 -:041B4B0072727543FA -:041B4C0020746E652E -:041B4D0075706E69D8 -:041B4E00000000741F -:041B4F00206C6C4159 -:041B500075706E69D5 -:041B510000007374A9 -:041B52002066664F54 -:041B5300207366286D -:041B54003639203DC1 -:041B5500297A486B36 -:041B5600000000008B -:041B570020207832A0 -:041B58002073662868 -:041B59003834203DBF -:041B5A00297A486B31 -:041B5B000000000086 -:041B5C0000315641BD -:041B5D0000325641BB -:041B5E0063616C4211 -:041B5F000000006B17 -:041B600065756C42F9 -:041B61000000000080 -:041B620065657247FC -:041B63000000006E10 -:041B64006E617943F2 -:041B6500000000007C -:041B66000064655260 -:041B67006567614D00 -:041B68000061746E36 -:041B69006C6C6559E2 -:041B6A000000776F91 -:041B6B0074696857DA -:041B6C000000006510 -:041B6D0069726F48E2 -:041B6E00746E6F7AA8 -:041B6F0000006C61A5 -:041B700074726556D0 -:041B71006C616369D7 +:041A80000010000052 +:041A8100000804DC79 +:041A8200000804DC78 +:041A8300000804DC77 +:041A8400000804DC76 +:041A85000001045404 +:041A86000001045AFD +:041A870000010460F6 +:041A880000010466EF +:041A8900000104084C +:041A8A00000104282B +:041A8B00000104282A +:041A8C000001042829 +:041A8D000001042828 +:041A8E000001042827 +:041A8F000001042826 +:041A90000001042C21 +:041A9100000104321A +:041A92006C206F4E07 +:041A9300006B6E690D +:041A94006D20642538 +:041A950000000056F7 +:041A9600252E75255F +:041A97002075322E56 +:041A98000000737562 +:041A99002525752565 +:041A9A000000000048 +:041A9B006F747541AE +:041A9C0000002820FE +:041A9D007525732513 +:041A9E0000007325AC +:041A9F006C2075251D +:041AA00073656E6993 +:041AA1000000000041 +:041AA2006420642533 +:041AA30000000042FD +:041AA4007020752514 +:041AA5006C6578698B +:041AA60000000073C9 +:041AA700656E6F44B5 +:041AA800000000003A +:041AA9006C696146BD +:041AAA002820646527 +:041AAB000029642585 +:041AAC0000011BAE6C +:041AAD0000011BC851 +:041AAE0000011BD246 +:041AAF0000011C0412 +:041AB00000011BE036 +:041AB1006420642524 +:041AB2000000676564 +:041AB300252E752542 +:041AB4000075322E59 +:041AB5006573753CA4 +:041AB60000003E648A +:041AB70044525355ED +:041AB8000041544154 +:041AB900706D653CAB +:041ABA00003E7974FD +:041ABB00203A752533 +:041ABC00000073258E +:041ABD002520732548 +:041ABE0063252D75FA +:041ABF000000000023 +:041AC000252E752535 +:041AC1006B75322EE1 +:041AC20025207A4819 +:041AC3002E252E7529 +:041AC4007A487532B5 +:041AC500000000001D +:041AC600783032370B +:041AC7005F30303428 +:041AC80000003037B3 +:041AC9007830343607 +:041ACA005F30303425 +:041ACB0000003037B0 +:041ACC0078207325E6 +:041ACD00000075257B +:041ACE00FFFFFFFF18 +:041ACF00FFFFFFFF17 +:041AD0000000FFFF14 +:041AD100636E61439C +:041AD200656C6C656E +:041AD30000000064AB +:041AD400462F445302 +:041AD5006873616C65 +:041AD60072726520A3 +:041AD7000000726F2A +:041AD80061766E497C +:041AD9002064696CB0 +:041ADA006172617064 +:041ADB00002E736DF9 +:041ADC0053206F4ED6 +:041ADD0061632044DD +:041ADE0064206472AA +:041ADF00002E7465FC +:041AE0006363755374 +:041AE10000737365B6 +:041AE2004620445303 +:041AE300616D726F50 +:041AE400000000748A +:041AE50041463D3108 +:041AE6002C36315415 +:041AE700523D32201A +:041AE8000000574162 +:041AE9006F7078455D +:041AEA006E6974723B +:041AEB002E2E2E6706 +:041AEC0000000000F6 +:041AED0073616C466F +:041AEE006576206891 +:041AEF002066697292 +:041AF0006C69616656 +:041AF10000000000F1 +:041AF20061766E4962 +:041AF3002064696C96 +:041AF4002072646890 +:041AF5000043524315 +:041AF60061766E495E +:041AF7002064696C92 +:041AF8006174616450 +:041AF90043524320F1 +:041AFA0000000000E8 +:041AFB00616470555D +:041AFC00632065748A +:041AFD0065636E614E +:041AFE0064656C6C43 +:041AFF0000000000E3 +:041B000061766E4953 +:041B01002064696C87 +:041B020067616D6941 +:041B03000000006579 +:041B040061766E494F +:041B05002064696C83 +:041B06006461656849 +:041B07000000726503 +:041B08004353534FA1 +:041B090000000000D8 +:041B0A00696C61564B +:041B0B006974616434 +:041B0C006420676E7C +:041B0D00006174619E +:041B0E0062207525B7 +:041B0F00736574790D +:041B100000000000D1 +:041B1100252E7525E3 +:041B12002575322ED5 +:041B130000732573C3 +:041B14006164705543 +:041B1500676E69741A +:041B1600005746200E +:041B170061656C7028 +:041B1800772065735A +:041B19002E7469615C +:041B1A0000002E2E6B +:041B1B006972655630 +:041B1C006E6979660F +:041B1D006C6620676B +:041B1E000068736187 +:041B1F0061656C7020 +:041B20007220657357 +:041B21006174736513 +:041B220000007472D9 +:041B23007274655221 +:041B2400676E697906 +:041B25006470752053 +:041B26000065746181 +:041B27006164705530 +:041B2800203F657481 +:041B29002C593D31C5 +:041B2A004E3D3220DA +:041B2B0000000000B6 +:041B2C006D72694627 +:041B2D006572617705 +:041B2E00647075204A +:041B2F006465746114 +:041B300000000000B1 +:041B31000001451258 +:041B32000001455811 +:041B33000001456008 +:041B340000014568FF +:041B350064616F4C2C +:041B36002E676E693F +:041B370000002E2E4E +:041B3800732064258D +:041B390073746F6CE6 +:041B3A00616F6C204B +:041B3B000064656479 +:041B3C006F706D4910 +:041B3D00203F74725F +:041B3E002C593D31B0 +:041B3F004E3D3220C5 +:041B400000000000A1 +:041B41006C69614624 +:041B420000006465D6 +:041B43007365725004 +:041B4400000000732A +:041B4500666E6F4316 +:041B4600006D726953 +:041B47006D73694D04 +:041B480068637461F9 +:041B49006572202C75 +:041B4A000079727438 +:041B4B004353534F5E +:041B4C007766202078 +:041B4D007525202EAC +:041B4E00322E252EE0 +:041B4F0000006175BC +:041B500074696E49FD +:041B51007272652027 +:041B52002020726F6E +:041B53000000642505 +:041B540065646F4D08 +:041B55006572702025 +:041B56003A74657305 +:041B5700000000008A +:041B580072666552FA +:041B59002068736528 +:041B5A0065746172DB +:041B5B000000003A4C +:041B5C00252E752598 +:041B5D004875322E67 +:041B5E000000007A09 +:041B5F0020562F4895 +:041B6000636E7973C4 +:041B61003A6E656C07 +:041B6200000000007F +:041B630075352D2582 +:041B6400352D2520D6 +:041B65000000007507 +:041B660020562F488E +:041B67006B636162E9 +:041B680063726F70C5 +:041B690000003A68D6 +:041B6A0020562F488A +:041B6B0069746361D5 +:041B6C00003A657660 +:041B6D0020562F4887 +:041B6E0061746F74BB +:041B6F0000003A6CCC +:041B7000666F7250DA +:041B71003A656C69FC :041B7200000000006F -:041B730069726F48DC -:041B74002B202E7A7A -:041B7500726556201F -:041B760000002E74C9 -:041B770074737543CB -:041B780000006D6F8D -:041B790000706F5435 -:041B7A0074746F42CE -:041B7B0000006D6F8A -:041B7C00746C754DC3 -:041B7D00696C7069B6 -:041B7E0069746163C2 -:041B7F0000006E6F85 -:041B800074627553C3 -:041B810074636172B6 -:041B8200006E6F6919 -:041B83006F747541C5 -:041B8400000000005D -:041B850000006E4F9F -:041B8600494D444839 -:041B87004752282079 -:041B880000002942EE -:041B8900494D444836 -:041B8A004359282073 -:041B8B00347243620B -:041B8C0000293434C4 -:041B8D000049564471 -:041B8E0075657350B6 -:041B8F0034206F642B -:041B90004420333A80 -:041B910000005241BD -:041B920020313A3193 -:041B9300005241506B -:041B94003032393181 -:041B9500383031783B -:041B9600000000301B -:041B97003030363183 -:041B9800303231783E -:041B99000000003018 -:041B9A00303239317B -:041B9B00303231783B -:041B9C000000003015 -:041B9D00656E6547C5 -:041B9E0020636972E5 -:041B9F00393A363168 -:041BA0000000000041 -:041BA100656E6547C1 -:041BA20020636972E1 -:041BA30000333A349D -:041BA400783231352D -:041BA5002030343286 -:041BA6006974706F7F -:041BA70000002E6D9F -:041BA8007834383322 -:041BA9002030343282 -:041BAA006974706F7B -:041BAB0000002E6D9B -:041BAC007830323328 -:041BAD00203034327E -:041BAE006974706F77 -:041BAF0000002E6D97 -:041BB000783635321C -:041BB100203034327A -:041BB2006974706F73 -:041BB30000002E6D93 -:041BB4007373615096 -:041BB5007572687469 -:041BB600000000002B -:041BB700656E694CA2 -:041BB8002820783237 -:041BB90029626F62CC -:041BBA000000000027 -:041BBB00656E694C9E -:041BBC00000078327B -:041BBD00656E694C9C -:041BBE002820783330 -:041BBF006563616C8D -:041BC0000000296494 -:041BC100656E694C98 -:041BC200282078342B -:041BC30029626F62C2 -:041BC400000000001D -:041BC500656E694C94 -:041BC600322078321F -:041BC700337830340B -:041BC80000003036B3 -:041BC900656E694C90 -:041BCA00322078331A -:041BCB003378303407 -:041BCC0000003036AF -:041BCD00656E694C8C -:041BCE004720783301 -:041BCF0072656E6568 -:041BD0000000636945 -:041BD100656E694C88 -:041BD2000000783364 -:041BD300656E694C86 -:041BD4000000783461 -:041BD500656E694C84 -:041BD600000078355E -:041BD7004D382E3423 -:041BD80028207A48FF -:041BD90056544448D2 -:041BDA002943502F1C +:041B73006D726946E0 +:041B740065726177BE +:041B75000000003A32 +:041B7600252E75257E +:041B77006175322E34 +:041B78004D2040209C +:041B79003120726144 +:041B7A0030322033B2 +:041B7B000000333201 +:041B7C00656E694CDD +:041B7D00746C756DA2 +:041B7E00646F6D2003 +:041B7F0000003A65C3 +:041B800073657270A7 +:041B81002D3120736F +:041B8200000000352A +:041B8300207875252C +:041B840075736E7592 +:041B8500726F70709B +:041B8600006465741E +:041B8700666F7250C3 +:041B880020656C69FF +:041B890064616F6CB8 +:041B8A000000003A1D +:041B8B00736572709C +:041B8C007525207328 +:041B8D000075252D8D +:041B8E0020202020D3 +:041B8F0053204F4E42 +:041B900000434E5967 +:041B91003431303289 +:041B92003230322D8E +:041B93006D2020336E +:041B94007371726196 +:041B9500000000004C +:041B9600071F1F0105 +:041B970003030F0F26 +:041B98000001030144 +:041B990000014E40B9 +:041B9A0000014EFEFA +:041B9B0000014EFEF9 +:041B9C0000014F14E1 +:041B9D0000014F14E0 +:041B9E0000014F1ED5 +:041B9F0000014F22D0 +:041BA00000014F30C1 +:041BA10000014F30C0 +:041BA20000014F42AD +:041BA3000001546683 +:041BA4000001546E7A +:041BA5000001545E89 +:041BA6000001546A7C +:041BA7000001547273 +:041BA8000001546282 +:041BA900000154BE25 +:041BAA00000154766C +:041BAB000001547A67 +:041BAC000001547E62 +:041BAD00000154BE21 +:041BAE00000154BE20 +:041BAF00000154BE1F +:041BB000000154BE1E +:041BB100000154BE1D +:041BB20000015A7262 +:041BB300000154C217 +:041BB4000001567C5A +:041BB5000001568A4B +:041BB60000015714BF +:041BB7000001574C86 +:041BB8000001574C85 +:041BB900000157A030 +:041BBA000001589638 +:041BBB000001589637 +:041BBC000001597A51 +:041BBD006E692048E5 +:041BBE007672657462 +:041BBF0000006C6155 +:041BC0006E692056D4 +:041BC100767265745F +:041BC20000006C6152 +:041BC3002D627553C7 +:041BC400656E696C75 +:041BC5007320312038 +:041BC6000000727435 +:041BC7002D627553C3 +:041BC800656E696C71 +:041BC9007320322033 +:041BCA000000727431 +:041BCB002D627553BF +:041BCC00656E696C6D +:041BCD00732033202E +:041BCE00000072742D +:041BCF002D627553BB +:041BD000656E696C69 +:041BD1007320342029 +:041BD2000000727429 +:041BD3002D627553B7 +:041BD400656E696C65 +:041BD5007320352024 +:041BD6000000727425 +:041BD7002D627553B3 +:041BD800756C6F6356 +:041BD90031206E6DDC +:041BDA00727473208E :041BDB000000000006 -:041BDC004D352E3025 -:041BDD0028207A48FA -:041BDE0056544453C2 -:041BDF0000000029D9 -:041BE0004D372E311E -:041BE10028207A48F6 -:041BE20056544445CC -:041BE30000000029D5 -:041BE4004D352E321B -:041BE50028207A48F2 -:041BE6002978616D8C -:041BE70000000000FA -:041BE800484D303103 -:041BE9006D28207AC9 -:041BEA000029646505 -:041BEB00484D3333FB -:041BEC006D28207AC6 -:041BED0000296E69F4 -:041BEE0020414756F5 -:041BEF0078303436E0 -:041BF000403030341D -:041BF1000000303789 -:041BF20020414756F1 -:041BF30078303237DD -:041BF4004030303419 -:041BF5000000303785 -:041BF60020565444DD -:041BF70070303834DE -:041BF80000000000E9 -:041BF90041534556B9 -:041BFA00303436202D -:041BFB0030383478D2 -:041BFC00003036403F -:041BFD0020505350D1 -:041BFE0078303834CF -:041BFF000032373247 -:041C00002E63655298 -:041C01003130362028 -:041C020000000000DE -:041C03002E63655295 -:041C0400393037201C -:041C050000000000DB -:041C0600484D3539D7 -:041C07004828207ACF -:041C080020565444CA -:041C0900002949491C -:041C0A00484D3533D9 -:041C0B004828207ACB -:041C0C0020565444C6 -:041C0D000000294961 -:041C0E00484D3631D6 -:041C0F004528207ACA -:041C100029565444B9 -:041C110000000000CF -:041C12007A484D3986 -:041C130044532820EE -:041C140000295654F9 -:041C1500616F4C3C73 -:041C16007270206464 -:041C17006C69666F1F -:041C1800003E206505 -:041C19007661533C61 -:041C1A00727020655F -:041C1B006C69666F1B -:041C1C00003E206501 -:041C1D007365523C5D -:041C1E007320746556 -:041C1F00697474650B -:041C20003E73676E3A -:041C210000000000BF -:041C22006B6E694C30 -:041C23006F7270204C -:041C2400693E2D6682 -:041C25007475706EF4 -:041C260000000000BA -:041C27006B6E694C2B -:041C2800706E692051 -:041C29003E2D747563 -:041C2A00666F7270FF -:041C2B0000000000B5 -:041C2C0074696E4920 -:041C2D00206C61695D -:041C2E0075706E69F6 -:041C2F00000000743D -:041C30006F74754117 -:041C3100657465640D -:041C3200692074634E -:041C33007475706EE6 -:041C340000000000AC -:041C35006F74754112 -:041C360031564120C2 -:041C3700472F5920BA -:041C38000000007335 -:041C39006F7475410E -:041C3A0032564120BD -:041C3B00472F5920B6 -:041C3C000000007331 -:041C3D006F7475410A -:041C3E0033564120B8 -:041C3F00472F5920B2 -:041C4000000000732D -:041C41002044434CAC -:041C420074204C427C -:041C43006F656D69F3 -:041C440000007475B3 -:041C45000044534FB5 -:041C46002044534F94 -:041C470074617473DD -:041C4800642073752C -:041C49002E7073691D -:041C4A000000000096 -:041C4B00706D493C33 -:041C4C002074726F1F -:041C4D0074746573D3 -:041C4E003E20202EE6 -:041C4F000000000091 -:041C50007078453C27 -:041C51002074726F1A -:041C520074746573CE -:041C53003E20202EE1 -:041C5400000000008C -:041C55002E77463C64 -:041C56006470752021 -:041C5700206574612F -:041C58003E202020EA -:041C59000000000087 -:041C5A006E776F44EE -:041C5B006D61732D17 -:041C5C006E696C70D1 -:041C5D00000000671C -:041C5E0070617753E7 -:041C5F0066656C202A -:041C600069722F7402 -:041C6100007468673C -:041C62002D6572502A -:041C63002043444195 -:041C64006E696167DD -:041C6500000000007B -:041C66006C6C7546E7 -:041C6700205854208D -:041C680075746573B7 -:041C69000000007007 -:041C6A00203356418C -:041C6B0065746E69C5 -:041C6C0063616C72D2 -:041C6D0078696665C7 -:041C6E000000000072 -:041C6F002033564187 -:041C70002065737503 -:041C71002E746C6100 -:041C72004247522073 -:041C7300000000006D -:041C740061666544FC -:041C750020746C75F6 -:041C7600494D444848 -:041C77004349562067 -:041C78000000000068 -:041C7900616E6150E7 -:041C7A00696E6F73AD -:041C7B006168206319 -:041C7C0000006B6396 -:041C7D0069726F48D1 -:041C7E00746E6F7A97 -:041C7F006D206C6107 -:041C8000006B736121 -:041C810074726556BE -:041C82006C616369C5 -:041C830073616D20FC -:041C84000000006BF1 -:041C85006B73614DCF -:041C86006C6F6320FC -:041C87000000726F78 -:041C88006B73614DCC -:041C890069726220FA -:041C8A006E746867A5 -:041C8B00007373650A -:041C8C0065766552C2 -:041C8D0020657372E9 -:041C8E000046504C70 -:041C8F005949443C2F -:041C900074616C20EF -:041C91006574202E28 -:041C9200003E747329 -:041C93006E616353C8 -:041C9400656E696CA4 -:041C950000000073D8 -:041C9600202E6C533D -:041C9700657274738B -:041C98006874676E97 -:041C99000000000047 -:041C9A00202E6C5339 -:041C9B007262796890 -:041C9C0073206469E4 -:041C9D00002E72742F -:041C9E00202E6C5335 -:041C9F006874656D93 -:041CA0000000646F6D -:041CA100202E6C5332 -:041CA20065746C6198 -:041CA30074616E7288 -:041CA40000676E69FE -:041CA500202E6C532E -:041CA60067696C619D -:041CA7006E656D6E8B -:041CA80000000074C4 -:041CA900202E6C532A -:041CAA0020746C61D5 -:041CAB0065746E6985 -:041CAC006C6176727F -:041CAD000000000033 -:041CAE00202E6C5325 -:041CAF00657079746F -:041CB0000000000030 -:041CB1004320203C70 -:041CB2006F74737563 -:041CB3006C53206DE1 -:041CB4003E20202E80 -:041CB500000000002B -:041CB6007030343224 -:041CB7003838322F58 -:041CB80072702070B6 -:041CB9000000636F55 -:041CBA007034383317 -:041CBB003030342F62 -:041CBC0072702070B2 -:041CBD000000636F51 -:041CBE00693038341D -:041CBF003637352F50 -:041CC00072702069B5 -:041CC1000000636F4D -:041CC2007030383412 -:041CC3003637352F4C -:041CC40072702070AA -:041CC5000000636F49 -:041CC6006930363912 -:041CC7003830312F51 -:041CC80070206930EF -:041CC90000636F72D3 -:041CCA00656E694C8E -:041CCB006D207832DE -:041CCC000065646FDC -:041CCD00656E694C8B -:041CCE006D207833DA -:041CCF000065646FD9 -:041CD000656E694C88 -:041CD1006D207834D6 -:041CD2000065646FD6 -:041CD300656E694C85 -:041CD4006D207835D2 -:041CD5000065646FD3 -:041CD600656E694C82 -:041CD70066207835D6 -:041CD800616D726F59 -:041CD9000000007493 -:041CDA0078363532F1 -:041CDB00203034324F -:041CDC00657073615B -:041CDD00000074632C -:041CDE006D205854C9 -:041CDF000065646FC9 -:041CE000494D4448DE -:041CE10043544920FF -:041CE20000000000FE -:041CE3006C616E4181 -:041CE4007320676F93 -:041CE50020636E7991 -:041CE6000046504C18 -:041CE7006C616E417D -:041CE8005320676FAF -:041CE9004C204354F4 -:041CEA000000465060 -:041CEB006C616E4179 -:041CEC007320676F8B -:041CED0020636E7989 -:041CEE0000687456C0 -:041CEF006E7973484F -:041CF0006F7420638A -:041CF1006172656C4B -:041CF2000065636EB8 -:041CF3006E7973563D -:041CF400687420638D -:041CF5006873657239 -:041CF60000646C6FAB -:041CF7004C502D48D8 -:041CF8007250204CBA -:041CF9006F432D65A3 -:041CFA00007473619E -:041CFB004C502D48D4 -:041CFC006F50204CB9 -:041CFD00432D74738C -:041CFE007473616F2B -:041CFF0000000000E1 -:041D000070303834D3 -:041D0100206E6920C7 -:041D0200706D61732C -:041D03000072656C99 -:041D040070303034D7 -:041D0500206E6920C3 -:041D0600706D617328 -:041D07000072656C95 -:041D08006F6C6C414F -:041D09005654207795 -:041D0A0050482050CD -:041D0B0078324C4C92 -:041D0C0000000000D3 -:041D0D006F6C6C414A -:041D0E007075207755 -:041D0F00706D61731F -:041D10007832656C54 +:041BDC002D627553AE +:041BDD00756C6F6351 +:041BDE0032206E6DD6 +:041BDF007274732089 +:041BE0000000000001 +:041BE1002D627553A9 +:041BE200756C6F634C +:041BE30033206E6DD0 +:041BE4007274732084 +:041BE50000000000FC +:041BE6002D627553A4 +:041BE700756C6F6347 +:041BE80034206E6DCA +:041BE900727473207F +:041BEA0000000000F7 +:041BEB002D6275539F +:041BEC00756C6F6342 +:041BED0035206E6DC4 +:041BEE00727473207A +:041BEF0000000000F2 +:041BF0002D6275539A +:041BF100756C6F633D +:041BF20036206E6DBE +:041BF3007274732075 +:041BF40000000000ED +:041BF50073202E48E3 +:041BF6006C706D6141 +:041BF700746172653E +:041BF8000000006584 +:041BF90073202E48DF +:041BFA007461722E72 +:041BFB007266206589 +:041BFC000000636121 +:041BFD0073202E48DB +:041BFE006C636E792D +:041BFF0000006E650F +:041C000062202E48E8 +:041C0100706B636140 +:041C02006863726F32 +:041C030000000000DD +:041C040061202E48E5 +:041C05007669746325 +:041C06000000006575 +:041C070073202E56C2 +:041C08006C636E7922 +:041C090000006E6504 +:041C0A0062202E56D0 +:041C0B00706B636136 +:041C0C006863726F28 +:041C0D0000000000D3 +:041C0E0061202E56CD +:041C0F00766974631B +:041C1000000000656B +:041C1100706D61533E +:041C1200676E696C24 +:041C13006168702074 +:041C140000006573F4 +:041C15000000733226 +:041C16000000733522 +:041C170000733031F5 +:041C18000066664FAD +:041C19006C6C754634 +:041C1A0000000000C6 +:041C1B00706D69532C +:041C1C000000656CF3 +:041C1D00000073331D +:041C1E0000733033EC +:041C1F007272754325 +:041C200020746E6559 +:041C210075706E6903 +:041C2200000000744A +:041C2300206C6C4184 +:041C240075706E6900 +:041C250000007374D4 +:041C26002066664F7F +:041C27002073662898 +:041C28003639203DEC +:041C2900297A486B61 +:041C2A0000000000B6 +:041C2B0020207832CB +:041C2C002073662893 +:041C2D003834203DEA +:041C2E00297A486B5C +:041C2F0000000000B1 +:041C300000315641E8 +:041C310000325641E6 +:041C320063616C423C +:041C33000000006B42 +:041C340065756C4224 +:041C350000000000AB +:041C36006565724727 +:041C37000000006E3B +:041C38006E6179431D +:041C390000000000A7 +:041C3A00006465528B +:041C3B006567614D2B +:041C3C000061746E61 +:041C3D006C6C65590D +:041C3E000000776FBC +:041C3F007469685705 +:041C4000000000653B +:041C410069726F480D +:041C4200746E6F7AD3 +:041C430000006C61D0 +:041C440074726556FB +:041C45006C61636902 +:041C4600000000009A +:041C470069726F4807 +:041C48002B202E7AA5 +:041C4900726556204A +:041C4A0000002E74F4 +:041C4B0074737543F6 +:041C4C0000006D6FB8 +:041C4D0000706F5460 +:041C4E0074746F42F9 +:041C4F0000006D6FB5 +:041C5000746C754DEE +:041C5100696C7069E1 +:041C520069746163ED +:041C530000006E6FB0 +:041C540074627553EE +:041C550074636172E1 +:041C5600006E6F6944 +:041C57006F747541F0 +:041C58000000000088 +:041C590000006E4FCA +:041C5A00494D444864 +:041C5B0047522820A4 +:041C5C000000294219 +:041C5D00494D444861 +:041C5E00435928209E +:041C5F003472436236 +:041C600000293434EF +:041C6100004956449C +:041C620075657350E1 +:041C630034206F6456 +:041C64004420333AAB +:041C650000005241E8 +:041C660020313A31BE +:041C67000052415096 +:041C680030323931AC +:041C69003830317866 +:041C6A000000003046 +:041C6B0030303631AE +:041C6C003032317869 +:041C6D000000003043 +:041C6E0030323931A6 +:041C6F003032317866 +:041C70000000003040 +:041C7100656E6547F0 +:041C72002063697210 +:041C7300393A363193 +:041C7400000000006C +:041C7500656E6547EC +:041C7600206369720C +:041C770000333A34C8 +:041C78007832313558 +:041C790020303432B1 +:041C7A006974706FAA +:041C7B0000002E6DCA +:041C7C00783438334D +:041C7D0020303432AD +:041C7E006974706FA6 +:041C7F0000002E6DC6 +:041C80007830323353 +:041C810020303432A9 +:041C82006974706FA2 +:041C830000002E6DC2 +:041C84007836353247 +:041C850020303432A5 +:041C86006974706F9E +:041C870000002E6DBE +:041C880073736150C1 +:041C89007572687494 +:041C8A000000000056 +:041C8B00656E694CCD +:041C8C002820783262 +:041C8D0029626F62F7 +:041C8E000000000052 +:041C8F00656E694CC9 +:041C900000007832A6 +:041C9100656E694CC7 +:041C9200282078335B +:041C93006563616CB8 +:041C940000002964BF +:041C9500656E694CC3 +:041C96002820783456 +:041C970029626F62ED +:041C98000000000048 +:041C9900656E694CBF +:041C9A004720783334 +:041C9B0072656E659B +:041C9C000000636978 +:041C9D00656E694CBB +:041C9E003220783246 +:041C9F003378303432 +:041CA00000003036DA +:041CA100656E694CB7 +:041CA2003220783341 +:041CA300337830342E +:041CA40000003036D6 +:041CA500656E694CB3 +:041CA600000078338F +:041CA700656E694CB1 +:041CA800000078348C +:041CA900656E694CAF +:041CAA000000783589 +:041CAB004D382E344E +:041CAC0028207A482A +:041CAD0056544448FD +:041CAE002943502F47 +:041CAF000000000031 +:041CB0004D352E3050 +:041CB10028207A4825 +:041CB20056544453ED +:041CB3000000002904 +:041CB4004D372E3149 +:041CB50028207A4821 +:041CB60056544445F7 +:041CB7000000002900 +:041CB8004D352E3246 +:041CB90028207A481D +:041CBA002978616DB7 +:041CBB000000000025 +:041CBC00484D30312E +:041CBD006D28207AF4 +:041CBE000029646530 +:041CBF00484D333326 +:041CC0006D28207AF1 +:041CC10000296E691F +:041CC2002041475620 +:041CC300783034360B +:041CC4004030303448 +:041CC50000003037B4 +:041CC600204147561C +:041CC7007830323708 +:041CC8004030303444 +:041CC90000003037B0 +:041CCA002056544408 +:041CCB007030383409 +:041CCC000000000014 +:041CCD0041534556E4 +:041CCE003034362058 +:041CCF0030383478FD +:041CD000003036406A +:041CD10020505350FC +:041CD20078303834FA +:041CD3000032373272 +:041CD4002E636552C4 +:041CD5003130362054 +:041CD600000000000A +:041CD7002E636552C1 +:041CD8003930372048 +:041CD9000000000007 +:041CDA00484D353903 +:041CDB004828207AFB +:041CDC0020565444F6 +:041CDD000029494948 +:041CDE00484D353305 +:041CDF004828207AF7 +:041CE00020565444F2 +:041CE100000029498D +:041CE200484D363102 +:041CE3004528207AF6 +:041CE40029565444E5 +:041CE50000000000FB +:041CE6007A484D39B2 +:041CE700445328201A +:041CE8000029565425 +:041CE9006B6E694C69 +:041CEA006F72702085 +:041CEB00693E2D66BB +:041CEC007475706E2D +:041CED0000000000F3 +:041CEE006B6E694C64 +:041CEF00706E69208A +:041CF0003E2D74759C +:041CF100666F727038 +:041CF20000000000EE +:041CF30074696E4959 +:041CF400206C616996 +:041CF50075706E692F +:041CF6000000007476 +:041CF7006F74754150 +:041CF8006574656446 +:041CF9006920746387 +:041CFA007475706E1F +:041CFB0000000000E5 +:041CFC006F7475414B +:041CFD0031564120FB +:041CFE00472F5920F3 +:041CFF00000000736E +:041D00006F74754146 +:041D010032564120F5 +:041D0200472F5920EE +:041D03000000007369 +:041D04006F74754142 +:041D050033564120F0 +:041D0600472F5920EA +:041D07000000007365 +:041D08002044434CE4 +:041D090074204C42B4 +:041D0A006F656D692B +:041D0B0000007475EB +:041D0C000044534FED +:041D0D002044534FCC +:041D0E007461747315 +:041D0F006420737564 +:041D10002E70736955 :041D110000000000CE -:041D12007664413C76 -:041D13006974202EA1 -:041D1400676E696D20 -:041D15003E2020202C -:041D160000000000C9 -:041D17006564695640 -:041D1800504C206F9C -:041D19000000004680 -:041D1A00506250596A -:041D1B006E6920725B -:041D1C006C6F432085 -:041D1D00006170539E -:041D1E0072502F527E -:041D1F0066666F2065 -:041D20000074657373 -:041D210020592F47CF -:041D22007366666F0F -:041D230000007465E3 -:041D240062502F4298 -:041D250066666F205F -:041D2600007465736D -:041D270072502F5275 -:041D28006961672066 -:041D29000000006E48 -:041D2A0020592F47C6 -:041D2B006E69616715 +:041D12007361685041 +:041D13006F68206570 +:041D140079656B740E +:041D150000000000CA +:041D1600616F4C3C71 +:041D17007270206462 +:041D18006C69666F1D +:041D1900003E206503 +:041D1A007661533C5F +:041D1B00727020655D +:041D1C006C69666F19 +:041D1D00003E2065FF +:041D1E007365523C5B +:041D1F007320746554 +:041D20006974746509 +:041D21003E73676E38 +:041D220000000000BD +:041D2300706D493C5A +:041D24002074726F46 +:041D250074746573FA +:041D26003E20202E0D +:041D270000000000B8 +:041D28007078453C4E +:041D29002074726F41 +:041D2A0074746573F5 +:041D2B003E20202E08 :041D2C0000000000B3 -:041D2D0062502F428F -:041D2E006961672060 -:041D2F000000006E42 -:041D30002D6572505B -:041D310020434441C6 -:041D32006E6961472E -:041D330000000000AC -:041D34006D616C432E -:041D35004C412F707E -:041D3600666F204371 -:041D370074657366F6 -:041D380000000000A7 -:041D390020434C41B6 -:041D3A006966205660 -:041D3B007265746CED -:041D3C0000000000A3 -:041D3D0020434C41B2 -:041D3E00696620486A -:041D3F007265746CE9 +:041D2D002E77463C8B +:041D2E006470752048 +:041D2F002065746156 +:041D30003E20202011 +:041D310000000000AE +:041D32006E776F4415 +:041D33006D61732D3E +:041D34006E696C70F8 +:041D35000000006743 +:041D3600706177530E +:041D370066656C2051 +:041D380069722F7429 +:041D39000074686763 +:041D3A006F6E6F4D0C +:041D3B00646F6D2044 +:041D3C00000000653E +:041D3D002D6572504E +:041D3E0020434441B9 +:041D3F006E69616701 :041D4000000000009F -:041D41006564695616 -:041D42006E69206F37 -:041D43006F7270202B -:041D44003E202063BA -:041D4500000000009A -:041D4600706D615308 -:041D4700676E696CEE -:041D480074706F2024 -:041D49003E20202EEA -:041D4A000000000095 -:041D4B00636E7953F7 -:041D4C0074706F2020 -:041D4D002020202E04 -:041D4E003E202020F3 -:041D4F000000000090 -:041D50007074754FE7 -:041D51006F20747516 -:041D5200202E74705B -:041D53003E202020EE -:041D5400000000008B -:041D55006E61635305 -:041D5600656E696CE1 -:041D570074706F2015 -:041D58003E20202EDB -:041D59000000000086 -:041D5A0074736F50DF -:041D5B006F72702D06 -:041D5C0020202E63B2 -:041D5D003E202020E4 -:041D5E000000000081 -:041D5F00706D6F43F1 -:041D600062697461DF -:041D610074696C69CC -:041D62003E20207986 -:041D6300000000007C -:041D640069647541F8 -:041D6500706F206F0C -:041D66006E6F6974BF -:041D67003E20207387 -:041D68000000000077 -:041D690074746553D6 -:041D6A0073676E69C4 -:041D6B0074706F2001 -:041D6C003E202020D5 -:041D6D000000000072 -:041D6E0074736554D1 -:041D6F00746170200B -:041D70006E726574B6 -:041D7100000000006E -:041D72005F31564146 -:041D7300534247523E -:041D7400000000006B -:041D75005F31564143 -:041D7600427347521B -:041D77000000000068 -:041D78005F31564140 -:041D7900506250590B -:041D7A0000000072F3 -:041D7B005F3256413C -:041D7C005062505908 -:041D7D0000000072F0 -:041D7E005F32564139 -:041D7F004273475212 -:041D8000000000005F -:041D81005F33564135 -:041D8200484247523A -:041D83000000005606 -:041D84005F33564132 -:041D8500534247522C -:041D86000000000059 -:041D87005F3356412F -:041D88004273475209 -:041D89000000000056 -:041D8A005F3356412C -:041D8B0050625059F9 -:041D8C0000000072E1 -:041D8D007473614CBE -:041D8E0065737520E4 -:041D8F0000000064EC -:041D90002D706F54EF -:041D91007466656CA3 -:041D9200000000004D -:041D9300746E6543C2 -:041D94000000726574 -:041D950074746F42B1 -:041D9600722D6D6FCE -:041D9700746867699C -:041D98000000000047 -:041D99000000003115 -:041D9A000000003213 -:041D9B000000003311 -:041D9C00000000340F -:041D9D00000000360C -:041D9E00000000370A -:041D9F000000003808 -:041DA0000000003906 -:041DA100554E454D09 -:041DA200000000003D -:041DA30000004B4FA2 -:041DA4004B4341422A -:041DA500000000003A -:041DA6000000505594 -:041DA7004E574F4400 -:041DA8000000000037 -:041DA9005446454C0B -:041DAA000000000035 -:041DAB00484749520A -:041DAC0000000054DF -:041DAD004F464E4906 -:041DAE000000000031 -:041DAF005F44434CFE -:041DB0004B4341421E -:041DB1004847494C0A -:041DB20000000054D9 -:041DB3004E41435307 -:041DB400454E494C03 -:041DB500444F4D5FEB -:041DB60000000045E4 -:041DB7004E41435303 -:041DB800454E494CFF -:041DB9005059545FCA -:041DBA0000000045E0 -:041DBB004E414353FF -:041DBC00454E494CFB -:041DBD00544E495FD8 -:041DBE000000002BF6 -:041DBF004E414353FB -:041DC000454E494CF7 -:041DC100544E495FD4 -:041DC2000000002DF0 -:041DC300454E494CF4 -:041DC400544C554DD9 -:041DC500444F4D5FDB -:041DC60000000045D4 -:041DC70053414850EC -:041DC80000002B45A7 -:041DC90053414850EA -:041DCA0000002D45A3 -:041DCB00464F5250DD -:041DCC005F454C49DA -:041DCD004B544F48DC -:041DCE000000594573 -:041DCF000303020008 -:041DD000020001020A -:041DD100000000030B -:041DD200000175B8DF -:041DD300000175C8CE -:041DD400000175D4C1 -:041DD500000175E0B4 -:041DD600000175ECA7 -:041DD700000175F89A -:041DD800000176048C -:041DD900000176107F -:041DDA000001761C72 -:041DDB000001762865 -:041DDC000001763458 -:041DDD000001700091 -:041DDE0000002000E1 -:041DDF0020002CE5CF -:041DE000E926F4FDFF -:041DE10038BC2000EA -:041DE20000000000FD -:041DE3000001700C7F -:041DE40000002000DB -:041DE5002000323E6A -:041DE600F113FA04F7 -:041DE7003B6120003C +:041D41006C6C75460B +:041D420020585420B1 +:041D430075746573DB +:041D4400000000702B +:041D450020335641B0 +:041D4600206573752C +:041D47002E746C6129 +:041D4800424752209C +:041D49000000000096 +:041D4A006166654425 +:041D4B0020746C751F +:041D4C00494D444871 +:041D4D004349562090 +:041D4E000000000091 +:041D4F006B73614D04 +:041D50006C6F632031 +:041D51000000726FAD +:041D52006B73614D01 +:041D5300697262202F +:041D54006E746867DA +:041D5500007373653F +:041D56006E61635304 +:041D5700656E696CE0 +:041D58000000007314 +:041D5900202E6C5379 +:041D5A0065727473C7 +:041D5B006874676ED3 +:041D5C000000000083 +:041D5D00202E6C5375 +:041D5E006874656DD3 +:041D5F000000646FAD +:041D6000202E6C5372 +:041D610065746C61D8 +:041D620074616E72C8 +:041D630000676E693E +:041D6400202E6C536E +:041D650067696C61DD +:041D66006E656D6ECB +:041D67000000007404 +:041D6800202E6C536A +:041D690065707974B4 +:041D6A000000000075 +:041D6B004320203CB5 +:041D6C006F747375A8 +:041D6D006C53206D26 +:041D6E003E20202EC5 +:041D6F000000000070 +:041D70007030343269 +:041D71003838322F9D +:041D720072702070FB +:041D73000000636F9A +:041D7400703438335C +:041D75003030342FA7 +:041D760072702070F7 +:041D77000000636F96 +:041D78006930383462 +:041D79003637352F95 +:041D7A0072702069FA +:041D7B000000636F92 +:041D7C007030383457 +:041D7D003637352F91 +:041D7E0072702070EF +:041D7F000000636F8E +:041D80006930363957 +:041D81003830312F96 +:041D82007020693034 +:041D830000636F7218 +:041D8400656E694CD3 +:041D85006D20783223 +:041D86000065646F21 +:041D8700656E694CD0 +:041D88006D2078331F +:041D89000065646F1E +:041D8A00656E694CCD +:041D8B006D2078341B +:041D8C000065646F1B +:041D8D00656E694CCA +:041D8E006D20783517 +:041D8F000065646F18 +:041D9000656E694CC7 +:041D9100662078351B +:041D9200616D726F9E +:041D930000000074D8 +:041D94007836353236 +:041D95002030343294 +:041D960065707361A0 +:041D97000000746371 +:041D98006D2058540E +:041D99000065646F0E +:041D9A00494D444823 +:041D9B004354492044 +:041D9C000000000043 +:041D9D006C616E41C6 +:041D9E007320676FD8 +:041D9F0020636E79D6 +:041DA0000046504C5D +:041DA1006C616E41C2 +:041DA2005320676FF4 +:041DA3004C20435439 +:041DA40000004650A5 +:041DA5006C616E41BE +:041DA6007320676FD0 +:041DA70020636E79CE +:041DA8000068745605 +:041DA9006E79734894 +:041DAA006F742063CF +:041DAB006172656C90 +:041DAC000065636EFD +:041DAD006E79735682 +:041DAE0068742063D2 +:041DAF00687365727E +:041DB00000646C6FF0 +:041DB1004C502D481D +:041DB2007250204CFF +:041DB3006F432D65E8 +:041DB40000747361E3 +:041DB5004C502D4819 +:041DB6006F50204CFE +:041DB700432D7473D1 +:041DB8007473616F70 +:041DB9000000000026 +:041DBA007030383419 +:041DBB00206E69200D +:041DBC00706D617372 +:041DBD000072656CDF +:041DBE00703030341D +:041DBF00206E692009 +:041DC000706D61736E +:041DC1000072656CDB +:041DC2006F6C6C4195 +:041DC30070752077A0 +:041DC400706D61736A +:041DC5007832656C9F +:041DC6000000000019 +:041DC7007664413CC1 +:041DC8006974202EEC +:041DC900676E696D6B +:041DCA003E20202077 +:041DCB000000000014 +:041DCC00656469568B +:041DCD00504C206FE7 +:041DCE0000000046CB +:041DCF0050625059B5 +:041DD0006E692072A6 +:041DD1006C6F4320D0 +:041DD20000617053E9 +:041DD30072502F52C9 +:041DD40066666F20B0 +:041DD50000746573BE +:041DD60020592F471A +:041DD7007366666F5A +:041DD800000074652E +:041DD90062502F42E3 +:041DDA0066666F20AA +:041DDB0000746573B8 +:041DDC0072502F52C0 +:041DDD0069616720B1 +:041DDE000000006E93 +:041DDF0020592F4711 +:041DE0006E69616760 +:041DE10000000000FE +:041DE20062502F42DA +:041DE30069616720AB +:041DE4000000006E8D +:041DE5002D657250A6 +:041DE6002043444111 +:041DE7006E69614779 :041DE80000000000F7 -:041DE9000001901D48 -:041DEA0000000002F3 -:041DEB00000110EEF5 -:041DEC00000176403C -:041DED000001764C2F -:041DEE000001765426 -:041DEF000D80600003 -:041DF000004020107F -:041DF10008040220C0 -:041DF200000040228B -:041DF30000000000EC -:041DF4000DC06000BE -:041DF50000783C1125 -:041DF600070180E081 -:041DF7000000180EC2 -:041DF80000000000E7 -:041DF9000D806000F9 -:041DFA000030180499 -:041DFB000201406041 -:041DFC0000001406C9 -:041DFD0000000000E2 -:041DFE000001902729 -:041DFF000000000ED2 -:041E0000000122FCBF -:041E01000001766402 -:041E020000017668FD -:041E03000001766CF8 -:041E040000017670F3 -:041E050000016AD49A -:041E060000017674ED -:041E070000017678E8 -:041E08000001767CE3 -:041E090000017680DE -:041E0A0000016E6401 -:041E0B0000017684D8 -:041E0C000001768CCF -:041E0D0000017690CA -:041E0E0000017698C1 -:041E0F000001769CBC -:041E1000000176A4B3 -:041E1100000176ACAA -:041E1200000176B4A1 -:041E1300000176BC98 -:041E1400000176CC87 -:041E1500000176DC76 -:041E1600000176EC65 -:041E1700000176FC54 -:041E18000001770C42 -:041E19000001771C31 -:041E1A000001772428 -:041E1B000001772C1F -:041E1C003EA93E2974 -:041E1D003EE93E69F3 -:041E1E003E993E1992 -:041E1F003ED93E5911 -:041E20003EC93E3940 -:041E21003E1D3E4DD7 -:041E22003E2D3EED26 -:041E23003EAD3ECDC5 -:041E24003E653E6D6C -:041E25001C483E0116 -:041E26001C501C1818 -:041E27001CC81CD0E7 -:041E28005ED85E58CA -:041E290000003EB9BE -:041E2A0000000000B4 -:041E2B0000000001B2 +:041DE9006D616C4379 +:041DEA004C412F70C9 +:041DEB00666F2043BC +:041DEC007465736641 +:041DED0000000000F2 +:041DEE0020434C4101 +:041DEF0069662056AB +:041DF0007265746C38 +:041DF10000000000EE +:041DF20020434C41FD +:041DF30069662048B5 +:041DF4007265746C34 +:041DF50000000000EA +:041DF6006564695661 +:041DF7006E69206F82 +:041DF8006F72702076 +:041DF9003E20206305 +:041DFA0000000000E5 +:041DFB00706D615353 +:041DFC00676E696C39 +:041DFD0074706F206F +:041DFE003E20202E35 +:041DFF0000000000E0 +:041E0000636E795341 +:041E010074706F206A +:041E02002020202E4E +:041E03003E2020203D +:041E040000000000DA +:041E05007074754F31 +:041E06006F20747560 +:041E0700202E7470A5 +:041E08003E20202038 +:041E090000000000D5 +:041E0A006E6163534F +:041E0B00656E696C2B +:041E0C0074706F205F +:041E0D003E20202E25 +:041E0E0000000000D0 +:041E0F0074736F5029 +:041E10006F72702D50 +:041E110020202E63FC +:041E12003E2020202E +:041E130000000000CB +:041E1400706D6F433B +:041E15006269746129 +:041E160074696C6916 +:041E17003E202079D0 +:041E180000000000C6 +:041E19006964754142 +:041E1A00706F206F56 +:041E1B006E6F697409 +:041E1C003E202073D1 +:041E1D0000000000C1 +:041E1E007474655320 +:041E1F0073676E690E +:041E200074706F204B +:041E21003E2020201F +:041E220000000000BC +:041E2300747365541B +:041E24007461702055 +:041E25006E72657400 +:041E260000000000B8 +:041E27005F31564190 +:041E28005342475288 +:041E290000000000B5 +:041E2A005F3156418D +:041E2B004273475265 :041E2C0000000000B2 -:041E2D0000000000B1 -:041E2E0000000000B0 -:041E2F0000000100AE -:041E300001010100AB -:041E310000000100AC -:041E320000000000AC -:041E330001000000AA -:041E3400060B000099 -:041E35000000004465 -:041E360000000001A7 -:041E370001000000A6 -:041E380080000C001A -:041E39008080090399 -:041E3A001A1A1A80D6 -:041E3B0000000A0891 -:041E3C0030303631DB -:041E3D003034327893 -:041E3E00C8000000D8 -:041E3F0007FE00F0AA -:041E40000FCA20C0E5 -:041E410022100396D2 -:041E4200004000025A -:041E430030383231D0 -:041E4400303432788C -:041E4500A0000000F9 -:041E4600061800F08A -:041E47000FAA20C0FE -:041E48002210034819 -:041E4900000204028D -:041E4A00783036397D -:041E4B0000303432FD -:041E4C00780000001A -:041E4D00049200F00B -:041E4E000F8020C021 -:041E4F002210033624 -:041E50000000080284 -:041E5100783231357D -:041E520000303432F6 -:041E5300400000004B -:041E540002AA00F0EE -:041E55000E4D20C04E -:041E56002210033221 -:041E570000841010E3 -:041E5800783438336F -:041E590000303432EF -:041E5A003000000054 -:041E5B00020000F091 -:041E5C000E3B20C059 -:041E5D002210032527 -:041E5E000108202037 -:041E5F007830323372 -:041E600000303432E8 -:041E61002800000055 -:041E620001AA00F0E1 -:041E63000E3120C05C -:041E64002210031F26 -:041E650002104040E7 -:041E66007836353263 -:041E670000303432E1 -:041E68002000000056 -:041E6900015500F02F -:041E6A000E2720C05F -:041E6B002210031925 -:041E6C00042080804E -:041E6D00703034326B -:041E6E000000000070 -:041E6F005A0800000D -:041E7000035A00F021 -:041E71000F3920C045 -:041E72002210033EF9 -:041E73000000000E5D -:041E740030303631A3 -:041E7500303432785B -:041E7600C800004C54 -:041E770007FE00F072 -:041E780029CA27004C -:041E7900221003969A -:041E7A000040000222 -:041E7B003038323198 -:041E7C003838327848 -:041E7D00A0000000C1 -:041E7E000618012021 -:041E7F000FAA27007F -:041E800022100348E1 -:041E81000002040255 -:041E82007830363945 -:041E830000383832B9 -:041E840078000000E2 -:041E850004920120A2 -:041E86000F802700A2 -:041E870022100336EC -:041E8800000008024C -:041E89007832313545 -:041E8A004C30343272 -:041E8B0040000042D1 -:041E8C0002AA00F0B6 -:041E8D00294D2700B4 -:041E8E0022100332E9 -:041E8F0000841010AB -:041E90007834383337 -:041E91004C3034326B -:041E920030000042DA -:041E9300020000F059 -:041E9400293B2700BF -:041E950022100325EF -:041E960001082020FF -:041E9700783032333A -:041E98004C30343264 -:041E990028000042DB -:041E9A0001AA00F0A9 -:041E9B0029312700C2 -:041E9C002210031FEE -:041E9D0002104040AF -:041E9E00783635322B -:041E9F004C3034325D -:041EA00020000042DC -:041EA100015500F0F7 -:041EA20029272700C5 -:041EA30022100319ED -:041EA4000420808016 -:041EA5007038383227 -:041EA6000000000038 -:041EA7005A170000C6 -:041EA80003600120B2 -:041EA90013452700B6 -:041EAA002210033FC0 -:041EAB000000000E25 -:041EAC00783038341E -:041EAD000030363398 -:041EAE003C000000F4 -:041EAF00025801686C -:041EB0000A3F2EE0D7 -:041EB10044100326B0 -:041EB2000000000E1E -:041EB300783034321D -:041EB4000030363391 -:041EB5002000000009 -:041EB600012C016892 -:041EB7000A182EE0F7 -:041EB80044100312BD -:041EB9000001010023 -:041EBA007034383315 -:041EBB000000000023 -:041EBC003E000000E4 -:041EBD00028001801E -:041EBE001D3233009E -:041EBF004410033E8A -:041EC0000000000E10 -:041EC1003030363156 -:041EC2003030347810 -:041EC300C800000053 -:041EC40007D00190B2 -:041EC5002278382027 -:041EC600501002F0C6 -:041EC7000000040013 -:041EC8007830323705 -:041EC9000030303481 -:041ECA005A000000BA -:041ECB0003840190FB -:041ECC002240382058 -:041ECD00501002604F -:041ECE000000000C04 -:041ECF0078303436FD -:041ED000003030347A -:041ED10050000000BD -:041ED2000320019058 -:041ED3002230382061 -:041ED4005010026048 -:041ED5000000000CFD -:041ED60078303436F6 -:041ED7000034383368 -:041ED80050000000B6 -:041ED9000320018061 -:041EDA003F303D80D8 -:041EDB005010026041 -:041EDC000000000EF4 -:041EDD0069303834FC -:041EDE000000000000 -:041EDF005A0600009F -:041EE000035A00F0B1 -:041EE1000F3941A0D4 -:041EE2006210033E49 -:041EE3000002040FE6 -:041EE40070303834EE +:041E2D005F3156418A +:041E2E005062505955 +:041E2F00000000723D +:041E30005F32564186 +:041E31005062505952 +:041E3200000000723A +:041E33005F32564183 +:041E3400427347525C +:041E350000000000A9 +:041E36005F3356417F +:041E37004842475284 +:041E38000000005650 +:041E39005F3356417C +:041E3A005342475276 +:041E3B0000000000A3 +:041E3C005F33564179 +:041E3D004273475253 +:041E3E0000000000A0 +:041E3F005F33564176 +:041E40005062505943 +:041E4100000000722B +:041E42007473614C08 +:041E4300657375202E +:041E44000000006436 +:041E45000000003168 +:041E46000000003266 +:041E47000000003364 +:041E48000000003462 +:041E4900000000365F +:041E4A00000000375D +:041E4B00000000385B +:041E4C000000003959 +:041E4D00554E454D5C +:041E4E000000000090 +:041E4F0000004B4FF5 +:041E50004B4341427D +:041E5100000000008D +:041E520000005055E7 +:041E53004E574F4453 +:041E5400000000008A +:041E55005446454C5E +:041E56000000000088 +:041E5700484749525D +:041E58000000005432 +:041E59004F464E4959 +:041E5A000000000084 +:041E5B005F44434C51 +:041E5C004B43414271 +:041E5D004847494C5D +:041E5E00000000542C +:041E5F004E4143535A +:041E6000454E494C56 +:041E6100444F4D5F3E +:041E62000000004537 +:041E63004E41435356 +:041E6400454E494C52 +:041E65005059545F1D +:041E66000000004533 +:041E67004E41435352 +:041E6800454E494C4E +:041E6900544E495F2B +:041E6A000000002B49 +:041E6B004E4143534E +:041E6C00454E494C4A +:041E6D00544E495F27 +:041E6E000000002D43 +:041E6F00454E494C47 +:041E7000544C554D2C +:041E7100444F4D5F2E +:041E72000000004527 +:041E7300534148503F +:041E740000002B45FA +:041E7500534148503D +:041E760000002D45F6 +:041E7700464F525030 +:041E78005F454C492D +:041E79004B544F482F +:041E7A0000005945C6 +:041E7B00030302005B +:041E7C00020001025D +:041E7D00000000035E +:041E7E000001788C5B +:041E7F000001789C4A +:041E8000000178A83D +:041E8100000178B430 +:041E8200000178C023 +:041E8300000178CC16 +:041E8400000178D809 +:041E8500000178E4FC +:041E8600000178F0EF +:041E8700000178FCE2 +:041E880000017908D4 +:041E89000001735091 +:041E8A000000200034 +:041E8B0020002CE522 +:041E8C00E926F4FD52 +:041E8D0038BC20003D +:041E8E000000000050 +:041E8F000001735C7F +:041E9000000020002E +:041E91002000323EBD +:041E9200F113FA044A +:041E93003B6120008F +:041E9400000000004A +:041E95000D8060005C +:041E960000402010D8 +:041E9700088000209F +:041E9800000800023C +:041E99000000000045 +:041E9A000D80600057 +:041E9B0000402008DB +:041E9C00048000209E +:041E9D000008000237 +:041E9E000000000040 +:041E9F000D80600052 +:041EA00000441C07D7 +:041EA100028000209B +:041EA2000008000232 +:041EA300000000003B +:041EA4000D8060004D +:041EA50000402004D5 +:041EA6000280002096 +:041EA700000800022D +:041EA8000000000036 +:041EA9000D80600048 +:041EAA0000441C05CF +:041EAB000180002092 +:041EAC000008000228 +:041EAD000000000031 +:041EAE000E40600082 +:041EAF0000281407EC +:041EB000028000208C +:041EB1000008000223 +:041EB200000000002C +:041EB300000198632F +:041EB4000000000E1C +:041EB5000001248480 +:041EB600000179149A +:041EB7000001791895 +:041EB8000001791C90 +:041EB900000179208B +:041EBA0000016E08AD +:041EBB000001792485 +:041EBC000001792880 +:041EBD000001792C7B +:041EBE000001793076 +:041EBF00000171B4F9 +:041EC0000001793470 +:041EC1000001793C67 +:041EC2000001794062 +:041EC3000001794859 +:041EC4000001794C54 +:041EC500000179544B +:041EC6000001795C42 +:041EC7000001796439 +:041EC8000001796C30 +:041EC9000001797C1F +:041ECA000001798C0E +:041ECB000001799CFD +:041ECC00000179ACEC +:041ECD00000179BCDB +:041ECE00000179CCCA +:041ECF00000179D4C1 +:041ED000000179DCB8 +:041ED1003EA93E29BF +:041ED2003EE93E693E +:041ED3003E993E19DD +:041ED4003ED93E595C +:041ED5003EC93E398B +:041ED6003E1D3E4D22 +:041ED7003E2D3EED71 +:041ED8003EAD3ECD10 +:041ED9003E653E6DB7 +:041EDA001C483E0161 +:041EDB001C501C1863 +:041EDC001CC81CD032 +:041EDD005ED85E5815 +:041EDE0000003EB909 +:041EDF0000010101FC +:041EE00000010001FC +:041EE10000000000FD +:041EE200800000007C +:041EE30000000000FB +:041EE40000000001F9 :041EE50000000000F9 -:041EE6005A0200009C -:041EE700035A01E0B9 -:041EE8001E3C41A0BB -:041EE9008410063E1D -:041EEA000000000CE8 -:041EEB0078303834DF -:041EEC000032373257 -:041EED003C030000B2 -:041EEE00035A011082 -:041EEF0086B141A0D7 -:041EF0008410063E16 -:041EF10000000204E7 -:041EF20078303436DA -:041EF300003038344F -:041EF4005001000099 -:041EF500032001E0E5 -:041EF600213041A0B6 -:041EF70090100260E5 -:041EF8000000000CDA -:041EF90078303436D3 -:041EFA00003231354C -:041EFB005000000093 -:041EFC0003200200BD -:041EFD001C3047004E -:041EFE0090100260DE -:041EFF000000000CD3 -:041F000069363735D2 -:041F010000000000DC -:041F02005A1500006C -:041F03000360012056 -:041F040013454E2013 -:041F05006210033F24 -:041F06000002040FC2 -:041F070070363735C4 +:041EE60000000000F8 +:041EE70000000000F7 +:041EE8000B000000EB +:041EE90000014406AA +:041EEA0000000000F4 +:041EEB0080800903E7 +:041EEC001A1A1A8024 +:041EED000C000108DC +:041EEE0000000000F0 +:041EEF0000000A00E5 +:041EF0003030363127 +:041EF10030343278DF +:041EF20000000000EC +:041EF30000F00640B5 +:041EF40007FE17705E +:041EF50001060000E2 +:041EF600000F00CA0F +:041EF700000300964E +:041EF80001030410CE +:041EF90000000001E4 +:041EFA0000200000C4 +:041EFB003038323118 +:041EFC0030343278D4 +:041EFD0000000000E1 +:041EFE0000F00500EB +:041EFF00061817703A +:041F000001060000D6 +:041F0100000F00AA23 +:041F02000003004890 +:041F030001030410C2 +:041F040000000001D8 +:041F050000010200D5 +:041F060078303639C0 +:041F07000030343240 :041F080000000000D5 -:041F09005A11000069 -:041F0A00036002402E -:041F0B0027444E20F9 -:041F0C0084100540F8 -:041F0D000000000CC4 -:041F0E0078303038BF -:041F0F000030303638 -:041F10006400000069 -:041F1100042002584E -:041F120017584E808E -:041F13001010048026 -:041F140000000004C5 -:041F150070303237BF -:041F16000030355F03 -:041F1700A013000013 -:041F180007BC02D030 -:041F190014DC5DC0B7 -:041F1A00181005286E -:041F1B0000000004BE -:041F1C0070303237B8 -:041F1D000030365FFB -:041F1E00A00400001B -:041F1F00067202D074 -:041F200014DC5DC0B0 -:041F21001810052867 -:041F220000000004B7 -:041F230034323031F3 -:041F2400383637789C -:041F25008000000038 -:041F2600054003006F -:041F27001DA064C0D5 -:041F28001010068807 -:041F290000000004B0 -:041F2A0030383231E8 -:041F2B0032303178A7 -:041F2C00A0000034DD -:041F2D00069804000E -:041F2E0026F88540CC -:041F2F00101003701B -:041F300000000004A9 -:041F3100783034369A -:041F320069303639A3 -:041F3300500000005A -:041F3400032001E0A5 -:041F35002130834094 -:041F3600A410026091 -:041F37000000000D99 -:041F380030383031DC -:041F390030355F6977 -:041F3A00F01400009F -:041F3B000A50021C2A -:041F3C000F948CA0D2 -:041F3D00B810052CA7 -:041F3E000000000D92 -:041F3F0030383031D5 -:041F400030365F696F -:041F4100F0050000A7 -:041F42000898021CDD -:041F430010948CA0CA -:041F4400B810052CA0 -:041F45000000000D8B -:041F460030383031CE -:041F470030355F7062 -:041F4800F01F000086 -:041F49000A500438FE -:041F4A0024948CA0AF -:041F4B001810052C39 -:041F4C00000000048D -:041F4D0030383031C7 -:041F4E0030365F705A -:041F4F00F01000008E -:041F500008980438B1 -:041F510024948CA0A8 -:041F52001810052C32 -:041F53000000000486 -:041F540030303631C2 -:041F5500303231787D -:041F5600C80000308F -:041F5700087004B05A -:041F58002EFF9C407C -:041F5900101003F170 -:041F5A00000000047F -:041F5B00000190549D -:041F5C000000002859 -:041F5D000001110866 -:041F5E007665642F11 -:041F5F006370652F17 -:041F60006F635F71DB -:041F61006F72746EB9 -:041F620072656C6CCC -:041F63005F305F325A -:041F64005F6C7661D7 -:041F6500006D656D39 -:041F66002B302D23CC -:041F67000000002056 -:041F6800004C6C6855 -:041F690045676665FD -:041F6A0000004746E6 -:041F6B0033323130AC -:041F6C00373635349B -:041F6D00424139387C -:041F6E00464544435D -:041F6F00000000006E -:041F700033323130A7 -:041F71003736353496 -:041F72006261393837 -:041F730066656463D8 -:041F74000000000069 -:041F750000016262A3 -:041F7600000162788C -:041F77000001623CC7 -:041F78000001623CC6 -:041F79000001623CC5 -:041F7A000001623CC4 -:041F7B000001627887 -:041F7C000001623CC2 -:041F7D000001623CC1 -:041F7E000001623CC0 -:041F7F000001623CBF -:041F8000000163DC1D -:041F8100000162BA3F -:041F8200000163AE49 -:041F83000001623CBB -:041F84000001623CBA -:041F850000016410E3 -:041F86000001623CB8 -:041F8700000162BA39 -:041F88000001623CB6 -:041F89000001623CB5 -:041F8A00000163B639 -:041F8B00C896554B54 -:041F8C000000000948 -:041F8D000001802CA3 -:041F8E00000000034C -:041F8F00000180E0ED -:041F90000000000548 -:041F91000001811CAE -:041F92000000000B40 -:041F93000001818048 -:041F94000000000940 -:041F95000001825C69 -:041F96000000000D3A -:041F970000018310B2 -:041F9800000000063F -:041F990000018414AB -:041F9A00000000053E -:041F9B000001848C31 -:041F9C000000000938 -:041F9D00000184F0CB -:041F9E00000000102F -:041F9F00000185A414 -:041FA0000000000736 -:041FA100000186E4D1 -:041FA2000000000C2F -:041FA3000001877042 -:041FA4000000000039 -:041FA5000000000038 -:041FA60000017D7841 -:041FA7000000000036 -:041FA8000000000035 -:041FA900000102EA47 -:041FAA00000103A28D -:041FAB00000101AA86 -:041FAC00000101C867 -:041FAD00000102200D -:041FAE0000800000AF -:041FAF00002000000E -:041FB000000000002D -:041FB100000000002C -:041FB200000000002B -:041FB300000000002A -:041FB4000000000029 -:041FB5000000000028 -:041FB6000000000027 +:041F090000F003C021 +:041F0A0004921770B6 +:041F0B0001060000CB +:041F0C00000F008042 +:041F0D000003003697 +:041F0E0001030410B7 +:041F0F0000000001CD +:041F100000000400C9 +:041F110078323135BC +:041F12000030343235 +:041F130000000000CA +:041F140000F00200D7 +:041F150002AA177095 +:041F160001060000C0 +:041F1700000E004D6B +:041F18000003003290 +:041F190001030410AC +:041F1A0000000001C2 +:041F1B000042081068 +:041F1C0078343833AA +:041F1D00003034322A +:041F1E0000000000BF +:041F1F0000F001804D +:041F20000200177034 +:041F210001060000B5 +:041F2200000E003B72 +:041F23000003002592 +:041F240001030410A1 +:041F250000000001B7 +:041F26000084102003 +:041F270078303233A9 +:041F2800003034321F +:041F290000000000B4 +:041F2A0000F0014082 +:041F2B0001AA177080 +:041F2C0001060000AA +:041F2D00000E003171 +:041F2E000003001F8D +:041F2F000103041096 +:041F300000000001AC +:041F31000108204043 +:041F32007836353296 +:041F33000030343214 +:041F340000000000A9 +:041F350000F00100B7 +:041F360001551770CA +:041F3700010600009F +:041F3800000E002770 +:041F39000003001988 +:041F3A00010304108B +:041F3B0000000001A1 +:041F3C0002104080CF +:041F3D00703034329A +:041F3E00000000009F +:041F3F000008000096 +:041F400000F002D0DB +:041F4100035A1775B3 +:041F42000106000094 +:041F4300000F003952 +:041F44000003003E58 +:041F45000103041080 +:041F46000000000196 +:041F47000000000C8A +:041F480030303631CE +:041F49003034327886 +:041F4A000000004C47 +:041F4B0000F006405C +:041F4C0007FE1388F1 +:041F4D000138000057 +:041F4E00002B00CA9A +:041F4F0000030096F5 +:041F50000103041075 +:041F5100000000028A +:041F5200002000006B +:041F530030383231BF +:041F5400383832786F +:041F55000000000088 +:041F56000120050061 +:041F570006181388CD +:041F5800013800004C +:041F5900001300AAC7 +:041F5A000003004838 +:041F5B00010304106A +:041F5C00000000027F +:041F5D00000102007D +:041F5E007830363968 +:041F5F0000383832DC +:041F6000000000007D +:041F6100012003C098 +:041F6200049213884A +:041F63000138000041 +:041F640000130080E6 +:041F6500000300363F +:041F6600010304105F +:041F67000000000274 +:041F68000000040071 +:041F69007832313564 +:041F6A004C30343291 +:041F6B000000004230 +:041F6C0000F002007F +:041F6D0002AA138829 +:041F6E000138000036 +:041F6F000029004DF8 +:041F70000003003238 +:041F71000103041054 +:041F72000000000269 +:041F73000042081010 +:041F74007834383352 +:041F75004C30343286 +:041F76000000004225 +:041F770000F00180F5 +:041F780002001388C8 +:041F7900013800002B +:041F7A000029003BFF +:041F7B00000300253A +:041F7C000103041049 +:041F7D00000000025E +:041F7E0000841020AB +:041F7F007830323351 +:041F80004C3034327B +:041F8100000000421A +:041F820000F001402A +:041F830001AA138814 +:041F84000138000020 +:041F850000290031FE +:041F86000003001F35 +:041F8700010304103E +:041F88000000000253 +:041F890001082040EB +:041F8A00783635323E +:041F8B004C30343270 +:041F8C00000000420F +:041F8D0000F001005F +:041F8E00015513885E +:041F8F000138000015 +:041F900000290027FD +:041F91000003001930 +:041F92000103041033 +:041F93000000000248 +:041F94000210408077 +:041F95007038383236 +:041F96000000000047 +:041F9700001700002F +:041F9800012002D052 +:041F9900036013903E +:041F9A00013800000A +:041F9B0000130045EA +:041F9C000003003FFF +:041F9D000103041028 +:041F9E00000000023D +:041F9F000000000C32 +:041FA0007830383429 +:041FA10000303633A3 +:041FA200000000003B +:041FA300016801E0F0 +:041FA4000258177058 +:041FA50001770000C0 +:041FA600000A003FEE +:041FA700000300260D +:041FA800020304101C +:041FA9000000000331 +:041FAA000000000C27 +:041FAB007830343224 +:041FAC000030363398 +:041FAD000000000030 +:041FAE0001680100C5 +:041FAF00012C17707A +:041FB00001770000B5 +:041FB100000A00180A +:041FB2000003001216 +:041FB3000203041011 +:041FB4000000000326 +:041FB50000008100A7 +:041FB6007034383318 :041FB7000000000026 :041FB8000000000025 -:041FB9000000000024 -:041FBA000000000023 -:041FBB000000000022 -:041FBC000000000021 -:041FBD000000000020 -:041FBE00000000001F -:041FBF00000000001E -:041FC000000000001D -:041FC100000000001C -:041FC200000000001B +:041FB900018001F0B2 +:041FBA000280157C10 +:041FBB0001A700007A +:041FBC00001D0032D2 +:041FBD000003003EDF +:041FBE000203041006 +:041FBF00000000031B +:041FC0000000000C11 +:041FC1003030363155 +:041FC200303034780F :041FC300000000001A -:041FC4000000000019 -:041FC5000000000018 -:041FC6000000000017 -:041FC7000000000016 -:041FC8000000000015 -:041FC9000000000014 -:041FCA000000000013 -:041FCB000000000012 -:041FCC000000000011 -:041FCD000000000010 -:041FCE00000000000F -:041FCF00000000000E -:041FD000000000000D -:041FD1000001017496 -:041FD200008000008B -:041FD30000A000006A -:041FD4000002010006 -:041FD50000200000E8 -:041FD6000000000007 -:041FD70000000020E6 -:041FD8000001000004 -:041FD9000000010003 -:041FDA000000000003 -:041FDB0000016D1084 -:041FDC0000016D2C67 -:041FDD0000016D3C56 -:041FDE0000016D1081 -:041FDF0000016D7020 -:041FE00000016D741B -:041FE100000175B8CE -:041FE200000175C8BD -:041FE300000175D4B0 -:041FE400000175E0A3 -:041FE500000175EC96 -:041FE600000175F889 -:041FE700000176047B -:041FE800000176106E -:041FE9000001761C61 -:041FEA000001762854 -:041FEB000001763447 -:041FEC00020E0409D4 -:041FED003D0E00C8DD -:041FEE003F6E0383BC -:041FEF003ED03DACF7 -:041FF0000000038367 -:041FF10000016E84F9 -:041FF20000016E90EC -:041FF30000016EA0DB -:041FF40000016EB0CA -:041FF50000016EC0B9 -:041FF60000016E7404 -:041FF70000016E84F3 -:041FF80000016E90E6 -:041FF90000016EA0D5 -:041FFA0000016EB0C4 -:041FFB0000016EC0B3 -:041FFC0000016E5022 -:041FFD0000016E5C15 -:041FFE0000016E6808 -:041FFF0000016D1060 -:0420000000016D244A -:0420010000016D0C61 -:0420020000016D2844 -:0420030000016D78F3 -:0420040000016D80EA -:0420050000016D88E1 -:0420060000016D90D8 -:0420070000016D98CF -:0420080000016D9CCA -:0420090000016DA4C1 -:04200A0000016DACB8 -:04200B0000016C84E0 -:04200C0000000002CE -:04200D0000019044FA -:04200E000AF0012CA7 -:04200F0000011BA40D -:0420100000016C94CB -:0420110000000002C9 -:0420120000019046F3 -:0420130000130000B6 -:0420140000011BA408 -:0420150000016CA4B6 -:0420160000000002C4 -:0420170000019048EC -:0420180000FF000ABB -:0420190000011BA403 -:04201A0000016CB0A5 -:04201B0000000002BF -:04201C0000019042ED -:04201D0000FF0001BF -:04201E0000011BA4FE -:04201F0000016CC090 -:0420200000000002BA -:0420210000019040EA -:04202200078000C86B -:0420230000011BA4F9 -:0420240000016CCC7F -:0420250000000002B5 -:0420260000019050D5 -:0420270000070001AD -:0420280000011BA4F4 -:0420290000016CD86E -:04202A0000000002B0 -:04202B000001904ED2 -:04202C0000EC0001C3 -:04202D0000011BA4EF -:04202E0000016CE859 -:04202F0000000002AB -:042030000001904CCF -:0420310004B000A057 -:0420320000011BA4EA -:0420330000016CF448 -:0420340000000002A6 -:042035000001904ACC -:04203600001F000087 -:0420370000011BA4E5 -:0420380000017168CA -:0420390000000000A3 -:04203A0000018B67AF -:04203B00000100019F -:04203C00000189B85E -:04203D0000017178B5 -:04203E00000000009E -:04203F0000018B68A9 -:04204000000100019A -:04204100000189C849 -:0420420000017188A0 -:042043000000000198 -:0420440000018B69A3 -:04204500001800007F -:0420460000011A1467 -:04204700000171988B -:042048000000000094 -:0420490000018B62A5 -:04204A000001000190 -:04204B00000189C83F -:04204C00000171A876 -:04204D00000000008F -:04204E0000018B639F -:04204F00000100018B -:04205000000189C83A -:04205100000171BC5D -:04205200000000008A -:0420530000018B6499 -:042054000002000185 -:0420550000017F788F -:04205600000171D044 -:042057000000000184 -:0420580000018B6A8E -:04205900001F000064 -:04205A00000119E682 -:04205B00000171E42B -:04205C000000000080 -:04205D0000018B658E -:04205E00000100017C -:04205F00000189C82B -:0420600000016BBC54 -:04206100000000017A -:0420620000018B38B6 -:042063000010000069 -:042064000001199AC4 -:0420650000016BCC3F -:042066000000000175 -:0420670000018B39B0 -:042068000010000064 -:042069000001199ABF -:04206A0000016BDC2A -:04206B000000000170 -:04206C0000018B3AAA -:04206D00001000005F -:04206E000001199ABA -:04206F0000016BEC15 -:04207000000000016B -:0420710000018B3BA4 -:04207200001000005A -:042073000001199AB5 -:0420740000016BFC00 -:042075000000000166 -:0420760000018B3C9E -:042077000010000055 -:042078000001199AB0 -:0420790000016C0CEA -:04207A000000000161 -:04207B0000018B3D98 -:04207C000010000050 -:04207D000001199AAB -:04207E0000016C20D1 -:04207F00000000015C -:0420800000018B3E92 -:04208100001000004B -:042082000001199AA6 -:0420830000016C34B8 -:042084000000000157 -:0420850000018B3F8C -:042086000010000046 -:042087000001199AA1 -:0420880000016C489F -:042089000000000152 -:04208A0000018B4086 -:04208B000010000041 -:04208C000001199A9C -:04208D0000016C5C86 -:04208E00000000014D -:04208F0000018B4180 -:04209000001000003C -:042091000001199A97 -:0420920000016C706D -:042093000000000148 -:0420940000018B427A -:042095000010000037 -:042096000001199A92 -:0420970000017504CB -:042098000000000341 -:0420990000017E883C -:04209A000000000042 -:04209B000000000041 -:04209C0000017518B2 -:04209D00000000033C -:04209E0000017E6857 -:04209F00000000003D -:0420A000000000003C -:0420A1000001752C99 -:0420A2000000000337 -:0420A30000017E803A -:0420A4000000000038 -:0420A5000000000037 -:0420A6000001754080 -:0420A7000000000332 -:0420A80000017E585D -:0420A9000000000033 -:0420AA000000000032 -:0420AB000001755467 -:0420AC00000000032D -:0420AD0000017E7040 -:0420AE00000000002E -:0420AF00000000002D -:0420B000000175684E -:0420B1000000000328 -:0420B20000017E604B -:0420B3000000000029 -:0420B4000000000028 -:0420B5000001757C35 -:0420B6000000000323 -:0420B70000017E4066 -:0420B8000000000024 -:0420B9000000000023 -:0420BA00000175901C -:0420BB00000000031E -:0420BC0000017E3869 -:0420BD00000000001F -:0420BE00000000001E -:0420BF00000175A403 -:0420C0000000000319 -:0420C10000017E7824 -:0420C200000000001A -:0420C3000000000019 -:0420C400000172D8CD -:0420C5000000000017 -:0420C60000018B4941 -:0420C7000004000110 -:0420C80000018894F7 -:0420C900000172E8B8 -:0420CA000000000012 -:0420CB0000018B4A3B -:0420CC00000400010B -:0420CD00000188A8DE -:0420CE00000172F8A3 +:041FC4000190064042 +:041FC50007D01B58CE +:041FC60001C1000055 +:041FC700002200787C +:041FC800000200F023 +:041FC90008030410F5 +:041FCA000000000310 +:041FCB000000020010 +:041FCC007830323700 +:041FCD005F3030341D +:041FCE0000003037A8 +:041FCF00019002D0AB +:041FD00003841B5813 +:041FD10001C100004A +:041FD20000220040A9 +:041FD30000020060A8 +:041FD40008030410EA +:041FD5000000000305 +:041FD6000000000CFB +:041FD70078303436F4 +:041FD8005F30303412 +:041FD900000030379D +:041FDA0001900280F0 +:041FDB0003201B586C +:041FDC0001C100003F +:041FDD0000220030AE +:041FDE00000200609D +:041FDF0008030410DF +:041FE00000000003FA +:041FE1000000000CF0 +:041FE20078303436E9 +:041FE300003438335B +:041FE40000000000F9 +:041FE50001800280F5 +:041FE6000320157C43 +:041FE70001EC000009 +:041FE800003F003086 +:041FE9000002006092 +:041FEA0008030410D4 +:041FEB0000000003EF +:041FEC000000000CE5 +:041FED0069303834EB +:041FEE0000000000EF +:041FEF0000060000E8 +:041FF00000F002D02B +:041FF100035A176A0E +:041FF200020D0000DC +:041FF300000F0039A2 +:041FF4000103003EA7 +:041FF50001030410D0 +:041FF60000000004E3 +:041FF7000001020CD7 +:041FF80070303834D9 +:041FF90000000000E4 +:041FFA0000020000E1 +:041FFB0001E002D02F +:041FFC00035A176A03 +:041FFD00020D0000D1 +:041FFE00001E003C85 +:041FFF000006003E9A +:0420000002030410C3 +:0420010000000006D5 +:042002000000000CCE +:0420030078303436C7 +:042004005F303834DD +:042005000001303670 +:0420060001E0028073 +:04200700032017702B +:04200800020D0000C5 +:042009000021003082 +:04200A000002006070 +:04200B0008030410B2 +:04200C0000000006CA +:04200D000000000CC3 +:04200E0078303834BA +:04200F000032373232 +:0420100000030000C9 +:04201100011001E0D9 +:04201200035A1770E6 +:04201300020D0000BA +:04201400008600B191 +:042015000006003E83 +:04201600020910109B +:0420170000000006BF +:042018000000000CB8 +:0420190078303436B1 +:04201A00003231352A +:04201B0000000000C1 +:04201C00020002803C +:04201D000320177015 +:04201E000238000084 +:04201F00002200306B +:042020000006006056 +:04202100080304109C +:0420220000000006B4 +:042023000000000CAD +:0420240069363735AD +:0420250000000000B7 +:0420260000150000A1 +:04202700012002D0C2 +:0420280003601388B6 +:042029000271000040 +:04202A00001300455A +:04202B000103003F6E +:04202C000103041098 +:04202D0000000005AA +:04202E000001020C9F +:04202F00703637359B +:0420300000000000AC +:04203100001100009A +:04203200024002D096 +:0420330003601388AB +:042034000271000035 +:04203500002700443C +:042036000005004061 +:04203700020304108C +:04203800000000079D +:042039000000000C97 +:04203A007830303892 +:04203B005F303036AC +:04203C00000030363A +:04203D000258032022 +:04203E0004201770F3 +:04203F000274000027 +:04204000001700582D +:042041000004008017 +:04204200080304107B +:042043000000000099 +:042044000000000494 +:04204500703032378E +:042046000030355FD2 +:042047000013000082 +:0420480002D00500BD +:0420490007BC138835 +:04204A0002EE0000A2 +:04204B00001400DCA1 +:04204C000005002863 +:04204D000C0910105A +:04204E000000000886 +:04204F000000000489 +:042050007030323783 +:042051000030365FC6 +:042052000004000086 +:0420530002D00500B2 +:042054000672177089 +:0420550002EE000097 +:04205600001400DC96 +:042057000005002858 +:042058000C0910104F +:04205900000000087B +:04205A00000000047E +:04205B0034323031BA +:04205C003836377863 +:04205D00000000007F +:04205E000300040077 +:04205F0005401770B1 +:042060000326000053 +:04206100001D00A0BE +:0420620000060088EC +:04206300080304105A +:042064000000000078 +:042065000000000473 +:0420660030383231AB +:04206700303639785E +:042068000000000074 +:0420690003C00500AB +:04206A0007081770DC +:04206B0003E8000086 +:04206C000024013813 +:04206D0000030070FC +:04206E00080304104F +:04206F00000000006D +:042070000000000468 +:0420710030383231A0 +:04207200323031785F +:042073000000003435 +:04207400040005005F +:042075000698177042 +:04207600042A000038 +:04207700002600F847 +:0420780000030070F1 +:042079000804051042 +:04207A000000000062 +:04207B00000000045D +:04207C00783034364E +:04207D006930363957 +:04207E00000000005E +:04207F0001E00280FA +:0420800003201770B2 +:04208100041A00003D +:042082000021003009 +:0420830001020060F6 +:04208400020304103F +:04208500000000094E +:042086000000000C4A +:04208700303830318C +:0420880030355F6927 +:04208900001400003F +:04208A00021C0780AD +:04208B000A5013885C +:04208C0004650000E7 +:04208D00000F0094AC +:04208E000105002C1C +:04208F000C09101018 +:042090000000000943 +:042091000000000C3F +:042092003038303181 +:0420930030365F691B +:042094000005000043 +:04209500021C0780A2 +:04209600089817701F +:0420970004650000DC +:04209800000F0094A1 +:042099000105002C11 +:04209A000C0910100D +:04209B000000000938 +:04209C000000000C34 +:04209D003038303176 +:04209E0030355F700A +:04209F00001F00001E +:0420A0000438078079 +:0420A1000A50138846 +:0420A20004650000D1 +:0420A3000024009481 +:0420A4000005002C07 +:0420A5000C09101002 +:0420A6000000000A2C +:0420A7000000000431 +:0420A800303830316B +:0420A90030365F70FE +:0420AA000010000022 +:0420AB00043807806E +:0420AC000898177009 +:0420AD0004650000C6 +:0420AE000024009476 +:0420AF000005002CFC +:0420B0000C091010F7 +:0420B1000000000A21 +:0420B2000000000426 +:0420B3003030363162 +:0420B400303231781D +:0420B50000000030F7 +:0420B60004B006402C +:0420B7000870177026 +:0420B80004E200003E +:0420B900002E0130C4 +:0420BA00000300C05F +:0420BB000803041002 +:0420BC000000000020 +:0420BD00000000041B +:0420BE007665642FB0 +:0420BF006370652FB6 +:0420C0006F635F717A +:0420C1006F72746E58 +:0420C20072656C6C6B +:0420C3005F305F32F9 +:0420C4005F6C766176 +:0420C500006D656DD8 +:0420C6002B302D236B +:0420C70000000020F5 +:0420C800004C6C68F4 +:0420C900456766659C +:0420CA000000474685 +:0420CB00333231304B +:0420CC00373635343A +:0420CD00424139381B +:0420CE0046454443FC :0420CF00000000000D -:0420D00000018B4B35 -:0420D1000003000107 -:0420D200000188BCC5 -:0420D300000173088D +:0420D0003332313046 +:0420D1003736353435 +:0420D20062613938D6 +:0420D3006665646377 :0420D4000000000008 -:0420D50000018B4C2F -:0420D6000001000104 -:0420D700000189DC9F -:0420D8000001731878 -:0420D9000000000003 -:0420DA0000018B4D29 -:0420DB0000010001FF -:0420DC00000189D4A2 -:0420DD000001732863 -:0420DE0000000000FE -:0420DF0000018B442D -:0420E00000040001F7 -:0420E10000017FC4B7 -:0420E2000001733452 -:0420E30000000000F9 -:0420E40000018B4527 -:0420E50000050001F1 -:0420E60000017FD89E -:0420E7000001734041 -:0420E80000000000F4 -:0420E90000018B4621 -:0420EA0000040001ED -:0420EB0000017FC4AD -:0420EC000001734C30 -:0420ED0000000000EF -:0420EE0000018B471B -:0420EF0000040001E8 -:0420F00000017FC4A8 -:0420F100000173581F -:0420F20000000000EA -:0420F30000018B4815 -:0420F40000020001E5 -:0420F50000017FF077 -:0420F600000173680A -:0420F70000000000E5 -:0420F80000018B4E0A -:0420F90000010001E1 -:0420FA00000189B0A8 -:0420FB0000017378F5 -:0420FC0000000000E0 -:0420FD0000018B5300 -:0420FE0000020001DB -:0420FF00000189143F -:0421000000017380E7 -:0421010000000000DA -:0421020000018B54F9 -:0421030000010001D6 -:04210400000189C885 -:04210500000171F470 -:0421060000000001D4 -:0421070000018B4FF9 -:0421080000FF0000D4 -:04210900000119D2E6 -:04210A00000172045A -:04210B0000000001CF -:04210C0000018B50F3 -:04210D00003F00008F -:04210E00000119D2E1 -:04210F000001721445 +:0420D5000001657031 +:0420D600000165861A +:0420D7000001654A55 +:0420D8000001654A54 +:0420D9000001654A53 +:0420DA000001654A52 +:0420DB000001658615 +:0420DC000001654A50 +:0420DD000001654A4F +:0420DE000001654A4E +:0420DF000001654A4D +:0420E000000166EAAB +:0420E100000165C8CD +:0420E200000166BCD7 +:0420E3000001654A49 +:0420E4000001654A48 +:0420E5000001671E71 +:0420E6000001654A46 +:0420E700000165C8C7 +:0420E8000001654A44 +:0420E9000001654A43 +:0420EA00000166C4C7 +:0420EB00C896554BF3 +:0420EC0000000009E7 +:0420ED00000185ACBD +:0420EE0000000004EA +:0420EF000001866006 +:0420F00000000003E9 +:0420F100000186B0B4 +:0420F2000000000DDD +:0420F300000186EC76 +:0420F40000000009DF +:0420F500000187F06F +:0420F6000000000DD9 +:0420F700000188A4B8 +:0420F80000000002E2 +:0420F900000189A8B1 +:0420FA0000000004DE +:0420FB00000189D087 +:0420FC0000000007D9 +:0420FD0000018A2034 +:0420FE0000000011CD +:0420FF0000018AACA6 +:0421000000000007D4 +:0421010000018C004D +:042102000000000CCD +:0421030000018C8CBF +:0421040000000000D7 +:0421050000000000D6 +:04210600000182F85A +:0421070000000000D4 +:0421080000000000D3 +:04210900000102EAE5 +:04210A00000103A22B +:04210B00000101AA24 +:04210C00000101C805 +:04210D0000010220AB +:04210E00008000004D +:04210F0000200000AC :0421100000000000CB -:0421110000018B52EC -:0421120000070000C2 -:042113000001800C3B -:042114000001722034 -:0421150000000001C5 -:0421160000018B51E8 -:04211700000F0000B5 -:04211800000119E6C3 -:04211900000172301F -:04211A0000000001C0 -:04211B0000018B66CE -:04211C00001F0000A0 -:04211D00000119E6BE -:04211E000001723C0E -:04211F0000000004B8 -:04212000000124DEB8 -:04212100000177A49E +:0421110000000000CA +:0421120000000000C9 +:0421130000000000C8 +:0421140000000000C7 +:0421150000000000C6 +:0421160000000000C5 +:0421170000000000C4 +:0421180000000000C3 +:0421190000000000C2 +:04211A0000000000C1 +:04211B0000000000C0 +:04211C0000000000BF +:04211D0000000000BE +:04211E0000000000BD +:04211F0000000000BC +:0421200000000000BB +:0421210000000000BA :0421220000000000B9 -:042123000001740043 +:0421230000000000B8 :0421240000000000B7 -:0421250000018B55D5 -:0421260000030001B1 -:04212700000188CC5F -:04212800000174102E +:0421250000000000B6 +:0421260000000000B5 +:0421270000000000B4 +:0421280000000000B3 :0421290000000000B2 -:04212A0000018B56CF -:04212B0000010001AE -:04212C00000189EC39 -:04212D000001742019 +:04212A0000000000B1 +:04212B0000000000B0 +:04212C0000000000AF +:04212D0000000000AE :04212E0000000000AD -:04212F0000018B57C9 -:0421300000010001A9 -:04213100000189C858 -:042132000001743400 -:0421330000000000A8 -:0421340000018B58C3 -:0421350000010001A4 -:04213600000189C853 -:0421370000017448E7 -:0421380000000003A0 -:0421390000017E30F3 -:04213A0000017D6CB7 -:04213B0000010A2C69 -:04213C000001724CE0 -:04213D00000000009E -:04213E0000018B30E1 -:04213F000002000199 -:04214000000188DC36 -:0421410000017258CF -:042142000000000198 -:0421430000018B36D6 -:04214400000F000088 -:042145000001197606 -:0421460000017268BA -:042147000000000193 -:0421480000018B32D5 -:04214900001C000076 -:04214A00000119BCBB -:04214B0000017278A5 -:04214C00000000008F -:04214D0000018B33CF -:04214E00000100018B -:04214F00000189FC06 -:042150000001728494 -:04215100000000008A -:0421520000018B34C9 -:042153000001000186 -:04215400000189C835 -:04215500000172947F -:042156000000000085 -:0421570000018B37C1 -:042158000001000181 -:04215900000189F404 -:04215A00000172A46A -:04215B000000000080 -:04215C0000018B35BE -:04215D00000100017C -:04215E00000189C82B -:04215F00000172B851 -:04216000000000007B -:0421610000018B31BD -:042162000003000175 -:04216300000188E807 -:04216400000172C440 -:042165000000000373 -:0421660000017E48AE -:042167000000000074 -:042168000000000073 -:0421690000017054AD -:04216A00000000046D -:04216B0000012296B7 -:04216C00000177F8FF -:04216D00000000006E -:04216E000001706498 -:04216F000000000468 -:0421700000011FCE7D -:04217100000177F8FA -:042172000000000069 -:042173000001707483 -:042174000000000463 -:04217500000118D677 -:042176000000000065 -:042177000000000064 -:04217800000170886A -:042179000000000161 -:04217A0000018B7560 -:04217B00000A010154 -:04217C00000111222B -:04217D000001709C51 -:04217E00000000005D -:04217F0000019025A6 -:042180000001000159 -:04218100000189C808 -:04218200000170B038 -:042183000000000058 -:0421840000019014B2 -:04218500000A00014B -:0421860000017F8451 -:04218700000170C023 -:042188000000000053 -:042189000001900BB6 -:04218A00000200014E -:04218B0000017F6C64 -:04218C00000170D40A -:04218D00000000004E -:04218E0000019009B3 -:04218F00000100014A -:04219000000189E4DD -:04219100000170E4F5 -:042192000000000049 -:04219300000189C0FE -:042194000001000145 -:04219500000189E4D8 -:04219600000170F4E0 -:042197000000000044 -:042198000001900AA8 -:042199000001000140 -:04219A00000189E4D3 -:04219B0000017104CA -:04219C00000000003F -:04219D000001901C91 -:04219E000003000139 -:04219F0000017FFCC0 -:0421A00000017114B5 -:0421A100000000003A -:0421A200000189D0DF -:0421A3000002000135 -:0421A4000001887836 -:0421A50000017118AC -:0421A6000000000035 -:0421A700000189D1D9 -:0421A800000300012F -:0421A9000001888425 -:0421AA000001712C93 -:0421AB00000000042C -:0421AC0000014022CC +:04212F0000000000AC +:0421300000000000AB +:042131000001017434 +:042132000080000029 +:0421330000A0000008 +:0421340000020100A4 +:042135000020000086 +:0421360000000000A5 +:042137000000002084 +:0421380000010000A2 +:0421390000000100A1 +:04213A0000000000A1 +:04213B0000017060CF +:04213C000001707CB2 +:04213D000001708CA1 +:04213E0000017060CC +:04213F00000170C06B +:04214000000170C466 +:042141000001788C95 +:042142000001789C84 +:04214300000178A877 +:04214400000178B46A +:04214500000178C05D +:04214600000178CC50 +:04214700000178D843 +:04214800000178E436 +:04214900000178F029 +:04214A00000178FC1C +:04214B00000179080E +:04214C00020E040972 +:04214D003D0E00C87B +:04214E003F6E03835A +:04214F003ED03DAC95 +:042150000000038305 +:04215100000171D444 +:04215200000171E037 +:04215300000171F026 +:042154000001720014 +:042155000001721003 +:04215600000171C44F +:04215700000171D43E +:04215800000171E031 +:04215900000171F020 +:04215A00000172000E +:04215B0000017210FD +:04215C00000171A06D +:04215D00000171AC60 +:04215E00000171B853 +:04215F0000017060AB +:042160000001707496 +:042161000001705CAD +:042162000001707890 +:04216300000170C83F +:04216400000170D036 +:04216500000170D82D +:04216600000170E024 +:04216700000170E81B +:04216800000170EC16 +:04216900000170F40D +:04216A00000170FC04 +:04216B0000016FD42C +:04216C00000000026D +:04216D000001988055 +:04216E000AF0012C46 +:04216F0000011CD877 +:0421700000016FE417 +:042171000000000268 +:04217200000198824E +:042173000013000055 +:0421740000011CD872 +:0421750000016FF402 +:042176000000000263 +:042177000001988447 +:0421780000FF000A5A +:0421790000011CD86D +:04217A0000017000F0 +:04217B00000000025E +:04217C000001987E48 +:04217D0001FF00005E +:04217E0000011CD868 +:04217F0000017010DB +:042180000000000259 +:042181000001987C45 +:04218200080000C889 +:0421830000011CD863 +:042184000001701CCA +:042185000000000254 +:042186000001988C30 +:04218700000F000144 +:0421880000011CD85E +:0421890000017028B9 +:04218A00000000024F +:04218B000001988A2D +:04218C0001FF00004F +:04218D0000011CD859 +:04218E0000017038A4 +:04218F00000000024A +:04219000000198882A +:0421910005A000A005 +:0421920000011CD854 +:042193000001704493 +:042194000000000245 +:042195000001988627 +:04219600001F000026 +:0421970000011CD84F +:04219800000174C806 +:042199000000000042 +:04219A00000190852B +:04219B00000100013E +:04219C0000018EE0D0 +:04219D00000174D8F1 +:04219E00000000003D +:04219F000001908625 +:0421A0000001000139 +:0421A10000018EF0BB +:0421A200000174E8DC +:0421A3000000000038 +:0421A400000190881E +:0421A5000001000134 +:0421A60000018EF0B6 +:0421A700000174F4CB +:0421A8000000000132 +:0421A900000190871A +:0421AA000018000019 +:0421AB0000011B48CC +:0421AC0000017504B5 :0421AD00000000002E -:0421AE00000000002D -:0421AF00000171407A -:0421B0000000000427 -:0421B100000137A64C +:0421AE000001908B11 +:0421AF00000100012A +:0421B00000018EF0AC +:0421B10000017514A0 :0421B2000000000029 -:0421B3000000000028 -:0421B4000001715461 -:0421B5000000000422 -:0421B60000013C20C8 -:0421B7000000000024 -:0421B8000000000023 -:0421B9000001738C22 -:0421BA000000000021 -:0421BB0000018B5D37 -:0421BC00000300011B -:0421BD000001890490 -:0421BE000001739C0D -:0421BF00000000001C -:0421C00000018B5E31 -:0421C1000002000117 -:0421C200000188F898 -:0421C300000173ACF8 -:0421C4000000000116 -:0421C50000018B5A30 -:0421C600001F0000F6 -:0421C70000011912E8 -:0421C800000173BCE3 -:0421C9000000000111 -:0421CA0000018B5B2A -:0421CB0000FF000011 -:0421CC0000011934C1 -:0421CD00000173CCCE -:0421CE00000000010C -:0421CF0000018B5C24 -:0421D00000C80A0039 -:0421D10000011934BC -:0421D200000173DCB9 -:0421D3000000000107 -:0421D40000018B601B -:0421D5000005000001 -:0421D600000119BE2D -:0421D700000173ECA4 -:0421D8000000000102 -:0421D90000018B6115 -:0421DA0000050000FC -:0421DB00000119BE28 -:0421DC000001745C2E -:0421DD0000000000FE -:0421DE0000018B5F12 -:0421DF0000050001F6 -:0421E0000001892051 -:0421E100000174681D -:0421E20000000000F9 -:0421E30000018B5913 -:0421E40000020001F4 -:0421E5000001893834 -:0421E6000001747808 -:0421E70000000001F3 -:0421E80000018B6EF9 -:0421E90000FF0000F3 -:0421EA00000119E6F1 -:0421EB0000017484F7 -:0421EC0000000001EE -:0421ED0000018B6FF3 -:0421EE0000FF0000EE -:0421EF00000119E6EC -:0421F00000017490E6 -:0421F10000000001E9 -:0421F20000018B70ED -:0421F30000FF0000E9 -:0421F400000119E6E7 -:0421F5000001749CD5 -:0421F60000000001E4 -:0421F70000018B71E7 -:0421F80000FF0000E4 -:0421F900000119E6E2 -:0421FA00000174A8C4 -:0421FB0000000001DF -:0421FC0000018B72E1 -:0421FD0000FF0000DF -:0421FE00000119E6DD -:0421FF00000174B4B3 -:0422000000000001D9 -:0422010000018B73DA -:0422020000FF0000D9 -:04220300000119E6D7 -:04220400000174C0A1 -:0422050000000001D4 -:0422060000018B74D4 -:04220700000F0000C4 -:04220800000119E6D2 -:04220900000174D08C -:04220A0000000001CF -:04220B0000018B6BD8 -:04220C0000E41C00CE -:04220D00000119FAB9 -:04220E00000174E473 -:04220F0000000001CA -:0422100000018B6DD1 -:04221100000A0000BF -:0422120000011A2E7F -:04221300000174F45E -:0422140000000001C5 -:0422150000018B6CCD -:0422160000070000BD -:0422170000011A4662 -:0422180000017E50F3 +:0421B3000001908C0B +:0421B4000002000124 +:0421B500000184F8A9 +:0421B6000001752887 +:0421B7000000000123 +:0421B8000001905A38 +:0421B900001F000003 +:0421BA0000011B1AEB +:0421BB0000016EF4BD +:0421BC00000000011E +:0421BD000001906E1F +:0421BE000004000019 +:0421BF0000011A966B +:0421C00000016F00AB +:0421C1000000000119 +:0421C2000001906F19 +:0421C3000005000013 +:0421C40000011ACE2E +:0421C50000016F0C9A +:0421C6000000000114 +:0421C7000001906320 +:0421C8000010000003 +:0421C90000011A7483 +:0421CA0000016F1C85 +:0421CB00000000010F +:0421CC00000190641A +:0421CD0000100000FE +:0421CE0000011A747E +:0421CF0000016F2C70 +:0421D000000000010A +:0421D1000001906514 +:0421D20000100000F9 +:0421D30000011A7479 +:0421D40000016F3C5B +:0421D5000000000105 +:0421D600000190660E +:0421D70000100000F4 +:0421D80000011A7474 +:0421D90000016F4C46 +:0421DA000000000100 +:0421DB000001906708 +:0421DC0000100000EF +:0421DD0000011A746F +:0421DE0000016F5C31 +:0421DF0000000001FB +:0421E0000001906802 +:0421E10000100000EA +:0421E20000011A746A +:0421E30000016F7018 +:0421E40000000001F6 +:0421E50000019069FC +:0421E60000100000E5 +:0421E70000011A7465 +:0421E80000016F84FF +:0421E90000000001F1 +:0421EA000001906AF6 +:0421EB0000100000E0 +:0421EC0000011A7460 +:0421ED0000016F98E6 +:0421EE0000000001EC +:0421EF000001906BF0 +:0421F00000100000DB +:0421F10000011A745B +:0421F20000016FACCD +:0421F30000000001E7 +:0421F4000001906CEA +:0421F50000100000D6 +:0421F60000011A7456 +:0421F70000016FC0B4 +:0421F80000000001E2 +:0421F9000001906DE4 +:0421FA0000100000D1 +:0421FB0000011A7451 +:0421FC00000177D88F +:0421FD0000000003DB +:0421FE000001840850 +:0421FF0000000000DC +:0422000000000000DA +:04220100000177EC75 +:0422020000000003D5 +:04220300000183E86B +:0422040000000000D6 +:0422050000000000D5 +:04220600000178005B +:0422070000000003D0 +:04220800000184004D +:0422090000000000D1 +:04220A0000000000D0 +:04220B000001781442 +:04220C0000000003CB +:04220D00000183D871 +:04220E0000000000CC +:04220F0000000000CB +:042210000001782829 +:0422110000000003C6 +:04221200000183F054 +:0422130000000000C7 +:0422140000000000C6 +:042215000001783C10 +:0422160000000003C1 +:04221700000183E05F +:0422180000000000C2 :0422190000000000C1 -:04221A0000000000C0 -:04221B0000000000BF -:04221C0000000000BE +:04221A0000017850F7 +:04221B0000000003BC +:04221C00000183C07A :04221D0000000000BD -:04221E0000016D103E -:04221F0000016D1439 -:0422200000016D1C30 -:0422210000016D0447 -:0422220000016D0842 -:0422230000016D0C3D -:0422240000016D1038 -:0422250000016ED076 -:0422260000016EEC59 -:0422270000016F44FF -:0422280000016F4CF6 -:0422290000016F54ED -:04222A0000016ED071 -:04222B0000016EEC54 -:04222C0000016F142A -:04222D0000016F2419 -:04222E0000016F3408 -:04222F0000016ED06C -:0422300000016EDC5F -:0422310000016EF446 -:0422320000016F0434 -:0422330000016E0C2C -:0422340000016FD85E -:0422350000016FE451 -:0422360000016FF440 -:0422370000016D1025 -:0422380000016E0C27 -:0422390000016E141E -:04223A0000016DB47E -:04223B0000016DC071 -:04223C0000016DCC64 -:04223D0000016DDC53 -:04223E0000016F5CD0 -:04223F0000016F70BB -:0422400000016F80AA -:0422410000016F9099 -:0422420000016FA088 -:0422430000016FAC7B -:0422440000016D1018 -:0422450000016E180E -:0422460000016E2401 -:0422470000016E34F0 -:0422480000016E0C17 -:0422490000016D1013 -:04224A000001701807 -:04224B0000017028F6 -:04224C0000017038E5 -:04224D0000017048D4 -:04224E00000170001B -:04224F000001700C0E -:0422500000016E0C0F -:042251000000000089 +:04221E0000000000BC +:04221F0000017864DE +:0422200000000003B7 +:04222100000183B87D +:0422220000000000B8 +:0422230000000000B7 +:0422240000017878C5 +:0422250000000003B2 +:04222600000183F838 +:0422270000000000B3 +:0422280000000000B2 +:04222900000175C07B +:04222A0000000000B0 +:04222B000001904CD2 +:04222C0000040001A9 +:04222D0000018DB06F +:04222E00000175D066 +:04222F0000000000AB +:042230000001904DCC +:0422310000040001A4 +:0422320000018DC456 +:04223300000175E051 +:0422340000000000A6 +:042235000001904EC6 +:0422360000030001A0 +:0422370000018DD83D +:04223800000175F03C +:0422390000000000A1 +:04223A000001904FC0 +:04223B00000100019D +:04223C0000018F040A +:04223D000001760026 +:04223E00000000009C +:04223F0000019050BA +:042240000001000198 +:0422410000018EFC0E +:042242000001761011 +:042243000000000097 +:0422440000019051B4 +:042245000004000190 +:0422460000018544CA +:042247000001761C00 +:042248000000000092 +:0422490000019052AE +:04224A00000500018A +:04224B0000018558B1 +:04224C0000017628EF +:04224D00000000008D +:04224E0000019053A8 +:04224F000004000186 +:0422500000018544C0 +:0422510000017634DE :042252000000000088 -:042253000000000087 -:042254000000000086 -:042255000000000085 -:042256000000000084 +:0422530000019054A2 +:042254000004000181 +:0422550000018544BB +:0422560000017640CD :042257000000000083 -:042258000000000082 -:042259000000000081 -:04225A000000000080 -:04225B00000000007F +:04225800000190559C +:04225900000200017E +:04225A00000185708A +:04225B0000017650B8 :04225C00000000007E -:04225D00000000007D -:04225E00000000007C -:04225F00000000007B -:04226000000000007A +:04225D000001905993 +:04225E00000100017A +:04225F0000018ED814 +:0422600000017660A3 :042261000000000079 -:042262000000000078 -:042263000000000077 -:042264000000000076 -:042265000000000075 +:04226200000190895E +:042263000002000174 +:0422640000018E30B7 +:042265000001766896 :042266000000000074 -:042267000000000073 -:042268000000000072 -:04226900000189A443 -:04226A00000189A442 -:04226B0000016636D2 -:04226C0000016E38C7 -:04226D0000016E48B6 -:04226E0000016D48B6 -:04226F0000016D5CA1 +:042267000001908A58 +:042268000001000170 +:0422690000018EF0F2 +:04226A000001753CBE +:04226B00000000006F +:04226C00000190716C +:04226D000007000066 +:04226E000001858C5A +:04226F0000017548AD :042270000000000169 -:0422710000108010C9 -:0422720000016D10EA -:0422730000016E14E4 -:042274000000010164 -:0422750000016ED026 -:0422760000016EDC19 -:0422770000016ED024 -:0422780000016EEC07 -:04227900000175D813 -:04227A00000175E406 -:04227B0000016FB837 -:04227C0000016FC826 -:04227D0000016DE40B -:04227E0000016DE806 -:04227F0000016DF0FD -:0422800000016E00EB -:04228100000189448B +:042271000001907068 +:04227200000F000059 +:0422730000011B1A31 +:04227400000176E807 +:042275000000000065 +:04227600000190567D +:04227700000300015F +:0422780000018DE8EC +:04227900000176F8F2 +:04227A000000000060 +:04227B000001905777 +:04227C00000100015C +:04227D0000018F14B9 +:04227E0000017708DC +:04227F00000000005B +:042280000001905871 +:042281000001000157 +:0422820000018EF0D9 +:042283000001771CC3 +:042284000000000353 +:04228500000183B021 +:0422860000018E5471 +:042287000001068CC0 +:042288000001755884 +:042289000000000051 +:04228A000001905C63 +:04228B00000200014C +:04228C0000018DF8C8 +:04228D000001756473 +:04228E00000000014B +:04228F000001906159 +:04229000000F00003B +:0422910000011A50DE +:04229200000175745E +:042293000000000047 +:042294000001905F56 +:042295000001000143 +:0422960000018F2490 +:04229700000175804D +:042298000000000042 +:042299000001906050 +:04229A00000100013E +:04229B0000018EF0C0 +:04229C000001759038 +:04229D00000000003D +:04229E000001906249 +:04229F000001000139 +:0422A00000018F1C8E +:0422A100000175A023 +:0422A2000000000038 +:0422A3000001905D49 +:0422A4000003000132 +:0422A50000018E04A2 +:0422A600000175AC12 +:0422A7000000000330 +:0422A800000183C8E6 +:0422A9000000000031 +:0422AA000000000030 +:0422AB00000173A417 +:0422AC00000000012D +:0422AD000001908D0F +:0422AE00000A010120 +:0422AF0000011246D2 +:0422B000000173B8FE +:0422B1000000000029 +:0422B200000198612E +:0422B3000001000125 +:0422B40000018EF0A7 +:0422B500000173CCE5 +:0422B6000000000024 +:0422B7000001985436 +:0422B800000A000117 +:0422B9000001850497 +:0422BA00000173DCD0 +:0422BB00000000001F +:0422BC000001984A3B +:0422BD00000200011A +:0422BE00000184ECAB +:0422BF00000173F0B7 +:0422C000000000001A +:0422C1000001984838 +:0422C2000001000116 +:0422C30000018F0C7B +:0422C40000017400A1 +:0422C5000000000015 +:0422C60000018EE89D +:0422C7000001000111 +:0422C80000018F0C76 +:0422C900000174108C +:0422CA000000000010 +:0422CB00000198492D +:0422CC00000100010C +:0422CD0000018F0C71 +:0422CE000001742077 +:0422CF00000000000B +:0422D0000001985C15 +:0422D1000003000105 +:0422D2000001857C06 +:0422D3000001743062 +:0422D4000000000006 +:0422D50000018EF87E +:0422D6000002000101 +:0422D70000018D94E1 +:0422D8000001743459 +:0422D9000000000001 +:0422DA0000018EF978 +:0422DB0000030001FB +:0422DC0000018DA0D0 +:0422DD000001744840 +:0422DE0000000000FC +:0422DF000001986002 +:0422E00000010001F8 +:0422E10000018EF07A +:0422E200000174582B +:0422E30000000004F3 +:0422E4000001241EB3 +:0422E50000017ACCAE +:0422E60000000000F4 +:0422E7000001746816 +:0422E80000000004EE +:0422E900000121507F +:0422EA0000017ACCA9 +:0422EB0000000000EF +:0422EC000001747801 +:0422ED0000000004E9 +:0422EE000001065491 +:0422EF0000000000EB +:0422F00000000000EA +:0422F1000001748CE8 +:0422F20000000004E4 +:0422F300000145A001 +:0422F40000000000E6 +:0422F50000000000E5 +:0422F600000174A0CF +:0422F70000000004DF +:0422F80000013D2480 +:0422F90000000000E1 +:0422FA0000000000E0 +:0422FB00000174B4B6 +:0422FC0000000004DA +:0422FD000001419EFD +:0422FE0000000000DC +:0422FF0000000000DB +:0423000000017674EE +:0423010000000000D8 +:042302000001907ACC +:0423030000030001D2 +:0423040000018E2026 +:0423050000017684D9 +:0423060000000000D3 +:042307000001907BC6 +:0423080000020001CE +:0423090000018E142D +:04230A0000017694C4 +:04230B0000000001CD +:04230C0000019073C9 +:04230D00001F0000AD +:04230E00000119ECC5 +:04230F00000176A4AF +:0423100000000001C8 +:0423110000019074C3 +:0423120000FF0000C8 +:0423130000011A0E9D +:04231400000176B49A +:0423150000000001C3 +:0423160000019075BD +:0423170000C80A00F0 +:0423180000011A0E98 +:04231900000176C485 +:04231A0000000001BE +:04231B0000019076B7 +:04231C0000050000B8 +:04231D0000011B069A +:04231E00000176D470 +:04231F0000000001B9 +:0423200000019077B1 +:0423210000050000B3 +:0423220000011B0695 +:04232300000177300E +:0423240000000000B5 +:0423250000019079AA +:0423260000050001AD +:0423270000018E3CE7 +:042328000001773CFD +:0423290000000000B0 +:04232A0000019078A6 +:04232B0000020001AB +:04232C0000018E60BE +:04232D000001774CE8 +:04232E0000000001AA +:04232F000001907E9B +:0423300000FF0000AA +:0423310000011B1A72 +:0423320000017758D7 +:0423330000000001A5 +:042334000001907F95 +:0423350000FF0000A5 +:0423360000011B1A6D +:0423370000017764C6 +:0423380000000001A0 +:04233900000190808F +:04233A0000FF0000A0 +:04233B0000011B1A68 +:04233C0000017770B5 +:04233D00000000019B +:04233E000001908189 +:04233F0000FF00009B +:0423400000011B1A63 +:042341000001777CA4 +:042342000000000196 +:042343000001908283 +:0423440000FF000096 +:0423450000011B1A5E +:042346000001778893 +:042347000000000191 +:04234800000190837D +:0423490000FF000091 +:04234A0000011B1A59 +:04234B000001779482 +:04234C00000000018C +:04234D000001908477 +:04234E00000F00007C +:04234F0000011B1A54 +:04235000000177A46D +:042351000000000187 +:042352000001905B9B +:0423530000E41C0086 +:0423540000011B2E3B +:04235500000177B854 +:042356000000000182 +:042357000001907D74 +:04235800000A000077 +:0423590000011B6202 +:04235A00000177C83F +:04235B00000000017D +:04235C000001907C70 +:04235D000007000075 +:04235E0000011B7AE5 +:04235F00000183D026 +:042360000000000079 +:042361000000000078 +:042362000000000077 +:042363000000000076 +:042364000000000075 +:0423650000017060A3 +:04236600000170649E +:042367000001706C95 +:0423680000017054AC +:0423690000017058A7 +:04236A000001705CA2 +:04236B00000170609D +:04236C0000017220DA +:04236D000001723CBD +:04236E000001729464 +:04236F000001729C5B +:04237000000172A452 +:0423710000017220D5 +:042372000001723CB8 +:04237300000172648F +:04237400000172747E +:04237500000172846D +:0423760000017220D0 +:042377000001722CC3 +:0423780000017244AA +:042379000001725499 +:04237A000001715C91 +:04237B0000017328C2 +:04237C0000017334B5 +:04237D0000017344A4 +:04237E00000170608A +:04237F000001715C8C +:042380000001716483 +:0423810000017104E2 +:0423820000017110D5 +:042383000001711CC8 +:042384000001712CB7 +:04238500000172AC35 +:04238600000172C020 +:04238700000172D00F +:04238800000172E0FE +:04238900000172F0ED +:04238A00000172FCE0 +:04238B00000170607D +:04238C000001716873 +:04238D000001717466 +:04238E000001718455 +:04238F000001715C7C +:042390000001706078 +:04239100000173686C +:04239200000173785B +:04239300000173884A +:042394000001739839 +:04239500000198901B +:042396000000000043 +:042397000001122A05 +:04239800000173507D +:042399000001735C70 +:04239A000001715C71 +:04239B00000000003E +:04239C00000000003D +:04239D00000000003C +:04239E00000000003B +:04239F00000000003A +:0423A0000000000039 +:0423A1000000000038 +:0423A2000000000037 +:0423A3000000000036 +:0423A4000000000035 +:0423A5000000000034 +:0423A6000000000033 +:0423A7000000000032 +:0423A8000000000031 +:0423A9000000000030 +:0423AA00000000002F +:0423AB00000000002E +:0423AC00000000002D +:0423AD00000000002C +:0423AE00000000002B +:0423AF00000000002A +:0423B0000000000029 +:0423B1000000000028 +:0423B2000000000027 +:0423B30000018ECCCB +:0423B40000018ECCCA +:0423B5000001694476 +:0423B6000001718829 +:0423B7000001719818 +:0423B8000001709818 +:0423B900000170AC03 +:0423BA00000000011E +:0423BB00001080107E +:0423BC00000170604C +:0423BD000001716446 +:0423BE000000010119 +:0423BF000001722087 +:0423C0000001722C7A +:0423C1000001722085 +:0423C2000001723C68 +:0423C300000178ACF1 +:0423C400000178B8E4 +:0423C5000001730898 +:0423C6000001731887 +:0423C700000171346C +:0423C8000001713867 +:0423C900000171405E +:0423CA00000171504D +:0423CB0000018E6C13 :00000001FF diff --git a/sys.sopcinfo b/sys.sopcinfo index d19b6dc..bc75527 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1672179572 + 1675196095 false true false @@ -10624,16 +10624,16 @@ parameters are a RESULT of the module parameters. --> conduit false - sc_status_i + fe_status_i Input 32 - sc_status_i + fe_status_i - sc_status2_i + fe_status2_i Input 32 - sc_status2_i + fe_status2_i lt_status_i @@ -10642,22 +10642,52 @@ parameters are a RESULT of the module parameters. --> lt_status_i - h_config_o + hv_in_config_o Output 32 - h_config_o + hv_in_config_o - h_config2_o + hv_in_config2_o Output 32 - h_config2_o + hv_in_config2_o - v_config_o + hv_in_config3_o Output 32 - v_config_o + hv_in_config3_o + + + hv_out_config_o + Output + 32 + hv_out_config_o + + + hv_out_config2_o + Output + 32 + hv_out_config2_o + + + hv_out_config3_o + Output + 32 + hv_out_config3_o + + + xy_out_config_o + Output + 32 + xy_out_config_o + + + xy_out_config2_o + Output + 32 + xy_out_config2_o misc_config_o @@ -10677,6 +10707,12 @@ parameters are a RESULT of the module parameters. --> 32 sl_config2_o + + sl_config3_o + Output + 32 + sl_config3_o +