diff --git a/ossc.qsf b/ossc.qsf index 9b5e5b3..7dd173e 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -224,7 +224,7 @@ set_global_assignment -name ENABLE_SIGNALTAP OFF set_global_assignment -name USE_SIGNALTAP_FILE output_files/ossc_la.stp set_global_assignment -name FITTER_EFFORT "AUTO FIT" -set_global_assignment -name SEED 3 +set_global_assignment -name SEED 6 set_global_assignment -name VERILOG_FILE rtl/videogen.v @@ -245,4 +245,5 @@ set_global_assignment -name QIP_FILE rtl/mux5.qip set_global_assignment -name CDF_FILE output_files/Chain1.cdf set_global_assignment -name SIGNALTAP_FILE output_files/ossc_la.stp +set_global_assignment -name QIP_FILE rtl/pll_vgen.qip set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/rtl/ossc.v b/rtl/ossc.v index 3980c89..e053b1e 100644 --- a/rtl/ossc.v +++ b/rtl/ossc.v @@ -302,8 +302,28 @@ lat_tester lt0 ( ); `ifdef VIDEOGEN +wire pclk_vgen, clk13p5, clk54, clk24p2; + +pll_vgen pll_vgen_inst ( + .inclk0 ( clk27 ), + .c0 ( clk13p5 ), + .c1 ( clk54 ), + .c2 ( clk24p2 ), + .locked () +); + +mux5 mux5_inst ( + .data0 ( clk27 ), + .data1 ( clk13p5 ), + .data2 ( clk54 ), + .data3 ( clk24p2 ), + .data4 ( 1'b0 ), + .sel ( {1'b0, extra_info[5:4]} ), + .result ( pclk_vgen ) +); + videogen vg0 ( - .clk27 (clk27), + .clk27 (pclk_vgen), .reset_n (cpu_reset_n & videogen_sel), .lt_active (lt_active), .lt_mode (lt_mode_synced), @@ -313,7 +333,18 @@ videogen vg0 ( .HSYNC_out (HSYNC_out_videogen), .VSYNC_out (VSYNC_out_videogen), .PCLK_out (PCLK_out_videogen), - .ENABLE_out (DE_out_videogen) + .ENABLE_out (DE_out_videogen), + .pat_id (extra_info[31:30]), + .pat_speed (extra_info[3:0]), + .interlace (extra_info[29]), + .H_BACKPORCH (h_info[19:11]), + .H_SYNCLEN (h_info[27:20]), + .H_ACTIVE (h_info[10:0]), + .H_TOTAL (h_info2[11:0]), + .V_BACKPORCH (v_info[16:11]), + .V_SYNCLEN (v_info[19:17]), + .V_ACTIVE (v_info[10:0]), + .V_TOTAL (v_info[30:20]) ); `endif diff --git a/rtl/pll_vgen.ppf b/rtl/pll_vgen.ppf new file mode 100644 index 0000000..48b5314 --- /dev/null +++ b/rtl/pll_vgen.ppf @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/rtl/pll_vgen.qip b/rtl/pll_vgen.qip new file mode 100644 index 0000000..c3f78f5 --- /dev/null +++ b/rtl/pll_vgen.qip @@ -0,0 +1,7 @@ +set_global_assignment -name IP_TOOL_NAME "ALTPLL" +set_global_assignment -name IP_TOOL_VERSION "17.1" +set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" +set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "pll_vgen.v"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll_vgen_inst.v"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll_vgen_bb.v"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll_vgen.ppf"] diff --git a/rtl/pll_vgen.v b/rtl/pll_vgen.v new file mode 100644 index 0000000..c0dab57 --- /dev/null +++ b/rtl/pll_vgen.v @@ -0,0 +1,365 @@ +// megafunction wizard: %ALTPLL% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: altpll + +// ============================================================ +// File Name: pll_vgen.v +// Megafunction Name(s): +// altpll +// +// Simulation Library Files(s): +// altera_mf +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 17.1.0 Build 590 10/25/2017 SJ Lite Edition +// ************************************************************ + + +//Copyright (C) 2017 Intel Corporation. All rights reserved. +//Your use of Intel Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Intel Program License +//Subscription Agreement, the Intel Quartus Prime License Agreement, +//the Intel FPGA IP License Agreement, or other applicable license +//agreement, including, without limitation, that your use is for +//the sole purpose of programming logic devices manufactured by +//Intel and sold by Intel or its authorized distributors. Please +//refer to the applicable agreement for further details. + + +// synopsys translate_off +`timescale 1 ps / 1 ps +// synopsys translate_on +module pll_vgen ( + inclk0, + c0, + c1, + c2, + locked); + + input inclk0; + output c0; + output c1; + output c2; + output locked; + + wire [4:0] sub_wire0; + wire sub_wire4; + wire [0:0] sub_wire7 = 1'h0; + wire [2:2] sub_wire3 = sub_wire0[2:2]; + wire [1:1] sub_wire2 = sub_wire0[1:1]; + wire [0:0] sub_wire1 = sub_wire0[0:0]; + wire c0 = sub_wire1; + wire c1 = sub_wire2; + wire c2 = sub_wire3; + wire locked = sub_wire4; + wire sub_wire5 = inclk0; + wire [1:0] sub_wire6 = {sub_wire7, sub_wire5}; + + altpll altpll_component ( + .inclk (sub_wire6), + .clk (sub_wire0), + .locked (sub_wire4), + .activeclock (), + .areset (1'b0), + .clkbad (), + .clkena ({6{1'b1}}), + .clkloss (), + .clkswitch (1'b0), + .configupdate (1'b0), + .enable0 (), + .enable1 (), + .extclk (), + .extclkena ({4{1'b1}}), + .fbin (1'b1), + .fbmimicbidir (), + .fbout (), + .fref (), + .icdrclk (), + .pfdena (1'b1), + .phasecounterselect ({4{1'b1}}), + .phasedone (), + .phasestep (1'b1), + .phaseupdown (1'b1), + .pllena (1'b1), + .scanaclr (1'b0), + .scanclk (1'b0), + .scanclkena (1'b1), + .scandata (1'b0), + .scandataout (), + .scandone (), + .scanread (1'b0), + .scanwrite (1'b0), + .sclkout0 (), + .sclkout1 (), + .vcooverrange (), + .vcounderrange ()); + defparam + altpll_component.bandwidth_type = "AUTO", + altpll_component.clk0_divide_by = 2, + altpll_component.clk0_duty_cycle = 50, + altpll_component.clk0_multiply_by = 1, + altpll_component.clk0_phase_shift = "0", + altpll_component.clk1_divide_by = 1, + altpll_component.clk1_duty_cycle = 50, + altpll_component.clk1_multiply_by = 2, + altpll_component.clk1_phase_shift = "0", + altpll_component.clk2_divide_by = 1080, + altpll_component.clk2_duty_cycle = 50, + altpll_component.clk2_multiply_by = 1007, + altpll_component.clk2_phase_shift = "0", + altpll_component.compensate_clock = "CLK0", + altpll_component.inclk0_input_frequency = 37037, + altpll_component.intended_device_family = "Cyclone IV E", + altpll_component.lpm_hint = "CBX_MODULE_PREFIX=pll_vgen", + altpll_component.lpm_type = "altpll", + altpll_component.operation_mode = "NORMAL", + altpll_component.pll_type = "AUTO", + altpll_component.port_activeclock = "PORT_UNUSED", + altpll_component.port_areset = "PORT_UNUSED", + altpll_component.port_clkbad0 = "PORT_UNUSED", + altpll_component.port_clkbad1 = "PORT_UNUSED", + altpll_component.port_clkloss = "PORT_UNUSED", + altpll_component.port_clkswitch = "PORT_UNUSED", + altpll_component.port_configupdate = "PORT_UNUSED", + altpll_component.port_fbin = "PORT_UNUSED", + altpll_component.port_inclk0 = "PORT_USED", + altpll_component.port_inclk1 = "PORT_UNUSED", + altpll_component.port_locked = "PORT_USED", + altpll_component.port_pfdena = "PORT_UNUSED", + altpll_component.port_phasecounterselect = "PORT_UNUSED", + altpll_component.port_phasedone = "PORT_UNUSED", + altpll_component.port_phasestep = "PORT_UNUSED", + altpll_component.port_phaseupdown = "PORT_UNUSED", + altpll_component.port_pllena = "PORT_UNUSED", + altpll_component.port_scanaclr = "PORT_UNUSED", + altpll_component.port_scanclk = "PORT_UNUSED", + altpll_component.port_scanclkena = "PORT_UNUSED", + altpll_component.port_scandata = "PORT_UNUSED", + altpll_component.port_scandataout = "PORT_UNUSED", + altpll_component.port_scandone = "PORT_UNUSED", + altpll_component.port_scanread = "PORT_UNUSED", + altpll_component.port_scanwrite = "PORT_UNUSED", + altpll_component.port_clk0 = "PORT_USED", + altpll_component.port_clk1 = "PORT_USED", + altpll_component.port_clk2 = "PORT_USED", + altpll_component.port_clk3 = "PORT_UNUSED", + altpll_component.port_clk4 = "PORT_UNUSED", + altpll_component.port_clk5 = "PORT_UNUSED", + altpll_component.port_clkena0 = "PORT_UNUSED", + altpll_component.port_clkena1 = "PORT_UNUSED", + altpll_component.port_clkena2 = "PORT_UNUSED", + altpll_component.port_clkena3 = "PORT_UNUSED", + altpll_component.port_clkena4 = "PORT_UNUSED", + altpll_component.port_clkena5 = "PORT_UNUSED", + altpll_component.port_extclk0 = "PORT_UNUSED", + altpll_component.port_extclk1 = "PORT_UNUSED", + altpll_component.port_extclk2 = "PORT_UNUSED", + altpll_component.port_extclk3 = "PORT_UNUSED", + altpll_component.self_reset_on_loss_lock = "OFF", + altpll_component.width_clock = 5; + + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" +// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" +// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" +// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "High" +// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" +// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" +// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" +// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" +// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0" +// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" +// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" +// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" +// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" +// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" +// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "Any" +// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "2" +// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1" +// Retrieval info: PRIVATE: DIV_FACTOR2 NUMERIC "1" +// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" +// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000" +// Retrieval info: PRIVATE: DUTY_CYCLE2 STRING "50.00000000" +// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "13.500000" +// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "54.000000" +// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE2 STRING "25.174999" +// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" +// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" +// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" +// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0" +// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" +// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" +// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" +// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "27.000" +// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" +// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" +// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" +// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" +// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" +// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" +// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1" +// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" +// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" +// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" +// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" +// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg" +// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT2 STRING "deg" +// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" +// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" +// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0" +// Retrieval info: PRIVATE: MIRROR_CLK2 STRING "0" +// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" +// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "2" +// Retrieval info: PRIVATE: MULT_FACTOR2 NUMERIC "1" +// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" +// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.00000000" +// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "100.00000000" +// Retrieval info: PRIVATE: OUTPUT_FREQ2 STRING "25.17500000" +// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0" +// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "0" +// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE2 STRING "1" +// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" +// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz" +// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT2 STRING "MHz" +// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" +// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" +// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000" +// Retrieval info: PRIVATE: PHASE_SHIFT2 STRING "0.00000000" +// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" +// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" +// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "deg" +// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT2 STRING "deg" +// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" +// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" +// Retrieval info: PRIVATE: RECONFIG_FILE STRING "pll_vgen.mif" +// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" +// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" +// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" +// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" +// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" +// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" +// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" +// Retrieval info: PRIVATE: SPREAD_USE STRING "0" +// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" +// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" +// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1" +// Retrieval info: PRIVATE: STICKY_CLK2 STRING "1" +// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" +// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +// Retrieval info: PRIVATE: USE_CLK0 STRING "1" +// Retrieval info: PRIVATE: USE_CLK1 STRING "1" +// Retrieval info: PRIVATE: USE_CLK2 STRING "1" +// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" +// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0" +// Retrieval info: PRIVATE: USE_CLKENA2 STRING "0" +// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" +// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO" +// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "2" +// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" +// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1" +// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" +// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "1" +// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50" +// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "2" +// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0" +// Retrieval info: CONSTANT: CLK2_DIVIDE_BY NUMERIC "1080" +// Retrieval info: CONSTANT: CLK2_DUTY_CYCLE NUMERIC "50" +// Retrieval info: CONSTANT: CLK2_MULTIPLY_BY NUMERIC "1007" +// Retrieval info: CONSTANT: CLK2_PHASE_SHIFT STRING "0" +// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" +// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "37037" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" +// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" +// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" +// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" +// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "OFF" +// Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5" +// Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]" +// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" +// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1" +// Retrieval info: USED_PORT: c2 0 0 0 0 OUTPUT_CLK_EXT VCC "c2" +// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" +// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked" +// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 +// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 +// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 +// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1 +// Retrieval info: CONNECT: c2 0 0 0 0 @clk 0 0 1 2 +// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL pll_vgen.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll_vgen.ppf TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll_vgen.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll_vgen.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll_vgen.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll_vgen_inst.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll_vgen_bb.v TRUE +// Retrieval info: LIB_FILE: altera_mf +// Retrieval info: CBX_MODULE_PREFIX: ON diff --git a/rtl/videogen.v b/rtl/videogen.v index b63b822..9fcd35f 100644 --- a/rtl/videogen.v +++ b/rtl/videogen.v @@ -30,22 +30,20 @@ module videogen ( output reg HSYNC_out, output reg VSYNC_out, output PCLK_out, - output reg ENABLE_out + output reg ENABLE_out, + input [1:0] pat_id, + input [3:0] pat_speed, + input interlace, + input [8:0] H_BACKPORCH, + input [7:0] H_SYNCLEN, + input [10:0] H_ACTIVE, + input [11:0] H_TOTAL, + input [5:0] V_BACKPORCH, + input [2:0] V_SYNCLEN, + input [10:0] V_ACTIVE, + input [10:0] V_TOTAL ); -//Parameters for 720x480@59.94Hz (858px x 525lines, pclk 27MHz -> 59.94Hz) -parameter H_SYNCLEN = 10'd62; -parameter H_BACKPORCH = 10'd60; -parameter H_ACTIVE = 10'd720; -parameter H_FRONTPORCH = 10'd16; -parameter H_TOTAL = 10'd858; - -parameter V_SYNCLEN = 10'd6; -parameter V_BACKPORCH = 10'd30; -parameter V_ACTIVE = 10'd480; -parameter V_FRONTPORCH = 10'd9; -parameter V_TOTAL = 10'd525; - parameter H_OVERSCAN = 10'd40; //at both sides parameter V_OVERSCAN = 10'd16; //top and bottom parameter H_AREA = 10'd640; @@ -56,17 +54,18 @@ parameter V_GRAYRAMP = 10'd84; parameter H_BORDER = ((H_AREA-H_GRADIENT)>>1); parameter V_BORDER = ((V_AREA-V_GRADIENT)>>1); -parameter X_START = H_SYNCLEN + H_BACKPORCH; -parameter Y_START = V_SYNCLEN + V_BACKPORCH; +wire [9:0] X_START = H_BACKPORCH + H_SYNCLEN; +wire [6:0] Y_START = V_BACKPORCH + V_SYNCLEN; //Counters -reg [9:0] h_cnt; //max. 1024 -reg [9:0] v_cnt; //max. 1024 - -reg [9:0] xpos; -reg [9:0] ypos; +reg [11:0] h_cnt; +reg [10:0] v_cnt; +reg [10:0] x_offset; +reg [10:0] y_pos; +reg fid, frame_id; assign PCLK_out = clk27; +wire [11:0] x_pat = h_cnt-x_offset; //R, G and B should be 0 outside of active area assign R_out = ENABLE_out ? V_gen : 8'h00; @@ -99,18 +98,54 @@ always @(posedge clk27 or negedge reset_n) begin if (!reset_n) begin v_cnt <= 0; + y_pos <= 0; VSYNC_out <= 0; end else begin //Vsync counter - if (h_cnt == H_TOTAL-1) begin - if (v_cnt < V_TOTAL-1) - v_cnt <= v_cnt + 1'b1; - else + if (!interlace) begin + if (h_cnt == H_TOTAL-1) begin + if (v_cnt < V_TOTAL-1) begin + v_cnt <= v_cnt + 1'b1; + if (v_cnt >= Y_START) begin + if (pat_id == 2) + y_pos <= y_pos + v_cnt[0]; + else + y_pos <= y_pos + 1'b1; + end + end else begin + v_cnt <= 0; + x_offset <= (x_offset < H_ACTIVE) ? (x_offset + pat_speed + 1'b1) : 0; + frame_id <= frame_id ^ 1; + if (pat_id == 1) + y_pos <= frame_id; + else + y_pos <= 0; + end + end + + //Vsync signal + VSYNC_out <= (v_cnt < V_SYNCLEN) ? 1'b0 : 1'b1; + end else begin + if ((fid==1'b0) && (v_cnt==(V_TOTAL>>1)) && (h_cnt==(H_TOTAL>>1)-1)) begin // odd field end + v_cnt <= 11'h7ff; + y_pos <= 1; + fid <= fid ^ 1'b1; + end else if (((fid==1'b1) && (v_cnt==(V_TOTAL>>1)-1) && (h_cnt == H_TOTAL-1))) begin // even field end v_cnt <= 0; - end - - //Vsync signal - VSYNC_out <= (v_cnt < V_SYNCLEN) ? 1'b0 : 1'b1; + y_pos <= 0; + fid <= fid ^ 1'b1; + end else if (h_cnt == H_TOTAL-1) begin + v_cnt <= v_cnt + 1'b1; + if (v_cnt >= Y_START) + y_pos <= y_pos + 2; + end + + //Vsync signal + if (fid==1'b0) + VSYNC_out <= (v_cnt < V_SYNCLEN) ? 1'b0 : 1'b1; + else + VSYNC_out <= ((v_cnt+1'b1 < V_SYNCLEN) | ((v_cnt+1'b1==V_SYNCLEN) & (h_cnt < (H_TOTAL>>1)))) ? 1'b0 : 1'b1; + end end end @@ -137,14 +172,18 @@ begin end endcase end else begin - if ((h_cnt < X_START+H_OVERSCAN) || (h_cnt >= X_START+H_OVERSCAN+H_AREA) || (v_cnt < Y_START+V_OVERSCAN) || (v_cnt >= Y_START+V_OVERSCAN+V_AREA)) - V_gen <= (h_cnt[0] ^ v_cnt[0]) ? 8'hff : 8'h00; - else if ((h_cnt < X_START+H_OVERSCAN+H_BORDER) || (h_cnt >= X_START+H_OVERSCAN+H_AREA-H_BORDER) || (v_cnt < Y_START+V_OVERSCAN+V_BORDER) || (v_cnt >= Y_START+V_OVERSCAN+V_AREA-V_BORDER)) - V_gen <= 8'h50; - else if (v_cnt >= Y_START+V_OVERSCAN+V_BORDER+V_GRADIENT-V_GRAYRAMP) - V_gen <= (((h_cnt - (X_START+H_OVERSCAN+H_BORDER)) >> 4) << 3) + (h_cnt - (X_START+H_OVERSCAN+H_BORDER) >> 6); - else - V_gen <= (h_cnt - (X_START+H_OVERSCAN+H_BORDER)) >> 1; + if (pat_id < 3) begin + if ((h_cnt < X_START+H_OVERSCAN) || (h_cnt >= X_START+H_OVERSCAN+H_AREA) || (y_pos < V_OVERSCAN) || (y_pos >= V_OVERSCAN+V_AREA)) + V_gen <= (h_cnt[0] ^ y_pos[0]) ? 8'hff : 8'h00; + else if ((h_cnt < X_START+H_OVERSCAN+H_BORDER) || (h_cnt >= X_START+H_OVERSCAN+H_AREA-H_BORDER) || (y_pos < V_OVERSCAN+V_BORDER) || (y_pos >= V_OVERSCAN+V_AREA-V_BORDER)) + V_gen <= 8'h50; + else if (y_pos >= V_OVERSCAN+V_BORDER+V_GRADIENT-V_GRAYRAMP) + V_gen <= (((h_cnt - (X_START+H_OVERSCAN+H_BORDER)) >> 4) << 3) + (h_cnt - (X_START+H_OVERSCAN+H_BORDER) >> 6); + else + V_gen <= (h_cnt - (X_START+H_OVERSCAN+H_BORDER)) >> 1; + end else begin + V_gen <= ((h_cnt >= (X_START+x_offset)) && (h_cnt < (X_START+x_offset+(H_ACTIVE/(`LT_WIDTH_DIV)))) && (y_pos >= ((V_ACTIVE/2)-(V_ACTIVE/(`LT_HEIGHT_DIV*2)))) && (y_pos < ((V_ACTIVE/2)+(V_ACTIVE/(`LT_HEIGHT_DIV*2))))) ? {8{x_pat[3]^y_pos[3]}} : 8'h00; + end end ENABLE_out <= (h_cnt >= X_START && h_cnt < X_START + H_ACTIVE && v_cnt >= Y_START && v_cnt < Y_START + V_ACTIVE); diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index ad0f69a..2160e5d 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -1,1073 +1,1073 @@ :020000020000FC :2000000000402074084008140800683A0000000000000000000000000000000000000000FE -:2000080006C02074DEE80014068020B4D68387140080207410A6051400C0207418E72014EC -:2000100010C00326100000151080010410FFFD360816BC400816CA40003FFF06DEFFFB0479 +:2000080006C02074DEE80014068020B4D68286140080207410A4F21400C0207418E60D1417 +:2000100010C00326100000151080010410FFFD360816724008168040003FFF06DEFFFB040D :2000180000BFE084D880000500800084D880004500800344D880008500800484DFC0041543 :20002000D88000C531803FCCD8C005173000022600BFE004000001060080100439C03FCC99 :20002800380E91FAD900018518C000CC39C0021410800214D80B883A01000044D8800105E7 :20003000D9C00145D8C001C5D8000205D8000245D8000285D80002C5D8000305D800034577 -:20003800D8000385D80003C5081073C0DFC00417DEC00504F800283ADEFFFB040080010441 -:20004000D880000500800044D880004500800284D8800085D88000C3DFC0041521003FCC00 -:200048001080020C1080005410800414D88000C5D8800103108000D4D88001052000021EF3 -:200050000080014400000106008000C4D9000103108001CC1085883A00FFF8C420C6703AA4 -:200058001085883A1884B03AD8800105D88001C3D80B883A01000044108001CCD80001858C -:20006000D88001C508108680DFC00417DEC00504F800283ADEFFFC04DC000015040020B4E3 -:2000680084041804DC80021504801304DC400115900B883A2023883A000D883A8009883A18 -:20007000DFC003150817410089403FCC8009883A000D883A0817474004400044900B883AE0 -:200078008009883A880D883A08174100880B883A8009883ADFC00317DC800217DC40011764 -:20008000DC000017DEC0040408174481DEFFFC04DC000015040020B484041804DC80021526 -:20008800DC4001152025883A2823883A8009883A000D883A01401304DFC003150817410089 -:2000900091403FCC8009883A000D883A081747400180004489403FCC8009883ADFC0031717 -:20009800DC800217DC400117DC000017DEC0040408174741DEFFFF0421003FCCDFC000159F -:2000A00008101940DFC00017DEC00104F800283ADEFFFF0429403FCC21003FCCDFC00015E8 -:2000A800081020C00005883ADFC00017DEC00104F800283ADEFFFB04DCC00315DC800215C3 -:2000B000DC400115DC000015DFC004152825883A3027883A2023883A2821883A8485C83A12 -:2000B80014C0060E8140000389003FCC84000044081020C08C400044003FF8060005883A14 -:2000C000DFC00417DCC00317DC800217DC400117DC000017DEC00504F800283ADEFFFF0433 -:2000C8000140FA04DFC0001508162F801009883ADFC00017DEC001040816CD01DEFFFF0458 -:2000D000000B883A010003C4DFC0001508102900000B883A01001844DFC00017DEC0010403 -:2000D80008102901DEFFFD04DC000015040020B484261C048140008301000144DFC0021515 -:2000E000DC40011508102900808000838100030300FFFEC41004D1FA20C6703A044001040A -:2000E8001085883A1085883A1884B03A01400F448809883A8080030508102900010000444F -:2000F000081031C08809883A014007440810290001400C04010018440810290001402C84E7 -:2000F800010002440810290001403E04010002840810290001400DC4010002C408102900FB -:20010000000B883A010003C408102900000B883A0100324408102900000B883A0100328400 -:2001080008102900000B883A010032C408102900000B883A0100330408102900000B883A7E -:200110000100334408102900000B883A0100338408102900000B883A010033C4081029004A -:20011800000B883A01003404081029008140010301003844DFC00217DC400117DC0000175F -:20012000DEC0030408102901DEFFF904DCC003152827883A0140FA04DD400515DD000415CD -:20012800DC400115DC000015DFC00615DC800215202B883A3023883A9CC03FCC081623C0DD -:20013000050000441021883A9D00021E0486000400000106048C000401400044010003C440 -:2001380008102900000B883A01000CC408102900900AD23A01000D04048020B40810290036 -:20014000000B883A01000D440810290081403FCC01000C0408102900800AD23A01000C443A -:2001480094A61C0429403FCC08102900800AD43A01000C8408102900000B883A010003C489 -:2001500008102900000B883A0100314408102900954002159D000226040002840000010688 -:200158000400008400800044940001C5908001058C403FCC050000448D0002260005883A2F -:2001600000000106008003C401003804908001450140300408102900047FFF040100164407 -:200168009D00051E081027408884703A1140005429403FCC00000306081027408884703A2B -:2001700011403FCC0100164408102900010001040810274011403ACC010001040810290054 -:2001780091400103010038440810290001403904010038840810290091400143010038C446 -:20018000294003CC0810290001403A04010039040810290001400044010003C4081029005A -:20018800000B883A0100244408102900000B883A010024840810290001400044010024C4BB -:2001900008102900000B883A0100250408102900017FF004814AB03A29403FCC010026040E -:2001980008102900040A303A29403FCC280A913A01002644294002D429403FCC08102900BE -:2001A000000B883A010003C40810290001403044010038040810290000BFF04490800185AD -:2001A80000800044DFC00617DD400517DD000417DCC00317DC800217DC400117DC00001734 -:2001B000DEC00704F800283ADEFFFE04DC000015040001048009883ADFC0011508102740D4 -:2001B800108002148009883A11403FCC0810290001400C0401001844DFC00117DC00001741 -:2001C000DEC0020408102901DEFFFE04DC000015040001048009883ADFC0011508102740E1 -:2001C8001404B03A11403FCC8009883ADFC00117DC000017DEC0020408102901DEFFFE04FF -:2001D000DFC00115DC00001521003FCC2000021E000B883A00003B062821883A28003D2659 -:2001D80001400044010003C408102900814000C30100160408102900814001030100164479 -:2001E0000810290081400143010016840810290081400183010016C408102900814001C3F7 -:2001E800010017040810290081400203010017840810290081400243010017C408102900D4 -:2001F000814002830100180408102900814002C301001844081029008140030301001884C3 -:2001F8000810290081400343010018C408102900814003830100190408102900814003C354 -:200200000100194408102900808000C4000B883A8400040410C000031080004428CBC83A86 -:20020800143FFC1E29401BC429403FCC0100174408102900000B883A010003C4081029003A -:20021000014000C4010033440810290000800044000001060005883ADFC00117DC000017D4 -:20021800DEC00204F800283ADEFFFE04DFC00115DC00001521003FCC2000021E000B883A0A -:20022000000020062821883A2800222601400044010003C408102900814000C301001A04EC -:20022800081029008140010301001A44081029008140018301001AC408102900814001C326 -:2002300001001B0408102900808000C4000B883A8400020410C000031080004428CBC83A96 -:20023800143FFC1E29401C4429403FCC01001B4408102900000B883A010003C40810290085 -:20024000014000C4010033840810290000800044000001060005883ADFC00117DC00001764 -:20024800DEC00204F800283ADEFFFD04DC000015000B883A2021883A010003C4DFC002157B -:20025000DC40011508102900044030448809883A0810274084003FCC800AC03A10803F8C23 -:200258008809883A114AB03A08102900014000C401003184DFC00217DC400117DC00001713 -:20026000DEC0030408102901DEFFF904DCC00315DC800215DC4001152025883A2823883A50 -:20026800010001040140074404C020B49CE61C04DD000415DC000015DFC006153821883AEE -:20027000DD4005153029883A0810290098C0030381C0004C00BFFF441884703A39CF883ADE -:2002780011CEB03A99C00305988003171080008C10000226010000440810928001001C0426 -:200280009C0000C308102740108000CC8D4000CC00C00044100B883AA8C0052600C00084D3 -:20028800A8C0041E017FE004114AB03A00000106114010148080020C1000012629400814DD -:200290008080004C10000126294004148080008C10000126294002148400010C80000126D0 -:200298002940011429403FCC01001C0408102900A800131EA50000CC00800044A080082696 -:2002A00000800084A0800E1E88803FCC1080201C10BFE004100050160029883A00004F06A6 -:2002A80088803FCC1080201C10BFE0048D00100C10004A0E00BFE8041528B03A0000470674 -:2002B0000029883A0021883A01001C84081027401080070CA420B03A140AB03A29403FCC73 -:2002B80001001C8408102900988003171080008C100002260140004400000106000B883A65 -:2002C0000100300408102900014005440100010408102900014004040100184408102900F0 -:2002C80094803FCC008000849080081E01402204010018840810290001400404010018C452 -:2002D00008102900014021040000070601400604010018840810290001400404010018C40B -:2002D8000810290001400304010019040810290001000044081031C001000104081027404B -:2002E0001140074C010001040810290001001904081031C001000104081027401140054CC5 -:2002E80001000104081029000400190401000384081027401080040C1000321E0100004442 -:2002F000081031C080BFFFC41021883A10BFFFCC103FF61E00002B06053FE8048C400C0CAE -:2002F800008008048880081E018000C4D16000C401001CC408102B40014020B40180048470 -:20030000296170840000090600800C0488800B1E018000C4D16000C401001CC408102B40F1 -:20030800014020B4018004842961678401001D8408102B4004000084003FA3060080040425 -:200310008880081E018000C4D160000401001CC408102B40014020B40180048429616304B2 -:20031800003FF206018000C4D160000401001CC408102B40014020B40180048429616C0498 -:20032000003FEA06000B883A01000304081029000140100401000344081029000140004415 -:200328000100038408102900000B883A0100038408102900081033C000800044DFC00617CB -:20033000DD400517DD000417DCC00317DC800217DC400117DC000017DEC00704F800283A27 -:20033800DEFFFD04DC400115DC0000152823883A2021883A000B883A010003C4DFC0021549 -:200340000810290001001644081027408C403FCC10800BCC8800051E81403FCC280A91BA50 -:2003480029400414114AB03A00000106100B883A29403FCC01001644DFC00217DC40011730 -:20035000DC000017DEC0030408102901008020B410A3500410C03217D0A27F1518000526F6 -:2003580010C03517008040041880021E0005883AF800283A00BFCE04F800283ADEFFFD0403 -:20036000DC400115280F883A2823883A200B883AD1227F17DC000015DFC002153021883A15 -:20036800081716C010000B1E800D883A8463883A34400626308000031005C2721005D63A8E -:200370003180004430BFFFC5003FF9060005883A0000010600BFCDC4DFC00217DC4001177D -:20037800DC000017DEC00304F800283ADEFFFB04DC800315DC400215DC000115DFC0041546 -:2003800030803FCC2023883A2825883A3021883A100003268805883A8C89883A0000060610 -:20038800300A923AD1227F170816E600103FF92600BFCD84000010061100062610C000031E -:200390001807C2721807D63A1080004410FFFFC5003FF906800AD23A800C923AD1227F176F -:20039800280A943ADC800015880F883A0816EF401000012600BFCD44DFC00417DC800317F7 -:2003A000DC400217DC000117DEC00504F800283ADEFFF904DD400515DD000415DCC0031558 -:2003A800DC800215DC000015DFC00615DC4001152825883A3029883A3827883A0021883AB7 -:2003B0000005883A0540400484800E2E9423C83AAC40012E04404004980D883A880B883A85 -:2003B8008009883A0810D7C010000A1E800D003A880B883A9809883A0817328084004004DB -:2003C000003FF1061500021E0005883A0000010600BFCD04DFC00617DD400517DD00041767 -:2003C800DCC00317DC800217DC400117DC000017DEC00704F800283ADEFFFD04DC000115F0 -:2003D0002021883A010020B421262004DFC002150815CE8010803FCC1000091E00808004D3 -:2003D800010020B4D8800015000F883A000D883A800B883A212620040815F04010803FCC83 -:2003E000DFC00217DC000117DEC00304F800283A014020B4010020B429662E042126230439 -:2003E80008147381014020B4010020B4296645042126274408147381DEFFFB04DFC00415CD -:2003F000DC000015DCC003152021883ADC800215DC40011508107080000B883A0009883A00 -:2003F80084003FCC0810868080002B1E040020B4842633048140011701006734213F3004AD -:20040000081623C081000287014006841023883A08162F8000C020B418E6668410C5883A31 -:200408001140000B8809883A044020B408162F80814004031009883A8C66494408162F8051 -:20041000814003031009883A2940004408162F80810003838CC009C38C800983100B883A1C -:200418002100004408162F80980D883A900B883A1009883A0810488089000983DFC0041744 -:20042000DCC00317DC800217DC400117DC000017DEC0050408100F81DFC00417DCC00317AB -:20042800DC800217DC400117DC000017DEC00504F800283ADEFFFB04DC0001152021883A46 -:2004300001000044DFC00415DC800315DC4002150810928008106C80000B883A0009883A42 -:20043800081073C084003FCC800F003A000D883A000B883A010000440810988080000D1E45 -:20044000044020B48C663304048020B4888003C394A6494491C00583D8800015000D883A59 -:20044800000B883A0009883A081005C09080058388800B458009883A0810FB800009883A86 -:20045000DFC00417DC800317DC400217DC000117DEC0050408109281DEFFFD04DFC00215CD -:20045800DC400115DC00001521003FCC2000271ED0A2824300C0020410C01D2600C00404F8 -:2004600010C0062600C0010410C01C1E0009883A081507400100004400002E06040020B431 -:20046800842633048140011701006734213F3004081623C081000287014006841023883ABF -:2004700008162F8000C020B418E6668410C5883A1140000B8809883A08162F8000C072B42A -:2004780018F0DFC418800236010003C4000001060009883A08150740000015060009883A05 -:20048000081507400009883A00001206008020B410A6494410C00803044000841021883AE8 -:200488001C4002260009883A00000106010003C4081507408100080320803FCC8880042E61 -:20049000008001441109C83A21003FCC00000106010000C4DFC00217DC400117DC00001794 -:20049800DEC003040814A281DEFFF50400C020B4DFC00A15DF000915DDC00815DD80071508 -:2004A000DD400615DD000515DCC00415DC800315DC400215DC0001150089C40418C4380456 -:2004A80019800037054020B4AD4438043000020E10BFFFC4103FFA1E29403FCC21003FCC45 -:2004B000040020B40815500084263304102D883A808002C310000426AC4000378823D43A2C -:2004B8008C4000CC000001060023883A01000DC40814B10001000E041027883A0814B10028 -:2004C0001025883A108003CC1004923A01000E4414E6B03A9004D1BA1080004C808002456D -:2004C8000814B10001000E841029883A0814B100A8C00037010000848C403FCC89000E2634 -:2004D000010020B421263304210002C32000021E010063C424C0082E010000448900041E61 -:2004D8001827D4BA9CC1FFCC9927883A000005069480080C9000032698C032280540004466 -:2004E0000000020698C06428002B883A814002C3048020B4B1003FCC94A649442800131E69 -:2004E8002000212618002026D0E280030140008419003FCC2100201C213FE0042900070E32 -:2004F00000C020B40100004418E63304D0228005190002C5044001040000160618C0004406 -:2004F800D0E280050023883A000012062000012618000D1ED1228003017FFF0421803FCC81 -:200500003180201C31BFE0043140040ED0228005800002C50440010400000506213FFFC462 -:20050800D122800500000106D02280050023883A18008726108003CC1004923A80C000179D -:200510001528B03A19000044008020B410A6330424C007369900004420C0053610C0011705 -:20051800A0C0031E10C00203A8803FCC18800226D022864500000C06D0E28643008000446C -:2005200019003FCC208008261887883AD0E2864518C03FCC1880041E88803FCC00C00084F7 -:2005280010C0012E1823883A91400303808008C3010020B400C020B42126494418E63304A3 -:200530002880281E21400343188009032880251E21400383188009432880221E214003C3AD -:200538001880098328801F1E21400403188009C328801C1E214001C3188007832880191EC6 -:2005400021400203188007C32880161E21400243188008032880131E21400283188008430C -:200548002880101E210002C31880088320800D1E9140064381000C0300C020B4008020B457 -:2005500018E6494410A633042900061E1940068311000C432900031E18C0090310800EC3F5 -:200558001880042688803FCC00C0008410C0012E1823883A90C005C380800B8318800F26FB -:20056000008020B410A63304110002870140068408162F8000C020B418E6670410C5883A74 -:2005680010C0000B008083441880041E88803FCC00C0008410C0012E1823883AD0A2874308 -:200570001000202685C0028701400684058020B4B809883A08162F80B5A663048700040383 -:20057800B085883A1140038BE009883A08162F80173FFFCC80800C03A0FFFFCC10000A263B -:2005800001400684B809883AD8C0001508162F80B085883A1180060BD8C00017300CD07AC5 -:200588003180004C00000106000D883A180B883AE009883A0814DA8088803FCC1000011E38 -:200590000440004484C00015850001158540020591400003810005C300C020B4008020B4FE -:2005980018E6494410A6330429001E1E194000431100060329001B1E194000831100064318 -:2005A0002900181E194000C3110006832900151E19400103110006C32900121E194001439D -:2005A8001100070329000F1E1940048311000A4329000C1E194004C311000A832900091E23 -:2005B0001940050311000AC32900061E18C0044310800A031880031E90C0094380800F037C -:2005B8001880032688803FCC1000011E044000449100060380800BC304C020B49CE63304DF -:2005C0002080062699400403081518C09880044588803FCC1000011E0440004491000703B4 -:2005C80080800CC320800126081520C09100074380800D03208001260814D0409100078387 -:2005D00080800D43208001260814D1009100084380800E032080071E008020B410A64944BE -:2005D80010C00883008020B410A6330410800E4318800226914008830814CD00910006C327 -:2005E00080800C83208006260140060408162F80010020B4212090042089883A0814F5C0A2 -:2005E8009100080380800DC32080012608111580910007C380800D832080012608150BC078 -:2005F000014020B4010020B4018001C429664304212653840816320010000326010020B469 -:2005F800212653840814D1C090C0098380800F431880071E008020B410A6494410C009C3FA -:20060000008020B410A6330410800F8318800226910005430810FB80D0A287831000092690 -:2006080091000A0380800FC320C03FCC18800526213FFD0421003FCC2100201C213FE00486 -:2006100008145600014020B4010020B401800C4429664944212638C4081635008805883A9C -:20061800D0228745DFC00A17DF000917DDC00817DD800717DD400617DD000517DCC0041724 -:20062000DC800317DC400217DC000117DEC00B04F800283ADEFFF004DC000615040020B474 -:2006280084263304DF000E158700028701400684DDC00D15E009883ADD800C15DD400B15CF -:20063000DCC00915DFC00F15DD000A15DC800815DC40071508162F80058020B480C005C352 -:20063800B5A66304B085883A0100008485C00403114005031540030B14C004C31900031E87 -:20064000848006039480004400000E060100004419000B1E10C0060B1906703A8100030369 -:200648001800032620000626048000C40000050620000326148005C39480011800000106D9 -:200650000025883A80C0050B008020B40102000410A6330419001B2620C00D360100080481 -:2006580019001A2620C003360080040418801526000031060100400419001926010080045C -:2006600019002D1E10800A030440010400001606010800041900162620C00536010400048E -:200668001900251E10800A030440014400000E0601100004190013260120001419001626EB -:2006700000001D060440010400001E0614400A03008000C41463C83A8C63883A00001906EC -:20067800044000C40000170688A3C83A00001506108008831000101EAD7FFA049CC003040F -:2006800000000D061080088310000D1EAD7FFA049CC0030400000A0610C00A03108008835C -:200688000440018488E3C83A1000061EAD7FFA049CC0030400000306B823883A00000106AE -:2006900004400144D0E28243008002041880011E294B883A80C0048328BFFFCC193FFFCC3B -:200698002080022E28C7C83A0000010600C0004489803FCCBDC03FCCB985C83AE009883AEF -:2006A00001400684D9800515D8C00415D880001508162F80B085883A1240028BD90000174B -:2006A800480B883ADA40021508162F801028D7FA81000A4389403FCCA085883A1029D07A0A -:2006B00008162F80D8C00417A08F883AE009883A014006841C7FFFCCD9C0031508162F805F -:2006B800B085883A12800483D94000178A89883ADA80011508162F8087000343A087883A1A -:2006C000DA800117E02897BA87000883500B883AB809883AE20000584010977AD8C0041551 -:2006C800DA00011508162F80DA400217B809883A10807FCC480B883A103892FA08162F800E -:2006D0001081FFCC1504B03A880B883AB809883AE0B8B03A08162F8010803FCC1004953A06 -:2006D800DA000117E0B8B03A008020B4E238B03A108434041700003580800443D980051762 -:2006E000D9C003171008937A80800403300C943A380E94FA100492BAD8C004172084B03A9C -:2006E800118CB03A31CCB03A1D3FFFCC008020B4350CB03A108430041180003580C003030A -:2006F00080800703810002871806977A100496BA014006841886B03A80800A831004953A80 -:2006F80018A2B03A08162F80B085883A108005431004947A8886B03A900496FA18A4B03ABE -:20070000A8BFFFCC90A4B03A98BFFFCC100492FA90A4B03A008020B410842C0414800035C8 -:2007080080800F03100693BA80800AC3100492BA1884B03A80C006C310C4B03A80C0068319 -:200710001806927A10C6B03A808006431004913A1884B03A00C020B418C4280418800035C8 -:20071800DFC00F17DF000E17DDC00D17DD800C17DD400B17DD000A17DCC00917DC80081743 -:20072000DC400717DC000617DEC01004F800283ADEFFF404DC000315040020B484263304F8 -:20072800DC4004158440011700800044DFC00B15DDC00A15DD800915DD400815DD000715B3 -:20073000DCC00615DC800515D0A286458800162684C000179800142601006734880B883A5D -:20073800213F3004081623C01025883A8080020301283BF4980B883A212EC0041000042606 -:20074000081623C0880B883A1009883A00000306081623C0880B883A1089883A081623C0AD -:200748001023883A000002060445DC04048F550401000EC40814B10001000F041027883AD2 -:200750000814B1008080058300C0207418DDD2041085883A1085883A1885883A11C0001720 -:200758008080020380C000171000021E00801A440000010600801C0401802074010020B486 -:20076000D8C00015319D8A040140044421262744D880011508163780014019048809883A41 -:20076800081623C00140FA049009883A1029883A081623C0014019048809883A102B883A2C -:2007700008162A000140FA049009883AD8800215DD00011508162A00014002841009883A3B -:20077800081623C001802074010020B4D8800015A80F883A319D8C04014004442126450419 -:2007800008163780D0A28D831000011E0810FA4081000017D1E2870381400203A00D883A77 -:20078800044020B40815570011003FCC2100201C213FE00400FFFFC48C66330420C0011E1E -:2007900000800104888002858480028301400684054020B4D4A2810594803FCC9480201C5D -:2007980094BFE0049009883A08162F80AD666304A885883AD0E28703144005838580040355 -:2007A0008500011788E2703AD462824515C0038BB1403FCC9CC03FCCB93FFFCC08162F8035 -:2007A8009809883A100B883A08162F80A00B883A1009883A081623C0B1003FCCB80B883A9A -:2007B0001027883A08162F8015BFFFCC80800C038C403FCCA53FFFCC100008260140068426 -:2007B8009009883A08162F80A885883A11C0060B380ED07A39C0004C00000106000F883A7B -:2007C0009CC03FCCA00D883AB00B883A8809883ADCC0001508152D4081000DC30811158039 -:2007C80081000BC381400403081518C0808004450811894080800B03810003831000051E92 -:2007D000008020B410A63304114003C3290B003A00000106000B883A0810CE0080C00E83B8 -:2007D800008020B410A6330481000B0318000B26DFC00B17DDC00A17DD800917DD400817B0 -:2007E000DD000717DCC00617DC800517DC400417DC000317DEC00C0408110B412000061E49 -:2007E80011C00B43108003C3000D883A000B883AD8800015081005C0DFC00B17DDC00A1717 -:2007F000DD800917DD400817DD000717DCC00617DC800517DC400417DC000317DEC00C0429 -:2007F800F800283AD12281C3DEFFFE04DC000015DFC0011508141F001021883A1000141E5B -:20080000008020B410A64944D0E281C310800C0301000284D0E2858511403FCC29000126BD -:20080800D0A28205D0A285C310000226D0A28203000001060005883A010020B421262B8455 -:200810002085883A010003C410C00005081402808005883ADFC00117DC000017DEC0020491 -:20081800F800283AD12281C3DEFFFE04DC000015DFC00115081402801021883A10000F1EDC -:20082000D0E281C3D0A285C3D0E2858510000426008020B410A633041080058300000106B2 -:200828000005883A010020B421262B842085883A010003C410C00005081402808005883A35 -:20083000DFC00117DC000017DEC00204F800283ADEFFFD04DC000015D4228103DC40011585 -:2008380001400684D422860584003FCC8009883A044020B4DFC002158C66630408162F8086 -:200840008885883A10C0038B014006848009883AD0E2850D10C00503D0E2880D10C004839B -:20084800D0E2808D10C0028BD0E2840D10C00543D0E2828D108004C3D0A2868D08162F80AF -:200850008885883A1080030BD0A2838DDFC00217DC400117DC000017DEC00304F800283ABB -:20085800DEFFFA04008020B4DD000415DCC00315DC800215DC400115DC000015DFC0051558 -:2008600010A6330410C002C3040020B42029883AD462860384266304D4E2808BD4A2868BFB -:20086800180021261080028714401F1E8809883A0140068408162F808085883A1100038B16 -:20087000D0E2850B20C0161E11000503D0E2880B20C0131E1100048398C03FCC20C0101E9A -:200878001100028BD0E2840B20C00D1E11000543D0E2828B20C00A1E10C004C390803FCCA4 -:200880001880071E014006848809883A08162F808085883A10C0030BD0A2838B18800226CB -:2008880000800044D0A287458809883A0140068408162F80D0E2850B8085883A8809883A07 -:2008900010C0038DD0E2880B14C004850140068410C00505D0E2840B10C0028DD0E2828B42 -:20089800148004C510C0054508162F80D0E2838B01802074010020B48085883AA1FFFFCC20 -:2008A000319EC1040140044421262E0410C0030DDFC00517DD000417DCC00317DC800217E4 -:2008A800DC400117DC000017DEC0060408163781DEFFFE04DC000015040020B4DFC001152E -:2008B00084044004008004448080003580000035008020B41084340410000035008020B4F1 -:2008B80010842C04100000350109C4040816CD0000807444D0A2830D0080744480800035B3 -:2008C000010000F4210350040816CD00018001B401406734010020B43186A004297F3004A2 -:2008C80021041804081739C00814650008149B0010002C260009883A0814B10000C03FC4C2 -:2008D00010C02A2608151080010000840810274010803FCC00C004C410C0261E0810364072 -:2008D800081459801000022600800044D0A287850810D4C01021883A1000201E0812B28058 -:2008E00001402074010020B401800C44295DDD04212638C40816350001402074010020B4D6 -:2008E80001800D84295E41842126558408163500010003C408141F00D122858308141F00E6 -:2008F000008020B410843C0410800037108000AC1000011E0812B7800009883A08110B400E -:2008F80000000706043FFF8400000506043FFF4400000306043FFF0400000106043FFFC420 -:200900008005883ADFC00117DC000017DEC00204F800283AD0A2814300E00004DEFFF104FC -:200908001080004410803FCC1008933AD0A2830BDC000515040020B410C4B03A2084B03A61 -:20091000D0A2830DDFC00E15DF000D15DDC00C15DD800B15DD400A15DD000915DCC00815D7 -:20091800DC800715DC400615D900030D10BFFFCC840440048080003501802074010020B4A2 -:20092000319D92040140044421262E04081637800810F900054020B404C020B4058020B461 -:2009280005C020B400800044AD443C049CE65584B5A62E04BDC42404AC4000378C7FFFCC97 -:200930001000611E8800602698C0058BD0A2830B88C0551E00F3FFC41884703AD0A2830D69 -:2009380010BFFFCC80800035B00000050810F900010001B42106A0040816CD00D0A2830B9E -:20094000D8C0030B1090001410C4B03AD0A2830D10BFFFCC808000350100FA0408160E0083 -:2009480007000044081611C01700021EBC800037903FFC0E081613C090BFFFC410BFFFCC35 -:2009500000FFFF541880072E01802074010020B4319D95040140044421262E040816378040 -:2009580000002F069038D43A953FFFCC0083FFC4E703FFCC01401904A009883AE0800F1E84 -:20096000081623C0A009883A01401904173FFFCC08162A0010BFFFCC01802074010020B4C0 -:20096800D8800015E00F883A319D97040140044421262E040816378000001906081623C0F1 -:2009700011FFFFCC01401904E009883AD9C0041508162A0010BFFFCC01401904E009883AE6 -:20097800D8800215081623C010BFFFCC01401904A009883AD880011508162A00D9C0041722 -:2009800010BFFFCC01802074010020B4D8800015319D9A040140044421262E040816378023 -:200988000810F9000000020698C0060B88C00A26D0E2830B00AFFFC41884703AD0A2830D5B -:2009900010BFFFCC808000350109C4040816CD008805883A003F98061083FFCCD0A2830D2F -:20099800808000350005883ADFC00E17DF000D17DDC00C17DD800B17DD400A17DD00091702 -:2009A000DCC00817DC800717DC400617DC000517DEC00F04F800283ADEFFFF04DFC0001532 -:2009A8000811C9000814C840008020B410A6494411000543DFC00017DEC0010408110B417B -:2009B000DEFFF404DFC00B15DF000A15DDC00915DD800815DD400715DD000615DCC0051579 -:2009B800DC800415DC400315DC00021508122B0010001E160080144401802074010020B438 -:2009C000000F883A319D9F040140044421262744D88000150816378001402074010020B4AE -:2009C80001800444295DAB0421264504081635000810FA400100023421284804054020B4F7 -:2009D000040020B40500207404C020B40816CD000025883A0023883AAD443C048426330435 -:2009D800A51DD2049CE6494400003C0601802074010020B4100F883A319DA404014004444C -:2009E000212627440816378001402074010020B401800444295ED0042126450408164900AB -:2009E8000810FA40003FFF06113FFF8421003FCC014000C42900322ED1228A0311003026E5 -:2009F000D0A28A05D0A28917D0E2891510000126D0228C150812D200102F883AD0A28D834B -:2009F800100002260009883A0813B680D0A2820381000583058020B410C03FCCB5A63304C5 -:200A000020C0211E98C00543B0800B0318800B26000F883A000D883A000B883A0009883AD8 -:200A0800D8000015081005C09900054308110B40988005438000011580800B05008020B465 -:200A100010A649441080054310007826B08005831000821E01004B040816CD00A8800037FB -:200A180010FFFFCCD0E28B150086303A1004D63A18C000ECD0E28C15D0A28D05103FCA1E2C -:200A2000D0228B15003FCE06010003C4D1228705070000C4E0C0062E0100014420C0062ED1 -:200A28000440008407000044880B883A00000506014000440000020607000084000B883A56 -:200A30000023883A10BFFFC410803FCC0100020420801836100490BA01002074210A3B0442 -:200A38001105883A108000171000683A00812930008129100081291800812918008129109B -:200A4000008129200081293000812910008129180480008400000706048000C4000005060E -:200A480000800404D0A2870504800044000001060025883AD0A285C310000B26008020B403 -:200A500010A62B8410C7883A18800003D0E2858311003FCC20C00426D9400115D0A2858562 -:200A580008141F00D9400117D0A2820329003FCCB1400DC3B0800585B00002C528803FCC42 -:200A600000C000441880042E00800144114BC83A29403FCC00000106014000C40814A94000 -:200A68000814BF8008107080D0A2878310000226E1003FCC0814520091403FCC89003FCC8D -:200A700008153C00B0800583010020B4018004441085883A1085883AA085883A11400017BA -:200A780021262744B00001150816490001402074010020B401800444295DA804212645044A -:200A800008164900D0A28D831000011E0810FA40D0E281830080028418BF7A1EB83F79262B -:200A8800010003C408140280003F760699C00583B0800B4338BF8526808003C3000D883A97 -:200A9000000B883AD88000150009883A081005C09880058380800B45003F7C0691403FCCD7 -:200A980089003FCC0811268010803FCC00C0008410C0252600C0010410C0032600C000442F -:200AA00010FF741E00002406808002C310000926D0A2830B00C020B418C44004108000948F -:200AA800D0A2830D10BFFFCC1880003508126980003F6806800001150814BF80808005839C -:200AB000010020B4018004441085883A1085883AA085883A11400017212627440816490072 -:200AB80001402074010020B401800444295DA8042126450408164900D0A28D83103F551E3E -:200AC0000810FA40003F5306808002C3103F51260811C900003F4F06808002C3103F4D26A4 -:200AC80008118940003F4B0601402074010020B4DEFFFF0401800C44295DDD042126494406 -:200AD000DFC0001508163500014020B4010020B40180BC8429609C0421266304081635002A -:200AD80000800044D0A287450005883ADFC00017DEC00104F800283ADEFFF804DD000415B3 -:200AE000DCC00315DC80021505002074048020B404C020B4DD800615DC000015DFC0071522 -:200AE800DD400515DC400115002D883A0021883AA51E4F0494843C049CE655840140207415 -:200AF000010020B401800444295DEA0421262304081649008405883A1085883AA085883A76 -:200AF80011400017010020B40180044421262E04081649000810F9000023883A0540008439 -:200B00009080003710BFFFCCD0A28B1590C0003700C6303A18C000ECD0E28C1510001A26C4 -:200B0800B08019268407883A98C7883A88000A1E01402074010020B401800444295DEC0457 -:200B1000212623041880000D081649000810F9000440004400000C0618C0000B10C00926BE -:200B180001402074010020B401800444295DEE0421262304081649000810F9000023883A07 -:200B20000000010604400084D0A2891710000F1ED0E28C170080007418800C1E8000091EE5 -:200B280001402074010020B401800D84295E4184212655840816350004400084040006C49C -:200B300000000206843FFF8404400084D0A28C17D5A28B17D0A289158D4003260109C40489 -:200B38000816CD00003FC6068400004400800684143FB20E010003C4DFC00717DD800617C3 -:200B4000DD400517DD000417DCC00317DC800217DC400117DC000017DEC0080408140281C9 -:200B4800DEFFED04D8800BC4D8800315008020B410A64C4410C00044D8C0051501402074F3 -:200B500010C00084D8800415D8C00615018001C410C000C4295E3FC410800104D9000A042D -:200B5800DFC01215DD401115DD001015DCC00F15DC800E15DC400D15DC000C15D8000BC550 -:200B6000D8C00715D8C00815D880091508163500D1228B17014020B40005883A296655846A -:200B6800018006841087883A1947883A18C0000B044020B48C6655841900032611812926FE -:200B700010800044003FF70600C0068418812036100490BA00C0207418CB7A0410C5883A72 -:200B7800108000171000683A0081324800812E6400812E7400812E5400812E6C00812E7C8A -:200B800000812E5C0081325000812E8400812E8C00812E940081325000813250008132508D -:200B880000813250008132500081325000812EC000812F9000812FA000812FCC0081301800 -:200B900000812FF800813044008131DC0081320400813154008000840000FE06008000C411 -:200B98000000FC06008001040000FA06008001440000F806008001840000F606008001C4AD -:200BA0000000F406008002040000F206008002440000F006D0A28D831007003AD0E28D856A -:200BA800D0E28583D0E281C51000031E010000440813B6800000E6060810FA400000E4068C -:200BB000008020B41084380414000037D4A28583044020B48C66330489000287014006841A -:200BB80008162F8000C020B418E663041885883A01802074010020B4D8800015900F883A40 -:200BC000319DF204014004442126230408163780888002C310001C2680C2000C8081FFCC4C -:200BC800180EC03A1080004411C4983A11CF883A1800022600801A440000010600801C040B -:200BD0008020D43A840000CC8000021E00C008040000010600C00A84010020B42104200428 -:200BD8002100003701802074D9000215010020B4D8C00115D8800015319DF5040140044460 -:200BE00021262E04081637800810F9000000B006D0A2830B1080041CD0A2830D0000AC067C -:200BE80000C020B418E64944188000030140004411003FCC290002361145883A0000010612 -:200BF0000005883A188000050000A10600C020B418E64944188000430140004411003FCC3F -:200BF800290002361145883A000001060005883A1880004500009606008020B410A6494486 -:200C000010C0010319003FCC2000012618FFFFC410C0010500008E0600C020B418E6494432 -:200C0800188001030140038411003FCC290002361080004400000106008003C4188001052B -:200C10000000830601402074010020B401800444295DF9042126230408164900014020749B -:200C1800010020B401800444295DFD0421262E0408164900048020B4050020B4054020B46D -:200C20000810F90094843C0404C006C4A5266304AD663304908000370021883A10BFFFCC7D -:200C28008407883A1C47883A18C0000B10C002268400004484FFFA1EA900028701400684FF -:200C300008162F80A085883A108005C300C0014418803F3600C001041C001916D9000A048F -:200C38002087883A18C000031C07D83A18C0004C180007261085883A1085883AD8C003046D -:200C40001885883A10800017140000050000300601802074010020B481C00044319E0004FD -:200C48000140044421262E04081637800810F90001000234212848040816CD0000002406C3 -:200C500000800304808022260109C4040816CD00003FD00601402074010020B40180044470 -:200C5800295E0404212623040816490001402074010020B401800444295E080421262E0499 -:200C600008164900040020B40810F90084043C04048006C404C002440500030480800037C1 -:200C68000009883A10BFFFCC2107883A1C47883A18C0000B10C01D1E99001F160140028475 -:200C70002100004408161C80D0A281C50811FE400810FA40D0228D8500001D0600C020B429 -:200C780018E64944188006030140078411003FCC290002361080004400000A060005883A3C -:200C80000000080600C020B418E649441880060311003FCC2000022610BFFFC40000010689 -:200C8800008007C418800605000009062100004424BFDD1E00000106253FE5260109C404C4 -:200C90000816CD00003FD506008000440000010600800284D0E2830B18C0401CD0E2830DB8 -:200C98000000010600800284D0E28C171900006C20000926008020B410A6330410800583AD -:200CA0000100024411403FCC2900022610800044000001060080004418C000AC18000A26D5 -:200CA800010020B42126494420C000030180004419403FCC314002361987883A0000010665 -:200CB0000007883A20C0000510C03FCC0100028419000326D0A2820500800044000001060E -:200CB8000005883AD122830B00FFFCC420C8703AD0E28D83D122830D1800051ED0E284834A -:200CC00018C7883A18C7883A20C6B03AD0E2830DD122830B00C020B418C44004190000353D -:200CC800DFC01217DD401117DD001017DCC00F17DC800E17DC400D17DC000C17DEC01304C4 -:200CD000F800283ADEFF6B04D9000304DC008C15DFC09415DDC09315DD809215DD409115AD -:200CD800DD009015DCC08F15DC808E15DC408D150810F3801021883A081608808000641E57 -:200CE00001800104D9400304D9008304081649000140207401800104295E9704D9008304AA -:200CE8000816430010002D1ED8800403DCC08484D9400484D8808405D88004430180020485 -:200CF0009809883AD880844508164900D880068BD8008645D8808B0DD880070BD8808B8DA3 -:200CF800D9408B17280BC232D880078BD9408715D8808B0DD880080BD8808B8DD8808B1796 -:200D00001005C232D8808815D880088BD8808B0DD880090BD8808B8DD8808B171005C2321B -:200D0800D8808915D8808217D8808B151005C232D8808A1500C0788428BFF98418800936F5 -:200D100001800044D900030408173280D8C08A1710C006260400198400002D060400190423 -:200D180000002B06040019440000290601802074010020B4319E99040140044421262304AD -:200D200008163780D9C0881701802074010020B4319E9D040140044421262E040816378075 -:200D28000810F900DD008817DD8089170023883A0005883A05C080048D00132EA465C83A53 -:200D3000BC80012E048080048D408004A80CD27A010020B4DC800015000F883AD9400304A8 -:200D3800212620040815F04014003FCC8000081E880D003A900B883AD90003040817328041 -:200D4000A823883A003FEC06B080761E00000206048000C400004906D8808487D9C08403EF -:200D4800D8C084431000C82600802074109E330401802074010020B4D8C00015319EA0042B -:200D50000140044421262304DCC00215D88001150816378001402074010020B40180044423 -:200D5800295EB60421262E0408163500044020B4040020B40810F9008C443C0484265584DA -:200D60008880003780C0000B10BFFFCC10C0B11E0814BF80D0A2830B00C020B418C44004A1 -:200D680010800094D0A2830D10BFFFCC188000350109C4040816CD0001402074010020B477 -:200D700001800444295EA3042126230408164900048000C401402074010020B401800444DC -:200D7800295EA60421262E04081649000810F9000027883A0580800405004004DC40881743 -:200D80009C406E2E8CE3C83AB440012E044080049D408004A80DD27A010020B4DC40001517 -:200D8800000F883AD9400304212620040815F04010803FCC10004E2600A1C83A081608803A -:200D9000008000448080362614000F1600BFCD4480802D261400051600BFCD0480802D1EBD -:200D980001402074295E900400002F0600BFCD848080222600BFCDC48080261E0140207455 -:200DA000295E8404000028060080198480801226140007160080190480800C2600801944C4 -:200DA80080801C1E01402074295E720400001E06008019C480800D2600801A048080151E9A -:200DB00001402074295E7F040000170601402074295E6E040000140601402074295E760469 -:200DB8000000110608160880048000C401402074040019C4295E7A0400000B060140207475 -:200DC000295E88040000080601402074295E8C040000050601402074295E950400000206FE -:200DC80001402074295E6A04010020B421262E0401800444081649000810F900010003F4BA -:200DD000211090040816CD0080004A0E9000492601802074010020B4319EB2040140044484 -:200DD800212623040816378094BFFFC4003F99069827D23A880B883AA440012E014040040C -:200DE000980D883AD90003040810DEC01021883A103FAA1EA4400236A827883A003F970663 -:200DE80099800044897FC004D90043040810DEC01021883A103FF826003FA00601402074D2 -:200DF000010020B401800444295EAA04212623040816490001402074010020B401800444C8 -:200DF800295EA60421262E04081649000810F900D9808917D9408817D9C003040009883A9D -:200E00000810E9001021883A103F8C1E0816088001402074010020B401800444295EBB0486 -:200E0800212623040816350001402074010020B401800444295EAE0421262E04081649007D -:200E10000810F900003FFF0600802074109ED004003F370680C0008B10C003260109C404C5 -:200E18000816CD00003F4606048000C404001A04003F720600BFFFC4DFC09417DDC0931710 -:200E2000DD809217DD409117DD009017DCC08F17DC808E17DC408D17DC008C17DEC09504AA -:200E2800F800283A21C03FCC01802074010020B4319EC0040140044421262E04081637810F -:200E3000DEFFFF040141194421003FCCDFC0001508162F80014019041009883A08161440CB -:200E380001802074010020B4100F883A319EC2040140044421262E04DFC00017DEC00104DF -:200E40000816378121C03FCC01802074010020B4319EC4040140044421262E040816378177 -:200E4800014003F4DEFFFC042950900421003FCCDFC00315DC400215DC00011508162F8093 -:200E5000014018F4294BA8041009883A1021883A081623C08009883A014659041023883A62 -:200E5800081623C00140FA041009883A08162A00014002841009883A081623C0018020745F -:200E6000010020B4D8800015880F883A319EC7040140044421262E0408163780DFC00317AD -:200E6800DC400217DC000117DEC00404F800283ADEFFFF04014119C421003FCCDFC0001562 -:200E700008162F80014019041009883A0816144001802074010020B4100F883A319ECA0482 -:200E78000140044421262E04DFC00017DEC001040816378121C03FCC01802074010020B453 -:200E8000319ECC040140044421262E0408163781DEFFFF0401409C4421003FCCDFC00015FA -:200E880008162F80014019041009883A0816144001802074010020B4100F883A319ECF0465 -:200E90000140044421262E04DFC00017DEC001040816378121003FCCDEFFFF0401409C44E4 -:200E980021000044DFC0001508162F80014019041009883A0816144001802074010020B4BF -:200EA000100F883A319ECF040140044421262E04DFC00017DEC001040816378121003FCC52 -:200EA8002100201C213FE00421FFFD0401802074010020B4319ED1040140044421262E04D8 -:200EB00008163781DEFFFF040140068421003FCCDFC0001508162F80014020B429666304E9 -:200EB800010020B401800444288B883A21262E04DFC00017DEC001040816490121003FCCA1 -:200EC0002109883A008020B410A088042109883A1109883A21400017010020B401800444B8 -:200EC80021262E040816490121003FCC00800284208007262109883A008020B42109883AFE -:200ED00010A30D041109883A214000170000020601402074295ED304010020B40180044411 -:200ED80021262E0408164901D0A28B17DEFFF70401C020B4DFC00815DDC00715DD800615AB -:200EE000DD400515DD000415DCC00315DC800215DC400115DC00001500C002C439E65584FD -:200EE8000180044418CB883A29CB883A2940000B2880041E21003FCC1827883A2000051E18 -:200EF0000000030618C0004419BFF61E0001080610010A26D5228D43040020B484217504C4 -:200EF800A5C03FCCB82290FA014005048445883A148001031580001795403FCCA809883A9A -:200F000008162F80B0C00117993FFD0421003FCC1885883A00C00104180B883A19002836F7 -:200F0800200890BA00C0207418CF0E0420C9883A20C000171800683A00813C8000813C4C68 -:200F100000813C6400813D6400813D64A800011EB480000394BFFFC48461883A8480010597 -:200F180000008A06B14000038461883AA90000448400010408161C808080000500008306D0 -:200F2000B8000326A53FFFC4D5228D4500007F06D0228D85DFC00817DDC00717DD800617E4 -:200F2800DD400517DD000417DCC00317DC800217DC400117DC000017DEC009040810FA4128 -:200F300011000103014000C42140062620C06F1E1080021704000044103EE83A1023883A37 -:200F380000006C061080041710000126103EE83AD4E28D43014005049C403FCC8C800044CE -:200F4000882290FA902490FA8445883A154000171100010384AD883AAD00011708162F808E -:200F4800B0C00017A085883A1080021718800126B000010584A5883A8461883A81000103E6 -:200F5000AC4001170140050408162F808885883A108002179CC00044D4E28D4590800015A1 -:200F580000004A0610C0010328C04836180690BA01002074210F61041907883A18C000178C -:200F60001800683A00813D9800813D9800813E0000813E4000813E4011C0021798C03FCC01 -:200F6800020003C4114003031100034339800003108003831A00081E20C03FCC32003FCCB8 -:200F70001A00022E30BFFFC400000B0629403FCC2800091E0000070610C03FCC32003FCC6C -:200F780040C0022E308000440000030629403FCC280001262005883A38800005000023069C -:200F8000110002179CC03FCC014003C420C0000B9940051E1080030B197FFFCC1140062E4B -:200F880018FFFFC4000004061080038B197FFFCC2880012E18C0004420C0000D00001306EB -:200F900011400317290000172800102698C03FCC018003C4208000031980041E10C03FCC54 -:200F98001800092610BFFFC40000070628C0010311803FCC19403FCC3140022E10800044F2 -:200FA000000001061805883A208000050023883A0021883AD0A28D4300C020B418E1750496 -:200FA800100490FA014005041885883A1100010314C0001708162F801025883A98800117EE -:200FB000010020B4212623041485883A1140001701800444081649009880011701000104B5 -:200FB8001485883A10C0010320C03636180690BA01002074210FC1041907883A18C00017DB -:200FC0001800683A00813F1800813F4800813F5400813F9C00813F6410C0021711000417CE -:200FC8000180044418C0000318C5883A1085883A2085883A11400017010020B421262E0452 -:200FD0000816490000001F0610C002171080041700001A0610C00217108004171900000B09 -:200FD8000000170680000D268800032601C0207439DED7040000020601C0207439DED504E4 -:200FE00001802074010020B4319ED9040140044421262E040816378000000A0610800317CA -:200FE8001000041E008020B410A62E04100000050000040610C000171080021719000003B0 -:200FF000103EE83ADFC00817DDC00717DD800617DD400517DD000417DCC00317DC80021722 -:200FF800DC400117DC000017DEC009040810F90121003FCC203FA51E103FA41EDFC00817D8 -:20100000DDC00717DD800617DD400517DD000417DCC00317DC800217DC400117DC00001718 -:20100800DEC00904F800283A21003FCC008003C411006336009114B4DEFFB8041094D544F7 -:20101000D88000150080107410951044DC404115D8800115244002B000801444DCC0431594 -:20101800DC400285DFC04715DD804615DD404515DD004415DC804215DC004015D8000205F2 -:20102000D88002458C403FCC24C0040488001C1E014020B4008011040180028429662B849D -:20102800D9000344D88002C5D800030508163500D0A28203014020B401800D84D8800605B5 -:20103000D0A2818329665584D90006C4D8800645D0A285C3D88005C5D0A28483D880068544 -:2010380008163500980C923A01401444D809883A0810DEC01004C03A0085C83A0000320616 -:2010400000BFFC84014020B401800C44D88003452966494400800084D90003C4D98002C5EB -:20104800D8000305D880038508163500014020B49826923A0180300429666304D90010043E -:2010500008163500980D883A01404004D809883A04004004054020B40810DEC004803004CF -:2010580005008C84AD666304802D883A917FFFCC800D883AA94B883AD809883A08163500A4 -:2010600089803FCC800B883A84A5883AA421C83A34CD883AD809883A8029883A843FFFCCFE -:201068000810DEC08C40004480000326B43FEF2E04004004003FED060005883A00000206A0 -:2010700000BFFFC4F800283ADFC04717DD804617DD404517DD004417DCC04317DC80421771 -:20107800DC404117DC004017DEC04804F800283ADEFFB704DFC04815DDC04715DD80461528 -:20108000DD404515DD004415DCC04315DC804215DC404115DC00401521003FCC008003C4E6 -:201088001100022E047FFFC400007906240004048008943AD80D883A014040040810D7C0E5 -:20109000103FF81E014020B40180020429608B04D809883A081643001023883A1000691E97 -:20109800D88002031000691ED8C00243008014441880661ED88002831000032600C0004459 -:2010A00010C02C2600006206D8800303D8C002C31004923A10C4B03A00C0110410C05C1E2E -:2010A80001C020B4D8C003440005883A0180024439E62B84014002841900000322003FCC48 -:2010B0003200023611D1883A410000051080004418C00044117FF81ED880064300C002448F -:2010B800D0A2818511003FCC1900032ED880060311003FCC19000136D0A28205D88005C354 -:2010C000010020B401800D84D0A285C5008020B410A62B8410800003D94006C4212655841E -:2010C800D0A28585D8800683D0A284850816350000003706D8800317D8C002C311803FCC35 -:2010D000300C923A30CCB03A00C00C4430C0301E1004D23A00C0BC841025883A10BFFFCC13 -:2010D80010C02B1E010020B48020923AD94003C42126494405C020B4081635000027883A15 -:2010E000002D883A0540100405004004BDE66304A97FFFCCA14DC83A90BFFFCCB13FFFCC9D -:2010E800D94B883A11800F16B909883A08163500990000442027883A21003FCC2409883A74 -:2010F0002008923AA56BC83AD80D883A01404004ADAD883A94BFC0040810D7C0002B883ADF -:2010F800003FEB06100D883A008020B410A663041109883A0816350000800044D0A2874527 -:20110000000003060440004400000106044000848805883ADFC04817DDC04717DD8046176D -:20110800DD404517DD004417DCC04317DC804217DC404117DC004017DEC04904F800283A7F -:20111000DEFFFC04DC000015040020B484041804DC80021504801284DC400115900B883A59 -:201118002023883A000D883A8009883ADFC003150817410089403FCC8009883A000D883A93 -:201120000817474004400044900B883A8009883A880D883A08174100880B883A8009883A82 -:20112800DFC00317DC800217DC400117DC000017DEC0040408174481DEFFFC04DC000015FA -:20113000040020B484041804DC800215DC4001152025883A2823883A8009883A000D883A50 -:2011380001401284DFC003150817410091403FCC8009883A000D883A081747400180004448 -:2011400089403FCC8009883ADFC00317DC800217DC400117DC000017DEC0040408174741CE -:20114800DEFFFE040080004421003FCCDC0000151120983A01000184DFC0011584003FCCFA -:20115000800B883A08144B80800B883A010001C4DFC00117DC000017DEC0020408144B8102 -:20115800DEFFFE042109883ADC00001524003FCC800B883A01000044DFC0011508144B805E -:20116000800B883A01000084DFC00117DC000017DEC0020408144B81DEFFFF040100014441 -:20116800DFC000150814440000C0218410C0141E014024040100080408144B80000B883AC2 -:201170000100084408144B80000B883A0100088408144B80014000C4010008C408144B8031 -:20117800000B883A01000A0408144B8001400C0401001C4408144B80008000440000010630 -:201180000005883ADFC00017DEC00104F800283ADEFFFD04D9000005010020B4DC00011552 -:20118800018000442821883A21041804D80B883ADFC0021508174F00813FFFCC0816CD00F7 -:20119000DFC00217DC000117DEC00304F800283AD0E2830BDEFFFC0400BFE7C41884703AC7 -:20119800DC400115044020B4D0A2830DDFC00315DC800215DC00001510BFFFCC8C44400422 -:2011A00088800035040005048009883A0816CD00800B883A01000E0408146100800B883A85 -:2011A80001000E4408146100800B883A8009883A08146100800B883A01001C440814610017 -:2011B000800B883A0100178408146100800B883A01001B4408146100800B883A010003043A -:2011B800081461000480C804900B883A0100004408146100800B883A0100018408146100DB -:2011C000900B883A0100008408146100D0A2830B10801014D0A2830D10BFFFCC8880003523 -:2011C800DFC00317DC800217DC400117DC000017DEC00404F800283AD0E2830BDEFFFA0497 -:2011D00000BFE7C41884703ADC000015040020B4DC800215DC400115D0A2830DDFC0051526 -:2011D800DD000415DCC003152025883A2823883A10BFFFCC84044004808000350140C80491 -:2011E0000100004408146100D0A2830B10800814D0A2830D10BFFFCC808000350140040467 -:2011E8009009883A08164E401029883A10803FCC1000091E014020B49009883A01800444DA -:2011F00029608D0408164900910000030140050408146100000009060027883AA5003FCC5B -:2011F80094C5883A11000003014005049CC000440814610098803FCC153FF936D0E2830B5B -:2012000000BFF7C41884703AD0A2830D10BFFFCC8080003501400504010030040814610041 -:20120800D0A2830B10800814D0A2830D10BFFFCC80800035014004048809883A08164E4001 -:201210001027883A10803FCC1000091E014020B48809883A0180044429608D040816490041 -:20121800890000030140050408146100000009060025883A9CC03FCC8C85883A110000031F -:2012200001400504948000440814610090803FCC14FFF936D0A2830B10801014D0A2830D7C -:2012280010BFFFCC80800035DFC00517DD000417DCC00317DC800217DC400117DC000017D2 -:20123000DEC00604F800283ADEFFFB04DC000015040020B484041804DC80021504800B044D -:20123800DCC00315900B883A2027883A000D883A8009883ADFC00415DC4001150817410018 -:2012400004400044880D883A99403FCC8009883A08174740900B883A8009883A880D883ADB -:2012480008174100880B883A8009883ADFC00417DCC00317DC800217DC400117DC0000177A -:20125000DEC0050408174481DEFFFC04DC000015040020B484041804DC800215DC40011504 -:201258002025883A2823883A8009883A000D883A01400B04DFC003150817410091403FCC05 -:201260008009883A000D883A081747400180004489403FCC8009883ADFC00317DC8002179C -:20126800DC400117DC000017DEC0040408174741010020B4DEFFFD04000D883A000B883AA3 -:2012700021041804DFC00215DC400115DC00001508174740010002840816CD00040006041E -:2012780004400044800B883A8809883A08149480800B883A0100008408149480800B883AAF -:20128000010000C4081494808809883A08148C801405003ADFC00217DC400117DC000017AC -:20128800DEC00304F800283ADEFFFD04DC400115DC000015044000442021883A84003FCC2C -:201290008809883ADFC00215802090FA08148C8000FFF9C410C4703A80A0B03A84003FCC10 -:20129800800B883A8809883A08149480800B883A0100008408149480800B883A010000C452 -:2012A000DFC00217DC400117DC000017DEC0030408149481DEFFFD04DC4001152023883A64 -:2012A80001000044DC000015DFC00215043FF60408148C801420703A89003FCC008000845F -:2012B0002080021E84000054000003062008917A2080011480A0B03A84003FCC800B883AAF -:2012B8000100004408149480800B883A0100008408149480800B883A010000C4DFC00217D5 -:2012C000DC400117DC000017DEC0030408149481DEFFFB04DC000015040020B484041804C8 -:2012C800DC80021504801704DCC00315900B883A2027883A000D883A8009883ADFC0041508 -:2012D000DC4001150817410004400044880D883A99403FCC8009883A08174740900B883A5B -:2012D8008009883A880D883A08174100880B883A8009883ADFC00417DCC00317DC8002176F -:2012E000DC400117DC000017DEC0050408174481DEFFFC04DC000015040020B484041804F2 -:2012E800DC800215DC4001152025883A2823883A8009883A000D883A01401704DFC0031500 -:2012F0000817410091403FCC8009883A000D883A081747400180004489403FCC8009883AFE -:2012F800DFC00317DC800217DC400117DC000017DEC0040408174741DEFFFC04DC00001566 -:20130000040008848009883ADFC00315DC800215DC4001150814B100014004C40100058436 -:201308001023883A0489C4040814B9009009883A0816CD00014000C4010005C40814B900BC -:201310009009883A0816CD00017FE004894AB03A29403FCC8009883A0814B9000100FA04C3 -:201318000816CD0089401FCC8009883ADFC00317DC800217DC400117DC000017DEC00404D0 -:201320000814B901DEFFFE04DC0000150409C4048009883ADFC001150816CD0001400444BE -:20132800010005840814B9008009883A0816CD0001400084010005C40814B9008009883A61 -:20133000DFC00117DC000017DEC002040816CD01DEFFFE04DC0000152821883A21403FCC1C -:2013380001000484DFC001150814B90081403FCC010004C4DFC00117DC000017DEC002049F -:201340000814B90121403FCC01000F440814B90121403FCC010004440814B901DEFFFE04B7 -:20134800DFC00115DC000015208001832021883A010006C411403FCC280A913A288AB03AF8 -:2013500029403FCC0814B90081400183010007040814B900814000C3010002840814B9002E -:2013580081400103010002440814B90081400143010002040814B9008140000301000344A7 -:201360000814B90081400043010003040814B90081400083010002C4DFC00117DC00001702 -:20136800DEC002040814B901DEFFFB04DC400115DC0000152023883A2021883A01000104DE -:20137000DCC00315DC8002153027883A2825883ADFC004150814B100017FFE049CC03FCCA0 -:201378001144703A9800092680FFFFCC0101FFC420C006361140005429403FCC0100010445 -:201380000814B9008423883A0000030611403FCC010001040814B9008C7FFFCC880AD13A5C -:201388000100004429403FCC0814B900880A913A0100008429403C0C0814B90001006734B3 -:20139000917FFFCC213F3004081623C0880B883A1009883A08162F800140FA041009883AB6 -:20139800081623C000E327D41880092E00C0007418C45BC41880082E00C000B418C3D5C4A2 -:2013A0001885403A00C000C41885C83A000003060005883A000001060080004414003FCC39 -:2013A800D0A028041405883A1100000301400A0408162F808808D07A880B883A1109883A73 -:2013B00008161440100B883A00C001C410803FCC1880012E180B883A29403FCC800491BABF -:2013B800280A90FA010000C4288AB03A29403FCCDFC00417DCC00317DC800217DC4001176B -:2013C000DC000017DEC005040814B901DEFFFE04DC0000152021883A01000684DFC001158A -:2013C8000814B100017FFE8484003FCC00C000441144703A80C0021E108000940000010619 -:2013D0001080029411403FCC01000684DFC00117DC000017DEC002040814B9012140028BDE -:2013D800DEFFFE04DC000015280AD23A2021883A010012C4DFC001150814B900814002833D -:2013E000010012840814B9008140030B01001344280AD23A0814B900814003030100130468 -:2013E8000814B9008140038B010013C4280AD23A0814B90081400383010013840814B90022 -:2013F0008140010B01001444280AD23A0814B90081400103010014040814B9008140018BA4 -:2013F800010014C4280AD23A0814B90081400183010014840814B9008140020B010015440E -:20140000280AD23A0814B90081400203010015040814B9008140040B010015C4280AD23A1C -:201408000814B90081400403010015840814B9008140048B01001644280AD23A0814B900FA -:2014100081400483010016040814B9008140050B010016C4280AD23A0814B90081400503FC -:2014180001001684DFC00117DC000017DEC002040814B901DEFFFD04DC00001504000FC44F -:20142000DC4001152023883A8009883ADFC002150814B10000FFFC0410C4703A888AB03A2E -:2014280029403FCC8009883ADFC00217DC400117DC000017DEC003040814B901DEFFFD04AD -:20143000DC0000152021883ADC40011584003FCC044006848809883A802091BADFC0021525 -:201438000814B10010800FCC808AB03A29403FCC8809883ADFC00217DC400117DC000017C2 -:20144000DEC003040814B901DEFFFC04014020B4018001C429608D84D809883ADFC00315E5 -:20144800DC000215081635000814BF800009883A0814F0C004000044800B883A01000D4465 -:201450000814B900010020B4212090040814F5C00009883A08150BC0010001840814D040C7 -:20145800010011040814D100000B883A8009883A0814CD00D809883A0814D1C0DFC0031767 -:20146000DC000217DEC00404F800283ADEFFFB04DCC0031504C00104DC4001152023883AE7 -:201468009809883ADFC00415DC800215DC0000152825883A0814B100900B883A8809883A59 -:201470001021883A08162F80108007CC100A90FA840001CC9809883A2C0AB03A0814B900EC -:2014780091403FCC89003FCC08162F801004D17ADFC00417DCC00317DC800217DC4001179F -:20148000DC000017DEC00504F800283ADEFFFD04DC0000152021883ADC40011584003FCCC5 -:20148800044004048809883A802090FADFC002150814B100108001CC808AB03A29403FCC33 -:201490008809883ADFC00217DC400117DC000017DEC003040814B90121003FCC20001A2603 -:20149800DEFFFD04DC400115010009842823883A01402004DC000015DFC002153021883A6A -:2014A0000814B90089403FCC0080004428800426008002042880041E814016840000030639 -:2014A80081400244000001068140060429403FCC01000C44DFC00217DC400117DC000017A7 -:2014B000DEC0030400000206000B883A010009840814B901DEFFFA04DC4001152823883A24 -:2014B800D9400617DD000415DCC00315DC800215DC000015DFC0051524003FCC0080004424 -:2014C0003025883A3827883A2D003FCC808008260080020480800D1E29400C8429403FCC50 -:2014C800010001440814B9000140080400000C062940008429403FCC010001440814B9000E -:2014D000014001842809883A000006062940018429403FCC010001440814B9000140040471 -:2014D800010001840814B900A00D883A800B883A0100004408152580008004048080021E2E -:2014E000014003040000010601400204010008840814B90099803FCC917FFFCC893FFFCC62 -:2014E800DFC00517DD000417DCC00317DC800217DC400117DC000017DEC006040814DA81C0 -:2014F000DEFFFD0429BFFF84DC400115DC000015DFC0021531803FCC0080004424403FCCCB -:2014F8002C003FCC11800436008000848880071E8805883A000008068800061E8005003AD9 -:2015000000C000841885C83A000003060005883A0000010600800084880A913A8C47883A1B -:2015080010803FCC18C7883A100491BA28CAB03A214AB03A288AB03A29403FCC010006449C -:201510000814B900010004040814B10000FFFE0410C4703A00C000C4100B883A80C0011ED1 -:201518001140015429403FCC010004040814B9000080008488800D1E0080004414000B366B -:201520008080021E0140148400000106014014C4010003840814B9000100FA040816CD004B -:20152800010005040814B10000000B06014016C4010003840814B9000100FA040816CD0059 -:20153000010005040814B100008000C48080021E0140040400000106000B883A0100060438 -:201538000814B900000B883A01000D84DFC00217DC400117DC000017DEC003040814B90105 -:20154000DEFFFD04DC4001152023883A01000504DC000015DFC002152821883A0814B100ED -:201548008C403FCC00C0008488C00A1E81403FCC00C0004428C0031E1080240C108024208B -:20155000000006062800031E1080220C10802220000002061004D07A1080004CDFC002179C -:20155800DC400117DC000017DEC00304F800283ADEFFEC04DC400B15044020B48C66330403 -:2015600000800104D880060D8880078302400204020010044884983A0181000402880004D9 -:201568001244B03AD880068D888007C300C020B4DFC013154084983ADDC01115DD80101530 -:20157000D880070D88800803DD400F15DD000E153084983ADF001215DCC00D15D880078D65 -:2015780088800843DC800C15DC000A155084983AD800088518E66704D880080DD8800884C3 -:20158000D8800015888008C4D880011588800904D880021588800944D880031588800984BE -:20158800D8800415888009C4D880051588800B830029883A02C04004D88009050304000493 -:201590000382000403C080040340040407C02004054008040580010405C083441CC001C32E -:2015980001800144A021883A9C803FCC188001833480EC36900C90BA028020745295A30447 -:2015A000328D883A318000173000683A008156FC008156FC008156FC008156A4008156B88D -:2015A800008156FC01801004D980070D01810004D980078D000011061980000B35C00F1EFE -:2015B0001F3FFB43028000C4D9800903E280051E3000021E01BFFBC4000004060280008470 -:2015B800000005063000031E01BFFEC43084703A00000206028000443280CA2611C4703AE8 -:2015C00010803FCC1000C7269485883A1085883AD885883A108000171980020B1080000342 -:2015C8001085883AD885883A1280060B5194703A50BFFFCC1000BB263180004C2F003FCC59 -:2015D0003180005CE180B71E1980000B318007843100B43607000044018020B4880003850D -:2015D800880003C58F000405880004858A80050D31A6330412C0772658800E3613405E266E -:2015E000688003361580212612402F260000A50612005D2617C06A261540A21E00800044C7 -:2015E80088800305008000848880034500800184000073061300832660800936028100049F -:2015F000128071261380692613C0961E008000848880030588800345008001440000680672 -:2015F8000710000417007D2601A00014118084260288000412808B1E0080010488800305B0 -:201600008800034500801E040000840630000305300003459CC03F4C008000449880871EB6 -:2016080089000C4300C020B418E6330420000326010000841900040500000106188003850A -:20161000888003C500007D06008000448880030588800EC3054020B4AD6633041000101E19 -:2016180001400684A009883A08162F8000C020B418E6668410C5883A1080000B00C15DC41F -:20162000188007369CFFFFC49CC03FCC0080008414C00336A8800345A88004050000010657 -:20162800880003450080008490800D260080010490800B26008001449080601E01400684A7 -:20163000A009883A08162F8000C020B418E6668410C5883A1080000B00C12BC418805736DF -:2016380088C00C43008020B410A63304180032261000034500002D06008000443080030543 -:20164000008000840000150600800084308003053000034500C000C490C0481E89000C4325 -:2016480000C020B418E63304200002261880040500004206008000441880038500003F065F -:201650000080008488800305008000448880034500003A060080008430800305308003455E -:20165800008001043080040500003406008000C488800305008000848880034500800104CD -:201660008880040500002D06008000C43080030530000345008000C49080281E88C00C4381 -:20166800008020B410A633041800032600C0008410C004050000210600C0004410C0038540 -:2016700000001E06008000C430800305008000843080034500800144003FE20600800104CD -:201678003080030500800084308003450080014430800405008006043080048500000F0648 -:20168000008001048880030500800084888003450080018488800405008005048880048531 -:2016880000000606A50000440080074418C00684A0BF0A1E00BFFFC4000001068005883AC9 -:20169000DFC01317DF001217DDC01117DD801017DD400F17DD000E17DCC00D17DC800C179C -:20169800DC400B17DC000A17DEC01404F800283A20803FCCDEFFF9041080201CDC40041561 -:2016A000DFC00615DC800515DC00031510BFE0042823883A10000A0E2025883A000B883A4A -:2016A80001001DC40815A700D880028510C03FCC0100004420C02E3694001FCC00000106B3 -:2016B0002021883A08160880014001040009883A081604C0081606408804D63ADC0000059D -:2016B800DC400105D88000458804D43A84003FCCD88000858804D23AD88000C50080100404 -:2016C00080800426008012048080041E00BFE1C40000030600BFE544000001060080004408 -:2016C80001400184D809883AD8800145081603800100014408160E001021883A044000446D -:2016D00001400044D9000284081604C0D8800287100003168000051E081613C0000003068D -:2016D800081611C0147FF626003FFA06D8800283DFC00617DC800517DC400417DC000317D7 -:2016E000DEC00704F800283ADEFFF604DC000515000B883A2021883A01001244DFC0091536 -:2016E800DCC00815DC800715DC4006150815A70010803FCC100002260005883A00004306D3 -:2016F0000100014408160E001023883A0480004404C03FC401400044D9000484081604C01C -:2016F800D880048314C003268800051E081613C000000306081611C014BFF626003FFA0634 -:20170000D8C0048300803F8418BFEB1E01400484D809883A081604C08080010310C0008CD9 -:2017080018001326D8800183D8C001C3D9400143108000CC1004923A294003CC10C8B03AA5 -:20171000D88002031006D1BA2105883A1085883AD900028310C4B03AD8C002432008D1FA90 -:2017180018C000CC18C7883A20C8B03A000010061080010C10000B26D88001C3D9000203AC -:20172000000B883A10800FCC1004923A1104B03A1006923AD8800243010004441884B03A44 -:2017280000000306000B883A0009883A0005883A10BFFFCC10C0004421003FCC28803FCCAC -:201730002085883A10BFFE441884983ADFC00917DCC00817DC800717DC400617DC0005178E -:20173800DEC00A04F800283ADEFFF604014020B4DCC00715018002842027883A2961588471 -:20174000D809883ADD000815DC400515DFC00915DC800615DC000415050000C40816350071 -:2017480004400044081601C00816088008160C4001400284D809883A08160380000B883A32 -:2017500098000015010010040815A70010803FCC1440511E01406A84010012040815A7008B -:2017580010803FCC1440271E01400104D9000284081604C0D88003031440471ED8C00343C1 -:2017600000802A841880441E0100FA0408160E00081611C014400326081611C014400C1E3D -:20176800000006060150003401003A440815A70010803FCC103FF61E003FF706000B883A86 -:2017700001001E840815A70010803FCC100002260021883A0000090601400104D900028488 -:20177800081604C0D88002831080100C1000021E040001040000010604000304081613C0AA -:2017800000002606000B883A01003A440815A70010803FCC8880032E040000440480104419 -:20178800000002060400008404BFFA4401003E8408160E0094803FCC081611C01440042635 -:20179000081611C01000081E0021883A00000606000B883A9009883A0815A70010803FCC9E -:20179800103FF51E003FF606081613C0000B883A01001EC40815A70010803FCC100001265D -:2017A0000021883A01408004010014040815A70010803FCC100001260021883AA0BFFFC4CD -:2017A8001029883A10803FCC84803FCC10000A26903F9C26008000449C0001059880001518 -:2017B0009809883A0815B88010BFFFC49880021508160A8000000106903FF61E08160600EA -:2017B8009005003ADFC00917DD000817DCC00717DC800617DC400517DC000417DEC00A0479 -:2017C000F800283A20800217DEFFF804DC000115DFC00715DD400615DD000515DCC004158C -:2017C800DC800315DC400215DC0008171180313684BFFFCC90002F26208001032827883ABF -:2017D0003823883A1080020C300B883A1000011E300A927A010014440815A70010803FCC14 -:2017D800100002260400008400001F060100190408160E000500004405403FC401400044AC -:2017E000D809883A081604C0D880000315400526081613C0D8C0000300803F8418BFF11ED4 -:2017E80000000306081611C0153FF426003FF806008080841445C83A897FFFCC1421C83A55 -:2017F000280002260009883A081604C0900B883A9809883A081604C0817FFFCC0009883AA4 -:2017F800081604C00021883A081606008005883A00000106008000C4DFC00717DD4006175F -:20180000DD000517DCC00417DC800317DC400217DC000117DEC00804F800283A018001B43F -:2018080001406734010020B43186A004297F300421041004081739C1280D883A200B883AA2 -:20181000010020B42104100408174F01280D883A200B883A010020B42104100408174B01DE -:20181800F800283AD0E2830B00BFDFC41884703A00C020B4D0A2830D18C4400410BFFFCC1E -:2018200018800035F800283AD0A2830B00C020B418C4400410802014D0A2830D10BFFFCC6D -:2018280018800035F800283A01800A7401406734010020B4318CB804297F3004210410043B -:20183000081739C1018001B401406734010020B43186A004297F300421041004081739C10F -:20183800D0A28E1710000B1EDEFFFF04015A5E04213FFFCCDFC0001508162F80D0A28E15E2 -:201840000816D1800005883ADFC00017DEC00104F800283A00800044F800283ADEFFFF04A1 -:20184800DFC000150816D540D0E28E1710C5803ADFC00017DEC00104F800283AD0228E156B -:20185000F800283A20001B16000F883A28001616200D883A29001A2E0080080400C00044B8 -:201858000000010610000D26294B883A10BFFFC418C7883A293FFB360005883A1800072618 -:201860000005883A31400236314DC83A10C4B03A1806D07A280AD07A183FFA1E3800012608 -:201868000085C83AF800283A014BC83A39C0005C003FE7060109C83A01C00044003FE30677 -:2018700000C00044003FEE0620001716000F883A2005883A280012162900162E01800804D2 -:2018780000C000440000010630000A26294B883A31BFFFC418C7883A293FFB36180005267F -:201880001806D07A114001361145C83A280AD07A183FFB1E380001260085C83AF800283AD4 -:20188800014BC83A003FEC060109C83A01C00044003FE70600C00044003FF106200D883A5B -:201890002900152E280014160080080400C000440000020610000E2628000516294B883A25 -:2018980010BFFFC418C7883A293FFA36180008260005883A31400236314DC83A10C4B03A71 -:2018A0001806D07A280AD07A183FFA1EF800283A0005883AF800283A00C00044003FF4061D -:2018A8002005883A2900122E280011160180080400C000440000020630000C262800051643 -:2018B000294B883A31BFFFC418C7883A293FFA36180006261806D07A114001361145C83A6A -:2018B800280AD07A183FFB1EF800283AF800283A00C00044003FF7060005883A200007261C -:2018C00020C0004C2008D07A180001261145883A294B883A203FFA1EF800283AF800283AB2 -:2018C800218D883A218008262080000328C0000310C0022610C5C83AF800283A21000044A5 -:2018D00029400044003FF7060005883AF800283A2005883A2007883A218D883A19800526EA -:2018D8002900000318C0004429400044193FFFC5003FFA06F800283ADEFFF504DFC00915B3 -:2018E000DC400815DC000715D9C00A15008020B410A3B004144000172800040E008022C439 -:2018E8008880001500BFFFC400001C0600C08204D8C0000DD9000415D90002152800022602 -:2018F00028FFFFC4000001060007883AD8C00515D8C003151100001700FFFFC4D8C0008DAD -:2018F80000C0207418DAA8042821883AD9C00A04D80B883AD8C00115D80006150816574031 -:2019000000FFFFC410C0020E00C022C488C0001580000226D8C0041718000005DFC00917EB -:20190800DC400817DC000717DEC00B04F800283A3000152631BFFFC4218D883A2080000352 -:2019100028C0000311C03FCC1A003FCC39C0201C4200201C39FFE004423FE0043A00061E39 -:2019180021800426380003262100004429400044003FF2061007883A18C03FCC10803FCCE3 -:2019200010C5C83AF800283A0005883AF800283A2005883A200F883A30000C262A000003EE -:2019280038C0004431BFFFC43A00000542003FCC4200201C423FE00429400044180F883AAB -:20193000403FF51E198D883A00000106F800283A30C003261800000518C00044003FFC06A9 -:20193800F800283A214B883A2005883A1140021E1105C83AF800283A10C00007183FFC26ED -:2019400010800044003FF906DEFFFB04DC800315DC400215DC000115DFC004152025883A41 -:201948002823883AD98000053821883A04000A0E8880011701C00044D80D883A880B883ABC -:201950009009883A103EE83A843FFFC4103FF72600BFFFC4000001060005883ADFC00417B0 -:20195800DC800317DC400217DC000117DEC00504F800283ADEFFE504D8C00804DDC018159B -:20196000DD801715DD401615DD001515DCC01415DC801315DC401215DC001115DFC01A1533 -:20196800DF0019152029883A2823883A382D883AD9800F150021883AD8000E15D8000A15C1 -:20197000002B883A0027883A0025883AD8000C15D8000B15002F883AD8C00915D8C00F1744 -:201978001900000320803FCC1080201C10BFE00410011E2600C00044B8C014261DC0021609 -:20198000B80006260001150601400084B9401D26014000C4B9402B26000110060140094452 -:201988001140FC2688800117D900000501C00044D80D883A880B883AA009883A103EE83AF2 -:201990001000D81E840000440001040601400C041140FA260140094411400A1ED880000538 -:2019980088800117B80F883AD80D883A880B883AA009883A103EE83A1000CA1E84000044EF -:2019A0000000F50625FFF404BDC03FCC00C002441DC0093600BFFFC49080042601400284E3 -:2019A8009009883A08162F80000001060005883AB8A5883A0000E20601400B841140E426F7 -:2019B00005C00084213FF40427003FCC00C002441F00093600BFFFC4988004260140028455 -:2019B8009809883A08162F80000001060005883AE0A7883A0000D90600C01B0410C0D22642 -:2019C000013FFFC499000226D8000B150000010604C0004401001A441100162620800916D1 -:2019C800010018C4110088260100190411001126010016041100C81E00C00044D8C00E152C -:2019D0000000150601001CC4110098262080041601001BC41100C01E0540020400000F0643 -:2019D80001001D4411000D2601001E0411000A260000B906D8C00A17B7000104180007266C -:2019E000DF000D15B5C00017B800080E05EFC83A024000440000060605400404B0C0010442 -:2019E800D8C00D15B5C00017D8000A150013883AD839883AB8001726A80B883AB809883A0D -:2019F000DA401015081623C0A80B883A1009883A102D883A08162F80B885C83A00C0024436 -:2019F800DA4010171880021610800C0400000506D8C00E171800022610800DC400000106CE -:201A0000108015C4E0800005B02F883AE7000044003FE806E6EFC83A9DC5C83A0080090E2D -:201A0800E085883A01400C04D8C00917E009883AE0C0032EE700004421400005E0BFFA1ECA -:201A1000E6EFC83AD8C00B174DD1883A922DC83A1800162648000A2600800B44D880080584 -:201A18008880011701C00044D9800804880B883AA009883A103EE83A10004A1E84000044B4 -:201A20000580070EB00F883A01800C04880B883AA009883A081650801000421E85A1883AF4 -:201A2800E02D883ABF2FC83A000020060580090EB00F883A01800804880B883AA009883A4F -:201A3000DA40101508165080DA4010171000351E85A1883A483FF22600800B44D88008050A -:201A38008880011701C00044D9800804880B883AA009883A103EE83A10002A1E84000044B4 -:201A4000003FE706B5BFFFC4B080000301C00044D9800804D880080588800117880B883AAC -:201A4800A009883A103EE83A10001E1E8585C83AB5C9883AE085883A013FF2161021883AA9 -:201A5000DD800D1700004406008000441480080E95FFFFC4B80F883A01800804880B883A7B -:201A5800A009883A0816508010000E1E85E1883AB080001701C00044D80D883AD880000561 -:201A600088800117880B883AA009883AB5C00104103EE83A1000031E84000044B82D883AFC -:201A680000002D0600BFFFC400003106B5C00017B7000104B809883A0816BA809091C83A2C -:201A7000102D883A0200090E400F883A01800804880B883AA009883ADA00101508165080F3 -:201A7800DA001017103FEF1E8221883A88800117B00F883AB80D883A880B883AA009883AA4 -:201A8000103EE83A103FE71E85A1883AE02D883A0000110600C0004404FFFFC4D8000E15EF -:201A8800D8C00A15054002849825883AD8000C15D8000B15182F883A00000806DDC00B1578 -:201A900005C000840000050600C00044D8C00C1505C000C400000106002F883AD8C00F17E6 -:201A980018C00044D8C00F15003EDC068005883ADFC01A17DF001917DDC01817DD801717B9 -:201AA000DD401617DD001517DCC01417DC801317DC401217DC001117DEC01B04F800283A26 -:201AA8002880000B10C0020C1800202628C0008FDEFFFD04DC000015DFC00215DC40011501 -:201AB0002821883A1800150E10C0800C180013262C40051789C0030E10C0200C1800032604 -:201AB80000000E063C40010E3823883A81000417300B883A880D883A0816B48080800517F4 -:201AC0001445C83A80800515808004171463883A844004150005883A0000060610801014D9 -:201AC8008080000D00BFFFC40000020600BFFFC4F800283ADFC00217DC400117DC000017AC -:201AD000DEC00304F800283A2005883A218F883A290002361007883A00000C062987883AE0 -:201AD80020FFFC2E380B883A30CDC83A1989883A2000052618FFFFC419000003297FFFC491 -:201AE00029000005003FF906F800283A19C005262900000318C0004429400044193FFFC50B -:201AE800003FFA06F800283A2005883A10C000071800022610800044003FFC061105C83A1A -:201AF000F800283ADEFFFF04010020B4014020B4DFC00015212163042963B4042140061E8C -:201AF800010020740140207421000804294008042140121E00000B0600C020B418E3B404D9 -:201B00001907C83A0005883A10FFF526114F883A39C00017110D883A1080010431C0001505 -:201B0800003FF9060100207401402074211D8A04295D8A042140101E00000B0600C0207441 -:201B100018C008041907C83A0005883A10FFF526114F883A39C00017110D883A1080010417 -:201B180031C00015003FF90608175AC0DFC00017DEC00104081761C100C020B418E16304A2 -:201B20001907C83A0005883A18BFF726114F883A39C00017110D883A1080010431C000151B -:201B2800003FF906DEFFFF040009883ADFC000150816CD400816CF40D1A28F17D1629017B5 -:201B3000D1229117DFC00017DEC0010408126C01081752C1DEFFFF04DFC0001508176200D3 -:201B3800008000441001703ADFC00017DEC00104F800283A008020B410840004D0A2931555 -:201B4000010020B40080673410BF300421235004D0A2921508171BC1D0E29217D0A293176F -:201B480018000A2610C001040100020419000035013FFFD4110002351100033500800104E2 -:201B5000188000350005883AF800283A00BFFFC4F800283AD0A2921710000926D0E29317F5 -:201B580018800404100000351080003718C005371806943A10BFFFCC1884303AF800283AC7 -:201B600000BFFFC4F800283AD0A29217F800283A20001D262804923A20C03017DEFFFD04B4 -:201B6800DC400115DC000015DFC002152823883A2021883A108000D41880033580C03017B9 -:201B7000188000371080004C1000032601000044081752C0003FF906198000373007D0BA2C -:201B78003009D0FA18C001CC2100020C1908B03A3007D07A18C0040C1906B03A88C0042687 -:201B800000BFF4840000020600BFFA84F800283ADFC00217DC400117DC000017DEC00304EB -:201B8800F800283A20000A26280009263000082620800C173080001520800C171000062657 -:201B900021000D04290000150005883AF800283A00BFFA84F800283A00BFFEC4F800283A32 -:201B98002005883A20001D262809883A28001B1610C0311728C0192E1140341728FFFFC4CA -:201BA0001906703A1800151EDEFFFE04DC000015DFC001151021883A081623C01004923AB8 -:201BA80000C0403418FFC00410C4703A80C03017108000941880033580C0301718C00404AE -:201BB000188000371080004C10000626008000441880003500BFFEC40000020600BFFA84D7 -:201BB800F800283ADFC00117DC000017DEC00204F800283ADEFFF504DC000115DFC00A1585 -:201BC000DF000915DDC00815DD800715DD400615DD000515DCC00415DC800315DC4002154A -:201BC800DC000B1728003A163023883A300038162027883A20003626382B883A38003426B8 -:201BD000208031173080322E2880312E208034173147C83A10C7C83A1C002D3680002C1677 -:201BD80010BFFFC4114A703A2800291E0029883A05BFFFC405C000C4070001048000222618 -:201BE000DD800015890000CC20000626E105C83A8025883A1400012E1025883A8923C83A9B -:201BE80000000406BC0002368025883A0000010604800104AD0B883A900D883AD909883A6B -:201BF0000816350098802E17D8C00017A4A9883A84A1C83A8885883A10C00035988030170D -:201BF8001080040410C0003718C0008C1800042600C0008410C0003500BFFEC400000506B3 -:201C00008C400104003FDD060005883A0000010600BFFA84DFC00A17DF000917DDC008174B -:201C0800DD800717DD400617DD000517DCC00417DC800317DC400217DC000117DEC00B040B -:201C1000F800283A20001626DEFFF604DD000515DFC00915DDC00815DD800715DD40061508 -:201C1800DCC00415DC800315DC400215DC0001153029883A30000C262021883A20802E17F9 -:201C200080C02F172823883A2885883A382B883A3889883A10C0042E20C0053600000206D5 -:201C280000BFFA84F800283A00BFFA8400002406814034178809883A002D883A081623C04F -:201C3000102F883A80803317B8801C2EA8001B2684C0341704E7C83A9C66703A8CC0022642 -:201C38008CE5C83A000001060025883A980B883A8009883A0816E6001000111E80803417ED -:201C400014A5C83AAC80012EA825883ADC800015A58F883A880D883A980B883A8009883A71 -:201C48000816EF401000061EACABC83AB4AD883A8CA3883ABDC00044003FE2060005883ADF -:201C5000DFC00917DDC00817DD800717DD400617DD000517DCC00417DC800317DC400217E9 -:201C5800DC000117DEC00A04F800283A200008263007883A3000062620802E17288B883A75 -:201C600020802F17394D883A2880012E3080023600BFFA84F800283ADEFFFF04380D883AF9 -:201C68001809883ADFC00015081635000005883ADFC00017DEC00104F800283A20004E2664 -:201C70002080301710004E2620C03217DEFFFB04DFC00415DCC00315DC800215DC400115D3 -:201C7800DC00001518001E1E1480023700C0030494803FCC90BFFAC418804336100490BAD8 -:201C800000C0207418DC850410C5883A108000171000683A00817280008172900081729802 -:201C880000817288008172480081730800817308008173080081730800817308008173089E -:201C90000081725000817258044080040000130604410004000011060442000400000F0606 -:201C9800148001370080058494803FCC90800A260080060490800426008005049080221E5B -:201CA0000440080400000506044040040000030604401004000001060440200424C0341742 -:201CA8002021883A8809883A980B883A08162F8080C0311710C0021E80C0331788C0022622 -:201CB00080000C150000100600C000448480361580C00C1580000D1580800E1584400F15FC -:201CB80084C01015D1602B048009883A08175B000005883A0000050600BFFA84F800283A15 -:201CC00000BFFB44F800283A00BFFB44DFC00417DCC00317DC800217DC400117DC000017A2 -:201CC800DEC00504F800283A300001260005C03200FFFF042005883A28C6703A110DC83A0C -:201CD00030C0042E11800017300DC0F210800104003FFA06294000CC00C000C428C0041EA4 -:201CD80010C0000B1807C0B2108000830000080600C0008428C0031E1080000B1005C0B2F0 -:201CE0000000040600C0004428C0021E108000031005C0720005C132F800283ADEFFFD04C4 -:201CE800DC400115DC0000152823883A2021883A014001443009883ADFC0021508162F80A5 -:201CF000100B883A8809883A081623C010BFFFC480C002041800003501000044810004357F -:201CF80011003FCC810000351004D23A10803FCC808001350080200418800035DFC00217E0 -:201D0000DC400117DC000017DEC00304F800283A3180004C294B883A298B883A214003355B -:201D0800210004040080240420800035208000371080008C103FFD1E208000371004D1FA02 -:201D10001080004CF800283A20C004042800022600801A0400000106008008041880003547 -:201D1800188000371080008C103FFD1E20800337F800283A29403FCC2140033521000404EC -:201D20003000022600801404000001060080040420800035208000371080008C103FFD1EF2 -:201D2800208000371004D1FA1080004CF800283A21C004042805883A02000B04210003049E -:201D30001147C83A1980090E3A00003538C0003718C0008C183FFD1E2800022620C00037AE -:201D380010C0000510800044003FF506F800283A21C003042805883A210004040200070441 -:201D40001147C83A1980080E10C0000338C000352200003520C0003718C0008C183FFD1E36 -:201D480010800044003FF606F800283A014AAAF4DEFFFE04296AAA84DC000015DFC00115E3 -:201D50002021883A081623C010000F2601600034013555740007883A297FFFC42115558453 -:201D5800297FFFC4283FFE1E18C000448121883A18BFFB168405883A1421883A843FFFC44D -:201D6000803FFE1E000004068405883A1421883A843FFFC4043FFE160005883ADFC00117E1 -:201D6800DC000017DEC00204F800283AF800283A20000226208002171000101ED0A02A1720 -:201D700010000926DEFFFF04DFC00015103EE83A00C0058410C0001500BFFA84DFC00017EF -:201D7800DEC00104F800283AD0A2940400C0058410C0001500BFFA84F800283A28800017C0 -:201D800021400115208000152880001711000115290000150005883AF800283AF800283A78 -:201D8800000170FAF800283A2520732500632575252E75256B75322E25207A482E252E7572 -:201D90007A4875320000000074204B4F6E69206F00007469656D69540074756F252E752585 -:201D98006D75322E00000073252E75256D75322E75252F73322E252E00736D754353534FCB -:201DA000776620207525202E322E252E0000617574696E49727265202020726F00006425EE -:201DA8002020202053204F4E00434E59343130323130322D6D20203873717261000000007E -:201DB00074736554746170206E726574000000003A31564142475220000000533A31564103 -:201DB80073475220000000423A31564162505920000072503A3256416250592000007250BE -:201DC0003A32564173475220000000423A33564142475220000056483A33564142475220F6 -:201DC800000000533A33564173475220000000423A33564162505920000072507473614CB1 -:201DD0006573752000000064008176C0008176D0008176DC008176E8008176F4008177000F -:201DD8000081770C0081771800817724008177300081773C0000000000000000000000015E -:201DE000000101010000000100000000000001100044060B00000100000100008080800CEB -:201DE800081A1A1A0000000A7365725000000073666E6F43006D72696D73694D686374616A -:201DF0006572202C00797274666F72502075252E00733925756C34256325632575252020DD -:201DF80000000000656E694C746C756D646F6D2000003A65736572702D31207300000035A2 -:201E00002078752575736E75726F707000646574666F725020656C6964616F6C0000003AFC -:201E0800736572702D302073000000390000003100000032000000330000003400000036D7 -:201E10000000003700000038554E454D0000000000004B4F4B4341420000000000005055BE -:201E18004E574F44000000005446454C0000000048474952000000544F464E49000000009D -:201E20005F44434C4B4341424847494C000000544E414353454E494C444F4D5F0000004516 -:201E28004E414353454E494C5059545F000000454E414353454E494C544E495F0000002BEA -:201E30004E414353454E494C544E495F0000002D454E494C544C554D444F4D5F00000045E0 -:201E38005341485000002B455341485000002D45464F52505F454C494B544F48010059450B -:201E4000030F031F3E2903033E693EA93E193EE93E593E993E393ED93E4D3EC93EED3E1DC1 -:201E48003ECD3E2D3E6D3EAD3E013E651C181C481CD01C505E581CC83EB95ED80081782CB0 -:201E5000008178300081783400817838008177FC0081783C0081784000817844008178282B -:201E5800008181140081784800817850008178540081785C00817860008178680081787005 -:201E6000008178780081788000817890008178A0008178B0008178C0008178D0008178E052 -:201E6800008178E8008178F053206F4E6163204464206472002E746561766E492064696CF0 -:201E700067616D690000006561766E492064696C646165680000726561766E492064696C18 -:201E7800207264680043524361766E492064696C6174616443524320000000006164705511 -:201E80006320657465636E6164656C6C0000000073616C466572206865206461000072729B -:201E880073616C4672652068206573610072726573616C4672772068206574690072726511 -:201E900073616C4665762068206669726C696166000000006F727245000000724353534F0A -:201E980000000000696C6156697461646420676E006174616220752573657479000000008C -:201EA000252E75252575322E0073257361647055676E69740057462061656C707720657326 -:201EA8002E74696100002E2E697265566E6979666C6620670068736161656C707220657365 -:201EB000617473650000747272746552676E6979647075200065746161647055203F6574C6 -:201EB8002C593D314E3D3220000000006D72694665726177647075206465746100000000F6 -:201EC000202020200000752564206425000067656C20752573656E6900000000252E75254D -:201EC8002075322E000073756D20642500000056702075256C65786900000073252575257E -:201ED0000000000064206425000000426C206F4E006B6E69656E6F44000000006C69614616 -:201ED8000000646500007325656469566E69206F6F7270203E20206300000000706D6153B8 -:201EE000676E696C74706F203E20202E00000000636E795374706F202020202E3E202020DD -:201EE800000000007074754F6F207475202E74703E2020200000000074736F506F72702DC6 -:201EF00020202E633E20202000000000706D6F436269746174696C693E202079000000008B -:201EF80069647541706F206F6E6F69743E202073000000007474655373676E6974706F206A -:201F00003E20202000000000616F4C3C727020646C69666F003E20657661533C727020652B -:201F08006C69666F003E20657365523C73207465697474653E73676E000000006B6E694CB0 -:201F10006F727020693E2D667475706E000000006B6E694C706E69203E2D7475666F72703F -:201F18000000000074696E49206C616975706E69000000742044434C74204C426F656D69D0 -:201F2000000074752E77463C64707520206574613E202020000000006E776F446D61732D2A -:201F28006E696C70000000677061775366656C2069722F74007468672D6572502043444190 -:201F30006E696167000000006C6C75462058542075746573000000702033564165746E69A8 -:201F380063616C7278696665000000006E616353656E696C000000736E616353656E696C6E -:201F4000727473200000002E202E6C537262796873206469002E72746E616353656E696C77 -:201F480074656D2000646F686E616353656E696C70797420000000656E616353656E696C9C -:201F5000696C61202E6D6E670000000069726F48746E6F7A6D206C61006B73617472655614 -:201F58006C61636973616D200000006B6B73614D697262206E74686700737365657665528D -:201F6000206573720046504C5949443C74616C206574202E003E7473703034323838322F6F -:201F6800727020700000636F703438336F72702000000063693038343637352F72702069F1 -:201F70000000636F703038343637352F727020700000636F693036393830312F7020693065 -:201F780000636F72656E694C6D2078320065646F656E694C6D2078330065646F656E694C8E -:201F80006D2078340065646F656E694C6D2078350065646F656E694C66207835616D726F6C -:201F880000000074783635322030343265707361000074636D2058540065646F494D4448E7 -:201F900043544920000000006C616E417320676F20636E790046504C6C616E417320676F1B -:201F980020636E79006874566E7973486F7420636172656C0065636E6E797356687420639C -:201FA0006873657200646C6F4C502D487250204C6F432D65007473614C502D486F50204C29 -:201FA800432D74737473616F00000000706D6153676E696C61687020000065737030383493 -:201FB000206E6920706D61730072656C6F6C6C41565420775048205078324C4C00000000F3 -:201FB8006F6C6C4170752077706D61737832656C000000007664413C6974202E676E696DAC -:201FC0003E2020200000000065646956504C206F00000046506250596E6920726C6F432068 -:201FC8000061705372502F5266666F200074657320592F477366666F0000746562502F4252 -:201FD00066666F200074657372502F52696167200000006E20592F476E69616700000000BA -:201FD80062502F42696167200000006E2D657250204344416E6961470000000073202E4843 -:201FE0006C706D61746172650000006573202E486C636E7900006E6562202E48706B6361FD -:201FE8006863726F0000000061202E48766974630000006573202E566C636E7900006E657B -:201FF00062202E56706B63616863726F0000000061202E5676697463000000650066664F45 -:201FF8000000733300733031007330332D706F547466656C00000000746E6543000072650D -:2020000074746F42722D6D6F74686769000000002066664F207366283639203D297A486B82 -:202008000000000020207832207366283834203D297A486B0000000000706F5474746F42C2 -:2020100000006D6F69726F48746E6F7A00006C61747265566C6163690000000065746C41EA -:2020180074616E7200676E69746C754D696C70696974616300006E6F74627553746361729F -:20202000006E6F696F74754100000000756E614D00006C61494D444800000000004956445E -:2020280000373A38737361507572687400000000656E694C2820783229626F6200000000BF -:20203000656E694C00007832656E694C282078336563616C00002964656E694C2820783445 -:2020380029626F6200000000656E694C00007833656E694C00007834656E694C0000783590 -:2020400030323931383031780000003030303631303231780000003030323931303231789A -:2020480000000030656E65472063697200333A3478303233203034326974706F00002E6DB0 -:2020500078363532203034326974706F00002E6D656E654720636972393A36310000000097 -:202058004D352E3228207A482978616D00000000484D30316D28207A00296465484D3333FB -:202060006D28207A00296E692056544470303834000000004153455630343620303834781A -:20206800003036402E63655231303620000000002E6365523930372000000000484D3539A8 -:202070004828207A2056544400294949484D35334828207A2056544400002949484D3631FA -:202078004528207A29565444000000007A484D39445328200029565400006E4F0081982139 -:202080000000000200813AFC0081982300000009008138A4008198200000001C00813AC411 -:2020880000817FEC00817FF8008180004452535500415441808000201A1A1A800000000849 -:20209000008181A40000200020002CE5E926F4FD38BC200000000000008181B00000200053 -:202098002000323EF113FA043B6120000000000036333531303432780600000007FE00F002 -:2020A0000FEA01060112039632312002327830380000303400F005000106061803480FAA5B -:2020A80004420112783036390030343203C00000049200F00F800106011203363233008200 -:2020B000343278300000003000F00140010601AA031F0E3149100112783635320030343277 -:2020B80001000000015500F00E2701060112031934329220000070300000000000F002D0DC -:2020C0000106035A033E0F39000E011236333531303432780600004C07FE00F029EA013882 -:2020C80001120396323120023278303800003838012005000138061803480FAA044201126B -:2020D000783036390038383203C00000049201200F800138011203363233008234327830B4 -:2020D80000424C3000F00140013801AA031F293149100112783635324C30343201000042F3 -:2020E000015500F02927013801120319383292200000703800000000012002D0013803608F -:2020E800033F1345000E0112703438330000000001F00000028001801D3201A70204033EDC -:2020F0003436000E30347830000000300190028001C1032002602430000C0210783034363E -:2020F8000034383302800000032001803F3001EC021002603834000E000069300000000020 -:2021000000F002D0020D035A033E0F39044F0312703038340000000002D00000035A01E084 -:202108001E3C020D0414063E3436000C383478300000003001E00280020D032002602130F0 -:20211000000C0414783034360032313502800000032002001C300238041402603735000CC2 -:202118000000693600000000012002D002710360033F1345044F031270363735000000002B -:2021200002D000000360024027440271040405403038000C303678300000003002580320CE -:20212800027404200480175800040010703032370000000005000000067202D014DC02EEBE -:2021300000180528303100043778343200003836030004000326054006881DA0000400108E -:202138003038323132303178050000340698040026F8042A00100370343600043639783082 -:202140000000693001E00280041A032002602130000D0514303830310000006907800000B0 -:202148000898021C109404650518052C3031000D007030380000000004380780046508984C -:20215000052C249400040018303036313032317806400030087004B02EFF04E2001003F1DF -:20215800FFFF0004FFFFFFFFFFFFFFFF7665642F6370652F6F635F716F72746E72656C6C84 -:20216000615F305F6D5F6C7600006D65000000000000000000000000000000000000000090 +:20003800D8000385D80003C5081042C0DFC00417DEC00504F800283ADEFFFC04DC00001505 +:20004000040020B484041804DC80021504801304DC400115900B883A2023883A000D883AB3 +:200048008009883ADFC003150816F70089403FCC8009883A000D883A0816FD4004400044B0 +:20005000900B883A8009883A880D883A0816F700880B883A8009883ADFC00317DC800217AE +:20005800DC400117DC000017DEC004040816FA81DEFFFC04DC000015040020B484041804D8 +:20006000DC800215DC4001152025883A2823883A8009883A000D883A01401304DFC003159E +:200068000816F70091403FCC8009883A000D883A0816FD400180004489403FCC8009883A2E +:20007000DFC00317DC800217DC400117DC000017DEC004040816FD41DEFFFF0421003FCC0D +:20007800DFC0001508100F80DFC00017DEC00104F800283ADEFFFF0429403FCC21003FCCDA +:20008000DFC00015081017000005883ADFC00017DEC00104F800283ADEFFFB04DCC0031573 +:20008800DC800215DC400115DC000015DFC004152825883A3027883A2023883A2821883AD2 +:200090008485C83A14C0060E8140000389003FCC84000044081017008C400044003FF806C1 +:200098000005883ADFC00417DCC00317DC800217DC400117DC000017DEC00504F800283A74 +:2000A000DEFFFF040140FA04DFC000150815E5801009883ADFC00017DEC001040816830115 +:2000A800DEFFFF04000B883A010003C4DFC0001508101F40000B883A01001844DFC00017B8 +:2000B000DEC0010408101F41DEFFFD04DC000015040020B48425090481400083010001442E +:2000B800DFC00215DC40011508101F40808000838100030300FFFEC41004D1FA20C6703A8F +:2000C000044001041085883A1085883A1884B03A01400F448809883A8080030508101F403D +:2000C80001000044081028008809883A0140074408101F4001400C040100184408101F4018 +:2000D00001402C840100024408101F4001403E040100028408101F4001400DC4010002C407 +:2000D80008101F40000B883A010003C408101F40000B883A0100324408101F40000B883AFD +:2000E0000100328408101F40000B883A010032C408101F40000B883A0100330408101F401B +:2000E800000B883A0100334408101F40000B883A0100338408101F40000B883A010033C47B +:2000F00008101F40000B883A0100340408101F408140010301003844DFC00217DC400117CE +:2000F800DC000017DEC0030408101F41DEFFFE04DC000015040001048009883ADFC00115FF +:2001000008101D80108002148009883A11403FCC08101F4001400C0401001844DFC0011701 +:20010800DC000017DEC0020408101F41DEFFFE04DFC00115DC00001521003FCC2000021ED7 +:20011000000B883A00003B062821883A28003D2601400044010003C408101F40814000C3E3 +:200118000100160408101F40814001030100164408101F40814001430100168408101F4087 +:2001200081400183010016C408101F40814001C30100170408101F408140020301001784AE +:2001280008101F4081400243010017C408101F40814002830100180408101F40814002C387 +:200130000100184408101F40814003030100188408101F4081400343010018C408101F40A5 +:20013800814003830100190408101F40814003C30100194408101F40808000C4000B883ADE +:200140008400040410C000031080004428CBC83A143FFC1E29401BC429403FCC01001744F2 +:2001480008101F40000B883A010003C408101F40014000C40100334408101F40008000445C +:20015000000001060005883ADFC00117DC000017DEC00204F800283ADEFFFD04DC0000154A +:20015800000B883A2021883A010003C4DFC00215DC40011508101F40044030448809883A85 +:2001600008101D8084003FCC800AC03A10803F8C8809883A114AB03A08101F40014000C448 +:2001680001003184DFC00217DC400117DC000017DEC0030408101F41DEFFF904DCC0031537 +:20017000DC800215DC4001152025883A2823883A010001040140074404C020B49CE50904FE +:20017800DD000415DC000015DFC006153821883ADD4005153029883A08101F4098C0030384 +:2001800081C0004C00BFFF441884703A39CF883A11CEB03A99C00305988003171080008C48 +:2001880010000226010000440810558001001C049C0000C308101D80108000CC8D4000CCC3 +:2001900000C00044100B883AA8C0052600C00084A8C0041E017FE004114AB03A000001065D +:20019800114010148080020C10000126294008148080004C10000126294004148080008C78 +:2001A00010000126294002148400010C800001262940011429403FCC01001C0408101F40C7 +:2001A800A800131EA50000CC00800044A080082600800084A0800E1E88803FCC1080201CAC +:2001B00010BFE004100050160029883A00004F0688803FCC1080201C10BFE0048D00100C8B +:2001B80010004A0E00BFE8041528B03A000047060029883A0021883A01001C8408101D807C +:2001C0001080070CA420B03A140AB03A29403FCC01001C8408101F40988003171080008CEC +:2001C800100002260140004400000106000B883A0100300408101F4001400544010001044A +:2001D00008101F40014004040100184408101F4094803FCC008000849080081E01402204BB +:2001D8000100188408101F4001400404010018C408101F4001402104000007060140060498 +:2001E0000100188408101F4001400404010018C408101F40014003040100190408101F4071 +:2001E80001000044081028000100010408101D801140074C0100010408101F400100190478 +:2001F000081028000100010408101D801140054C0100010408101F4004001904010003842C +:2001F80008101D801080040C1000321E010000440810280080BFFFC41021883A10BFFFCC1E +:20020000103FF61E00002B06053FE8048C400C0C008008048880081E018000C4D16000C442 +:2002080001001CC408102180014020B401800484296079840000090600800C0488800B1EC8 +:20021000018000C4D16000C401001CC408102180014020B4018004842960708401001D84BD +:200218000810218004000084003FA306008004048880081E018000C4D160000401001CC48C +:2002200008102180014020B40180048429606C04003FF206018000C4D160000401001CC45C +:2002280008102180014020B40180048429607504003FEA06000B883A0100030408101F4062 +:20023000014010040100034408101F40014000440100038408101F40000B883A01000384C1 +:2002380008101F4008102A0000800044DFC00617DD400517DD000417DCC00317DC80021711 +:20024000DC400117DC000017DEC00704F800283ADEFFFD04DC400115DC0000152823883A66 +:200248002021883A000B883A010003C4DFC0021508101F400100164408101D808C403FCCEA +:2002500010800BCC8800051E81403FCC280A91BA29400414114AB03A00000106100B883A89 +:2002580029403FCC01001644DFC00217DC400117DC000017DEC0030408101F41008020B467 +:2002600010A24F0410C03217D0A26D151800052610C03517008040041880021E0005883ACA +:20026800F800283A00BFCE04F800283ADEFFFD04DC400115280F883A2823883A200B883A2E +:20027000D1226D17DC000015DFC002153021883A0816CCC010000B1E800D883A8463883A62 +:2002780034400626308000031005C2721005D63A3180004430BFFFC5003FF9060005883AF8 +:200280000000010600BFCDC4DFC00217DC400117DC000017DEC00304F800283ADEFFFB044D +:20028800DC800315DC400215DC000115DFC0041530803FCC2023883A2825883A3021883A23 +:20029000100003268805883A8C89883A00000606300A923AD1226D1708169C00103FF9263E +:2002980000BFCD84000010061100062610C000031807C2721807D63A1080004410FFFFC5E7 +:2002A000003FF906800AD23A800C923AD1226D17280A943ADC800015880F883A0816A540CE +:2002A8001000012600BFCD44DFC00417DC800317DC400217DC000117DEC00504F800283AD5 +:2002B000DEFFF904DD400515DD000415DCC00315DC800215DC000015DFC00615DC40011523 +:2002B8002825883A3029883A3827883A0021883A0005883A0540400484800E2E9423C83AAF +:2002C000AC40012E04404004980D883A880B883A8009883A08109AC010000A1E800D003A03 +:2002C800880B883A9809883A0816E88084004004003FF1061500021E0005883A00000106D7 +:2002D00000BFCD04DFC00617DD400517DD000417DCC00317DC800217DC400117DC0000173F +:2002D800DEC00704F800283ADEFFFD04DC0001152021883A010020B421250D04DFC002154E +:2002E0000815848010803FCC1000091E00808004010020B4D8800015000F883A000D883A25 +:2002E800800B883A21250D040815A64010803FCCDFC00217DC000117DEC00304F800283A09 +:2002F000014020B4010020B429651B042125100408142981014020B4010020B42965320489 +:2002F8002125144408142981DEFFFC04DC0001152021883A01000044DFC00315DC40021581 +:200300000810558008103EC0000B883A0009883A081042C084003FCC800F003A000D883A01 +:20030800000B883A0100004408105B8080000D1E040020B484252004044020B4808003C3A2 +:200310008C65364489C00583D8800015000D883A000B883A0009883A081005C08880058350 +:2003180080800B450009883ADFC00317DC400217DC000117DEC0040408105581DEFFFD0456 +:20032000DFC00215DC400115DC00001521003FCC2000271ED0A2704300C0020410C01D2655 +:2003280000C0040410C0062600C0010410C01C1E0009883A0814BD400100004400002E06C5 +:20033000040020B4842520048140011701006734213F30040815D9C0810002870140068474 +:200338001023883A0815E58000C020B418E5538410C5883A1140000B8809883A0815E580FE +:2003400000C072B418F0DFC418800236010003C4000001060009883A0814BD40000015066E +:200348000009883A0814BD400009883A00001206008020B410A5364410C0080304400084A8 +:200350001021883A1C4002260009883A00000106010003C40814BD408100080320803FCC2C +:200358008880042E008001441109C83A21003FCC00000106010000C4DFC00217DC40011786 +:20036000DC000017DEC0030408145881DEFFF50400C020B4DFC00A15DF000915DDC0081511 +:20036800DD800715DD400615DD000515DCC00415DC800315DC400215DC0001150089C4042E +:2003700018C4380419800037054020B4AD4438043000020E10BFFFC4103FFA1E29403FCC92 +:2003780021003FCC040020B40815060084252004102D883A808002C310000426AC40003750 +:200380008823D43A8C4000CC000001060023883A01000DC40814670001000E041027883ABF +:20038800081467001025883A108003CC1004923A01000E4414E6B03A9004D1BA1080004C6A +:20039000808002450814670001000E841029883A08146700A8C00037010000848C403FCC77 +:2003980089000E26010020B421252004210002C32000021E010063C424C0082E010000449C +:2003A0008900041E1827D4BA9CC1FFCC9927883A000005069480080C9000032698C032287D +:2003A800054000440000020698C06428002B883A814002C3048020B4B1003FCC94A5364486 +:2003B0002800131E2000212618002026D0E26E030140008419003FCC2100201C213FE00462 +:2003B8002900070E00C020B40100004418E52004D0226E05190002C5044001040000160643 +:2003C00018C00044D0E26E050023883A000012062000012618000D1ED1226E03017FFF046E +:2003C80021803FCC3180201C31BFE0043140040ED0226E05800002C5044001040000050625 +:2003D000213FFFC4D1226E0500000106D0226E050023883A18008726108003CC1004923A2F +:2003D80080C000171528B03A19000044008020B410A5200424C007369900004420C00536E4 +:2003E00010C00117A0C0031E10C00203A8803FCC18800226D022744500000C06D0E27443A6 +:2003E8000080004419003FCC208008261887883AD0E2744518C03FCC1880041E88803FCCC3 +:2003F00000C0008410C0012E1823883A91400303808008C3010020B400C020B421253644E2 +:2003F80018E520042880281E21400343188009032880251E21400383188009432880221EED +:20040000214003C31880098328801F1E21400403188009C328801C1E214001C318800783B7 +:200408002880191E21400203188007C32880161E21400243188008032880131E2140028349 +:20041000188008432880101E210002C31880088320800D1E9140064381000C0300C020B401 +:20041800008020B418E5364410A520042900061E1940068311000C432900031E18C0090363 +:2004200010800EC31880042688803FCC00C0008410C0012E1823883A90C005C380800B83A0 +:2004280018800F26008020B410A5200411000287014006840815E58000C020B418E55404EA +:2004300010C5883A10C0000B008083441880041E88803FCC00C0008410C0012E1823883AE6 +:20043800D0A275431000202685C0028701400684058020B4B809883A0815E580B5A550047F +:2004400087000403B085883A1140038BE009883A0815E580173FFFCC80800C03A0FFFFCC71 +:2004480010000A2601400684B809883AD8C000150815E580B085883A1180060BD8C000178F +:20045000300CD07A3180004C00000106000D883A180B883AE009883A0814908088803FCC64 +:200458001000011E0440004484C00015850001158540020591400003810005C300C020B45C +:20046000008020B418E5364410A5200429001E1E194000431100060329001B1E194000837F +:20046800110006432900181E194000C3110006832900151E19400103110006C32900121E19 +:20047000194001431100070329000F1E1940048311000A4329000C1E194004C311000A830F +:200478002900091E1940050311000AC32900061E18C0044310800A031880031E90C0094377 +:2004800080800F031880032688803FCC1000011E044000449100060380800BC304C020B4BF +:200488009CE5200420800626994004030814CEC09880044588803FCC1000011E044000442E +:200490009100070380800CC3208001260814D6C09100074380800D032080012608148640D5 +:200498009100078380800D4320800126081487009100084380800E032080071E008020B469 +:2004A00010A5364410C00883008020B410A5200410800E43188002269140088308148300E9 +:2004A800910006C380800C8320800626014006040815E58001002074211F58042089883A16 +:2004B0000814ABC09100080380800DC3208001260810C7C0910007C380800D832080012621 +:2004B8000814C1C0014020B4010020B4018001C429652FC4212540440815E80010000326CE +:2004C000010020B421254044081487C0014020B4010020B401800C0429653644212525C468 +:2004C8000815EB008805883AD0227545DFC00A17DF000917DDC00817DD800717DD400617E1 +:2004D000DD000517DCC00417DC800317DC400217DC000117DEC00B04F800283ADEFFF004E5 +:2004D800DC000615040020B484252004DF000E158700028701400684DDC00D15E009883A21 +:2004E000DD800C15DD400B15DCC00915DFC00F15DD000A15DC800815DC4007150815E58095 +:2004E800058020B480C005C3B5A55004B085883A0100008485C00403114005031540030B61 +:2004F00014C004C31900031E848006039480004400000E060100004419000B1E10C0060B36 +:2004F8001906703A810003031800032620000626048000C40000050620000326148005C30F +:2005000094800118000001060025883A80C0050B008020B40102000410A5200419001B26E2 +:2005080020C00D360100080419001A2620C00336008004041880152600003106010040045A +:20051000190019260100800419002D1E10800A030440010400001606010800041900162626 +:2005180020C00536010400041900251E10800A030440014400000E060110000419001326A2 +:20052000012000141900162600001D060440010400001E0614400A03008000C41463C83A83 +:200528008C63883A00001906044000C40000170688A3C83A00001506108008831000101E1D +:20053000AD7FFA049CC0030400000D061080088310000D1EAD7FFA049CC0030400000A0618 +:2005380010C00A03108008830440018488E3C83A1000061EAD7FFA049CC0030400000306AB +:20054000B823883A0000010604400144D0E27043008002041880011E294B883A80C00483CF +:2005480028BFFFCC193FFFCC2080022E28C7C83A0000010600C0004489803FCCBDC03FCC56 +:20055000B985C83AE009883A01400684D9800515D8C00415D88000150815E580B085883ACB +:200558001240028BD9000017480B883ADA4002150815E5801028D7FA81000A4389403FCC41 +:20056000A085883A1029D07A0815E580D8C00417A08F883AE009883A014006841C7FFFCC0F +:20056800D9C003150815E580B085883A12800483D94000178A89883ADA8001150815E58039 +:2005700087000343A087883ADA800117E02897BA87000883500B883AB809883AE2000058FE +:200578004010977AD8C00415DA0001150815E580DA400217B809883A10807FCC480B883A39 +:20058000103892FA0815E5801081FFCC1504B03A880B883AB809883AE0B8B03A0815E580CA +:2005880010803FCC1004953ADA000117E0B8B03A008020B4E238B03A1084340417000035F1 +:2005900080800443D9800517D9C003171008937A80800403300C943A380E94FA100492BA72 +:20059800D8C004172084B03A118CB03A31CCB03A1D3FFFCC008020B4350CB03A1084300426 +:2005A0001180003580C0030380800703810002871806977A100496BA014006841886B03A35 +:2005A80080800A831004953A18A2B03A0815E580B085883A108005431004947A8886B03AB4 +:2005B000900496FA18A4B03AA8BFFFCC90A4B03A98BFFFCC100492FA90A4B03A008020B4DD +:2005B80010842C041480003580800F03100693BA80800AC3100492BA1884B03A80C006C365 +:2005C00010C4B03A80C006831806927A10C6B03A808006431004913A1884B03A00C020B468 +:2005C80018C4280418800035DFC00F17DF000E17DDC00D17DD800C17DD400B17DD000A17F7 +:2005D000DCC00917DC800817DC400717DC000617DEC01004F800283ADEFFF904DCC0031507 +:2005D80004C020B49CE52004DD0004159D000F43DC0000150140068404002074A009883A22 +:2005E000DFC00615DD400515DC800215DC400115841F64040815E5808085883A10800583F9 +:2005E80000C0038410BFFF8410803FCC1880053600C0207418DCA9841885883A14400007BD +:2005F000000001060023883AA009883A014006840815E5808085883A1480050310800483CD +:2005F800014006849024953A100492FAA009883A90A4B03A0815E5808085883A1080028B06 +:2006000090A4B03A008020B410843404148000359D000F4301400684A009883A0815E5802C +:200608008085883A10C0038B008020B41084300410C00035A009883A014006840815E580D4 +:2006100080A5883A9080040B9540030B014006841006953A90800543A009883A1004947A4C +:200618001884B03A156AB03A0815E5808085883A108004C300C020B418C42C04100492FAF3 +:20062000A884B03A1880003501400684A009883A0815E580948005C38085883A1080060BDB +:2006280098C00F83902497BA1080004C8822913A1004977A1CA4B03A9462B03A00C020B42F +:200630008884B03A18C4280418800035DFC00617DD400517DD000417DCC00317DC800217C7 +:20063800DC400117DC000017DEC00704F800283ADEFFF404DC000315040020B4842520040A +:20064000DC4004158440011700800044DFC00B15DDC00A15DD800915DD400815DD0007159C +:20064800DCC00615DC800515D0A274458800162684C000179800142601006734880B883A58 +:20065000213F30040815D9C01025883A8080020301283BF4980B883A212EC004100004263A +:200658000815D9C0880B883A1009883A000003060815D9C0880B883A1089883A0815D9C077 +:200660001023883A000002060445DC04048F550401000EC40814670001000F041027883A05 +:20066800081467008080058300C0207418DCAE041085883A1085883A1885883A11C0001778 +:200670008080020380C000171000021E00801A440000010600801C0401802074010020B46F +:20067800D8C00015319C62040140044421251444D88001150815ED80014019048809883AB2 +:200680000815D9C00140FA049009883A1029883A0815D9C0014019048809883A102B883AAB +:200688000815E0000140FA049009883AD8800215DD0001150815E000014002841009883ABA +:200690000815D9C001802074010020B4D8800015A80F883A319C640401400444212532048A +:200698000815ED80D0A27B831000011E0810BD4081000017D1E2750381400203A00D883A0C +:2006A000044020B408150D0011003FCC2100201C213FE00400FFFFC48C65200420C0011E65 +:2006A80000800104888002858480028301400684054020B4D4A26F0594803FCC9480201C58 +:2006B00094BFE0049009883A0815E580AD655004A885883AD0E275031440058385800403AF +:2006B8008500011788E2703AD462704515C0038BB1403FCC9CC03FCCB93FFFCC0815E5807B +:2006C0009809883A100B883A0815E580A00B883A1009883A0815D9C0B1003FCCB80B883A19 +:2006C8001027883A0815E58015BFFFCC80800C038C403FCCA53FFFCC10000826014006845A +:2006D0009009883A0815E580A885883A11C0060B380ED07A39C0004C00000106000F883AAF +:2006D8009CC03FCCA00D883AB00B883A8809883ADCC000150814E34081000DC30810C7C07C +:2006E00081000BC3814004030814CEC080800445081135C080800B03810003831000051E9A +:2006E800008020B410A52004114003C3290B003A00000106000B883A0810910080C00E83F2 +:2006F000008020B410A5200481000B0318000B26DFC00B17DDC00A17DD800917DD400817AD +:2006F800DD000717DCC00617DC800517DC400417DC000317DEC00C040810BE812000061E40 +:2007000011C00B43108003C3000D883A000B883AD8800015081005C0DFC00B17DDC00A17FF +:20070800DD800917DD400817DD000717DCC00617DC800517DC400417DC000317DEC00C0411 +:20071000F800283AD1226FC3DEFFFE04DC000015DFC001150813F2001021883A1000141E83 +:20071800008020B410A53644D0E26FC310800BC301000284D0E2738511403FCC290001261F +:20072000D0A27005D0A273C310000226D0A27003000001060005883A010020B42125188488 +:200728002085883A010003C410C000050813D5808005883ADFC00117DC000017DEC00204A8 +:20073000F800283AD1226FC3DEFFFE04DC000015DFC001150813D5801021883A10000F1E05 +:20073800D0E26FC3D0A273C3D0E2738510000426008020B410A520041080058300000106E5 +:200740000005883A010020B4212518842085883A010003C410C000050813D5808005883A60 +:20074800DFC00117DC000017DEC00204F800283ADEFFFD04DC000015D4226F03DC40011580 +:2007500001400684D422740584003FCC8009883A044020B4DFC002158C6550040815E580E0 +:200758008885883A10C0038B014006848009883AD0E2730D10C00503D0E2760D10C00483A8 +:20076000D0E26E8D10C0028BD0E2720D10C00543D0E2708D108004C3D0A2748D0815E5802B +:200768008885883A1080030BD0A2718DDFC00217DC400117DC000017DEC00304F800283AB6 +:20077000DEFFFA04008020B4DD000415DCC00315DC800215DC400115DC000015DFC0051541 +:2007780010A5200410C002C3040020B42029883AD462740384255004D4E26E8BD4A2748B42 +:20078000180021261080028714401F1E8809883A014006840815E5808085883A1100038B4A +:20078800D0E2730B20C0161E11000503D0E2760B20C0131E1100048398C03FCC20C0101EA7 +:200790001100028BD0E2720B20C00D1E11000543D0E2708B20C00A1E10C004C390803FCCB1 +:200798001880071E014006848809883A0815E5808085883A10C0030BD0A2718B1880022611 +:2007A00000800044D0A275458809883A014006840815E580D0E2730B8085883A8809883A5F +:2007A80010C0038DD0E2760B14C004850140068410C00505D0E2720B10C0028DD0E2708B61 +:2007B000148004C510C005450815E580D0E2718B01802074010020B48085883AA1FFFFCC66 +:2007B800319D9C040140044421251B0410C0030DDFC00517DD000417DCC00317DC80021707 +:2007C000DC400117DC000017DEC006040815ED81DEFFFE04DC000015040020B4DFC0011562 +:2007C80084044004008004448080003580000035008020B41084340410000035008020B4DA +:2007D00010842C04100000350109C4040816830000807444D0A2710D0080744480800035F8 +:2007D800010000F42103500408168300018001B401406734010020B43186A004297F3004D5 +:2007E000210418040816EFC008141B0008145100100028260009883A0814670000C03FC4D8 +:2007E80010C026260814C6800100008408101D8010803FCC00C004C410C0221E08102C8042 +:2007F000081097C01021883A1000201E0812828001402074010020B401800C04295CB904A0 +:2007F800212525C40815EB0001402074010020B401800D84295D1C84212542840815EB00B4 +:20080000010003C40813F200D12273830813F200008020B410843C0410800037108000ACE2 +:200808001000011E081289800009883A0810BE8000000706043FFF8400000506043FFF44F9 +:2008100000000306043FFF0400000106043FFFC48005883ADFC00117DC000017DEC00204D7 +:20081800F800283AD0A26F4300E00004DEFFF1041080004410803FCC1008933AD0A2710B4A +:20082000DC000515040020B410C4B03A2084B03AD0A2710DDFC00E15DF000D15DDC00C152D +:20082800DD800B15DD400A15DD000915DCC00815DC800715DC400615D900030D10BFFFCC11 +:20083000840440048080003501802074010020B4319C6A040140044421251B040815ED800A +:200838000810BC00054020B404C020B4058020B405C020B400800044AD443C049CE54284ED +:20084000B5A51B04BDC42404AC4000378C7FFFCC1000611E8800602698C0058BD0A2710B0A +:2008480088C0551E00F3FFC41884703AD0A2710D10BFFFCC80800035B00000050810BC0091 +:20085000010001B42106A00408168300D0A2710BD8C0030B1090001410C4B03AD0A2710D70 +:2008580010BFFFCC808000350100FA040815C400070000440815C7C01700021EBC80003738 +:20086000903FFC0E0815C9C090BFFFC410BFFFCC00FFFF541880072E01802074010020B444 +:20086800319C6D040140044421251B040815ED8000002F069038D43A953FFFCC0083FFC4CA +:20087000E703FFCC01401904A009883AE0800F1E0815D9C0A009883A01401904173FFFCCBD +:200878000815E00010BFFFCC01802074010020B4D8800015E00F883A319C6F0401400444F8 +:2008800021251B040815ED80000019060815D9C011FFFFCC01401904E009883AD9C00415FE +:200888000815E00010BFFFCC01401904E009883AD88002150815D9C010BFFFCC0140190493 +:20089000A009883AD88001150815E000D9C0041710BFFFCC01802074010020B4D8800015CD +:20089800319C72040140044421251B040815ED800810BC000000020698C0060B88C00A26C8 +:2008A000D0E2710B00AFFFC41884703AD0A2710D10BFFFCC808000350109C4040816830020 +:2008A8008805883A003F98061083FFCCD0A2710D808000350005883ADFC00E17DF000D17F3 +:2008B000DDC00C17DD800B17DD400A17DD000917DCC00817DC800717DC400617DC00051748 +:2008B800DEC00F04F800283ADEFFFF04DFC0001508118F0008147E40008020B410A536447C +:2008C00011000543DFC00017DEC001040810BE81DEFFF404DFC00B15DF000A15DDC00915C2 +:2008C800DD800815DD400715DD000615DCC00515DC800415DC400315DC0002150811F10009 +:2008D00010001F160080144401802074010020B4000F883A319C77040140044421251444C1 +:2008D800D88000150815ED8001402074010020B401800444295C8304212532040815EB0006 +:2008E0000810BD40010002342128480408168300058020B4040020B405402074050020B493 +:2008E800081175800027883A0025883AB5843C0484252004AD5CAE04A52536440000430684 +:2008F00001802074010020B4100F883A319C7C0401400444212514440815ED80014020744A +:2008F800010020B401800444295DAB04212532040815FF000810BD40003FFF06113FFF8449 +:2009000021003FCC014000C42900392ED122780311003726D0A27805D0A27717D0E277150D +:2009080010000126D0227A150812A400102F883AD0A27B83100002260009883A08138980C1 +:20091000D0A2700381000583044020B410C03FCC8C65200420C0281EA0C0054388800B03ED +:2009180005C020B4BDE5364418800B26000F883A000D883A000B883A0009883AD80000151C +:20092000081005C0A10005430810BE80A08005438000011580800B05B88005431000762661 +:20092800B880098388C00F4311003FCC20C07E1EA10009C380C00F8320C07B1E8880058371 +:200930001000A61E01004B0408168300B080003710FFFFCCD0E279150086303A1004D63A4D +:2009380018C000ECD0E27A15D0A27B05103FC31ED0227915003FC706010003C4D1227505B7 +:20094000010000C420C0052E0100014420C0052E048000849039883A00000406070000447E +:20094800000001060039883A0025883A10BFFFC410803FCC0100020420801836100490BA26 +:2009500001002074210955041105883A108000171000683A008125980081257800812580BC +:2009580000812580008125780081258800812598008125780081258004C000840000070636 +:2009600004C000C40000050600800404D0A2750504C00044000001060027883AD0A273C3D0 +:2009680010000926008020B410A5188410C7883A18800003D0E2738311003FCC20C002268B +:20097000D0A273850813F200D0A2700389400DC3880002C58880058500C0004428803FCCDA +:20097800E1003FCC1880042E00800144114BC83A29403FCC00000106014000C408145F404B +:200980000814758099403FCC91003FCC0814F20088800583010020B4018004441085883A33 +:200988001085883AA885883A1140001721251444880001150815FF0001402074010020B49F +:2009900001800444295C8004212532040815FF00D0A27B831000011E0810BD40D0E26F8385 +:200998000080028418BF7C1EB83F7B26010003C40813D580003F7806A1C0058388800B43FC +:2009A00038BF8726808003C3000D883A000B883AD88000150009883A081005C0A080058374 +:2009A80080800B45003F7E0688800F45B88009C307002074E71F640488800F850811758009 +:2009B00089800F43014006843009883AD98001150815E580E085883AD980011710C00603A4 +:2009B800014006843009883A18C0004CD8C001150815E580E085883A10800583D8C0011716 +:2009C00089400383108002201884B03A11003FCC217F6A268080038580800B0380C003C5A6 +:2009C8001000021E20CB003A00000106000B883A0810910088800B03103F601E808003C394 +:2009D000B9C00583000D883AD8800015000B883A0009883A081005C0003F580699403FCCD4 +:2009D80091003FCC0810D8C010803FCC00C0008410C0252600C0010410C0032600C00044F7 +:2009E00010FF501E00002406808002C310000926D0A2710B00C020B418C440041080009486 +:2009E800D0A2710D10BFFFCC1880003508122E80003F440680000115081475808080058318 +:2009F000010020B4018004441085883A1085883AA885883A11400017212514440815FF008A +:2009F80001402074010020B401800444295C8004212532040815FF00D0A27B83103F311EBD +:200A00000810BD40003F2F06808002C3103F2D2608118F00003F2B06808002C3103F29266B +:200A0800081135C0003F270600C020B418E5364401402074DEFFFF041809883A01800C0420 +:200A1000295CB904DFC000150815EB001007883A008020B410843C0410800037014020742B +:200A18001004D4BA010020B40180BC841080004C295FA50421255004188005450815EB00F5 +:200A200000800044D0A275450005883ADFC00017DEC00104F800283ADEFFF804DD0004157D +:200A2800DCC00315DC80021505002074048020B404C020B4DD800615DC000015DFC00715DA +:200A3000DD400515DC400115002D883A0021883AA51D2A0494843C049CE542840140207407 +:200A3800010020B401800444295CC504212510040815FF008405883A1085883AA085883AB3 +:200A400011400017010020B40180044421251B040815FF000810BC000023883A054000848D +:200A48009080003710BFFFCCD0A2791590C0003700C6303A18C000ECD0E27A1510001A26A1 +:200A5000B08019268407883A98C7883A88000A1E01402074010020B401800444295CC70436 +:200A5800212510041880000D0815FF000810BC000440004400000C0618C0000B10C0092613 +:200A600001402074010020B401800444295CC904212510040815FF000810BC000023883A82 +:200A68000000010604400084D0A2771710000F1ED0E27A170080007418800C1E8000091EC2 +:200A700001402074010020B401800D84295D1C84212542840815EB0004400084040006C4DA +:200A780000000206843FFF8404400084D0A27A17D5A27917D0A277158D4003260109C40478 +:200A800008168300003FC6068400004400800684143FB20E010003C4DFC00717DD800617C6 +:200A8800DD400517DD000417DCC00317DC800217DC400117DC000017DEC008040813D581B0 +:200A9000DEFFED04D8800BC4D8800315008020B410A5394410C00044D8C0051501402074C0 +:200A980010C00084D8800415D8C00615018001C410C000C4295D1AC410800104D9000A040C +:200AA000DFC01215DD401115DD001015DCC00F15DC800E15DC400D15DC000C15D8000BC509 +:200AA800D8C00715D8C00815D88009150815EB00D1227917014020B40005883A2965428494 +:200AB000018006841087883A1947883A18C0000B044020B48C6542841900032611812926CB +:200AB80010800044003FF70600C0068418812036100490BA00C0207418CAC20410C5883AE4 +:200AC000108000171000683A00812F6800812B8400812B9400812B7400812B8C00812B9C95 +:200AC80000812B7C00812F7000812BA400812BAC00812BB400812F7000812F7000812F705E +:200AD00000812F7000812F7000812F7000812BE000812CB000812CC000812CEC00812D38D1 +:200AD80000812D1800812D6400812EFC00812F2400812E74008000840000FE06008000C438 +:200AE0000000FC06008001040000FA06008001440000F806008001840000F606008001C466 +:200AE8000000F406008002040000F206008002440000F006D0A27B831007003AD0E27B8547 +:200AF000D0E27383D0E26FC51000031E01000044081389800000E6060810BD400000E406D3 +:200AF800008020B41084380414000037D4A27383044020B48C6520048900028701400684F9 +:200B00000815E58000C020B418E550041885883A01802074010020B4D8800015900F883A57 +:200B0800319CCD0401400444212510040815ED80888002C310001C2680C2000C8081FFCC89 +:200B1000180EC03A1080004411C4983A11CF883A1800022600801A440000010600801C04C3 +:200B18008020D43A840000CC8000021E00C008040000010600C00A84010020B421042004E0 +:200B20002100003701802074D9000215010020B4D8C00115D8800015319CD004014004443E +:200B280021251B040815ED800810BC000000B006D0A2710B1080041CD0A2710D0000AC06F4 +:200B300000C020B418E53644188000030140004411003FCC290002361145883A00000106DE +:200B38000005883A188000050000A10600C020B418E53644188000430140004411003FCC0B +:200B4000290002361145883A000001060005883A1880004500009606008020B410A5364452 +:200B480010C0010319003FCC2000012618FFFFC410C0010500008E0600C020B418E53644FF +:200B5000188001030140038411003FCC290002361080004400000106008003C418800105E4 +:200B58000000830601402074010020B401800444295CD404212510040815FF0001402074D9 +:200B6000010020B401800444295CD80421251B040815FF00048020B4050020B4054020B4AB +:200B68000810BC0094843C0404C006C4A5255004AD652004908000370021883A10BFFFCC9B +:200B70008407883A1C47883A18C0000B10C002268400004484FFFA1EA900028701400684B8 +:200B78000815E580A085883A108005C300C0014418803F3600C001041C001916D9000A0493 +:200B80002087883A18C000031C07D83A18C0004C180007261085883A1085883AD8C0030426 +:200B88001885883A10800017140000050000300601802074010020B481C00044319CDB04DD +:200B90000140044421251B040815ED800810BC000100023421284804081683000000240662 +:200B980000800304808022260109C40408168300003FD00601402074010020B40180044473 +:200BA000295CDF04212510040815FF0001402074010020B401800444295CE30421251B0413 +:200BA8000815FF00040020B40810BC0084043C04048006C404C00244050003048080003702 +:200BB0000009883A10BFFFCC2107883A1C47883A18C0000B10C01D1E99001F16014002842E +:200BB800210000440815D280D0A26FC50811C4400810BD40D0227B8500001D0600C020B4C8 +:200BC00018E53644188006030140078411003FCC290002361080004400000A060005883A09 +:200BC8000000080600C020B418E536441880060311003FCC2000022610BFFFC40000010656 +:200BD000008007C418800605000009062100004424BFDD1E00000106253FE5260109C4047D +:200BD80008168300003FD506008000440000010600800284D0E2710B18C0401CD0E2710DDF +:200BE0000000010600800284D0E27A171900006C20000926008020B410A52004108005838C +:200BE8000100024411403FCC2900022610800044000001060080004418C000AC18000A268E +:200BF000010020B42125364420C000030180004419403FCC314002361987883A0000010632 +:200BF8000007883A20C0000510C03FCC0100028419000326D0A270050080004400000106D9 +:200C00000005883AD122710B00FFFCC420C8703AD0E27B83D122710D1800051ED0E272834A +:200C080018C7883A18C7883A20C6B03AD0E2710DD122710B00C020B418C440041900003519 +:200C1000DFC01217DD401117DD001017DCC00F17DC800E17DC400D17DC000C17DEC013047C +:200C1800F800283ADEFF6B04D9000304DC008C15DFC09415DDC09315DD809215DD40911565 +:200C2000DD009015DCC08F15DC808E15DC408D150810B6801021883A0815BE808000641E97 +:200C280001800104D9400304D90083040815FF000140207401800104295D7204D9008304D3 +:200C30000815F90010002D1ED8800403DCC08484D9400484D8808405D88004430180020488 +:200C38009809883AD88084450815FF00D880068BD8008645D8808B0DD880070BD8808B8DA6 +:200C4000D9408B17280BC232D880078BD9408715D8808B0DD880080BD8808B8DD8808B174E +:200C48001005C232D8808815D880088BD8808B0DD880090BD8808B8DD8808B171005C232D4 +:200C5000D8808915D8808217D8808B151005C232D8808A1500C0788428BFF98418800936AE +:200C580001800044D90003040816E880D8C08A1710C006260400198400002D060400190427 +:200C600000002B06040019440000290601802074010020B4319D74040140044421251004A0 +:200C68000815ED80D9C0881701802074010020B4319D78040140044421251B040815ED80FE +:200C70000810BC00DD008817DD8089170023883A0005883A05C080048D00132EA465C83A49 +:200C7800BC80012E048080048D408004A80CD27A010020B4DC800015000F883AD940030461 +:200C800021250D040815A64014003FCC8000081E880D003A900B883AD90003040816E880A3 +:200C8800A823883A003FEC06B080761E00000206048000C400004906D8808487D9C08403A8 +:200C9000D8C084431000C82600802074109D0E0401802074010020B4D8C00015319D7B0430 +:200C98000140044421251004DCC00215D88001150815ED8001402074010020B4018004443B +:200CA000295D910421251B040815EB00044020B4040020B40810BC008C443C048425428469 +:200CA8008880003780C0000B10BFFFCC10C0B11E08147580D0A2710B00C020B418C44004B6 +:200CB00010800094D0A2710D10BFFFCC188000350109C4040816830001402074010020B48C +:200CB80001800444295D7E04212510040815FF00048000C401402074010020B4018004441A +:200CC000295D810421251B040815FF000810BC000027883A0580800405004004DC408817BE +:200CC8009C406E2E8CE3C83AB440012E044080049D408004A80DD27A010020B4DC400015D0 +:200CD000000F883AD940030421250D040815A64010803FCC10004E2600A1C83A0815BE809C +:200CD800008000448080362614000F1600BFCD4480802D261400051600BFCD0480802D1E76 +:200CE00001402074295D6B0400002F0600BFCD848080222600BFCDC48080261E0140207434 +:200CE800295D5F04000028060080198480801226140007160080190480800C2600801944A3 +:200CF00080801C1E01402074295D4D0400001E06008019C480800D2600801A048080151E79 +:200CF80001402074295D5A040000170601402074295D49040000140601402074295D510494 +:200D0000000011060815BE80048000C401402074040019C4295D550400000B06014020749E +:200D0800295D63040000080601402074295D67040000050601402074295D70040000020628 +:200D100001402074295D4504010020B421251B04018004440815FF000810BC00010003F434 +:200D1800211090040816830080004A0E9000492601802074010020B4319D8D0401400444AC +:200D2000212510040815ED8094BFFFC4003F99069827D23A880B883AA440012E0140400423 +:200D2800980D883AD90003040810A1C01021883A103FAA1EA4400236A827883A003F970658 +:200D300099800044897FC004D90043040810A1C01021883A103FF826003FA00601402074C7 +:200D3800010020B401800444295D8504212510040815FF0001402074010020B40180044405 +:200D4000295D810421251B040815FF000810BC00D9808917D9408817D9C003040009883A17 +:200D48000810AC001021883A103F8C1E0815BE8001402074010020B401800444295D9604ED +:200D5000212510040815EB0001402074010020B401800444295D890421251B040815FF001A +:200D58000810BC00003FFF0600802074109DAB04003F370680C0008B10C003260109C404E1 +:200D600008168300003F4606048000C404001A04003F720600BFFFC4DFC09417DDC0931713 +:200D6800DD809217DD409117DD009017DCC08F17DC808E17DC408D17DC008C17DEC0950463 +:200D7000F800283A21C03FCC01802074010020B4319D9B040140044421251B040815ED814D +:200D7800DEFFFF040141194421003FCCDFC000150815E580014019041009883A0815CA401A +:200D800001802074010020B4100F883A319D9D040140044421251B04DFC00017DEC00104D2 +:200D88000815ED8121C03FCC01802074010020B4319D9F040140044421251B040815ED8100 +:200D9000014003F4DEFFFC042950900421003FCCDFC00315DC400215DC0001150815E58097 +:200D9800014018F4294BA8041009883A1021883A0815D9C08009883A014659041023883A66 +:200DA0000815D9C00140FA041009883A0815E000014002841009883A0815D9C001802074F9 +:200DA800010020B4D8800015880F883A319DA2040140044421251B040815ED80DFC00317EB +:200DB000DC400217DC000117DEC00404F800283ADEFFFF04014119C421003FCCDFC000151B +:200DB8000815E580014019041009883A0815CA4001802074010020B4100F883A319DA504F7 +:200DC0000140044421251B04DFC00017DEC001040815ED8121C03FCC01802074010020B46B +:200DC800319DA7040140044421251B040815ED81DEFFFF0401409C4421003FCCDFC0001538 +:200DD0000815E580014019041009883A0815CA4001802074010020B4100F883A319DAA04DA +:200DD8000140044421251B04DFC00017DEC001040815ED8121003FCCDEFFFF0401409C44FC +:200DE00021000044DFC000150815E580014019041009883A0815CA4001802074010020B40E +:200DE800100F883A319DAA040140044421251B04DFC00017DEC001040815ED81DEFFFF04DC +:200DF0000140068421003FCCDFC000150815E580014020B429655004010020B40180044421 +:200DF800288B883A21251B04DFC00017DEC001040815FF01DEFFFF040140068421003FCCB4 +:200E0000DFC000150815E58001402074295F6404010020B401800444288B883A21251B045F +:200E0800DFC00017DEC001040815FF0121003FCC2109883A00802074109F50042109883A39 +:200E10001109883A21400017010020B40180044421251B040815FF0121003FCC008002841C +:200E1800208007262109883A008020B42109883A10A20C041109883A2140001700000206A3 +:200E200001402074295DAC04010020B40180044421251B040815FF01D0A27917DEFFF704AD +:200E280001C020B4DFC00815DDC00715DD800615DD400515DD000415DCC00315DC800215D4 +:200E3000DC400115DC00001500C002C439E542840180044418CB883A29CB883A2940000B7D +:200E38002880041E21003FCC1827883A2000051E0000030618C0004419BFF61E0001080640 +:200E400010010A26D5227B43040020B484207E04A5C03FCCB82290FA014005048445883AF5 +:200E4800148001031580001795403FCCA809883A0815E580B0C00117993FFD0421003FCCE4 +:200E50001885883A00C00104180B883A19002836200890BA00C0207418CE5A0420C9883A4D +:200E580020C000171800683A008139B00081397C0081399400813A9400813A94A800011E76 +:200E6000B480000394BFFFC48461883A8480010500008A06B14000038461883AA90000445C +:200E6800840001040815D2808080000500008306B8000326A53FFFC4D5227B4500007F0620 +:200E7000D0227B85DFC00817DDC00717DD800617DD400517DD000417DCC00317DC80021721 +:200E7800DC400117DC000017DEC009040810BD4111000103014000C42140062620C06F1E5E +:200E80001080021704000044103EE83A1023883A00006C061080041710000126103EE83A38 +:200E8800D4E27B43014005049C403FCC8C800044882290FA902490FA8445883A15400017EC +:200E90001100010384AD883AAD0001170815E580B0C00017A085883A10800217188001261D +:200E9800B000010584A5883A8461883A81000103AC400117014005040815E5808885883ACE +:200EA000108002179CC00044D4E27B459080001500004A0610C0010328C04836180690BA5C +:200EA80001002074210EAD041907883A18C000171800683A00813AC800813AC800813B3038 +:200EB00000813B7000813B7011C0021798C03FCC020003C41140030311000343398000034A +:200EB800108003831A00081E20C03FCC32003FCC1A00022E30BFFFC400000B0629403FCC1B +:200EC0002800091E0000070610C03FCC32003FCC40C0022E308000440000030629403FCCFD +:200EC800280001262005883A3880000500002306110002179CC03FCC014003C420C0000B6A +:200ED0009940051E1080030B197FFFCC1140062E18FFFFC4000004061080038B197FFFCC1B +:200ED8002880012E18C0004420C0000D0000130611400317290000172800102698C03FCC95 +:200EE000018003C4208000031980041E10C03FCC1800092610BFFFC40000070628C001039F +:200EE80011803FCC19403FCC3140022E10800044000001061805883A208000050023883A05 +:200EF0000021883AD0A27B4300C020B418E07E04100490FA014005041885883A1100010365 +:200EF80014C000170815E5801025883A98800117010020B4212510041485883A1140001754 +:200F0000018004440815FF0098800117010001041485883A10C0010320C03636180690BAD3 +:200F080001002074210F0D041907883A18C000171800683A00813C4800813C7800813C84ED +:200F100000813CCC00813C9410C00217110004170180044418C0000318C5883A1085883A38 +:200F18002085883A11400017010020B421251B040815FF0000001F0610C0021710800417DB +:200F200000001A0610C00217108004171900000B0000170680000D268800032601C0207403 +:200F280039DDB0040000020601C0207439DDAE0401802074010020B4319DB20401400444C3 +:200F300021251B040815ED8000000A06108003171000041E008020B410A51B041000000589 +:200F38000000040610C000171080021719000003103EE83ADFC00817DDC00717DD80061780 +:200F4000DD400517DD000417DCC00317DC800217DC400117DC000017DEC009040810BC018E +:200F480021003FCC203FA51E103FA41EDFC00817DDC00717DD800617DD400517DD00041706 +:200F5000DCC00317DC800217DC400117DC000017DEC00904F800283A21003FCC008003C4B7 +:200F580011006336009114B4DEFFB8041094D544D88000150080107410951044DC40411544 +:200F6000D8800115244002B000801444DCC04315DC400285DFC04715DD804615DD40451554 +:200F6800DD004415DC804215DC004015D8000205D88002458C403FCC24C0040488001C1E4C +:200F7000014020B4008011040180028429651884D9000344D88002C5D80003050815EB005F +:200F7800D0A27003014020B401800D84D8800605D0A26F8329654284D90006C4D8800645EC +:200F8000D0A273C3D88005C5D0A27283D88006850815EB00980C923A01401444D809883A89 +:200F88000810A1C01004C03A0085C83A0000320600BFFC84014020B401800C04D88003457E +:200F90002965364400800084D90003C4D98002C5D8000305D88003850815EB00014020B498 +:200F98009826923A0180304429655004D9000FC40815EB00980D883A01404004D809883A95 +:200FA00004004004054020B40810A1C00480304405008C44AD655004802D883A917FFFCCDA +:200FA800800D883AA94B883AD809883A0815EB0089803FCC800B883A84A5883AA421C83A66 +:200FB00034CD883AD809883A8029883A843FFFCC0810A1C08C40004480000326B43FEF2E80 +:200FB80004004004003FED060005883A0000020600BFFFC4F800283ADFC04717DD8046173D +:200FC000DD404517DD004417DCC04317DC804217DC404117DC004017DEC04804F800283ACA +:200FC800DEFFB704DFC04815DDC04715DD804615DD404515DD004415DCC04315DC80421570 +:200FD000DC404115DC00401521003FCC008003C41100022E047FFFC40000790624000404B9 +:200FD8008008943AD80D883A0140400408109AC0103FF81E0140207401800204295F530465 +:200FE000D809883A0815F9001023883A1000691ED88002031000691ED8C002430080144403 +:200FE8001880661ED88002831000032600C0004410C02C2600006206D8800303D8C002C36E +:200FF0001004923A10C4B03A00C0110410C05C1E01C020B4D8C003440005883A0180024422 +:200FF80039E51884014002841900000322003FCC3200023611D1883A4100000510800044E7 +:2010000018C00044117FF81ED880064300C00244D0A26F8511003FCC1900032ED88006033A +:2010080011003FCC19000136D0A27005D88005C3010020B401800D84D0A273C5008020B470 +:2010100010A5188410800003D94006C421254284D0A27385D8800683D0A272850815EB0031 +:2010180000003706D8800317D8C002C311803FCC300C923A30CCB03A00C00C0430C0301E14 +:201020001004D23A00C0BC841025883A10BFFFCC10C02B1E010020B48020923AD94003C4C5 +:201028002125364405C020B40815EB000027883A002D883A05400FC405004004BDE5500418 +:20103000A97FFFCCA14DC83A90BFFFCCB13FFFCCD94B883A11800F16B909883A0815EB00C0 +:20103800990000442027883A21003FCC2409883A2008923AA56BC83AD80D883A0140400465 +:20104000ADAD883A94BFC00408109AC0002B883A003FEB06100D883A008020B410A5500492 +:201048001109883A0815EB0000800044D0A275450000030604400044000001060440008454 +:201050008805883ADFC04817DDC04717DD804617DD404517DD004417DCC04317DC80421722 +:20105800DC404117DC004017DEC04904F800283ADEFFFD04D9000005010020B4DC00011509 +:20106000018000442821883A21041804D80B883ADFC0021508170500813FFFCC08168300B4 +:20106800DFC00217DC000117DEC00304F800283AD0E2710BDEFFFC0400BFE7C41884703A02 +:20107000DC400115044020B4D0A2710DDFC00315DC800215DC00001510BFFFCC8C4440045D +:2010780088800035040005048009883A08168300800B883A01000E0408141700800B883A42 +:2010800001000E4408141700800B883A8009883A08141700800B883A01001C44081417001E +:20108800800B883A0100178408141700800B883A01001B4408141700800B883A01000304F7 +:20109000081417000480C804900B883A0100004408141700800B883A0100018408141700E2 +:20109800900B883A0100008408141700D0A2710B10801014D0A2710D10BFFFCC88800035BA +:2010A000DFC00317DC800217DC400117DC000017DEC00404F800283AD0E2710BDEFFFA04D2 +:2010A80000BFE7C41884703ADC000015040020B4DC800215DC400115D0A2710DDFC0051561 +:2010B000DD000415DCC003152025883A2823883A10BFFFCC84044004808000350140C804BA +:2010B8000100004408141700D0A2710B10800814D0A2710D10BFFFCC8080003501400404FE +:2010C0009009883A081604401029883A10803FCC1000091E014020749009883A018004448D +:2010C800295F55040815FF00910000030140050408141700000009060027883AA5003FCC52 +:2010D00094C5883A11000003014005049CC000440814170098803FCC153FF936D0E2710BE0 +:2010D80000BFF7C41884703AD0A2710D10BFFFCC80800035014005040100300408141700C7 +:2010E000D0A2710B10800814D0A2710D10BFFFCC80800035014004048809883A0816044099 +:2010E8001027883A10803FCC1000091E014020748809883A01800444295F55040815FF002F +:2010F000890000030140050408141700000009060025883A9CC03FCC8C85883A1100000393 +:2010F80001400504948000440814170090803FCC14FFF936D0A2710B10801014D0A2710D14 +:2011000010BFFFCC80800035DFC00517DD000417DCC00317DC800217DC400117DC000017FB +:20110800DEC00604F800283ADEFFFB04DC000015040020B484041804DC80021504800B0476 +:20111000DCC00315900B883A2027883A000D883A8009883ADFC00415DC4001150816F7008C +:2011180004400044880D883A99403FCC8009883A0816FD40900B883A8009883A880D883A4F +:201120000816F700880B883A8009883ADFC00417DCC00317DC800217DC400117DC000017EE +:20112800DEC005040816FA81DEFFFC04DC000015040020B484041804DC800215DC40011578 +:201130002025883A2823883A8009883A000D883A01400B04DFC003150816F70091403FCC79 +:201138008009883A000D883A0816FD400180004489403FCC8009883ADFC00317DC80021710 +:20114000DC400117DC000017DEC004040816FD41010020B4DEFFFD04000D883A000B883A17 +:2011480021041804DFC00215DC400115DC0000150816FD40010002840816830004000604DC +:2011500004400044800B883A8809883A08144A80800B883A0100008408144A80800B883A6C +:20115800010000C408144A808809883A081442801405003ADFC00217DC400117DC00001769 +:20116000DEC00304F800283ADEFFFD04DC400115DC000015044000442021883A84003FCC55 +:201168008809883ADFC00215802090FA0814428000FFF9C410C4703A80A0B03A84003FCC83 +:20117000800B883A8809883A08144A80800B883A0100008408144A80800B883A010000C40F +:20117800DFC00217DC400117DC000017DEC0030408144A81DEFFFD04DC4001152023883AD7 +:2011800001000044DC000015DFC00215043FF604081442801420703A89003FCC00800084D2 +:201188002080021E84000054000003062008917A2080011480A0B03A84003FCC800B883AD8 +:201190000100004408144A80800B883A0100008408144A80800B883A010000C4DFC0021792 +:20119800DC400117DC000017DEC0030408144A81DEFFFB04DC000015040020B4840418043B +:2011A000DC80021504801704DCC00315900B883A2027883A000D883A8009883ADFC0041531 +:2011A800DC4001150816F70004400044880D883A99403FCC8009883A0816FD40900B883A1A +:2011B0008009883A880D883A0816F700880B883A8009883ADFC00417DCC00317DC800217E3 +:2011B800DC400117DC000017DEC005040816FA81DEFFFC04DC000015040020B48404180466 +:2011C000DC800215DC4001152025883A2823883A8009883A000D883A01401704DFC0031529 +:2011C8000816F70091403FCC8009883A000D883A0816FD400180004489403FCC8009883ABD +:2011D000DFC00317DC800217DC400117DC000017DEC004040816FD41DEFFFC04DC000015DA +:2011D800040008848009883ADFC00315DC800215DC40011508146700014004C401000584AA +:2011E0001023883A0489C40408146F009009883A08168300014000C4010005C408146F00C4 +:2011E8009009883A08168300017FE004894AB03A29403FCC8009883A08146F000100FA0481 +:2011F0000816830089401FCC8009883ADFC00317DC800217DC400117DC000017DEC0040444 +:2011F80008146F01DEFFFE04DC0000150409C4048009883ADFC0011508168300014004447C +:201200000100058408146F008009883A0816830001400084010005C408146F008009883A68 +:20120800DFC00117DC000017DEC0020408168301DEFFFE04DC0000152821883A21403FCC8F +:2012100001000484DFC0011508146F0081403FCC010004C4DFC00117DC000017DEC0020412 +:2012180008146F0121403FCC01000F4408146F0121403FCC0100044408146F01DEFFFE04BE +:20122000DFC00115DC000015208001832021883A010006C411403FCC280A913A288AB03A21 +:2012280029403FCC08146F00814001830100070408146F00814000C30100028408146F0035 +:20123000814001030100024408146F00814001430100020408146F00814000030100034464 +:2012380008146F00814000430100030408146F0081400083010002C4DFC00117DC000017BF +:20124000DEC0020408146F01DEFFFB04DC400115DC0000152023883A2021883A0100010451 +:20124800DCC00315DC8002153027883A2825883ADFC0041508146700017FFE049CC03FCC13 +:201250001144703A9800092680FFFFCC0101FFC420C006361140005429403FCC010001046E +:2012580008146F008423883A0000030611403FCC0100010408146F008C7FFFCC880AD13A19 +:201260000100004429403FCC08146F00880A913A0100008429403C0C08146F000100673470 +:20126800917FFFCC213F30040815D9C0880B883A1009883A0815E5800140FA041009883A75 +:201270000815D9C000E327D41880092E00C0007418C45BC41880082E00C000B418C3D5C416 +:201278001885403A00C000C41885C83A000003060005883A000001060080004414003FCC62 +:20128000D0A024041405883A1100000301400A040815E5808808D07A880B883A1109883AEB +:201288000815CA40100B883A00C001C410803FCC1880012E180B883A29403FCC800491BA33 +:20129000280A90FA010000C4288AB03A29403FCCDFC00417DCC00317DC800217DC40011794 +:20129800DC000017DEC0050408146F01DEFFFE04DC0000152021883A01000684DFC00115FD +:2012A00008146700017FFE8484003FCC00C000441144703A80C0021E10800094000001068C +:2012A8001080029411403FCC01000684DFC00117DC000017DEC0020408146F012140028B51 +:2012B000DEFFFE04DC000015280AD23A2021883A010012C4DFC0011508146F0081400283B0 +:2012B8000100128408146F008140030B01001344280AD23A08146F00814003030100130425 +:2012C00008146F008140038B010013C4280AD23A08146F00814003830100138408146F0029 +:2012C8008140010B01001444280AD23A08146F00814001030100140408146F008140018B61 +:2012D000010014C4280AD23A08146F00814001830100148408146F008140020B01001544CB +:2012D800280AD23A08146F00814002030100150408146F008140040B010015C4280AD23ADA +:2012E00008146F00814004030100158408146F008140048B01001644280AD23A08146F0002 +:2012E800814004830100160408146F008140050B010016C4280AD23A08146F0081400503BA +:2012F00001001684DFC00117DC000017DEC0020408146F01DEFFFD04DC00001504000FC4C3 +:2012F800DC4001152023883A8009883ADFC002150814670000FFFC0410C4703A888AB03AA2 +:2013000029403FCC8009883ADFC00217DC400117DC000017DEC0030408146F01DEFFFD0420 +:20130800DC0000152021883ADC40011584003FCC044006848809883A802091BADFC002154E +:201310000814670010800FCC808AB03A29403FCC8809883ADFC00217DC400117DC00001735 +:20131800DEC0030408146F01DEFFFC0401402074018001C4295F5584D809883ADFC00315D1 +:20132000DC0002150815EB00081475800009883A0814A6C004000044800B883A01000D446D +:2013280008146F0001002074211F58040814ABC00009883A0814C1C0010001840814864092 +:201330000100110408148700000B883A8009883A08148300D809883A081487C0DFC003176E +:20133800DC000217DEC00404F800283ADEFFFB04DCC0031504C00104DC4001152023883A10 +:201340009809883ADFC00415DC800215DC0000152825883A08146700900B883A8809883ACC +:201348001021883A0815E580108007CC100A90FA840001CC9809883A2C0AB03A08146F00AA +:2013500091403FCC89003FCC0815E5801004D17ADFC00417DCC00317DC800217DC40011713 +:20135800DC000017DEC00504F800283ADEFFFD04DC0000152021883ADC40011584003FCCEE +:20136000044004048809883A802090FADFC0021508146700108001CC808AB03A29403FCCA6 +:201368008809883ADFC00217DC400117DC000017DEC0030408146F0121003FCC20001A2676 +:20137000DEFFFD04DC400115010009842823883A01402004DC000015DFC002153021883A93 +:2013780008146F0089403FCC0080004428800426008002042880041E8140168400000306AC +:2013800081400244000001068140060429403FCC01000C44DFC00217DC400117DC000017D0 +:20138800DEC0030400000206000B883A0100098408146F01DEFFFA04DC4001152823883A97 +:20139000D9400617DD000415DCC00315DC800215DC000015DFC0051524003FCC008000444D +:201398003025883A3827883A2D003FCC808008260080020480800D1E29400C8429403FCC79 +:2013A0000100014408146F000140080400000C062940008429403FCC0100014408146F00CB +:2013A800014001842809883A000006062940018429403FCC0100014408146F0001400404E4 +:2013B0000100018408146F00A00D883A800B883A010000440814DB80008004048080021EEC +:2013B8000140030400000106014002040100088408146F0099803FCC917FFFCC893FFFCCD5 +:2013C000DFC00517DD000417DCC00317DC800217DC400117DC000017DEC006040814908133 +:2013C800DEFFFD0429BFFF84DC400115DC000015DFC0021531803FCC0080004424403FCCF4 +:2013D0002C003FCC11800436008000848880071E8805883A000008068800061E8005003A02 +:2013D80000C000841885C83A000003060005883A0000010600800084880A913A8C47883A45 +:2013E00010803FCC18C7883A100491BA28CAB03A214AB03A288AB03A29403FCC01000644C6 +:2013E80008146F00010004040814670000FFFE0410C4703A00C000C4100B883A80C0011E8F +:2013F0001140015429403FCC0100040408146F000080008488800D1E0080004414000B36DF +:2013F8008080021E0140148400000106014014C40100038408146F000100FA040816830009 +:20140000010005040814670000000B06014016C40100038408146F000100FA040816830060 +:201408000100050408146700008000C48080021E0140040400000106000B883A01000604AB +:2014100008146F00000B883A01000D84DFC00217DC400117DC000017DEC0030408146F01C2 +:20141800DEFFFD04DC4001152023883A01000504DC000015DFC002152821883A0814670060 +:201420008C403FCC00C0008488C00A1E81403FCC00C0004428C0031E1080240C10802420B4 +:20142800000006062800031E1080220C10802220000002061004D07A1080004CDFC00217C5 +:20143000DC400117DC000017DEC00304F800283ADEFFEC04DC400B15044020B48C65200440 +:2014380000800104D880060D8880078302400204020010044884983A018100040288000402 +:201440001244B03AD880068D888007C300C020B4DFC013154084983ADDC01115DD80101559 +:20144800D880070D88800803DD400F15DD000E153084983ADF001215DCC00D15D880078D8E +:2014500088800843DC800C15DC000A155084983AD800088518E55404D880080DD880088400 +:20145800D8800015888008C4D880011588800904D880021588800944D880031588800984E7 +:20146000D8800415888009C4D880051588800B830029883A02C04004D880090503040004BC +:201468000382000403C080040340040407C02004054008040580010405C083441CC001C357 +:2014700001800144A021883A9C803FCC188001833480EC36900C90BA0280207452947B0499 +:20147800328D883A318000173000683A0081525C0081525C0081525C0081520400815218EA +:201480000081525C01801004D980070D01810004D980078D000011061980000B35C00F1ECB +:201488001F3FFB43028000C4D9800903E280051E3000021E01BFFBC4000004060280008499 +:20149000000005063000031E01BFFEC43084703A00000206028000443280CA2611C4703A11 +:2014980010803FCC1000C7269485883A1085883AD885883A108000171980020B108000036B +:2014A0001085883AD885883A1280060B5194703A50BFFFCC1000BB263180004C2F003FCC82 +:2014A8003180005CE180B71E1980000B318007843100B43607000044018020B48800038536 +:2014B000880003C58F000405880004858A80050D31A5200412C0772658800E3613405E26AB +:2014B800688003361580212612402F260000A50612005D2617C06A261540A21E00800044F0 +:2014C0008880030500800084888003450080018400007306130083266080093602810004C8 +:2014C800128071261380692613C0961E00800084888003058880034500800144000068069B +:2014D0000710000417007D2601A00014118084260288000412808B1E0080010488800305D9 +:2014D8008800034500801E040000840630000305300003459CC03F4C008000449880871EE0 +:2014E00089000C4300C020B418E52004200003260100008419000405000001061880038548 +:2014E800888003C500007D06008000448880030588800EC3054020B4AD6520041000101E57 +:2014F00001400684A009883A0815E58000C020B418E5538410C5883A1080000B00C15DC4A8 +:2014F800188007369CFFFFC49CC03FCC0080008414C00336A8800345A88004050000010681 +:20150000880003450080008490800D260080010490800B26008001449080601E01400684D0 +:20150800A009883A0815E58000C020B418E5538410C5883A1080000B00C12BC41880573667 +:2015100088C00C43008020B410A52004180032261000034500002D06008000443080030580 +:20151800008000840000150600800084308003053000034500C000C490C0481E89000C434E +:2015200000C020B418E52004200002261880040500004206008000441880038500003F069C +:201528000080008488800305008000448880034500003A0600800084308003053080034587 +:20153000008001043080040500003406008000C488800305008000848880034500800104F6 +:201538008880040500002D06008000C43080030530000345008000C49080281E88C00C43AA +:20154000008020B410A520041800032600C0008410C004050000210600C0004410C003857D +:2015480000001E06008000C430800305008000843080034500800144003FE20600800104F6 +:201550003080030500800084308003450080014430800405008006043080048500000F0671 +:2015580000800104888003050080008488800345008001848880040500800504888004855A +:2015600000000606A50000440080074418C00684A0BF0A1E00BFFFC4000001068005883AF2 +:20156800DFC01317DF001217DDC01117DD801017DD400F17DD000E17DCC00D17DC800C17C5 +:20157000DC400B17DC000A17DEC01404F800283A20803FCCDEFFF9041080201CDC4004158A +:20157800DFC00615DC800515DC00031510BFE0042823883A10000A0E2025883A000B883A73 +:2015800001001DC408155D00D880028510C03FCC0100004420C02E3694001FCC0000010626 +:201588002021883A0815BE80014001040009883A0815BAC00815BC408804D63ADC000005A7 +:20159000DC400105D88000458804D43A84003FCCD88000858804D23AD88000C5008010042D +:2015980080800426008012048080041E00BFE1C40000030600BFE544000001060080004431 +:2015A00001400184D809883AD88001450815B980010001440815C4001021883A044000442C +:2015A80001400044D90002840815BAC0D8800287100003168000051E0815C9C0000003064C +:2015B0000815C7C0147FF626003FFA06D8800283DFC00617DC800517DC400417DC0003174B +:2015B800DEC00704F800283ADEFFF604DC000515000B883A2021883A01001244DFC009155F +:2015C000DCC00815DC800715DC40061508155D0010803FCC100002260005883A0000430646 +:2015C800010001440815C4001023883A0480004404C03FC401400044D90004840815BAC0DB +:2015D000D880048314C003268800051E0815C9C0000003060815C7C014BFF626003FFA06F3 +:2015D800D8C0048300803F8418BFEB1E01400484D809883A0815BAC08080010310C0008C4E +:2015E00018001326D8800183D8C001C3D9400143108000CC1004923A294003CC10C8B03ACF +:2015E800D88002031006D1BA2105883A1085883AD900028310C4B03AD8C002432008D1FABA +:2015F00018C000CC18C7883A20C8B03A000010061080010C10000B26D88001C3D9000203D6 +:2015F800000B883A10800FCC1004923A1104B03A1006923AD8800243010004441884B03A6E +:2016000000000306000B883A0009883A0005883A10BFFFCC10C0004421003FCC28803FCCD5 +:201608002085883A10BFFE441884983ADFC00917DCC00817DC800717DC400617DC000517B7 +:20161000DEC00A04F800283ADEFFF604014020B4DCC00715018002842027883A2960618492 +:20161800D809883ADD000815DC400515DFC00915DC800615DC000415050000C40815EB00E5 +:20162000044000440815B7C00815BE800815C24001400284D809883A0815B980000B883A87 +:20162800980000150100100408155D0010803FCC1440511E01406A840100120408155D0048 +:2016300010803FCC1440271E01400104D90002840815BAC0D88003031440471ED8C0034335 +:2016380000802A841880441E0100FA040815C4000815C7C0144003260815C7C014400C1E47 +:20164000000006060150003401003A4408155D0010803FCC103FF61E003FF706000B883AF9 +:2016480001001E8408155D0010803FCC100002260021883A0000090601400104D9000284FB +:201650000815BAC0D88002831080100C1000021E0400010400000106040003040815C9C069 +:2016580000002606000B883A01003A4408155D0010803FCC8880032E04000044048010448C +:20166000000002060400008404BFFA4401003E840815C40094803FCC0815C7C014400426F4 +:201668000815C7C01000081E0021883A00000606000B883A9009883A08155D0010803FCC5C +:20167000103FF51E003FF6060815C9C0000B883A01001EC408155D0010803FCC100001261B +:201678000021883A014080040100140408155D0010803FCC100001260021883AA0BFFFC440 +:201680001029883A10803FCC84803FCC10000A26903F9C26008000449C0001059880001541 +:201688009809883A08156E8010BFFFC4988002150815C08000000106903FF61E0815BC00F3 +:201690009005003ADFC00917DD000817DCC00717DC800617DC400517DC000417DEC00A04A2 +:20169800F800283A20800217DEFFF804DC000115DFC00715DD400615DD000515DCC00415B5 +:2016A000DC800315DC400215DC0008171180313684BFFFCC90002F26208001032827883AE8 +:2016A8003823883A1080020C300B883A1000011E300A927A0100144408155D0010803FCC87 +:2016B000100002260400008400001F06010019040815C4000500004405403FC40140004420 +:2016B800D809883A0815BAC0D8800003154005260815C9C0D8C0000300803F8418BFF11E93 +:2016C000000003060815C7C0153FF426003FF806008080841445C83A897FFFCC1421C83AC9 +:2016C800280002260009883A0815BAC0900B883A9809883A0815BAC0817FFFCC0009883A63 +:2016D0000815BAC00021883A0815BC008005883A00000106008000C4DFC00717DD4006171E +:2016D800DD000517DCC00417DC800317DC400217DC000117DEC00804F800283A018001B469 +:2016E00001406734010020B43186A004297F3004210410040816EFC1280D883A200B883A17 +:2016E800010020B42104100408170501280D883A200B883A010020B421041004081701019C +:2016F000F800283AD0E2710B00BFDFC41884703A00C020B4D0A2710D18C4400410BFFFCC6C +:2016F80018800035F800283AD0A2710B00C020B418C4400410802014D0A2710D10BFFFCCBB +:2017000018800035F800283A01800A7401406734010020B4318CB804297F30042104100464 +:201708000816EFC1018001B401406734010020B43186A004297F3004210410040816EFC1CE +:20171000D0A27C1710000B1EDEFFFF04015A5E04213FFFCCDFC000150815E580D0A27C157A +:20171800081687800005883ADFC00017DEC00104F800283A00800044F800283ADEFFFF0414 +:20172000DFC0001508168B40D0E27C1710C5803ADFC00017DEC00104F800283AD0227C1502 +:20172800F800283A20001B16000F883A28001616200D883A29001A2E0080080400C00044E1 +:201730000000010610000D26294B883A10BFFFC418C7883A293FFB360005883A1800072641 +:201738000005883A31400236314DC83A10C4B03A1806D07A280AD07A183FFA1E3800012631 +:201740000085C83AF800283A014BC83A39C0005C003FE7060109C83A01C00044003FE306A0 +:2017480000C00044003FEE0620001716000F883A2005883A280012162900162E01800804FB +:2017500000C000440000010630000A26294B883A31BFFFC418C7883A293FFB3618000526A8 +:201758001806D07A114001361145C83A280AD07A183FFB1E380001260085C83AF800283AFD +:20176000014BC83A003FEC060109C83A01C00044003FE70600C00044003FF106200D883A84 +:201768002900152E280014160080080400C000440000020610000E2628000516294B883A4E +:2017700010BFFFC418C7883A293FFA36180008260005883A31400236314DC83A10C4B03A9A +:201778001806D07A280AD07A183FFA1EF800283A0005883AF800283A00C00044003FF40646 +:201780002005883A2900122E280011160180080400C000440000020630000C26280005166C +:20178800294B883A31BFFFC418C7883A293FFA36180006261806D07A114001361145C83A93 +:20179000280AD07A183FFB1EF800283AF800283A00C00044003FF7060005883A2000072645 +:2017980020C0004C2008D07A180001261145883A294B883A203FFA1EF800283AF800283ADB +:2017A000218D883A218008262080000328C0000310C0022610C5C83AF800283A21000044CE +:2017A80029400044003FF7060005883AF800283A2005883A2007883A218D883A1980052613 +:2017B0002900000318C0004429400044193FFFC5003FFA06F800283ADEFFF504DFC00915DC +:2017B800DC400815DC000715D9C00A15008020B410A2AB04144000172800040E008022C468 +:2017C0008880001500BFFFC400001C0600C08204D8C0000DD9000415D9000215280002262B +:2017C80028FFFFC4000001060007883AD8C00515D8C003151100001700FFFFC4D8C0008DD6 +:2017D00000C0207418D980042821883AD9C00A04D80B883AD8C00115D800061508160D40CD +:2017D80000FFFFC410C0020E00C022C488C0001580000226D8C0041718000005DFC0091715 +:2017E000DC400817DC000717DEC00B04F800283A3000152631BFFFC4218D883A208000037C +:2017E80028C0000311C03FCC1A003FCC39C0201C4200201C39FFE004423FE0043A00061E63 +:2017F00021800426380003262100004429400044003FF2061007883A18C03FCC10803FCC0D +:2017F80010C5C83AF800283A0005883AF800283A2005883A200F883A30000C262A00000318 +:2018000038C0004431BFFFC43A00000542003FCC4200201C423FE00429400044180F883AD4 +:20180800403FF51E198D883A00000106F800283A30C003261800000518C00044003FFC06D2 +:20181000F800283A214B883A2005883A1140021E1105C83AF800283A10C00007183FFC2616 +:2018180010800044003FF906DEFFFB04DC800315DC400215DC000115DFC004152025883A6A +:201820002823883AD98000053821883A04000A0E8880011701C00044D80D883A880B883AE5 +:201828009009883A103EE83A843FFFC4103FF72600BFFFC4000001060005883ADFC00417D9 +:20183000DC800317DC400217DC000117DEC00504F800283ADEFFE504D8C00804DDC01815C4 +:20183800DD801715DD401615DD001515DCC01415DC801315DC401215DC001115DFC01A155C +:20184000DF0019152029883A2823883A382D883AD9800F150021883AD8000E15D8000A15EA +:20184800002B883A0027883A0025883AD8000C15D8000B15002F883AD8C00915D8C00F176D +:201850001900000320803FCC1080201C10BFE00410011E2600C00044B8C014261DC0021632 +:20185800B80006260001150601400084B9401D26014000C4B9402B2600011006014009447B +:201860001140FC2688800117D900000501C00044D80D883A880B883AA009883A103EE83A1B +:201868001000D81E840000440001040601400C041140FA260140094411400A1ED880000561 +:2018700088800117B80F883AD80D883A880B883AA009883A103EE83A1000CA1E8400004418 +:201878000000F50625FFF404BDC03FCC00C002441DC0093600BFFFC490800426014002840C +:201880009009883A0815E580000001060005883AB8A5883A0000E20601400B841140E4266B +:2018880005C00084213FF40427003FCC00C002441F00093600BFFFC498800426014002847E +:201890009809883A0815E580000001060005883AE0A7883A0000D90600C01B0410C0D226B6 +:20189800013FFFC499000226D8000B150000010604C0004401001A441100162620800916FA +:2018A000010018C4110088260100190411001126010016041100C81E00C00044D8C00E1555 +:2018A8000000150601001CC4110098262080041601001BC41100C01E0540020400000F066C +:2018B00001001D4411000D2601001E0411000A260000B906D8C00A17B70001041800072695 +:2018B800DF000D15B5C00017B800080E05EFC83A024000440000060605400404B0C001046B +:2018C000D8C00D15B5C00017D8000A150013883AD839883AB8001726A80B883AB809883A36 +:2018C800DA4010150815D9C0A80B883A1009883A102D883A0815E580B885C83A00C00244F5 +:2018D000DA4010171880021610800C0400000506D8C00E171800022610800DC400000106F7 +:2018D800108015C4E0800005B02F883AE7000044003FE806E6EFC83A9DC5C83A0080090E57 +:2018E000E085883A01400C04D8C00917E009883AE0C0032EE700004421400005E0BFFA1EF4 +:2018E800E6EFC83AD8C00B174DD1883A922DC83A1800162648000A2600800B44D8800805AE +:2018F0008880011701C00044D9800804880B883AA009883A103EE83A10004A1E84000044DE +:2018F8000580070EB00F883A01800C04880B883AA009883A081606801000421E85A1883A68 +:20190000E02D883ABF2FC83A000020060580090EB00F883A01800804880B883AA009883A78 +:20190800DA40101508160680DA4010171000351E85A1883A483FF22600800B44D88008057D +:201910008880011701C00044D9800804880B883AA009883A103EE83A10002A1E84000044DD +:20191800003FE706B5BFFFC4B080000301C00044D9800804D880080588800117880B883AD5 +:20192000A009883A103EE83A10001E1E8585C83AB5C9883AE085883A013FF2161021883AD2 +:20192800DD800D1700004406008000441480080E95FFFFC4B80F883A01800804880B883AA4 +:20193000A009883A0816068010000E1E85E1883AB080001701C00044D80D883AD8800005D4 +:2019380088800117880B883AA009883AB5C00104103EE83A1000031E84000044B82D883A25 +:2019400000002D0600BFFFC400003106B5C00017B7000104B809883A081670809091C83A9F +:20194800102D883A0200090E400F883A01800804880B883AA009883ADA0010150816068066 +:20195000DA001017103FEF1E8221883A88800117B00F883AB80D883A880B883AA009883ACD +:20195800103EE83A103FE71E85A1883AE02D883A0000110600C0004404FFFFC4D8000E1518 +:20196000D8C00A15054002849825883AD8000C15D8000B15182F883A00000806DDC00B15A1 +:2019680005C000840000050600C00044D8C00C1505C000C400000106002F883AD8C00F170F +:2019700018C00044D8C00F15003EDC068005883ADFC01A17DF001917DDC01817DD801717E2 +:20197800DD401617DD001517DCC01417DC801317DC401217DC001117DEC01B04F800283A4F +:201980002880000B10C0020C1800202628C0008FDEFFFD04DC000015DFC00215DC4001152A +:201988002821883A1800150E10C0800C180013262C40051789C0030E10C0200C180003262D +:2019900000000E063C40010E3823883A81000417300B883A880D883A08166A808080051767 +:201998001445C83A80800515808004171463883A844004150005883A000006061080101402 +:2019A0008080000D00BFFFC40000020600BFFFC4F800283ADFC00217DC400117DC000017D5 +:2019A800DEC00304F800283A2005883A218F883A290002361007883A00000C062987883A09 +:2019B00020FFFC2E380B883A30CDC83A1989883A2000052618FFFFC419000003297FFFC4BA +:2019B80029000005003FF906F800283A19C005262900000318C0004429400044193FFFC534 +:2019C000003FFA06F800283A2005883A10C000071800022610800044003FFC061105C83A43 +:2019C800F800283ADEFFFF04010020B4014020B4DFC0001521206C042962AF042140061EB3 +:2019D000010020740140207421000804294008042140121E00000B0600C020B418E2AF0408 +:2019D8001907C83A0005883A10FFF526114F883A39C00017110D883A1080010431C000152F +:2019E000003FF9060100207401402074211C6204295C62042140101E00000B0600C02074BD +:2019E80018C008041907C83A0005883A10FFF526114F883A39C00017110D883A1080010441 +:2019F00031C00015003FF906081710C0DFC00017DEC00104081717C100C020B418E06C0458 +:2019F8001907C83A0005883A18BFF726114F883A39C00017110D883A1080010431C0001545 +:201A0000003FF906DEFFFF040009883ADFC000150816834008168540D1A27D17D1627E1796 +:201A0800D1227F17DFC00017DEC0010408123101081708C1DEFFFF04DFC0001508171800DD +:201A1000008000441001703ADFC00017DEC00104F800283A008020B410840004D0A2811590 +:201A1800010020B40080673410BF300421224F04D0A280150816D1C1D0E28017D0A281171B +:201A200018000A2610C001040100020419000035013FFFD41100023511000335008001040B +:201A2800188000350005883AF800283A00BFFFC4F800283AD0A2801710000926D0E2811742 +:201A300018800404100000351080003718C005371806943A10BFFFCC1884303AF800283AF0 +:201A380000BFFFC4F800283AD0A28017F800283A20001D262804923A20C03017DEFFFD04EF +:201A4000DC400115DC000015DFC002152823883A2021883A108000D41880033580C03017E2 +:201A4800188000371080004C1000032601000044081708C0003FF906198000373007D0BA9F +:201A50003009D0FA18C001CC2100020C1908B03A3007D07A18C0040C1906B03A88C00426B0 +:201A580000BFF4840000020600BFFA84F800283ADFC00217DC400117DC000017DEC0030414 +:201A6000F800283A20000A26280009263000082620800C173080001520800C171000062680 +:201A680021000D04290000150005883AF800283A00BFFA84F800283A00BFFEC4F800283A5B +:201A70002005883A20001D262809883A28001B1610C0311728C0192E1140341728FFFFC4F3 +:201A78001906703A1800151EDEFFFE04DC000015DFC001151021883A0815D9C01004923A2C +:201A800000C0403418FFC00410C4703A80C03017108000941880033580C0301718C00404D7 +:201A8800188000371080004C10000626008000441880003500BFFEC40000020600BFFA8400 +:201A9000F800283ADFC00117DC000017DEC00204F800283ADEFFF504DC000115DFC00A15AE +:201A9800DF000915DDC00815DD800715DD400615DD000515DCC00415DC800315DC40021573 +:201AA000DC000B1728003A163023883A300038162027883A20003626382B883A38003426E1 +:201AA800208031173080322E2880312E208034173147C83A10C7C83A1C002D3680002C16A0 +:201AB00010BFFFC4114A703A2800291E0029883A05BFFFC405C000C4070001048000222641 +:201AB800DD800015890000CC20000626E105C83A8025883A1400012E1025883A8923C83AC4 +:201AC00000000406BC0002368025883A0000010604800104AD0B883A900D883AD909883A94 +:201AC8000815EB0098802E17D8C00017A4A9883A84A1C83A8885883A10C000359880301781 +:201AD0001080040410C0003718C0008C1800042600C0008410C0003500BFFEC400000506DC +:201AD8008C400104003FDD060005883A0000010600BFFA84DFC00A17DF000917DDC0081775 +:201AE000DD800717DD400617DD000517DCC00417DC800317DC400217DC000117DEC00B0435 +:201AE800F800283A20001626DEFFF604DD000515DFC00915DDC00815DD800715DD40061532 +:201AF000DCC00415DC800315DC400215DC0001153029883A30000C262021883A20802E1723 +:201AF80080C02F172823883A2885883A382B883A3889883A10C0042E20C0053600000206FF +:201B000000BFFA84F800283A00BFFA8400002406814034178809883A002D883A0815D9C0C3 +:201B0800102F883A80803317B8801C2EA8001B2684C0341704E7C83A9C66703A8CC002266B +:201B10008CE5C83A000001060025883A980B883A8009883A08169C001000111E8080341760 +:201B180014A5C83AAC80012EA825883ADC800015A58F883A880D883A980B883A8009883A9A +:201B20000816A5401000061EACABC83AB4AD883A8CA3883ABDC00044003FE2060005883A52 +:201B2800DFC00917DDC00817DD800717DD400617DD000517DCC00417DC800317DC40021712 +:201B3000DC000117DEC00A04F800283A200008263007883A3000062620802E17288B883A9E +:201B380020802F17394D883A2880012E3080023600BFFA84F800283ADEFFFF04380D883A22 +:201B40001809883ADFC000150815EB000005883ADFC00017DEC00104F800283A20004E26D8 +:201B48002080301710004E2620C03217DEFFFB04DFC00415DCC00315DC800215DC400115FC +:201B5000DC00001518001E1E1480023700C0030494803FCC90BFFAC418804336100490BA01 +:201B580000C0207418DB5D0410C5883A108000171000683A00816DE000816DF000816DF843 +:201B600000816DE800816DA800816E6800816E6800816E6800816E6800816E6800816E68EF +:201B680000816DB000816DB8044080040000130604410004000011060442000400000F0679 +:201B7000148001370080058494803FCC90800A260080060490800426008005049080221E84 +:201B78000440080400000506044040040000030604401004000001060440200424C034176B +:201B80002021883A8809883A980B883A0815E58080C0311710C0021E80C0331788C0022696 +:201B880080000C150000100600C000448480361580C00C1580000D1580800E1584400F1525 +:201B900084C01015D16027048009883A081711000005883A0000050600BFFA84F800283A8C +:201B980000BFFB44F800283A00BFFB44DFC00417DCC00317DC800217DC400117DC000017CB +:201BA000DEC00504F800283A300001260005C03200FFFF042005883A28C6703A110DC83A35 +:201BA80030C0042E11800017300DC0F210800104003FFA06294000CC00C000C428C0041ECD +:201BB00010C0000B1807C0B2108000830000080600C0008428C0031E1080000B1005C0B219 +:201BB8000000040600C0004428C0021E108000031005C0720005C132F800283ADEFFFD04ED +:201BC000DC400115DC0000152823883A2021883A014001443009883ADFC002150815E58019 +:201BC800100B883A8809883A0815D9C010BFFFC480C00204180000350100004481000435F3 +:201BD00011003FCC810000351004D23A10803FCC808001350080200418800035DFC0021709 +:201BD800DC400117DC000017DEC00304F800283A3180004C294B883A298B883A2140033585 +:201BE000210004040080240420800035208000371080008C103FFD1E208000371004D1FA2C +:201BE8001080004CF800283A20C004042800022600801A0400000106008008041880003571 +:201BF000188000371080008C103FFD1E20800337F800283A29403FCC214003352100040416 +:201BF8003000022600801404000001060080040420800035208000371080008C103FFD1E1C +:201C0000208000371004D1FA1080004CF800283A21C004042805883A02000B0421000304C7 +:201C08001147C83A1980090E3A00003538C0003718C0008C183FFD1E2800022620C00037D7 +:201C100010C0000510800044003FF506F800283A21C003042805883A21000404020007046A +:201C18001147C83A1980080E10C0000338C000352200003520C0003718C0008C183FFD1E5F +:201C200010800044003FF606F800283A014AAAF4DEFFFE04296AAA84DC000015DFC001150C +:201C28002021883A0815D9C010000F2601600034013555740007883A297FFFC421155584C7 +:201C3000297FFFC4283FFE1E18C000448121883A18BFFB168405883A1421883A843FFFC476 +:201C3800803FFE1E000004068405883A1421883A843FFFC4043FFE160005883ADFC001170A +:201C4000DC000017DEC00204F800283AF800283A20000226208002171000101ED0A026174D +:201C480010000926DEFFFF04DFC00015103EE83A00C0058410C0001500BFFA84DFC0001718 +:201C5000DEC00104F800283AD0A2820400C0058410C0001500BFFA84F800283A28800017FB +:201C580021400115208000152880001711000115290000150005883AF800283AF800283AA1 +:201C6000000170FAF800283A2520732500632575252E75256B75322E25207A482E252E759B +:201C68007A4875320000000074204B4F6E69206F00007469656D69540074756F252E7525AE +:201C70006D75322E00000073252E75256D75322E75252F73322E252E00736D754353534FF4 +:201C7800776620207525202E322E252E0000007574696E49727265202020726F0000642578 +:201C80002020202053204F4E00434E59343130323130322D6D2020387371726100000000A7 +:201C880074736554746170206E726574000000003A31564142475220000000533A3156412C +:201C900073475220000000423A31564162505920000072503A3256416250592000007250E7 +:201C98003A32564173475220000000423A33564142475220000056483A335641424752201F +:201CA000000000533A33564173475220000000423A33564162505920000072507473614CDA +:201CA80065737520000100640000000000000002000000000000000300817220008172300F +:201CB0000081723C0081724800817254008172600081726C0081727800817284008172904C +:201CB8000081729C0000000000000000000000010001010100000001000000000000011067 +:201CC0000044060B00000100070000001A8080800A081A1A7365725000000073666E6F4334 +:201CC800006D72696D73694D686374616572202C00797274666F72502075252E00733925AC +:201CD000756C3425632563257525202000000000656E694C746C756D646F6D2000003A6587 +:201CD800736572702D312073000000352078752575736E75726F707000646574666F72507A +:201CE00020656C6964616F6C0000003A736572702D3020730000003900000031000000326A +:201CE8000000003300000034000000360000003700000038554E454D0000000000004B4F01 +:201CF0004B43414200000000000050554E574F44000000005446454C000000004847495291 +:201CF800000000544F464E49000000005F44434C4B4341424847494C000000544E4143536C +:201D0000454E494C444F4D5F000000454E414353454E494C5059545F000000454E41435304 +:201D0800454E494C544E495F0000002B4E414353454E494C544E495F0000002D454E494C32 +:201D1000544C554D444F4D5F000000455341485000002B455341485000002D45464F52507C +:201D18005F454C494B544F4801005945030F031F3E2903033E693EA93E193EE93E593E9982 +:201D20003E393ED93E4D3EC93EED3E1D3ECD3E2D3E6D3EAD3E013E651C181C481CD01C501F +:201D28005E581CC83EB95ED8008173980081739C008173A0008173A400817368008173A894 +:201D3000008173AC008173B00081739400817C34008173B4008173BC008173C0008173C8CE +:201D3800008173CC008173D4008173DC008173E4008173EC008173FC0081740C0081741C79 +:201D40000081742C0081743C0081744C008174540081745C53206F4E6163204464206472A4 +:201D4800002E746561766E492064696C67616D690000006561766E492064696C6461656811 +:201D50000000726561766E492064696C207264680043524361766E492064696C61746164FE +:201D58004352432000000000616470556320657465636E6164656C6C0000000073616C46CF +:201D600065722068652064610000727273616C4672652068206573610072726573616C46C9 +:201D680072772068206574690072726573616C4665762068206669726C6961660000000059 +:201D70006F727245000000724353534F00000000696C6156697461646420676E0061746154 +:201D7800622075257365747900000000252E75252575322E0073257361647055676E69743C +:201D80000057462061656C70772065732E74696100002E2E697265566E6979666C66206708 +:201D88000068736161656C7072206573617473650000747272746552676E697964707520A3 +:201D90000065746161647055203F65742C593D314E3D3220000000006D726946657261772A +:201D9800647075206465746100000000202020200000752564206425000067656C2075250B +:201DA00073656E6900000000252E75252075322E000073756D206425000000567020752514 +:201DA8006C6578690000007325257525000000006C206F4E006B6E69656E6F440000000001 +:201DB0006C6961460000646500007325656469566E69206F6F7270203E20206300000000F6 +:201DB800706D6153676E696C74706F203E20202E00000000636E795374706F202020202E13 +:201DC0003E202020000000007074754F6F207475202E74703E2020200000000074736F50CF +:201DC8006F72702D20202E633E20202000000000706D6F436269746174696C693E20207936 +:201DD000000000007474655373676E6974706F203E20202000000000616F4C3C72702064D3 +:201DD8006C69666F003E20657661533C727020656C69666F003E20657365523C7320746572 +:201DE000697474653E73676E000000006B6E694C6F727020693E2D667475706E00000000A7 +:201DE8006B6E694C706E69203E2D7475666F72700000000074696E49206C616975706E6935 +:201DF000000000742044434C74204C426F656D69000074752E77463C6470752020657461CD +:201DF8003E202020000000006C6C75462058542075746573000000702033564165746E69E3 +:201E000063616C7278696665000000006E616353656E696C000000736E616353656E696CA7 +:201E0800727473200000002E202E6C537262796873206469002E72746E616353656E696CB0 +:201E100074656D2000646F686E616353656E696C70797420000000656E616353656E696CD5 +:201E1800696C61202E6D6E670000000069726F48746E6F7A6D206C61006B7361747265564D +:201E20006C61636973616D200000006B6B73614D697262206E7468670073736565766552C6 +:201E2800206573720046504C5949443C74616C206574202E003E7473747365547474617026 +:201E3000206E726565646F6D000000007473655474746170206E72652E6470730000000055 +:201E3800703034323838322F727020700000636F703438336F727020000000636930383487 +:201E40003637352F727020690000636F703038343637352F727020700000636F693036394B +:201E48003830312F7020693000636F72656E694C6D2078320065646F656E694C6D2078338E +:201E50000065646F656E694C6D2078340065646F656E694C6D2078350065646F656E694CBF +:201E580066207835616D726F00000074783635322030343265707361000074636D20585490 +:201E60000065646F494D444843544920000000006C616E417320676F20636E790046504CD7 +:201E68006C616E417320676F20636E79006874566E7973486F7420636172656C0065636EF7 +:201E70006E797356687420636873657200646C6F4C502D487250204C6F432D650074736187 +:201E78004C502D486F50204C432D74737473616F00000000706D6153676E696C616870206C +:201E80000000657370303834206E6920706D61730072656C6F6C6C41565420775048205082 +:201E880078324C4C000000006F6C6C4170752077706D61737832656C000000007664413C71 +:201E90006974202E676E696D3E2020200000000065646956504C206F00000046506250596A +:201E98006E6920726C6F43200061705372502F5266666F200074657320592F477366666FD8 +:201EA0000000746562502F4266666F200074657372502F52696167200000006E20592F478E +:201EA8006E6961670000000062502F42696167200000006E2D657250204344416E696147DE +:201EB0000000000073202E486C706D61746172650000006573202E486C636E7900006E65BC +:201EB80062202E48706B63616863726F0000000061202E48766974630000006573202E569E +:201EC0006C636E7900006E6562202E56706B63616863726F0000000061202E56766974636D +:201EC800000000650066664F0000733300733031007330332D706F547466656C000000001F +:201ED000746E65430000726574746F42722D6D6F746867690000000000706F5474746F4205 +:201ED80000006D6F69726F48746E6F7A00006C61747265566C6163690000000065746C4124 +:201EE00074616E7200676E69746C754D696C70696974616300006E6F7462755374636172D9 +:201EE800006E6F696F74754100000000756E614D00006C61494D4448000000000049564498 +:201EF00000373A38737361507572687400000000656E694C2820783229626F6200000000F9 +:201EF800656E694C00007832656E694C282078336563616C00002964656E694C282078347F +:201F000029626F6200000000656E694C00007833656E694C00007834656E694C00007835C9 +:201F08003032393138303178000000303030363130323178000000303032393130323178D3 +:201F100000000030656E65472063697200333A3478303233203034326974706F00002E6DE9 +:201F180078363532203034326974706F00002E6D656E654720636972393A363100000000D0 +:201F20004D352E3228207A482978616D00000000484D30316D28207A00296465484D333334 +:201F28006D28207A00296E6920565444703038340000000041534556303436203038347853 +:201F3000003036402E63655231303620000000002E6365523930372000000000484D3539E1 +:201F38004828207A2056544400294949484D35334828207A2056544400002949484D363133 +:201F40004528207A29565444000000007A484D39445328200029565400006E4F008193D5C3 +:201F4800000000020081382C008193D700000009008135C4008193D40000001C008137BCAC +:201F500000817B3400817B4000817B484452535500415441808000201A1A1A8000000008B7 +:201F580000817CC40000200020002CE5E926F4FD38BC20000000000000817CD00000200056 +:201F60002000323EF113FA043B61200000000000703038344454532002D00000035A01E0EC +:201F68001E3C020D0004063E373500005320703600004454024002D00271036005402744F1 +:201F700000000004693038344454532002D00000035A00F00F39020D0002033E3735000117 +:201F78005320693600004454012002D002710360033F13450001000270303834626F4220FA +:201F800002D00000035A01E01E3C020D0104063E383400003520703000352E3901E002D0CF +:201F88000211035A063E1E3C00000304703038342E30362002D00035035A01E01E3C0208BB +:201F90000304063E383400003520703000302E3501E002D0023C035A063E1E3C00000304FF +:201F9800703030342E3037200280003003200190243001C103100260363900000000703070 +:201FA0000000000003C002D0041A035A0C3E3C3C0000020836333531303432780600000062 +:201FA80007FE00F00FEA01060112039632312002327830380000303400F005000106061863 +:201FB00003480FAA04420112783036390030343203C00000049200F00F80010601120336DC +:201FB80032330082343278300000003000F00140010601AA031F0E3149100112783635321F +:201FC0000030343201000000015500F00E2701060112031934329220000070300000000001 +:201FC80000F002D00106035A033E0F39000E011236333531303432780600004C07FE00F005 +:201FD00029EA013801120396323120023278303800003838012005000138061803480FAA71 +:201FD80004420112783036390038383203C00000049201200F800138011203363233008262 +:201FE0003432783000424C3000F00140013801AA031F293149100112783635324C30343221 +:201FE80001000042015500F02927013801120319383292200000703800000000012002D0E1 +:201FF00001380360033F1345000E0112703438330000000001F00000028001801D3201A780 +:201FF8000204033E3436000E30347830000000300190028001C1032002602430000C021002 +:20200000783034360034383302800000032001803F3001EC021002603834000E0000693006 +:202008000000000000F002D0020D035A033E0F39044F0312703038340000000002D00000BB +:20201000035A01E01E3C020D0414063E3436000C383478300000003001E00280020D03205E +:2020180002602130000C0414783034360032313502800000032002001C3002380414026080 +:202020003735000C0000693600000000012002D002710360033F1345044F031270363735AC +:202028000000000002D000000360024027440271040405403038000C303678300000003044 +:2020300002580320027404200480175800040010703032370000000005000000067202D01A +:2020380014DC02EE00180528303100043778343200003836030004000326054006881DA0BB +:20204000000400103038323132303178050000340698040026F8042A00100370343600047E +:20204800363978300000693001E00280041A032002602130000D0514303830310000006919 +:20205000078000000898021C109404650518052C3031000D007030380000000004380780C7 +:2020580004650898052C249400040018303036313032317806400030087004B02EFF04E2D3 +:20206000001003F1FFFF0004FFFFFFFFFFFFFFFF7665642F6370652F6F635F716F72746E28 +:2020680072656C6C615F305F6D5F6C7600006D6500000000000000000000000000000000DA +:20207000000000000000000000000000000000000000000000000000000000000000000050 +:20207800000000000000000000000000000000000000000000000000000000000000000048 +:20208000000000000000000000000000000000000000000000000000000000000000000040 +:20208800000000000000000000000000000000000000000000000000000000000000000038 +:20209000000000000000000000000000000000000000000000000000000000000000000030 +:20209800000000000000000000000000000000000000000000000000000000000000000028 +:2020A000000000000000000000000000000000000000000000000000000000000000000020 +:2020A800000000000000000000000000000000000000000000000000000000000000000018 +:2020B000000000000000000000000000000000000000000000000000000000000000000010 +:2020B800000000000000000000000000000000000000000000000000000000000000000008 +:2020C000000000000000000000000000000000000000000000000000000000000000000000 +:2020C8000000000000000000000000000000000000000000000000000000000000000000F8 +:2020D0000000000000000000000000000000000000000000000000000000000000000000F0 +:2020D8000000000000000000000000000000000000000000000000000000000000000000E8 +:2020E0000000000000000000000000000000000000000000000000000000000000000000E0 +:2020E8000000000000000000000000000000000000000000000000000000000000000000D8 +:2020F0000000000000000000000000000000000000000000000000000000000000000000D0 +:2020F8000000000000000000000000000000000000000000000000000000000000000000C8 +:202100000000000000000000000000000000000000000000000000000000000000000000BF +:202108000000000000000000000000000000000000000000000000000000000000000000B7 +:202110000000000000000000000000000000000000000000000000000000000000000000AF +:202118000000000000000000000000000000000000000000000000000000000000000000A7 +:2021200000000000000000000000000000000000000000000000000000000000000000009F +:20212800000000000000000000000000000000000000000000000000000000000000000097 +:2021300000000000000000000000000000000000000000000000000000000000000000008F +:20213800000000000000000000000000000000000000000000000000000000000000000087 +:2021400000000000000000000000000000000000000000000000000000000000000000007F +:20214800000000000000000000000000000000000000000000000000000000000000000077 +:2021500000000000000000000000000000000000000000000000000000000000000000006F +:20215800000000000000000000000000000000000000000000000000000000000000000067 +:2021600000000000000000000000000000000000000000000000000000000000000000005F :20216800000000000000000000000000000000000000000000000000000000000000000057 :2021700000000000000000000000000000000000000000000000000000000000000000004F :20217800000000000000000000000000000000000000000000000000000000000000000047 @@ -1108,114 +1108,114 @@ :2022900000000000000000000000000000000000000000000000000000000000000000002E :20229800000000000000000000000000000000000000000000000000000000000000000026 :2022A00000000000000000000000000000000000000000000000000000000000000000001E -:2022A800000000000000000000000000000000000000000000000000000000000000000016 -:2022B00000000000000000000000000000000000000000000000000000000000000000000E -:2022B800000000000000000000000000000000000000000000000000000000000000000006 -:2022C0000000000000000000000000000000000000000000000000000000000000000000FE -:2022C8000000000000000000000000000000000000000000000000000000000000000000F6 -:2022D0000000000000000000000000000000000000000000000000000000000000000000EE -:2022D8000000000000000000000000000000000000000000000000000000000000000000E6 -:2022E0000000000000000000000000000000000000000000000000000000000000000000DE -:2022E8000000000000000000000000000000000000000000000000000000000000000000D6 -:2022F0000000000000000000000000000000000000000000000000000000000000000000CE -:2022F8000000000000000000000000000000000000000000000000000000000000000000C6 -:202300000000000000000000000000000000000000000000000000000000000000000000BD -:202308000000000000000000000000000000000000000000000000000000000000000000B5 -:202310000000000000000000000000000000000000000000000000000000000000000000AD -:202318000000000000000000000000000000000000000000000000000000000000000000A5 -:2023200000000000000000000000000000000000000000000000000000000000000000009D -:20232800000000000000000000000000000000000000000000000000000000000000000095 -:2023300000000000000000000000000000000000000000000000000000000000000000008D -:20233800000000000000000000000000000000000000000000000000000000000000000085 -:2023400000000000000000000000000000000000000000000000000000000000000000007D -:20234800000000000000000000000000000000000000000000000000000000000000000075 -:2023500000000000000000000000000000000000000000000000000000000000000000006D -:20235800000000000000000000000000000000000000000000000000000000000000000065 -:2023600000000000000000000000000000000000000000000000000000000000000000005D -:20236800000000000000000000000000000000000000000000000000000000000000000055 -:2023700000000000000000000000000000000000000000000000000000000000000000004D -:20237800000000000000000000000000000000000000000000000000000000000000000045 -:2023800000000000000000000000000000000000000000000000000000000000000000003D -:20238800000000000000000000000000000000000000000000000000000000000000000035 -:2023900000000000000000000000000000000000000000000000000000000000000000002D -:20239800000000000000000000000000000000000000000000000000000000000000000025 -:2023A00000000000000000000000000000000000000000000000000000000000000000001D -:2023A800000000000000000000000000000000000000000000000000000000000000000015 -:2023B00000000000000000000000000000000000017804E53CCE00813FAE03833F333D49B5 -:2023B80005B80383009301B404163C493CD93F9F04163F10020E04093D0E00C83F6E03831C -:2023C0003ED03DAC04B2038300E9026404163C933D493F5604163E9F00818E24000000004D -:2023C8000000000000000000000000000000000000817B680000000300818E64000000001B -:2023D0000000000000817B7C0000000300818E5C000000000000000000817B900000000378 -:2023D80000818E54000000000000000000817BA40000000300818E4C000000000000000084 -:2023E00000817BB80000000300818E44000000000000000000817BCC0000000300818E3CBD -:2023E800000000000000000000817BE00000000300818E34000000000000000000817BF4C3 -:2023F0000000000300818E2C000000000000000000817C080000000400811FE400818208F7 -:2023F8000000000000817C180000000400812064008182080000000000817C280000000473 -:2024000000812B28000000000000000000817C3C0000000100819955000A010100813B284F -:2024080000817C5000000000008198330001000100818EB400817C640000000000819822BA -:20241000000A000100818C3400817C74000000000081982E0003000100818B8C00817C848B -:202418000000000400813344000000000000000000817C98000000000081994B00010001AC -:2024200000818E7400817CA8000000000081994C0001000100818EB400817CB80000000193 -:202428000081994D0018000000813A9C00817CC800000000008199480001000100818EB4D2 -:2024300000817CD800000000008199490001000100818EB400817CEC000000000081992567 -:202438000002000100818BA800817CF80000000100819929000F000000813A5400817D0870 -:202440000000000100819927001C000000813A1000817D18000000000081992800010001F9 -:2024480000818E8400817D2800000000008199260002000100818B9C00817D38000000009A -:202450000081992A0001000100818E7C00817D4C000000010081993700FF0000008139F452 -:2024580000817D5C0000000100819938003F0000008139F400817D6C00000001008199390C -:20246000000F0000008138A400817D7C000000010081994A001F0000008138A400817D880F -:202468000000000400812414008181FC0000000000817D98000000000081993100040001B3 -:2024700000818BC400817DA800000000008199320001000100818EA400817DB40000000023 -:20247800008199330003000100818BB400817DC400000000008199340001000100818EA46E -:2024800000817DD400000000008199350001000100818E9C00817DE4000000000081992C46 -:202488000002000100818BE400817DF0000000000081992D0003000100818BF000817DFC12 -:20249000000000000081992E0002000100818BE400817E08000000000081992F000200019E -:2024980000818BE400817E1400000000008199300002000100818BD800817E2400000000CD -:2024A000008199360001000100818E9400817E34000000000081993A0001000100818E8C03 -:2024A80000817E3C000000000081993B0001000100818EB400817E4800000000008199441A -:2024B0000003000100818C0000817E580000000100819941001F0000008139B000817E6858 -:2024B800000000010081994200FF00000081392000817E78000000010081994300C80A0027 -:2024C0000081392000817E880000000100819946000500000081390400817E9800000001DF -:2024C80000819947000500000081390400817EAC000000010081993D001F0001008138C034 -:2024D00000817EBC000000000081993C0002000100818C1000817ECC000000000081993E98 -:2024D8000001000100818EB400817EE0000000000081993F0001000100818EB400817EF42F -:2024E0000000000300818E6C00818214008120D000817F0800000000008199450005000169 -:2024E80000818C1C00817F1400000000008199400001000100818EAC00817F24000000015B -:2024F0000081994E00FF0000008138A400817F30000000010081994F00FF0000008138A412 -:2024F80000817F3C000000010081995000FF0000008138A400817F4800000001008199510D -:2025000000FF0000008138A400817F54000000010081995200FF0000008138A400817F60E2 -:20250800000000010081995300FF0000008138A400817F6C0000000100819954000F0000FF -:20251000008138A400817F7C000000020081983008FC012C0081216000817F8C00000002C6 -:202518000081983C00FF000A0081216000817F98000000020081981E00FF00010081216070 -:2025200000817FA8000000020081982C078000C80081216000817FB4000000020081982666 -:20252800000700010081216000817FC00000000200819836003F00010081216000817FD066 -:20253000000000020081982A04B000C80081216000817FDC00817FE000817FE400817FE840 -:2025380000818044008180500081805C00817FDC008180840081808C008180A4008180B04C -:20254000008180C8008180D8008180A4008180C0008180E8008180F0008180F8008181009E -:202548000081810C0081811800818124008181300081814000818150008181240081813007 -:202550000081814000818160008181700081817C00817FDC00818084008181880081819456 -:202558000081808400817FDC008181BC008181CC008181DC008181EC008176C0008176D02C -:20256000008176DC008176E8008176F4008177000081770C0081771800817724008177306E -:202568000081773C0000000000818D2000818D2800818D300000000000000000000000007D +:2022A80000000000000000000000000000000000000000000000000000000000017804E5B4 +:2022B0003CCE00813FAE03833F333D4905B80383009301B404163C493CD93F9F04163F1092 +:2022B800020E04093D0E00C83F6E03833ED03DAC04B2038300E9026404163C933D493F561D +:2022C00004163E9F00818A200000000000000000000000000000000000000000008176CC19 +:2022C8000000000300818A580000000000000000008176E00000000300818A50000000005B +:2022D00000000000008176F40000000300818A4800000000000000000081770800000003AA +:2022D80000818A4000000000000000000081771C0000000300818A38000000000000000041 +:2022E000008177300000000300818A300000000000000000008177440000000300818A2806 +:2022E8000000000000000000008177580000000400811C4400817D2800000000008177681B +:2022F0000000000400811CC400817D280000000000817778000000040081282800000000FE +:2022F800000000000081778C0000000100819508000A010100813858008177A0000000006E +:20230000008193E70001000100818AA0008177B400000000008193D6000A0001008188303B +:20230800008177C400000000008193E20003000100818788008177D400000004008130648A +:202310000000000000000000008177E800000000008194FC0001000100818AA0008177F81F +:2023180000000000008194FD0001000100818AA00081780C00000000008194D900020001F0 +:20232000008187A40081781800000001008194DD000F000000813774008178280000000190 +:20232800008194DB001C0000008137300081783800000000008194DC0001000100818A7002 +:202330000081784800000000008194DA00020001008187980081785800000000008194DE76 +:202338000001000100818A680081786C00000001008194EB00FF0000008137140081787C6A +:2023400000000001008194EC003F0000008137140081788C00000001008194ED000F0000D9 +:20234800008135C40081789C00000001008194FE001F0000008135C4008178A80000000414 +:202350000081206400817D1C00000000008178B800000001008194FF00090001008137F4D2 +:20235800008178CC0000000100819500000F0001008135C4008178E000000000008194E52C +:2023600000040001008187C0008178F000000000008194E60001000100818A90008178FC1A +:2023680000000000008194E700030001008187B00081790C00000000008194E80001000198 +:2023700000818A900081791C00000000008194E90001000100818A880081792C00000000E3 +:20237800008194E000020001008187E00081793800000000008194E100030001008187EC45 +:202380000081794400000000008194E200020001008187E00081795000000000008194E3DB +:2023880000020001008187E00081795C00000000008194E400020001008187D40081796CB6 +:2023900000000000008194EA0001000100818A800081797C00000000008194EE0001000126 +:2023980000818A780081798400000000008194EF0001000100818AA00081799000000000E9 +:2023A000008194F800030001008187FC008179A000000001008194F5001F0000008136D0BD +:2023A800008179B000000001008194F600FF000000813640008179C000000001008194F7A2 +:2023B00000C80A0000813640008179D000000001008194FA0005000000813624008179E0B0 +:2023B80000000001008194FB0005000000813624008179F400000001008194F1001F0001FF +:2023C000008135E000817A0400000000008194F0000200010081880C00817A14000000003C +:2023C800008194F20001000100818AA000817A2800000000008194F30001000100818AA069 +:2023D00000817A3C0000000300818A6000817D3400811D3000817A5000000000008194F9EF +:2023D800000500010081881800817A5C00000000008194F40001000100818A9800817A6C52 +:2023E000000000010081950100FF0000008135C400817A78000000010081950200FF0000C1 +:2023E800008135C400817A84000000010081950300FF0000008135C400817A9000000001BD +:2023F0000081950400FF0000008135C400817A9C000000010081950500FF0000008135C40E +:2023F80000817AA8000000010081950600FF0000008135C400817AB40000000100819507BF +:20240000000F0000008135C400817AC400000002008193E408FC012C00811DC000817AD41C +:2024080000000002008193F000FF000A00811DC000817AE000000002008193D200FF000184 +:2024100000811DC000817AF000000002008193E0078000C800811DC000817AFC00000002C7 +:20241800008193DA0007000100811DC000817B0800000002008193EA003F000100811DC0AE +:2024200000817B1800000002008193DE04B000C800811DC000817B2400817B2800817B2C4E +:2024280000817B3000817B6400817B7000817B7C00817B2400817BA400817BAC00817BC4FC +:2024300000817BD000817BE800817BF800817BC400817BE000817C0800817C1000817C1825 +:2024380000817C2000817C2C00817C3800817C4400817C5000817C6000817C7000817C4470 +:2024400000817C5000817C6000817C8000817C9000817C9C00817B2400817BA400817CA8CA +:2024480000817CB400817BA400817B2400817CDC00817CEC00817CFC00817D0C008172202B +:20245000008172300081723C0081724800817254008172600081726C008172780081728404 +:20245800008172900081729C000000000081891C008189240081892C0000000000000000C8 +:2024600000000000000000000000000000000000000000000000000000000000000000005C +:20246800000000000000000000000000000000000000000000000000000000000000000054 +:2024700000000000000000000000000000000000000000000000000000000000000000004C +:20247800000000000000000000000000000000000000000000000000000000000000000044 +:2024800000000000000000000000000000000000000000000000000000000000000000003C +:20248800000000000000000000000004000000000001000A000000000002000A0000000019 +:202490000000000000000000000000000000000000818190000000000000000000816BA40A +:2024980000816CCC00816984008169C000816A540000000000800000000000000000000094 +:2024A00000000000000000000000000000000000000000000000000000000000000000001C +:2024A800000000000000000000000000000000000000000000000000000000000000000014 +:2024B00000000000000000000000000000000000000000000000000000000000000000000C +:2024B80000000000000000000000000000000000000000000000000000000000008168F02B +:2024C0000000000000800000008210200080000000000001000000800001000000000100C7 +:2024C8000000000000108010000000800000000700818210000000080081829C0000000211 +:2024D0000081833C0000000B008183640000000F00818440000000060081856C0000000568 +:2024D800008185E4000000090081864800000007008186FC00817B5800817B5C00817B8868 +:2024E00000817B9800817BB400817BBC00817C4C00817BC000817BC400817BD000817BC48F +:2024E80000817BE000817CC400817CD000817B2400817D18C896554B0081885C00000000D1 +:2024F00000818AB400818AB40000000000000000000000000000000000000000000000004E +:2024F8000000000000000000000000000000000000000000000000000000000000000000C4 +:202500000000000000000000000000000000000000000000000000000000000000000000BB +:202508000000000000000000000000000000000000000000000000000000000000000000B3 +:202510000000000000000000000000000000000000000000000000000000000000000000AB +:202518000000000000000000000000000000000000000000000000000000000000000000A3 +:2025200000000000000000000000000000000000000000000000000000000000000000009B +:20252800000000000000000000000000000000000000000000000000000000000000000093 +:2025300000000000000000000000000000000000000000000000000000000000000000008B +:20253800000000000000000000000000000000000000000000000000000000000000000083 +:2025400000000000000000000000000000000000000000000000000000000000000000007B +:20254800000000000000000000000000000000000000000000000000000000000000000073 +:2025500000000000000000000000000000000000000000000000000000000000000000006B +:20255800000000000000000000000000000000000000000000000000000000000000000063 +:2025600000000000000000000000000000000000000000000000000000000000000000005B +:20256800000000000000000000000000000000000000000000000000000000000000000053 :2025700000000000000000000000000000000000000000000000000000000000000000004B :20257800000000000000000000000000000000000000000000000000000000000000000043 :2025800000000000000000000000000000000000000000000000000000000000000000003B :20258800000000000000000000000000000000000000000000000000000000000000000033 :2025900000000000000000000000000000000000000000000000000000000000000000002B -:202598000000000000000004000000000001000A000000000002000A000000000000000008 -:2025A0000000000000000000000000000081856C0000000000000000008170440081716C16 -:2025A80000816E2400816E6000816EF400000000008000000000000000000000000000004E +:20259800000000000000000000000000000000000000000000000000000000000000000023 +:2025A00000000000000000000000000000000000000000000000000000000000000000001B +:2025A800000000000000000000000000000000000000000000000000000000000000000013 :2025B00000000000000000000000000000000000000000000000000000000000000000000B :2025B800000000000000000000000000000000000000000000000000000000000000000003 :2025C0000000000000000000000000000000000000000000000000000000000000000000FB -:2025C80000000000000000000000000000000000000000000000000000816D900000000075 -:2025D0000080000000821020008000000000000100000080000100000000010000000000B6 -:2025D800001080100000008000000008008185EC000000080081868C000000030081872CF7 -:2025E00000000002008187680000000B008187900000000D0081886C0000000600818970C4 -:2025E80000000005008189E80000000900818A4C0000000700818B00008180100081802433 -:2025F000008180380081803C0081806800818078008180940081809C0081812C008180A072 -:2025F800008180A4008180B0008180A4008180C0008181A4008181B000817FDC008181F8D9 -:20260000C896554B00818C600000000000818EC800818EC8000000000000000000000000A1 +:2025C8000000000000000000000000000000000000000000000000000000000000000000F3 +:2025D0000000000000000000000000000000000000000000000000000000000000000000EB +:2025D8000000000000000000000000000000000000000000000000000000000000000000E3 +:2025E0000000000000000000000000000000000000000000000000000000000000000000DB +:2025E8000000000000000000000000000000000000000000000000000000000000000000D3 +:2025F0000000000000000000000000000000000000000000000000000000000000000000CB +:2025F8000000000000000000000000000000000000000000000000000000000000000000C3 +:202600000000000000000000000000000000000000000000000000000000000000000000BA :202608000000000000000000000000000000000000000000000000000000000000000000B2 :202610000000000000000000000000000000000000000000000000000000000000000000AA :202618000000000000000000000000000000000000000000000000000000000000000000A2 diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 911d5ce..ac16cf0 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -55,6 +55,7 @@ alt_u16 sys_ctrl; avmode_t cm; extern mode_data_t video_modes[]; +extern const mode_data_t video_modes_vgen[]; extern ypbpr_to_rgb_csc_t csc_coeffs[]; extern alt_u16 rc_keymap[REMOTE_MAX_KEYS]; extern alt_u16 rc_keymap_default[REMOTE_MAX_KEYS]; @@ -478,6 +479,40 @@ void set_videoinfo() cm.cc.sl_str); } +void set_videoinfo_vg() +{ + int pll_sel; + + switch (video_modes_vgen[cm.cc.vgen_mode].type) { + case VIDEO_SDTV: + pll_sel = 1; + break; + case VIDEO_HDTV: + pll_sel = 2; + break; + case VIDEO_PC: + pll_sel = 3; + break; + case VIDEO_EDTV: + default: + pll_sel = 0; + break; + } + + IOWR_ALTERA_AVALON_PIO_DATA(PIO_3_BASE, ((video_modes_vgen[cm.cc.vgen_mode].h_synclen)<<20) | + ((video_modes_vgen[cm.cc.vgen_mode].h_backporch)<<11) | + video_modes_vgen[cm.cc.vgen_mode].h_active); + IOWR_ALTERA_AVALON_PIO_DATA(PIO_4_BASE, video_modes_vgen[cm.cc.vgen_mode].h_total); + IOWR_ALTERA_AVALON_PIO_DATA(PIO_5_BASE, ((video_modes_vgen[cm.cc.vgen_mode].v_total)<<20) | + ((video_modes_vgen[cm.cc.vgen_mode].v_synclen)<<17) | + ((video_modes_vgen[cm.cc.vgen_mode].v_backporch)<<11) | + (video_modes_vgen[cm.cc.vgen_mode].v_active)); + IOWR_ALTERA_AVALON_PIO_DATA(PIO_6_BASE, ((video_modes_vgen[cm.cc.vgen_mode].group)<<30) | + ((!!(video_modes_vgen[cm.cc.vgen_mode].flags & MODE_INTERLACED))<<29) | + (pll_sel<<4) | + cm.cc.vgen_spd); +} + // Configure TVP7002 and scan converter logic based on the video mode void program_mode() { @@ -784,6 +819,7 @@ int main() status_t status; alt_u32 input_vec; + alt_u8 tx_pixelrep, hdmitx_pixr_ifr; int init_stat, man_input_change; @@ -806,6 +842,8 @@ int main() while (1) {} } + set_videoinfo_vg(); + // Mainloop while(1) { // Read remote control and PCB button status @@ -914,6 +952,26 @@ int main() cm.cc.hdmi_itc = tc.hdmi_itc; } + if ((tc.vgen_mode != cm.cc.vgen_mode) || (tc.vgen_spd != cm.cc.vgen_spd)) { + cm.cc.vgen_mode = tc.vgen_mode; + cm.cc.vgen_spd = tc.vgen_spd; + set_videoinfo_vg(); + /*if (cm.hdmitx_pixr_ifr != !!(video_modes_vgen[cm.cc.vgen_mode].flags & MODE_INTERLACED)) { + cm.hdmitx_pixr_ifr = !!(video_modes_vgen[cm.cc.vgen_mode].flags & MODE_INTERLACED); + TX_SetPixelRepetition(TX_PIXELREP_DISABLE, 0); + HDMITX_SetAVIInfoFrame(HDMI_Unkown, 0, 0, tc.hdmi_itc, cm.hdmitx_pixr_ifr); + }*/ + tx_pixelrep = !!(video_modes_vgen[cm.cc.vgen_mode].flags & MODE_INTERLACED) | (video_modes_vgen[cm.cc.vgen_mode].type == VIDEO_HDTV); + hdmitx_pixr_ifr = !!(video_modes_vgen[cm.cc.vgen_mode].flags & MODE_INTERLACED); + if (cm.tx_pixelrep != tx_pixelrep) { + cm.tx_pixelrep = tx_pixelrep; + cm.hdmitx_pixr_ifr = hdmitx_pixr_ifr; + TX_SetPixelRepetition(cm.tx_pixelrep, ((cm.cc.tx_mode==TX_HDMI) && (cm.tx_pixelrep == cm.hdmitx_pixr_ifr)) ? 1 : 0); + if (cm.cc.tx_mode==TX_HDMI) + HDMITX_SetAVIInfoFrame(HDMI_Unkown, 0, 0, tc.hdmi_itc, cm.hdmitx_pixr_ifr); + } + } + if (cm.avinput != AV_TESTPAT) { status = get_status(target_tvp, target_format); diff --git a/software/sys_controller/ossc/avconfig.c b/software/sys_controller/ossc/avconfig.c index 3d5a729..9e0fbe8 100644 --- a/software/sys_controller/ossc/avconfig.c +++ b/software/sys_controller/ossc/avconfig.c @@ -47,6 +47,7 @@ const avconfig_t tc_default = { .sync_lpf = DEFAULT_SYNC_LPF, .pre_coast = DEFAULT_PRE_COAST, .post_coast = DEFAULT_POST_COAST, + .vgen_spd = 7, #ifdef ENABLE_AUDIO .audio_dw_sampl = DEFAULT_ON, .tx_mode = TX_HDMI, diff --git a/software/sys_controller/ossc/avconfig.h b/software/sys_controller/ossc/avconfig.h index d5296ef..4c5100c 100644 --- a/software/sys_controller/ossc/avconfig.h +++ b/software/sys_controller/ossc/avconfig.h @@ -105,6 +105,8 @@ typedef struct { alt_u8 full_tx_setup; alt_u8 vga_ilace_fix; alt_u8 reverse_lpf; + alt_u8 vgen_mode; + alt_u8 vgen_spd; #ifdef ENABLE_AUDIO alt_u8 audio_dw_sampl; alt_u8 audio_swap_lr; diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index a5cc849..f2b3f16 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -38,6 +38,7 @@ extern char row1[LCD_ROW_LEN+1], row2[LCD_ROW_LEN+1], menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; extern avconfig_t tc; extern mode_data_t video_modes[]; +extern const mode_data_t video_modes_vgen[]; extern alt_u16 tc_h_samplerate, tc_h_synclen, tc_h_bporch, tc_h_active, tc_v_synclen, tc_v_bporch, tc_v_active; extern alt_u32 remote_code; extern alt_u16 rc_keymap[REMOTE_MAX_KEYS]; @@ -81,6 +82,7 @@ static void aud_db_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%d dB", static void vm_display_name (alt_u8 v) { strncpy(menu_row2, video_modes[v].name, LCD_ROW_LEN+1); } static void link_av_desc (avinput_t v) { strncpy(menu_row2, v == AV_LAST ? "No link" : avinput_str[v], LCD_ROW_LEN+1); } //static void coarse_gain_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%u.%u", ((v*10)+50)/100, (((v*10)+50)%100)/10); } +static void vgen_mode_disp (alt_u8 v) { strncpy(menu_row2, video_modes_vgen[v].name, LCD_ROW_LEN+1); } static const arg_info_t vm_arg_info = {&vm_sel, VIDEO_MODES_CNT-1, vm_display_name}; static const arg_info_t profile_arg_info = {&profile_sel_menu, MAX_PROFILE, value_disp}; @@ -128,6 +130,8 @@ MENU(menu_sync, P99_PROTECT({ \ })) MENU(menu_output, P99_PROTECT({ \ + { "Testpattern mode", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.vgen_mode, OPT_WRAP, 0, VIDEO_MODES_VGEN_CNT-1, vgen_mode_disp } } }, + { "Testpattern spd.", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.vgen_spd, OPT_WRAP, 0, 15, value_disp } } }, { LNG("240p/288p proc","240p/288pショリ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.pm_240p, OPT_WRAP, SETTING_ITEM(pm_240p_desc) } } }, { LNG("384p proc","384pショリ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.pm_384p, OPT_WRAP, SETTING_ITEM(pm_384p_480p_desc) } } }, { LNG("480i/576i proc","480i/576iショリ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.pm_480i, OPT_WRAP, SETTING_ITEM(pm_480i_desc) } } }, diff --git a/software/sys_controller/tvp7002/video_modes.c b/software/sys_controller/tvp7002/video_modes.c index 120c670..1191d18 100644 --- a/software/sys_controller/tvp7002/video_modes.c +++ b/software/sys_controller/tvp7002/video_modes.c @@ -30,6 +30,8 @@ extern avmode_t cm; const mode_data_t video_modes_default[] = VIDEO_MODES_DEF; mode_data_t video_modes[VIDEO_MODES_CNT]; +const mode_data_t video_modes_vgen[] = VIDEO_MODES_VGEN; + /* TODO: rewrite, check hz etc. */ alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type typemask) { diff --git a/software/sys_controller/tvp7002/video_modes.h b/software/sys_controller/tvp7002/video_modes.h index 3fd4102..7a726df 100644 --- a/software/sys_controller/tvp7002/video_modes.h +++ b/software/sys_controller/tvp7002/video_modes.h @@ -146,6 +146,22 @@ typedef struct { #define VIDEO_MODES_SIZE (sizeof((mode_data_t[])VIDEO_MODES_DEF)) #define VIDEO_MODES_CNT (sizeof((mode_data_t[])VIDEO_MODES_DEF)/sizeof(mode_data_t)) + +#define VIDEO_MODES_VGEN { \ + { "480p STD", 720, 480, 858, 525, 60, 30, 62, 6, (VIDEO_EDTV), 0, 0 }, \ + { "576p STD", 720, 576, 864, 625, 68, 39, 64, 5, (VIDEO_EDTV), 0, 0 }, \ + { "480i STD", 720, 240, 858, 525, 57, 15, 62, 3, (VIDEO_SDTV), 0, MODE_INTERLACED }, \ + { "576i STD", 720, 288, 864, 625, 69, 19, 63, 3, (VIDEO_SDTV), 0, MODE_INTERLACED }, \ + { "480p Bob", 720, 480, 858, 525, 60, 30, 62, 6, (VIDEO_EDTV), 1, 0 }, \ + { "480p 59.5", 720, 480, 858, 529, 60, 30, 62, 6, (VIDEO_EDTV), 3, 0 }, \ + { "480p 60.5", 720, 480, 858, 520, 60, 30, 62, 6, (VIDEO_EDTV), 3, 0 }, \ + { "480p 55.0", 720, 480, 858, 572, 60, 30, 62, 6, (VIDEO_EDTV), 3, 0 }, \ + { "400p 70.0", 640, 400, 800, 449, 48, 36, 96, 2, VIDEO_PC, 3, 0 }, \ + { "960p", 720, 2*480, 858, 2*525, 60, 2*30, 62, 2*6, VIDEO_HDTV, 2, 0 }, \ +} + +#define VIDEO_MODES_VGEN_CNT (sizeof((mode_data_t[])VIDEO_MODES_VGEN)/sizeof(mode_data_t)) + alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type typemask); #endif /* VIDEO_MODES_H_ */ diff --git a/software/sys_controller_bsp/settings.bsp b/software/sys_controller_bsp/settings.bsp index 9476c51..8171747 100644 --- a/software/sys_controller_bsp/settings.bsp +++ b/software/sys_controller_bsp/settings.bsp @@ -2,8 +2,8 @@ hal default - Apr 18, 2018 10:30:05 PM - 1524079805195 + Sep 10, 2018 8:39:50 PM + 1536601190499 ./ settings.bsp ../../sys.sopcinfo diff --git a/sys.sopcinfo b/sys.sopcinfo index 9194afe..65ec00e 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1524077647 + 1536601885 false true false