From 3b19b2843c37f320c7a356817b7cf0d9b2c6afb5 Mon Sep 17 00:00:00 2001 From: marqs Date: Sat, 28 Jan 2017 03:37:57 +0200 Subject: [PATCH] Preliminary Line5x implementation --- ossc.qsf | 2 +- ossc.sdc | 72 +- rtl/ossc.v | 20 +- rtl/pll_2x.ppf | 1 + rtl/pll_2x.v | 49 +- rtl/pll_3x_lowfreq.ppf | 13 - rtl/pll_3x_lowfreq.qip | 6 - rtl/pll_3x_lowfreq.v | 376 ---- rtl/scanconverter.v | 276 ++- .../mem_init/sys_onchip_memory2_0.hex | 1766 ++++++++--------- software/sys_controller/ossc/av_controller.c | 1 + software/sys_controller/ossc/avconfig.c | 1 - software/sys_controller/ossc/menu.c | 5 +- software/sys_controller/tvp7002/video_modes.c | 17 +- software/sys_controller/tvp7002/video_modes.h | 20 +- software/sys_controller_bsp/settings.bsp | 4 +- sys.sopcinfo | 4 +- 17 files changed, 1190 insertions(+), 1443 deletions(-) delete mode 100644 rtl/pll_3x_lowfreq.ppf delete mode 100644 rtl/pll_3x_lowfreq.qip delete mode 100644 rtl/pll_3x_lowfreq.v diff --git a/ossc.qsf b/ossc.qsf index a30e747..2289db1 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -230,6 +230,6 @@ set_global_assignment -name VERILOG_FILE rtl/scanconverter.v set_global_assignment -name QIP_FILE rtl/linebuf.qip set_global_assignment -name QIP_FILE rtl/pll_2x.qip set_global_assignment -name QIP_FILE rtl/pll_3x.qip -set_global_assignment -name QIP_FILE rtl/pll_3x_lowfreq.qip set_global_assignment -name CDF_FILE output_files/Chain1.cdf +set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS ON set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/ossc.sdc b/ossc.sdc index 0aca1bb..963fdbd 100644 --- a/ossc.sdc +++ b/ossc.sdc @@ -10,35 +10,49 @@ set_false_path -to {sys:sys_inst|sys_pio_1:pio_1|readdata*} ### Scanconverter clock constraints ### create_clock -period 108MHz -name pclk_hdtv [get_ports PCLK_in] -create_clock -period 27MHz -name pclk_ldtv_hs_M0 [get_ports PCLK_in] -add -create_clock -period 20MHz -name pclk_ldtv_hs_M1 [get_ports PCLK_in] -add -create_clock -period 13.5MHz -name pclk_sdtv [get_ports PCLK_in] -add +create_clock -period 13.5MHz -name pclk_sdtv_L2 [get_ports PCLK_in] -add +create_clock -period 27MHz -name pclk_sdtv_L3 [get_ports PCLK_in] -add +create_clock -period 27MHz -name pclk_sdtv_L4 [get_ports PCLK_in] -add +create_clock -period 16MHz -name pclk_sdtv_L5 [get_ports PCLK_in] -add #derive_pll_clocks -create_generated_clock -master_clock pclk_sdtv -source {scanconverter_inst|pll_linedouble|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 2 -duty_cycle 50.00 -name pclk_2x {scanconverter_inst|pll_linedouble|altpll_component|auto_generated|pll1|clk[0]} -create_generated_clock -master_clock pclk_ldtv_hs_M0 -source {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 3 -duty_cycle 50.00 -name pclk_3x_M0 {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|clk[0]} -create_generated_clock -master_clock pclk_ldtv_hs_M1 -source {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 3 -duty_cycle 50.00 -name pclk_3x_M1 {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|clk[0]} -add -create_generated_clock -master_clock pclk_ldtv_hs_M1 -source {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 4 -duty_cycle 50.00 -name pclk_4x_M1 {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|clk[1]} +create_generated_clock -master_clock pclk_sdtv_L2 -source {scanconverter_inst|pll_linedouble|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 2 -duty_cycle 50.00 -name pclk_2x {scanconverter_inst|pll_linedouble|altpll_component|auto_generated|pll1|clk[0]} +create_generated_clock -master_clock pclk_sdtv_L3 -source {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 3 -duty_cycle 50.00 -name pclk_3x {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|clk[0]} +create_generated_clock -master_clock pclk_sdtv_L4 -source {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 4 -duty_cycle 50.00 -name pclk_4x {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|clk[1]} +create_generated_clock -master_clock pclk_sdtv_L5 -source {scanconverter_inst|pll_linedouble|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 5 -duty_cycle 50.00 -name pclk_5x {scanconverter_inst|pll_linedouble|altpll_component|auto_generated|pll1|clk[1]} derive_clock_uncertainty # input delay constraints +set TVP_dmin 0 +set TVP_dmax 1.5 set critinputs [get_ports {R_in* G_in* B_in* HSYNC_in VSYNC_in FID_in}] -set_input_delay -clock pclk_sdtv -min 0 $critinputs -set_input_delay -clock pclk_sdtv -max 1.5 $critinputs -set_input_delay -clock pclk_hdtv -min 0 $critinputs -add_delay -set_input_delay -clock pclk_hdtv -max 1.5 $critinputs -add_delay -set_input_delay -clock pclk_ldtv_hs_M0 -min 0 $critinputs -add_delay -set_input_delay -clock pclk_ldtv_hs_M0 -max 1.5 $critinputs -add_delay -set_input_delay -clock pclk_ldtv_hs_M1 -min 0 $critinputs -add_delay -set_input_delay -clock pclk_ldtv_hs_M1 -max 1.5 $critinputs -add_delay +set_input_delay -clock pclk_hdtv -min $TVP_dmin $critinputs +set_input_delay -clock pclk_hdtv -max $TVP_dmax $critinputs +set_input_delay -clock pclk_sdtv_L2 -min $TVP_dmin $critinputs -add_delay +set_input_delay -clock pclk_sdtv_L2 -max $TVP_dmax $critinputs -add_delay +set_input_delay -clock pclk_sdtv_L3 -min $TVP_dmin $critinputs -add_delay +set_input_delay -clock pclk_sdtv_L3 -max $TVP_dmax $critinputs -add_delay +set_input_delay -clock pclk_sdtv_L4 -min $TVP_dmin $critinputs -add_delay +set_input_delay -clock pclk_sdtv_L4 -max $TVP_dmax $critinputs -add_delay +set_input_delay -clock pclk_sdtv_L5 -min $TVP_dmin $critinputs -add_delay +set_input_delay -clock pclk_sdtv_L5 -max $TVP_dmax $critinputs -add_delay # output delay constraints (TODO: add vsync) +set IT_Tsu 1.0 +set IT_Th -0.5 +#todo VS set critoutputs_hdmi {HDMI_TX_RD* HDMI_TX_GD* HDMI_TX_BD* HDMI_TX_DE HDMI_TX_HS} -set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_hdtv 0 $critoutputs_hdmi -set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_2x 0 $critoutputs_hdmi -add_delay -set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_3x_M0 0 $critoutputs_hdmi -add_delay -set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_4x_M1 0 $critoutputs_hdmi -add_delay +set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_hdtv -min $IT_Th $critoutputs_hdmi +set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_hdtv -max $IT_Tsu $critoutputs_hdmi +set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_2x -min $IT_Th $critoutputs_hdmi -add_delay +set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_2x -max $IT_Tsu $critoutputs_hdmi -add_delay +set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_3x -min $IT_Th $critoutputs_hdmi -add_delay +set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_3x -max $IT_Tsu $critoutputs_hdmi -add_delay +set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_4x -min $IT_Th $critoutputs_hdmi -add_delay +set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_4x -max $IT_Tsu $critoutputs_hdmi -add_delay +set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_5x -min $IT_Th $critoutputs_hdmi -add_delay +set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_5x -max $IT_Tsu $critoutputs_hdmi -add_delay set_false_path -to [remove_from_collection [all_outputs] $critoutputs_hdmi] @@ -47,19 +61,18 @@ set_false_path -to [remove_from_collection [all_outputs] $critoutputs_hdmi] # Set pixel clocks as exclusive clocks set_clock_groups -exclusive \ -group {pclk_hdtv} \ --group {pclk_sdtv pclk_2x} \ --group {pclk_ldtv_hs_M0 pclk_3x_M0} \ --group {pclk_ldtv_hs_M1 pclk_3x_M1 pclk_4x_M1} +-group {pclk_sdtv_L2 pclk_2x} \ +-group {pclk_sdtv_L3 pclk_3x} \ +-group {pclk_sdtv_L4 pclk_4x} \ +-group {pclk_sdtv_L5 pclk_5x} # Treat CPU clock asynchronous to pixel clocks set_clock_groups -asynchronous -group {clk27} # Filter out impossible output mux combinations -set clkmuxregs [get_cells {scanconverter:scanconverter_inst|R_out[*] scanconverter:scanconverter_inst|G_out[*] scanconverter:scanconverter_inst|B_out[*] scanconverter:scanconverter_inst|HSYNC_out scanconverter:scanconverter_inst|DATA_enable scanconverter:scanconverter_inst|*_pp1*}] +set clkmuxregs [get_cells {scanconverter:scanconverter_inst|R_out* scanconverter:scanconverter_inst|G_out* scanconverter:scanconverter_inst|B_out* scanconverter:scanconverter_inst|HSYNC_out* scanconverter:scanconverter_inst|VSYNC_out* scanconverter:scanconverter_inst|DE_out* scanconverter:scanconverter_inst|*_pp1* scanconverter:scanconverter_inst|*_pp2*}] set clkmuxnodes [get_pins {scanconverter_inst|linebuf_*|altsyncram_*|auto_generated|ram_*|portbaddr*}] -set_false_path -from [get_clocks {pclk_ldtv* pclk_sdtv}] -through $clkmuxregs -set_false_path -from pclk_3x_M1 -through [remove_from_collection $clkmuxregs {scanconverter:scanconverter_inst|DATA_enable_pp1* scanconverter:scanconverter_inst|HSYNC_pp1*}] -to pclk_4x_M1 -set_false_path -from pclk_3x_M1 -through $clkmuxnodes -to pclk_4x_M1 +set_false_path -from [get_clocks {pclk_sdtv_L2 pclk_sdtv_L3 pclk_sdtv_L4 pclk_sdtv_L5}] -through $clkmuxregs # Ignore paths from registers which are updated only at the end of vsync set_false_path -from [get_cells {scanconverter_inst|H_* scanconverter_inst|V_* scanconverter:scanconverter_inst|lines_*}] @@ -71,9 +84,10 @@ set_false_path -from [get_cells {scanconverter:scanconverter_inst|vcnt_* scancon set_false_path -to [get_cells {scanconverter:scanconverter_inst|line_out_idx*}] # Ignore following clock transfers -set_false_path -from [get_clocks pclk_2x] -to [get_clocks pclk_sdtv] -set_false_path -from [get_clocks pclk_3x_M*] -to [get_clocks {pclk_ldtv_hs_M*}] -set_false_path -from [get_clocks pclk_4x_M1] -to [get_clocks {pclk_ldtv_hs_M1 pclk_3x_M1}] +set_false_path -from [get_clocks pclk_2x] -to [get_clocks pclk_sdtv_L2] +set_false_path -from [get_clocks pclk_3x] -to [get_clocks {pclk_sdtv_L3}] +set_false_path -from [get_clocks pclk_4x] -to [get_clocks {pclk_sdtv_L4}] +set_false_path -from [get_clocks pclk_5x] -to [get_clocks {pclk_sdtv_L5}] ### JTAG Signal Constraints ### diff --git a/rtl/ossc.v b/rtl/ossc.v index 9d7d537..e9111f0 100644 --- a/rtl/ossc.v +++ b/rtl/ossc.v @@ -55,8 +55,8 @@ module ossc ( wire [7:0] sys_ctrl; wire h_unstable; -wire [2:0] pclk_lock; -wire [2:0] pll_lock_lost; +wire [1:0] pclk_lock; +wire [1:0] pll_lock_lost; wire [31:0] h_info, h_info2, v_info; wire [10:0] lines_out; wire [1:0] fpga_vsyncgen; @@ -68,13 +68,13 @@ wire [7:0] R_out, G_out, B_out; wire HSYNC_out; wire VSYNC_out; wire PCLK_out; -wire DATA_enable; +wire DE_out; wire [7:0] R_out_videogen, G_out_videogen, B_out_videogen; wire HSYNC_out_videogen; wire VSYNC_out_videogen; wire PCLK_out_videogen; -wire DATA_enable_videogen; +wire DE_out_videogen; reg [3:0] cpu_reset_ctr; @@ -155,7 +155,7 @@ assign reset_n = sys_ctrl[0]; //HDMI_TX_RST_N in v1.2 PCB assign LED_R = HSYNC_in_L; assign LED_G = VSYNC_in_L; `else -assign LED_R = videogen_sel ? 1'b0 : ((pll_lock_lost != 3'b000)|h_unstable); +assign LED_R = videogen_sel ? 1'b0 : ((pll_lock_lost != 2'h0)|h_unstable); assign LED_G = (ir_code == 0); `endif @@ -173,15 +173,17 @@ assign HDMI_TX_BD = videogen_sel ? B_out_videogen : B_out; assign HDMI_TX_HS = videogen_sel ? HSYNC_out_videogen : HSYNC_out; assign HDMI_TX_VS = videogen_sel ? VSYNC_out_videogen : VSYNC_out; assign HDMI_TX_PCLK = videogen_sel ? PCLK_out_videogen : PCLK_out; -assign HDMI_TX_DE = videogen_sel ? DATA_enable_videogen : DATA_enable; +assign HDMI_TX_DE = videogen_sel ? DE_out_videogen : DE_out; `else +wire videogen_sel; +assign videogen_sel = 1'b0; assign HDMI_TX_RD = R_out; assign HDMI_TX_GD = G_out; assign HDMI_TX_BD = B_out; assign HDMI_TX_HS = HSYNC_out; assign HDMI_TX_VS = VSYNC_out; assign HDMI_TX_PCLK = PCLK_out; -assign HDMI_TX_DE = DATA_enable; +assign HDMI_TX_DE = DE_out; `endif sys sys_inst( @@ -219,7 +221,7 @@ scanconverter scanconverter_inst ( .HSYNC_out (HSYNC_out), .VSYNC_out (VSYNC_out), .PCLK_out (PCLK_out), - .DATA_enable (DATA_enable), + .DE_out (DE_out), .h_unstable (h_unstable), .fpga_vsyncgen (fpga_vsyncgen), .pclk_lock (pclk_lock), @@ -246,7 +248,7 @@ videogen vg0 ( .HSYNC_out (HSYNC_out_videogen), .VSYNC_out (VSYNC_out_videogen), .PCLK_out (PCLK_out_videogen), - .ENABLE_out (DATA_enable_videogen) + .ENABLE_out (DE_out_videogen) ); `endif diff --git a/rtl/pll_2x.ppf b/rtl/pll_2x.ppf index 9736c2c..b8d8bc6 100644 --- a/rtl/pll_2x.ppf +++ b/rtl/pll_2x.ppf @@ -5,6 +5,7 @@ + diff --git a/rtl/pll_2x.v b/rtl/pll_2x.v index 9df1f52..6b63144 100644 --- a/rtl/pll_2x.v +++ b/rtl/pll_2x.v @@ -9,7 +9,7 @@ // altpll // // Simulation Library Files(s): -// +// altera_mf // ============================================================ // ************************************************************ // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! @@ -41,11 +41,13 @@ module pll_2x ( areset, inclk0, c0, + c1, locked); input areset; input inclk0; output c0; + output c1; output locked; `ifndef ALTERA_RESERVED_QIS // synopsys translate_off @@ -56,19 +58,21 @@ module pll_2x ( `endif wire [4:0] sub_wire0; - wire sub_wire2; - wire [0:0] sub_wire5 = 1'h0; + wire sub_wire3; + wire [0:0] sub_wire6 = 1'h0; + wire [1:1] sub_wire2 = sub_wire0[1:1]; wire [0:0] sub_wire1 = sub_wire0[0:0]; wire c0 = sub_wire1; - wire locked = sub_wire2; - wire sub_wire3 = inclk0; - wire [1:0] sub_wire4 = {sub_wire5, sub_wire3}; + wire c1 = sub_wire2; + wire locked = sub_wire3; + wire sub_wire4 = inclk0; + wire [1:0] sub_wire5 = {sub_wire6, sub_wire4}; altpll altpll_component ( .areset (areset), - .inclk (sub_wire4), + .inclk (sub_wire5), .clk (sub_wire0), - .locked (sub_wire2), + .locked (sub_wire3), .activeclock (), .clkbad (), .clkena ({6{1'b1}}), @@ -108,6 +112,10 @@ module pll_2x ( altpll_component.clk0_duty_cycle = 50, altpll_component.clk0_multiply_by = 2, altpll_component.clk0_phase_shift = "0", + altpll_component.clk1_divide_by = 1, + altpll_component.clk1_duty_cycle = 50, + altpll_component.clk1_multiply_by = 5, + altpll_component.clk1_phase_shift = "0", altpll_component.compensate_clock = "CLK0", altpll_component.inclk0_input_frequency = 74074, altpll_component.intended_device_family = "Cyclone IV E", @@ -141,7 +149,7 @@ module pll_2x ( altpll_component.port_scanread = "PORT_UNUSED", altpll_component.port_scanwrite = "PORT_UNUSED", altpll_component.port_clk0 = "PORT_USED", - altpll_component.port_clk1 = "PORT_UNUSED", + altpll_component.port_clk1 = "PORT_USED", altpll_component.port_clk2 = "PORT_UNUSED", altpll_component.port_clk3 = "PORT_UNUSED", altpll_component.port_clk4 = "PORT_UNUSED", @@ -182,8 +190,11 @@ endmodule // Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" // Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "7" // Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" +// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1" // Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" +// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000" // Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "27.000000" +// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "67.500000" // Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" // Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" // Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" @@ -204,18 +215,26 @@ endmodule // Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" // Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" // Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" +// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "ps" // Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" // Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" +// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0" // Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "2" +// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "5" // Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "0" // Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.00000000" +// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "100.00000000" // Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0" +// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "0" // Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" +// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz" // Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1" // Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" // Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" +// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000" // Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" // Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" +// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "ps" // Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" // Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1" // Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" @@ -238,11 +257,14 @@ endmodule // Retrieval info: PRIVATE: SPREAD_USE STRING "0" // Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "1" // Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" +// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1" // Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" // Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" // Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" // Retrieval info: PRIVATE: USE_CLK0 STRING "1" +// Retrieval info: PRIVATE: USE_CLK1 STRING "1" // Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" +// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0" // Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" // Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" // Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all @@ -251,6 +273,10 @@ endmodule // Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" // Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "2" // Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" +// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "1" +// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50" +// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "5" +// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0" // Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" // Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "74074" // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" @@ -283,7 +309,7 @@ endmodule // Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" // Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" // Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED" // Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED" // Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" // Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" @@ -303,12 +329,14 @@ endmodule // Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]" // Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset" // Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" +// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1" // Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" // Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked" // Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0 // Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 // Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 // Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 +// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1 // Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0 // Retrieval info: GEN_FILE: TYPE_NORMAL pll_2x.v TRUE // Retrieval info: GEN_FILE: TYPE_NORMAL pll_2x.ppf TRUE @@ -317,4 +345,5 @@ endmodule // Retrieval info: GEN_FILE: TYPE_NORMAL pll_2x.bsf FALSE // Retrieval info: GEN_FILE: TYPE_NORMAL pll_2x_inst.v FALSE // Retrieval info: GEN_FILE: TYPE_NORMAL pll_2x_bb.v TRUE +// Retrieval info: LIB_FILE: altera_mf // Retrieval info: CBX_MODULE_PREFIX: ON diff --git a/rtl/pll_3x_lowfreq.ppf b/rtl/pll_3x_lowfreq.ppf deleted file mode 100644 index 1d235e3..0000000 --- a/rtl/pll_3x_lowfreq.ppf +++ /dev/null @@ -1,13 +0,0 @@ - - - - - - - - - - - - - diff --git a/rtl/pll_3x_lowfreq.qip b/rtl/pll_3x_lowfreq.qip deleted file mode 100644 index a8c93f1..0000000 --- a/rtl/pll_3x_lowfreq.qip +++ /dev/null @@ -1,6 +0,0 @@ -set_global_assignment -name IP_TOOL_NAME "ALTPLL" -set_global_assignment -name IP_TOOL_VERSION "16.1" -set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" -set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "pll_3x_lowfreq.v"] -set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll_3x_lowfreq_bb.v"] -set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll_3x_lowfreq.ppf"] diff --git a/rtl/pll_3x_lowfreq.v b/rtl/pll_3x_lowfreq.v deleted file mode 100644 index 41d5547..0000000 --- a/rtl/pll_3x_lowfreq.v +++ /dev/null @@ -1,376 +0,0 @@ -// megafunction wizard: %ALTPLL% -// GENERATION: STANDARD -// VERSION: WM1.0 -// MODULE: altpll - -// ============================================================ -// File Name: pll_3x_lowfreq.v -// Megafunction Name(s): -// altpll -// -// Simulation Library Files(s): -// -// ============================================================ -// ************************************************************ -// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -// -// 16.1.0 Build 196 10/24/2016 SJ Lite Edition -// ************************************************************ - - -//Copyright (C) 2016 Intel Corporation. All rights reserved. -//Your use of Intel Corporation's design tools, logic functions -//and other software and tools, and its AMPP partner logic -//functions, and any output files from any of the foregoing -//(including device programming or simulation files), and any -//associated documentation or information are expressly subject -//to the terms and conditions of the Intel Program License -//Subscription Agreement, the Intel Quartus Prime License Agreement, -//the Intel MegaCore Function License Agreement, or other -//applicable license agreement, including, without limitation, -//that your use is for the sole purpose of programming logic -//devices manufactured by Intel and sold by Intel or its -//authorized distributors. Please refer to the applicable -//agreement for further details. - - -// synopsys translate_off -`timescale 1 ps / 1 ps -// synopsys translate_on -module pll_3x_lowfreq ( - areset, - inclk0, - c0, - c1, - c2, - locked); - - input areset; - input inclk0; - output c0; - output c1; - output c2; - output locked; -`ifndef ALTERA_RESERVED_QIS -// synopsys translate_off -`endif - tri0 areset; -`ifndef ALTERA_RESERVED_QIS -// synopsys translate_on -`endif - - wire [4:0] sub_wire0; - wire sub_wire4; - wire [0:0] sub_wire7 = 1'h0; - wire [2:2] sub_wire3 = sub_wire0[2:2]; - wire [1:1] sub_wire2 = sub_wire0[1:1]; - wire [0:0] sub_wire1 = sub_wire0[0:0]; - wire c0 = sub_wire1; - wire c1 = sub_wire2; - wire c2 = sub_wire3; - wire locked = sub_wire4; - wire sub_wire5 = inclk0; - wire [1:0] sub_wire6 = {sub_wire7, sub_wire5}; - - altpll altpll_component ( - .areset (areset), - .inclk (sub_wire6), - .clk (sub_wire0), - .locked (sub_wire4), - .activeclock (), - .clkbad (), - .clkena ({6{1'b1}}), - .clkloss (), - .clkswitch (1'b0), - .configupdate (1'b0), - .enable0 (), - .enable1 (), - .extclk (), - .extclkena ({4{1'b1}}), - .fbin (1'b1), - .fbmimicbidir (), - .fbout (), - .fref (), - .icdrclk (), - .pfdena (1'b1), - .phasecounterselect ({4{1'b1}}), - .phasedone (), - .phasestep (1'b1), - .phaseupdown (1'b1), - .pllena (1'b1), - .scanaclr (1'b0), - .scanclk (1'b0), - .scanclkena (1'b1), - .scandata (1'b0), - .scandataout (), - .scandone (), - .scanread (1'b0), - .scanwrite (1'b0), - .sclkout0 (), - .sclkout1 (), - .vcooverrange (), - .vcounderrange ()); - defparam - altpll_component.bandwidth_type = "HIGH", - altpll_component.clk0_divide_by = 1, - altpll_component.clk0_duty_cycle = 50, - altpll_component.clk0_multiply_by = 3, - altpll_component.clk0_phase_shift = "0", - altpll_component.clk1_divide_by = 1, - altpll_component.clk1_duty_cycle = 50, - altpll_component.clk1_multiply_by = 12, - altpll_component.clk1_phase_shift = "0", - altpll_component.clk2_divide_by = 1, - altpll_component.clk2_duty_cycle = 50, - altpll_component.clk2_multiply_by = 15, - altpll_component.clk2_phase_shift = "0", - altpll_component.compensate_clock = "CLK0", - altpll_component.inclk0_input_frequency = 149253, - altpll_component.intended_device_family = "Cyclone IV E", - altpll_component.lpm_hint = "CBX_MODULE_PREFIX=pll_3x_lowfreq", - altpll_component.lpm_type = "altpll", - altpll_component.operation_mode = "SOURCE_SYNCHRONOUS", - altpll_component.pll_type = "AUTO", - altpll_component.port_activeclock = "PORT_UNUSED", - altpll_component.port_areset = "PORT_USED", - altpll_component.port_clkbad0 = "PORT_UNUSED", - altpll_component.port_clkbad1 = "PORT_UNUSED", - altpll_component.port_clkloss = "PORT_UNUSED", - altpll_component.port_clkswitch = "PORT_UNUSED", - altpll_component.port_configupdate = "PORT_UNUSED", - altpll_component.port_fbin = "PORT_UNUSED", - altpll_component.port_inclk0 = "PORT_USED", - altpll_component.port_inclk1 = "PORT_UNUSED", - altpll_component.port_locked = "PORT_USED", - altpll_component.port_pfdena = "PORT_UNUSED", - altpll_component.port_phasecounterselect = "PORT_UNUSED", - altpll_component.port_phasedone = "PORT_UNUSED", - altpll_component.port_phasestep = "PORT_UNUSED", - altpll_component.port_phaseupdown = "PORT_UNUSED", - altpll_component.port_pllena = "PORT_UNUSED", - altpll_component.port_scanaclr = "PORT_UNUSED", - altpll_component.port_scanclk = "PORT_UNUSED", - altpll_component.port_scanclkena = "PORT_UNUSED", - altpll_component.port_scandata = "PORT_UNUSED", - altpll_component.port_scandataout = "PORT_UNUSED", - altpll_component.port_scandone = "PORT_UNUSED", - altpll_component.port_scanread = "PORT_UNUSED", - altpll_component.port_scanwrite = "PORT_UNUSED", - altpll_component.port_clk0 = "PORT_USED", - altpll_component.port_clk1 = "PORT_USED", - altpll_component.port_clk2 = "PORT_USED", - altpll_component.port_clk3 = "PORT_UNUSED", - altpll_component.port_clk4 = "PORT_UNUSED", - altpll_component.port_clk5 = "PORT_UNUSED", - altpll_component.port_clkena0 = "PORT_UNUSED", - altpll_component.port_clkena1 = "PORT_UNUSED", - altpll_component.port_clkena2 = "PORT_UNUSED", - altpll_component.port_clkena3 = "PORT_UNUSED", - altpll_component.port_clkena4 = "PORT_UNUSED", - altpll_component.port_clkena5 = "PORT_UNUSED", - altpll_component.port_extclk0 = "PORT_UNUSED", - altpll_component.port_extclk1 = "PORT_UNUSED", - altpll_component.port_extclk2 = "PORT_UNUSED", - altpll_component.port_extclk3 = "PORT_UNUSED", - altpll_component.self_reset_on_loss_lock = "OFF", - altpll_component.width_clock = 5; - - -endmodule - -// ============================================================ -// CNX file retrieval info -// ============================================================ -// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" -// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" -// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1" -// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" -// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "High" -// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "0" -// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "1" -// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" -// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" -// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0" -// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" -// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" -// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" -// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" -// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" -// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "7" -// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" -// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1" -// Retrieval info: PRIVATE: DIV_FACTOR2 NUMERIC "1" -// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" -// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000" -// Retrieval info: PRIVATE: DUTY_CYCLE2 STRING "50.00000000" -// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "20.100000" -// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "80.400002" -// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE2 STRING "100.500000" -// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" -// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" -// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" -// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0" -// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" -// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" -// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" -// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "6.700" -// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" -// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" -// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" -// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" -// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" -// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" -// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1" -// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" -// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" -// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" -// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" -// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg" -// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT2 STRING "ps" -// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" -// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" -// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0" -// Retrieval info: PRIVATE: MIRROR_CLK2 STRING "0" -// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "3" -// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "12" -// Retrieval info: PRIVATE: MULT_FACTOR2 NUMERIC "15" -// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "0" -// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.00000000" -// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "100.00000000" -// Retrieval info: PRIVATE: OUTPUT_FREQ2 STRING "100.00000000" -// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0" -// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "0" -// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE2 STRING "0" -// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" -// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz" -// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT2 STRING "MHz" -// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1" -// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" -// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" -// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000" -// Retrieval info: PRIVATE: PHASE_SHIFT2 STRING "0.00000000" -// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" -// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" -// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "deg" -// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT2 STRING "ps" -// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" -// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1" -// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" -// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" -// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" -// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" -// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" -// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" -// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" -// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" -// Retrieval info: PRIVATE: RECONFIG_FILE STRING "pll_2x.mif" -// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" -// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1" -// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" -// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" -// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" -// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" -// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" -// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" -// Retrieval info: PRIVATE: SPREAD_USE STRING "0" -// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "1" -// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" -// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1" -// Retrieval info: PRIVATE: STICKY_CLK2 STRING "1" -// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" -// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" -// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -// Retrieval info: PRIVATE: USE_CLK0 STRING "1" -// Retrieval info: PRIVATE: USE_CLK1 STRING "1" -// Retrieval info: PRIVATE: USE_CLK2 STRING "1" -// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" -// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0" -// Retrieval info: PRIVATE: USE_CLKENA2 STRING "0" -// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" -// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" -// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -// Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "HIGH" -// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" -// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "3" -// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" -// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50" -// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "12" -// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0" -// Retrieval info: CONSTANT: CLK2_DIVIDE_BY NUMERIC "1" -// Retrieval info: CONSTANT: CLK2_DUTY_CYCLE NUMERIC "50" -// Retrieval info: CONSTANT: CLK2_MULTIPLY_BY NUMERIC "15" -// Retrieval info: CONSTANT: CLK2_PHASE_SHIFT STRING "0" -// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" -// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "149253" -// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" -// Retrieval info: CONSTANT: OPERATION_MODE STRING "SOURCE_SYNCHRONOUS" -// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" -// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_USED" -// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "OFF" -// Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5" -// Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]" -// Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset" -// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" -// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1" -// Retrieval info: USED_PORT: c2 0 0 0 0 OUTPUT_CLK_EXT VCC "c2" -// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" -// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked" -// Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0 -// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 -// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 -// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 -// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1 -// Retrieval info: CONNECT: c2 0 0 0 0 @clk 0 0 1 2 -// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0 -// Retrieval info: GEN_FILE: TYPE_NORMAL pll_3x_lowfreq.v TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL pll_3x_lowfreq.ppf TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL pll_3x_lowfreq.inc FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL pll_3x_lowfreq.cmp FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL pll_3x_lowfreq.bsf FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL pll_3x_lowfreq_inst.v FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL pll_3x_lowfreq_bb.v TRUE -// Retrieval info: CBX_MODULE_PREFIX: ON diff --git a/rtl/scanconverter.v b/rtl/scanconverter.v index b708391..c9897b9 100644 --- a/rtl/scanconverter.v +++ b/rtl/scanconverter.v @@ -71,15 +71,15 @@ module scanconverter ( output reg HSYNC_out, output reg VSYNC_out, output PCLK_out, - output reg DATA_enable, + output reg DE_out, output h_unstable, output reg [1:0] fpga_vsyncgen, - output [2:0] pclk_lock, - output [2:0] pll_lock_lost, + output [1:0] pclk_lock, + output [1:0] pll_lock_lost, output [10:0] lines_out ); -wire pclk_1x, pclk_2x, pclk_3x, pclk_4x; +wire pclk_1x, pclk_2x, pclk_3x, pclk_4x, pclk_5x; wire linebuf_rdclock; wire pclk_act; @@ -88,26 +88,25 @@ wire [1:0] slid_act; wire pclk_2x_lock, pclk_3x_lock; wire HSYNC_act, VSYNC_act; -reg HSYNC_1x, HSYNC_2x, HSYNC_3x, HSYNC_4x, HSYNC_pp1; -reg VSYNC_1x, VSYNC_2x, VSYNC_pp1; +reg HSYNC_1x, HSYNC_2x, HSYNC_3x, HSYNC_4x, HSYNC_5x, HSYNC_pp1, HSYNC_pp2; +reg VSYNC_1x, VSYNC_2x, VSYNC_pp1, VSYNC_pp2; reg [11:0] HSYNC_start; reg FID_1x, FID_prev; -wire DATA_enable_act; -reg DATA_enable_pp1; +wire DE_act; +reg DE_pp1, DE_pp2; wire [11:0] linebuf_hoffset; //Offset for line (max. 2047 pixels), MSB indicates which line is read/written wire [11:0] hcnt_act; -reg [11:0] hcnt_1x, hcnt_2x, hcnt_3x, hcnt_4x, hcnt_4x_aspfix, hcnt_3x_opt, hcnt_4x_opt; +reg [11:0] hcnt_1x, hcnt_2x, hcnt_3x, hcnt_4x, hcnt_5x, hcnt_4x_aspfix, hcnt_3x_opt, hcnt_4x_opt, hcnt_5x_opt; -reg [2:0] hcnt_3x_opt_ctr, hcnt_4x_opt_ctr; +reg [2:0] hcnt_3x_opt_ctr, hcnt_4x_opt_ctr, hcnt_5x_opt_ctr; wire [10:0] vcnt_act; -reg [10:0] vcnt_1x, vcnt_1x_tvp, vcnt_2x, lines_1x, lines_2x; //max. 2047 +reg [10:0] vcnt_1x, vcnt_1x_tvp, vcnt_2x, vcnt_4x, vcnt_5x, vcnt_3x_ref, vcnt_4x_ref, vcnt_5x_ref, lines_1x, lines_2x, lines_4x, lines_5x; //max. 2047 reg [9:0] vcnt_3x, lines_3x; //max. 1023 -reg [10:0] vcnt_4x, lines_4x; //max. 2047 reg h_enable_3x_prev4x; @@ -117,16 +116,22 @@ reg [1:0] pclk_3x_cnt; reg pclk_1x_prev4x; reg [1:0] pclk_4x_cnt; +reg pclk_1x_prev5x; +reg pclk_1x_prevprev5x; +reg [2:0] pclk_5x_cnt; + // Data enable reg h_enable_1x, v_enable_1x; reg h_enable_2x, v_enable_2x; reg h_enable_3x, v_enable_3x; reg h_enable_4x, v_enable_4x; +reg h_enable_5x, v_enable_5x; reg prev_hs, prev_vs; reg [11:0] hmax[0:1]; reg line_idx; reg [1:0] line_out_idx_2x, line_out_idx_3x, line_out_idx_4x; +reg [2:0] line_out_idx_5x; reg [23:0] warn_h_unstable, warn_pll_lock_lost, warn_pll_lock_lost_3x; @@ -148,12 +153,13 @@ reg [2:0] H_OPT_SAMPLE_MULT; reg [2:0] H_OPT_SAMPLE_SEL; //8 bits per component -> 16.7M colors -reg [7:0] R_1x, G_1x, B_1x, R_pp1, G_pp1, B_pp1; +reg [7:0] R_1x, G_1x, B_1x, R_pp1, G_pp1, B_pp1, R_pp2, G_pp2, B_pp2; wire [7:0] R_lbuf, G_lbuf, B_lbuf; wire [7:0] R_act, G_act, B_act; assign pclk_1x = PCLK_in; -assign pclk_lock = {pclk_2x_lock, pclk_3x_lock, 1'b0}; +assign PCLK_out = pclk_act; +assign pclk_lock = {pclk_2x_lock, pclk_3x_lock}; //Scanline generation function [7:0] apply_scanlines; @@ -198,14 +204,8 @@ function [7:0] apply_mask; //Mux for active data selection // -//Possible clock transfers: -// -// L3_MODE1: pclk_3x -> pclk_4x -// L3_MODE2: pclk_3x_h1x -> pclk_3x_h4x -// L3_MODE3: pclk_3x_h1x -> pclk_3x_h5x -// //List of critical signals: -// DATA_enable_act, HSYNC_act +// [RGB]_act, DE_act, HSYNC_act, VSYNC_act // //Non-critical signals and inactive clock combinations filtered out in SDC always @(*) @@ -215,8 +215,7 @@ begin R_act = R_1x; G_act = G_1x; B_act = B_1x; - DATA_enable_act = (h_enable_1x & v_enable_1x); - PCLK_out = pclk_1x; + DE_act = (h_enable_1x & v_enable_1x); HSYNC_act = HSYNC_1x; VSYNC_act = VSYNC_1x; lines_out = lines_1x; @@ -231,8 +230,7 @@ begin R_act = R_lbuf; G_act = G_lbuf; B_act = B_lbuf; - DATA_enable_act = (h_enable_2x & v_enable_2x); - PCLK_out = pclk_2x; + DE_act = (h_enable_2x & v_enable_2x); HSYNC_act = HSYNC_2x; VSYNC_act = VSYNC_2x; lines_out = lines_2x; @@ -249,34 +247,30 @@ begin B_act = B_lbuf; HSYNC_act = HSYNC_3x; VSYNC_act = VSYNC_1x; - DATA_enable_act = (h_enable_3x & v_enable_3x); + DE_act = (h_enable_3x & v_enable_3x); lines_out = {1'b0, lines_3x}; slid_act = line_out_idx_3x; - vcnt_act = vcnt_3x/2'h3; //divider generated + vcnt_act = vcnt_3x_ref; case (H_MULTMODE) `H_MULTMODE_FULLWIDTH: begin - PCLK_out = pclk_3x; linebuf_rdclock = pclk_3x; linebuf_hoffset = hcnt_3x; pclk_act = pclk_3x; hcnt_act = hcnt_3x; end `H_MULTMODE_ASPECTFIX: begin - PCLK_out = pclk_4x; linebuf_rdclock = pclk_4x; linebuf_hoffset = hcnt_4x_aspfix; pclk_act = pclk_4x; hcnt_act = hcnt_4x_aspfix; end `H_MULTMODE_OPTIMIZED: begin - PCLK_out = pclk_3x; linebuf_rdclock = pclk_3x; linebuf_hoffset = hcnt_3x_opt; pclk_act = pclk_3x; hcnt_act = hcnt_3x; end default: begin - PCLK_out = pclk_3x; linebuf_rdclock = pclk_3x; linebuf_hoffset = hcnt_3x; pclk_act = pclk_3x; @@ -290,11 +284,10 @@ begin B_act = B_lbuf; HSYNC_act = HSYNC_4x; VSYNC_act = VSYNC_1x; - DATA_enable_act = (h_enable_4x & v_enable_4x); + DE_act = (h_enable_4x & v_enable_4x); lines_out = lines_4x; slid_act = line_out_idx_4x; - vcnt_act = vcnt_4x/4; - PCLK_out = pclk_4x; + vcnt_act = vcnt_4x_ref; linebuf_rdclock = pclk_4x; pclk_act = pclk_4x; hcnt_act = hcnt_4x; @@ -310,12 +303,36 @@ begin end endcase end + `V_MULTMODE_5X: begin + R_act = R_lbuf; + G_act = G_lbuf; + B_act = B_lbuf; + HSYNC_act = HSYNC_5x; + VSYNC_act = VSYNC_1x; + DE_act = (h_enable_5x & v_enable_5x); + lines_out = lines_5x; + slid_act = line_out_idx_5x; + vcnt_act = vcnt_5x_ref; + linebuf_rdclock = pclk_5x; + pclk_act = pclk_5x; + hcnt_act = hcnt_5x; + case (H_MULTMODE) + `H_MULTMODE_FULLWIDTH: begin + linebuf_hoffset = hcnt_5x; + end + `H_MULTMODE_OPTIMIZED: begin + linebuf_hoffset = hcnt_5x_opt; + end + default: begin + linebuf_hoffset = hcnt_5x; + end + endcase + end default: begin R_act = R_1x; G_act = G_1x; B_act = B_1x; - DATA_enable_act = (h_enable_1x & v_enable_1x); - PCLK_out = pclk_1x; + DE_act = (h_enable_1x & v_enable_1x); HSYNC_act = HSYNC_1x; VSYNC_act = VSYNC_1x; lines_out = lines_1x; @@ -330,29 +347,21 @@ begin end pll_2x pll_linedouble ( - .areset ( (V_MULTMODE != `V_MULTMODE_2X) ), + .areset ( (V_MULTMODE != `V_MULTMODE_2X) & (V_MULTMODE != `V_MULTMODE_5X) ), .inclk0 ( PCLK_in ), .c0 ( pclk_2x ), + .c1 ( pclk_5x ), .locked ( pclk_2x_lock ) ); pll_3x pll_linetriple ( .areset ( (V_MULTMODE != `V_MULTMODE_3X) & (V_MULTMODE != `V_MULTMODE_4X) ), .inclk0 ( PCLK_in ), - .c0 ( pclk_3x ), // sampling clock for 240p: 1280 or 960 samples & MODE0: 1280 output pixels from 1280 input samples (16:9) - .c1 ( pclk_4x ), // MODE1: 1280 output pixels from 960 input samples (960 drawn -> 4:3 aspect) + .c0 ( pclk_3x ), + .c1 ( pclk_4x ), .locked ( pclk_3x_lock ) ); -/*pll_3x_lowfreq pll_linetriple_lowfreq ( - .areset ( (H_LINEMULT != `LINEMULT_TRIPLE) | ~H_L3MODE[1]), - .inclk0 ( PCLK_in ), - .c0 ( pclk_3x_h1x ), // sampling clock for 240p: 320 or 256 samples - .c1 ( pclk_3x_h4x ), // MODE2: 1280 output pixels from 320 input samples (960 drawn -> 4:3 aspect) - .c2 ( pclk_3x_h5x ), // MODE3: 1280 output pixels from 256 input samples (1024 drawn -> 5:4 aspect) - .locked ( pclk_3x_lowfreq_lock ) -);*/ - //TODO: add secondary buffers for interlaced signals with alternative field order linebuf linebuf_rgb ( .data ( {R_1x, G_1x, B_1x} ), @@ -374,29 +383,42 @@ begin B_pp1 <= 8'h00; HSYNC_pp1 <= 1'b0; VSYNC_pp1 <= 1'b0; - DATA_enable_pp1 <= 1'b0; + DE_pp1 <= 1'b0; + R_pp2 <= 8'h00; + G_pp2 <= 8'h00; + B_pp2 <= 8'h00; + HSYNC_pp2 <= 1'b0; + VSYNC_pp2 <= 1'b0; + DE_pp2 <= 1'b0; R_out <= 8'h00; G_out <= 8'h00; G_out <= 8'h00; HSYNC_out <= 1'b0; VSYNC_out <= 1'b0; - DATA_enable <= 1'b0; + DE_out <= 1'b0; end else begin - R_pp1 <= apply_scanlines(V_SCANLINEMODE, R_act, H_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_1x); - G_pp1 <= apply_scanlines(V_SCANLINEMODE, G_act, H_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_1x); - B_pp1 <= apply_scanlines(V_SCANLINEMODE, B_act, H_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_1x); + R_pp1 <= R_act; + G_pp1 <= G_act; + B_pp1 <= B_act; HSYNC_pp1 <= HSYNC_act; VSYNC_pp1 <= VSYNC_act; - DATA_enable_pp1 <= DATA_enable_act; + DE_pp1 <= DE_act; - R_out <= apply_mask(1, R_pp1, hcnt_act, H_BACKPORCH+H_MASK+2'h2, H_BACKPORCH+H_ACTIVE-H_MASK+2'h2, vcnt_act, V_BACKPORCH+V_MASK, V_BACKPORCH+V_ACTIVE-V_MASK); - G_out <= apply_mask(1, G_pp1, hcnt_act, H_BACKPORCH+H_MASK+2'h2, H_BACKPORCH+H_ACTIVE-H_MASK+2'h2, vcnt_act, V_BACKPORCH+V_MASK, V_BACKPORCH+V_ACTIVE-V_MASK); - B_out <= apply_mask(1, B_pp1, hcnt_act, H_BACKPORCH+H_MASK+2'h2, H_BACKPORCH+H_ACTIVE-H_MASK+2'h2, vcnt_act, V_BACKPORCH+V_MASK, V_BACKPORCH+V_ACTIVE-V_MASK); - HSYNC_out <= HSYNC_pp1; - VSYNC_out <= VSYNC_pp1; - DATA_enable <= DATA_enable_pp1; + R_pp2 <= apply_scanlines(V_SCANLINEMODE, R_pp1, H_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_1x); + G_pp2 <= apply_scanlines(V_SCANLINEMODE, G_pp1, H_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_1x); + B_pp2 <= apply_scanlines(V_SCANLINEMODE, B_pp1, H_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_1x); + HSYNC_pp2 <= HSYNC_act; + VSYNC_pp2 <= VSYNC_act; + DE_pp2 <= DE_act; + + R_out <= apply_mask(1, R_pp2, hcnt_act, H_BACKPORCH+H_MASK+2'h2, H_BACKPORCH+H_ACTIVE-H_MASK+2'h2, vcnt_act, V_BACKPORCH+V_MASK, V_BACKPORCH+V_ACTIVE-V_MASK); + G_out <= apply_mask(1, G_pp2, hcnt_act, H_BACKPORCH+H_MASK+2'h2, H_BACKPORCH+H_ACTIVE-H_MASK+2'h2, vcnt_act, V_BACKPORCH+V_MASK, V_BACKPORCH+V_ACTIVE-V_MASK); + B_out <= apply_mask(1, B_pp2, hcnt_act, H_BACKPORCH+H_MASK+2'h2, H_BACKPORCH+H_ACTIVE-H_MASK+2'h2, vcnt_act, V_BACKPORCH+V_MASK, V_BACKPORCH+V_ACTIVE-V_MASK); + HSYNC_out <= HSYNC_pp2; + VSYNC_out <= VSYNC_pp2; + DE_out <= DE_pp2; end end @@ -416,12 +438,12 @@ begin else if (warn_h_unstable != 0) warn_h_unstable <= warn_h_unstable + 1'b1; - if ((V_MULTMODE == `V_MULTMODE_2X) & ~pclk_2x_lock) + if (((V_MULTMODE == `V_MULTMODE_2X) | (V_MULTMODE == `V_MULTMODE_5X)) & ~pclk_2x_lock) warn_pll_lock_lost <= 1; else if (warn_pll_lock_lost != 0) warn_pll_lock_lost <= warn_pll_lock_lost + 1'b1; - if ((V_MULTMODE == `V_MULTMODE_3X) & ~pclk_3x_lock) + if (((V_MULTMODE == `V_MULTMODE_3X) | (V_MULTMODE == `V_MULTMODE_4X)) & ~pclk_3x_lock) warn_pll_lock_lost_3x <= 1; else if (warn_pll_lock_lost_3x != 0) warn_pll_lock_lost_3x <= warn_pll_lock_lost_3x + 1'b1; @@ -429,7 +451,7 @@ begin end assign h_unstable = (warn_h_unstable != 0); -assign pll_lock_lost = {(warn_pll_lock_lost != 0), (warn_pll_lock_lost_3x != 0), 1'b0}; +assign pll_lock_lost = {(warn_pll_lock_lost != 0), (warn_pll_lock_lost_3x != 0)}; //Buffer the inputs using input pixel clock and generate 1x signals always @(posedge pclk_1x or negedge reset_n) @@ -569,7 +591,7 @@ begin end else begin - if ((pclk_1x == 1'b0) & `HSYNC_TRAILING_EDGE) //sync with posedge of pclk_1x + if ((pclk_1x == 1'b0) & `HSYNC_TRAILING_EDGE) //aligned with posedge of pclk_1x begin hcnt_2x <= 0; line_out_idx_2x <= 0; @@ -582,9 +604,6 @@ begin else hcnt_2x <= hcnt_2x + 1'b1; - if (hcnt_2x == 0) - vcnt_2x <= vcnt_2x + 1'b1; - if ((pclk_1x == 1'b0) & (fpga_vsyncgen[`VSYNCGEN_GENMID_BIT] == 1'b1)) begin if (`VSYNC_TRAILING_EDGE) @@ -595,11 +614,13 @@ begin lines_2x <= vcnt_2x; end end - else if ((pclk_1x == 1'b0) & `VSYNC_TRAILING_EDGE & !(`FALSE_FIELD)) //sync with posedge of pclk_1x + else if ((pclk_1x == 1'b0) & `VSYNC_TRAILING_EDGE & !(`FALSE_FIELD)) //aligned with posedge of pclk_1x begin vcnt_2x <= 0; lines_2x <= vcnt_2x; end + else if (hcnt_2x == hmax[~line_idx]) + vcnt_2x <= vcnt_2x + 1'b1; if (pclk_1x == 1'b0) begin @@ -610,19 +631,19 @@ begin end HSYNC_2x <= ~(hcnt_2x >= HSYNC_start); - //TODO: VSYNC_2x + h_enable_2x <= ((hcnt_2x >= H_BACKPORCH) & (hcnt_2x < H_BACKPORCH + H_ACTIVE)); v_enable_2x <= ((vcnt_2x >= (V_BACKPORCH<<1)) & (vcnt_2x < ((V_BACKPORCH + V_ACTIVE)<<1))); end end -//Generate 3x signals for linetriple M0 always @(posedge pclk_3x or negedge reset_n) begin if (!reset_n) begin hcnt_3x <= 0; vcnt_3x <= 0; + vcnt_3x_ref <= 0; lines_3x <= 0; HSYNC_3x <= 0; h_enable_3x <= 0; @@ -635,7 +656,7 @@ begin end else begin - if ((pclk_3x_cnt == 0) & `HSYNC_TRAILING_EDGE) //sync with posedge of pclk_1x + if ((pclk_3x_cnt == 0) & `HSYNC_TRAILING_EDGE) //aligned with posedge of pclk_1x begin hcnt_3x <= 0; line_out_idx_3x <= 0; @@ -664,21 +685,25 @@ begin end end - if (hcnt_3x == 0) - vcnt_3x <= vcnt_3x + 1'b1; - - if ((pclk_3x_cnt == 0) & `VSYNC_TRAILING_EDGE & !(`FALSE_FIELD)) //sync with posedge of pclk_1x + if ((pclk_3x_cnt == 0) & `VSYNC_TRAILING_EDGE & !(`FALSE_FIELD)) //aligned with posedge of pclk_1x begin vcnt_3x <= 0; + vcnt_3x_ref <= 0; lines_3x <= vcnt_3x; end + else if (hcnt_3x == hmax[~line_idx]) + begin + vcnt_3x <= vcnt_3x + 1'b1; + if (line_out_idx_3x == 2) + vcnt_3x_ref <= vcnt_3x_ref + 1'b1; + end HSYNC_3x <= ~(hcnt_3x >= HSYNC_start); //TODO: VSYNC_3x h_enable_3x <= ((hcnt_3x >= H_BACKPORCH) & (hcnt_3x < H_BACKPORCH + H_ACTIVE)); - v_enable_3x <= ((vcnt_3x >= (3*V_BACKPORCH)) & (vcnt_3x < (3*(V_BACKPORCH + V_ACTIVE)))); //multiplier generated!!! + v_enable_3x <= ((vcnt_3x_ref >= V_BACKPORCH) & (vcnt_3x_ref < V_BACKPORCH + V_ACTIVE)); - //read pclk_1x to examine when edges are synced (pclk_1x=1 @ 120deg & pclk_1x=0 @ 240deg) + //track pclk_3x alignment to pclk_1x rising edge (pclk_1x=1 @ 120deg & pclk_1x=0 @ 240deg) if (((pclk_1x_prev3x == 1'b1) & (pclk_1x == 1'b0)) | (pclk_3x_cnt == 2'h2)) pclk_3x_cnt <= 0; else @@ -688,7 +713,6 @@ begin end end -//Generate 4x signals for linetriple M1 always @(posedge pclk_4x or negedge reset_n) begin if (!reset_n) @@ -697,6 +721,7 @@ begin h_enable_3x_prev4x <= 0; hcnt_4x <= 0; vcnt_4x <= 0; + vcnt_4x_ref <= 0; lines_4x <= 0; HSYNC_4x <= 0; h_enable_4x <= 0; @@ -718,7 +743,7 @@ begin h_enable_3x_prev4x <= h_enable_3x; - if ((pclk_4x_cnt == 0) & `HSYNC_TRAILING_EDGE) //sync with posedge of pclk_1x + if ((pclk_4x_cnt == 0) & `HSYNC_TRAILING_EDGE) //aligned with posedge of pclk_1x begin hcnt_4x <= 0; line_out_idx_4x <= 0; @@ -747,21 +772,25 @@ begin end end - if (hcnt_4x == 0) - vcnt_4x <= vcnt_4x + 1'b1; - - if ((pclk_4x_cnt == 0) & `VSYNC_TRAILING_EDGE & !(`FALSE_FIELD)) //sync with posedge of pclk_1x + if ((pclk_4x_cnt == 0) & `VSYNC_TRAILING_EDGE & !(`FALSE_FIELD)) //aligned with posedge of pclk_1x begin vcnt_4x <= 0; + vcnt_4x_ref <= 0; lines_4x <= vcnt_4x; end + else if (hcnt_4x == hmax[~line_idx]) + begin + vcnt_4x <= vcnt_4x + 1'b1; + if (line_out_idx_4x == 3) + vcnt_4x_ref <= vcnt_4x_ref + 1'b1; + end HSYNC_4x <= ~(hcnt_4x >= HSYNC_start); //TODO: VSYNC_4x h_enable_4x <= ((hcnt_4x >= H_BACKPORCH) & (hcnt_4x < H_BACKPORCH + H_ACTIVE)); - v_enable_4x <= ((vcnt_4x >= (4*V_BACKPORCH)) & (vcnt_4x < (4*(V_BACKPORCH + V_ACTIVE)))); + v_enable_4x <= ((vcnt_4x_ref >= V_BACKPORCH) & (vcnt_4x_ref < V_BACKPORCH + V_ACTIVE)); - //read pclk_1x to examine when edges are synced (pclk_1x=1 @ 180deg & pclk_1x=0 @ 270deg) + //track pclk_4x alignment to pclk_1x rising edge (pclk_1x=1 @ 180deg & pclk_1x=0 @ 270deg) if (((pclk_1x_prev4x == 1'b1) & (pclk_1x == 1'b0)) | (pclk_4x_cnt == 2'h3)) pclk_4x_cnt <= 0; else @@ -771,5 +800,82 @@ begin end end +always @(posedge pclk_5x or negedge reset_n) +begin + if (!reset_n) + begin + hcnt_5x <= 0; + vcnt_5x <= 0; + vcnt_5x_ref <= 0; + lines_5x <= 0; + HSYNC_5x <= 0; + h_enable_5x <= 0; + v_enable_5x <= 0; + pclk_5x_cnt <= 0; + pclk_1x_prev5x <= 0; + pclk_1x_prevprev5x <= 0; + line_out_idx_5x <= 0; + hcnt_5x_opt <= 0; + hcnt_5x_opt_ctr <= 0; + end + else + begin + if ((pclk_5x_cnt == 0) & `HSYNC_TRAILING_EDGE) //aligned with posedge of pclk_1x + begin + hcnt_5x <= 0; + line_out_idx_5x <= 0; + hcnt_5x_opt <= H_OPT_SAMPLE_SEL; + hcnt_5x_opt_ctr <= 0; + end + else if (hcnt_5x == hmax[~line_idx]) //line_idx_prev? + begin + hcnt_5x <= 0; + line_out_idx_5x <= line_out_idx_5x + 1'b1; + hcnt_5x_opt <= H_OPT_SAMPLE_SEL; + hcnt_5x_opt_ctr <= 0; + end + else + begin + hcnt_5x <= hcnt_5x + 1'b1; + if (hcnt_5x >= H_OPT_STARTOFF) + begin + if (hcnt_5x_opt_ctr == H_OPT_SCALE-1'b1) + begin + hcnt_5x_opt <= hcnt_5x_opt + H_OPT_SAMPLE_MULT; + hcnt_5x_opt_ctr <= 0; + end + else + hcnt_5x_opt_ctr <= hcnt_5x_opt_ctr + 1'b1; + end + end + + if ((pclk_5x_cnt == 0) & `VSYNC_TRAILING_EDGE & !(`FALSE_FIELD)) //aligned with posedge of pclk_1x + begin + vcnt_5x <= 0; + vcnt_5x_ref <= 0; + lines_5x <= vcnt_5x; + end + else if (hcnt_5x == hmax[~line_idx]) + begin + vcnt_5x <= vcnt_5x + 1'b1; + if (line_out_idx_5x == 4) + vcnt_5x_ref <= vcnt_5x_ref + 1'b1; + end + + HSYNC_5x <= ~(hcnt_5x >= HSYNC_start); + //TODO: VSYNC_5x + h_enable_5x <= ((hcnt_5x >= H_BACKPORCH-96) & (hcnt_5x < H_BACKPORCH + H_ACTIVE + 96)); + v_enable_5x <= ((vcnt_5x_ref >= V_BACKPORCH) & (vcnt_5x_ref < V_BACKPORCH + V_ACTIVE)); + + //track pclk_5x alignment to pclk_1x rising edge (pclk_1x=1 @ 144deg & pclk_1x=0 @ 216deg & pclk_1x=0 @ 288deg) + if (((pclk_1x_prevprev5x == 1'b1) & (pclk_1x_prev5x == 1'b0)) | (pclk_5x_cnt == 3'h4)) + pclk_5x_cnt <= 0; + else + pclk_5x_cnt <= pclk_5x_cnt + 1'b1; + + pclk_1x_prev5x <= pclk_1x; + pclk_1x_prevprev5x <= pclk_1x_prev5x; + end +end endmodule diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index e2deb3c..c2ed017 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex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diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 0843413..e9f197f 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -388,6 +388,7 @@ void set_videoinfo() switch (cm.target_lm) { case MODE_L3_320_COL: + case MODE_L5_256_COL: h_opt_scale = 3; break; case MODE_L3_256_COL: diff --git a/software/sys_controller/ossc/avconfig.c b/software/sys_controller/ossc/avconfig.c index 83be8d3..5c2c1c5 100644 --- a/software/sys_controller/ossc/avconfig.c +++ b/software/sys_controller/ossc/avconfig.c @@ -42,7 +42,6 @@ avconfig_t tc; // Default configuration const avconfig_t tc_default = { .l3_mode = 1, - .l5_mode = 1, .pm_240p = 1, .pm_384p = 1, .pm_480i = 1, diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index d956150..9c2f076 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -50,7 +50,8 @@ static const char *s480p_mode_desc[] = { LNG("Auto","ジドウ"), "DTV 480 static const char *sync_lpf_desc[] = { LNG("Off","オフ"), LNG("33MHz (min)","33MHz (サイショウ)"), LNG("10MHz (med)","10MHz (チュウイ)"), LNG("2.5MHz (max)","2.5MHz (サイダイ)") }; static const char *l3_mode_desc[] = { LNG("Generic 16:9","ハンヨウ 16:9"), LNG("Generic 4:3","ハンヨウ 4:3"), LNG("320x240 optim.","320x240 サイテキ."), LNG("256x240 optim.","256x240 サイテキ.") }; static const char *l4_mode_desc[] = { LNG("Generic 4:3","ハンヨウ 4:3"), LNG("320x240 optim.","320x240 サイテキ."), LNG("256x240 optim.","256x240 サイテキ.") }; -static const char *pm_240p_desc[] = { "Passthru", "Line2x", "Line3x", "Line4x" }; +static const char *l5_mode_desc[] = { LNG("Generic 4:3","ハンヨウ 4:3"), LNG("256x240 optim.","256x240 サイテキ.") }; +static const char *pm_240p_desc[] = { "Passthru", "Line2x", "Line3x", "Line4x", "Line5x" }; static const char *pm_384p_desc[] = { "Passthru", "Line2x" }; static const char *pm_480i_desc[] = { "Passthru", "Line2x" }; static const char *pm_480p_desc[] = { "Passthru", "Line2x" }; @@ -112,7 +113,7 @@ MENU(menu_output, P99_PROTECT({ \ { "480p/576p proc", OPT_AVCONFIG_SELECTION, { .sel = { &tc.pm_480p, OPT_WRAP, SETTING_ITEM(pm_480p_desc) } } }, { "Line3x mode", OPT_AVCONFIG_SELECTION, { .sel = { &tc.l3_mode, OPT_WRAP, SETTING_ITEM(l3_mode_desc) } } }, { "Line4x mode", OPT_AVCONFIG_SELECTION, { .sel = { &tc.l4_mode, OPT_WRAP, SETTING_ITEM(l4_mode_desc) } } }, - { "Line5x mode", OPT_AVCONFIG_SELECTION, { .sel = { &tc.l5_mode, OPT_WRAP, SETTING_ITEM(l3_mode_desc) } } }, + { "Line5x mode", OPT_AVCONFIG_SELECTION, { .sel = { &tc.l5_mode, OPT_WRAP, SETTING_ITEM(l5_mode_desc) } } }, { "256x240 L3_Hmult", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.l3m3_hmult, OPT_NOWRAP, 1, 5, value_disp } } }, { LNG("TX mode","TXモード"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.tx_mode, OPT_WRAP, SETTING_ITEM(tx_mode_desc) } } }, { LNG("Initial input","ショキニュウリョク"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.def_input, OPT_WRAP, SETTING_ITEM(avinput_str) } } }, diff --git a/software/sys_controller/tvp7002/video_modes.c b/software/sys_controller/tvp7002/video_modes.c index ffced2c..ce19cba 100644 --- a/software/sys_controller/tvp7002/video_modes.c +++ b/software/sys_controller/tvp7002/video_modes.c @@ -36,7 +36,7 @@ alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type t alt_8 i; alt_u8 num_modes = sizeof(video_modes)/sizeof(mode_data_t); video_type mode_type; - mode_flags valid_lm[] = { MODE_PT, MODE_L2, (MODE_L3_GEN_16_9< hal default - Jan 23, 2017 11:53:50 PM - 1485208430382 + Jan 29, 2017 12:54:52 PM + 1485687292131 ./ settings.bsp ../../sys.sopcinfo diff --git a/sys.sopcinfo b/sys.sopcinfo index 5f610e7..f1d6991 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1485208293 + 1485687165 false true false