From 434186d64ef032a7d3b5965e4405a0b954cbe339 Mon Sep 17 00:00:00 2001 From: marqs Date: Mon, 23 Jan 2017 00:56:17 +0200 Subject: [PATCH] Improve lo-res mode processing features * workaround for phase shift issue on optimized modes * add hscale option for 256x240 opt Line3x mode * distribute video modes to groups and allow passthru for all modes --- rtl/ossc.v | 5 +- rtl/scanconverter.v | 352 +-- .../mem_init/sys_onchip_memory2_0.hex | 2038 ++++++++--------- software/sys_controller/ossc/av_controller.c | 90 +- software/sys_controller/ossc/av_controller.h | 35 +- software/sys_controller/ossc/avconfig.c | 6 + software/sys_controller/ossc/avconfig.h | 10 +- software/sys_controller/ossc/controls.c | 2 +- software/sys_controller/ossc/firmware.h | 2 +- software/sys_controller/ossc/menu.c | 18 +- software/sys_controller/tvp7002/tvp7002.c | 16 +- software/sys_controller/tvp7002/tvp7002.h | 4 +- software/sys_controller/tvp7002/video_modes.c | 120 +- software/sys_controller/tvp7002/video_modes.h | 101 +- software/sys_controller_bsp/libhal_bsp.a | Bin 104226 -> 104226 bytes software/sys_controller_bsp/settings.bsp | 36 +- software/sys_controller_bsp/system.h | 53 +- sys.qsys | 86 +- sys.sopcinfo | 951 +++++++- 19 files changed, 2485 insertions(+), 1440 deletions(-) diff --git a/rtl/ossc.v b/rtl/ossc.v index a1f04c6..498e99b 100644 --- a/rtl/ossc.v +++ b/rtl/ossc.v @@ -58,6 +58,7 @@ wire h_unstable; wire [2:0] pclk_lock; wire [2:0] pll_lock_lost; wire [31:0] h_info; +wire [31:0] hscale_info; wire [31:0] v_info; wire [10:0] lines_out; wire [1:0] fpga_vsyncgen; @@ -198,7 +199,8 @@ sys sys_inst( .pio_1_controls_in_export ({ir_code_cnt, 5'b00000, HDMI_TX_MODE_LL, btn_LL, ir_code}), .pio_2_horizontal_info_out_export (h_info), .pio_3_vertical_info_out_export (v_info), - .pio_4_linecount_in_export ({VSYNC_out, 13'h0000, fpga_vsyncgen, 5'h00, lines_out}) + .pio_4_linecount_in_export ({VSYNC_out, 13'h0000, fpga_vsyncgen, 5'h00, lines_out}), + .pio_5_hscale_info_out_export (hscale_info), ); scanconverter scanconverter_inst ( @@ -212,6 +214,7 @@ scanconverter scanconverter_inst ( .B_in (B_in_L), .h_info (h_info), .v_info (v_info), + .hscale_info (hscale_info), .R_out (R_out), .G_out (G_out), .B_out (B_out), diff --git a/rtl/scanconverter.v b/rtl/scanconverter.v index 571b8ae..30276ec 100644 --- a/rtl/scanconverter.v +++ b/rtl/scanconverter.v @@ -22,14 +22,15 @@ `define HI 1'b1 `define LO 1'b0 -`define LINEMULT_DISABLE 2'h0 -`define LINEMULT_DOUBLE 2'h1 -`define LINEMULT_TRIPLE 2'h2 +`define V_MULTMODE_1X 3'd0 +`define V_MULTMODE_2X 3'd1 +`define V_MULTMODE_3X 3'd2 +`define V_MULTMODE_4X 3'd3 +`define V_MULTMODE_5X 3'd4 -`define LINETRIPLE_M0 2'h0 -`define LINETRIPLE_M1 2'h1 -`define LINETRIPLE_M2 2'h2 -`define LINETRIPLE_M3 2'h3 +`define H_MULTMODE_FULLWIDTH 2'h0 +`define H_MULTMODE_ASPECTFIX 2'h1 +`define H_MULTMODE_OPTIMIZED 2'h2 `define SCANLINES_OFF 2'h0 `define SCANLINES_H 2'h1 @@ -63,6 +64,7 @@ module scanconverter ( input PCLK_in, input [31:0] h_info, input [31:0] v_info, + input [31:0] hscale_info, output reg [7:0] R_out, output reg [7:0] G_out, output reg [7:0] B_out, @@ -77,17 +79,16 @@ module scanconverter ( output [10:0] lines_out ); -wire pclk_1x, pclk_2x, pclk_3x, pclk_4x, pclk_3x_h1x, pclk_3x_h4x, pclk_3x_h5x; -wire pclk_out_1x, pclk_out_2x, pclk_out_3x, pclk_out_4x, pclk_out_3x_h4x, pclk_out_3x_h5x; +wire pclk_1x, pclk_2x, pclk_3x, pclk_4x; wire linebuf_rdclock; wire pclk_act; wire [1:0] slid_act; -wire pclk_2x_lock, pclk_3x_lock, pclk_3x_lowfreq_lock; +wire pclk_2x_lock, pclk_3x_lock; wire HSYNC_act, VSYNC_act; -reg HSYNC_1x, HSYNC_2x, HSYNC_3x, HSYNC_3x_h1x, HSYNC_pp1; +reg HSYNC_1x, HSYNC_2x, HSYNC_3x, HSYNC_pp1; reg VSYNC_1x, VSYNC_2x, VSYNC_pp1; reg [11:0] HSYNC_start; @@ -99,42 +100,46 @@ reg DATA_enable_pp1; wire [11:0] linebuf_hoffset; //Offset for line (max. 2047 pixels), MSB indicates which line is read/written wire [11:0] hcnt_act; -reg [11:0] hcnt_1x, hcnt_2x, hcnt_3x, hcnt_4x, hcnt_3x_h1x, hcnt_3x_h4x, hcnt_3x_h5x; +reg [11:0] hcnt_1x, hcnt_2x, hcnt_3x, hcnt_4x, hcnt_3x_opt; + +reg [2:0] hcnt_3x_opt_ctr; wire [10:0] vcnt_act; reg [10:0] vcnt_1x, vcnt_1x_tvp, vcnt_2x, lines_1x, lines_2x; //max. 2047 -reg [9:0] vcnt_3x, vcnt_3x_h1x, lines_3x, lines_3x_h1x; //max. 1023 +reg [9:0] vcnt_3x, vcnt_3x_h1x, lines_3x; //max. 1023 -reg h_enable_3x_prev4x, h_enable_3x_prev3x_h4x, h_enable_3x_prev3x_h5x; -reg [1:0] hcnt_3x_h4x_ctr; -reg [1:0] hcnt_3x_h5x_ctr; +reg h_enable_3x_prev4x; -reg pclk_1x_prev3x, pclk_1x_prev3x_h1x; -reg [1:0] pclk_3x_cnt, pclk_3x_h1x_cnt; +reg pclk_1x_prev3x; +reg [1:0] pclk_3x_cnt; // Data enable reg h_enable_1x, v_enable_1x; reg h_enable_2x, v_enable_2x; -reg h_enable_3x, h_enable_3x_h1x, v_enable_3x, v_enable_3x_h1x; +reg h_enable_3x, v_enable_3x; reg prev_hs, prev_vs; reg [11:0] hmax[0:1]; reg line_idx; -reg [1:0] line_out_idx_2x, line_out_idx_3x, line_out_idx_3x_h1x; +reg [1:0] line_out_idx_2x, line_out_idx_3x; -reg [23:0] warn_h_unstable, warn_pll_lock_lost, warn_pll_lock_lost_3x, warn_pll_lock_lost_3x_lowfreq; +reg [23:0] warn_h_unstable, warn_pll_lock_lost, warn_pll_lock_lost_3x; reg [10:0] H_ACTIVE; //max. 2047 reg [7:0] H_BACKPORCH; //max. 255 reg [10:0] V_ACTIVE; //max. 2047 reg [5:0] V_BACKPORCH; //max. 63 -reg [1:0] V_SCANLINES; +reg [1:0] V_SCANLINEMODE; reg [1:0] V_SCANLINEID; -reg [7:0] V_SCANLINESTR; +reg [7:0] H_SCANLINESTR; reg [5:0] V_MASK; -reg [1:0] H_LINEMULT; -reg [1:0] H_L3MODE; +reg [2:0] V_MULTMODE; +reg [1:0] H_MULTMODE; reg [5:0] H_MASK; +reg [9:0] H_OPT_STARTOFF; +reg [2:0] H_OPT_SCALE; +reg [2:0] H_OPT_SAMPLE_MULT; +reg [2:0] H_OPT_SAMPLE_SEL; //8 bits per component -> 16.7M colors reg [7:0] R_1x, G_1x, B_1x, R_pp1, G_pp1, B_pp1; @@ -142,15 +147,7 @@ wire [7:0] R_lbuf, G_lbuf, B_lbuf; wire [7:0] R_act, G_act, B_act; assign pclk_1x = PCLK_in; -assign pclk_lock = {pclk_2x_lock, pclk_3x_lock, pclk_3x_lowfreq_lock}; - -//Output sampled at the rising edge of active pclk -assign pclk_out_1x = PCLK_in; -assign pclk_out_2x = pclk_2x; -assign pclk_out_3x = pclk_3x; -assign pclk_out_4x = pclk_4x; -assign pclk_out_3x_h4x = pclk_3x_h4x; -assign pclk_out_3x_h5x = pclk_3x_h5x; +assign pclk_lock = {pclk_2x_lock, pclk_3x_lock, 1'b0}; //Scanline generation function [7:0] apply_scanlines; @@ -207,13 +204,13 @@ function [7:0] apply_mask; //Non-critical signals and inactive clock combinations filtered out in SDC always @(*) begin - case (H_LINEMULT) - `LINEMULT_DISABLE: begin + case (V_MULTMODE) + `V_MULTMODE_1X: begin R_act = R_1x; G_act = G_1x; B_act = B_1x; DATA_enable_act = (h_enable_1x & v_enable_1x); - PCLK_out = pclk_out_1x; + PCLK_out = pclk_1x; HSYNC_act = HSYNC_1x; VSYNC_act = VSYNC_1x; lines_out = lines_1x; @@ -224,12 +221,12 @@ begin hcnt_act = hcnt_1x; vcnt_act = vcnt_1x; end - `LINEMULT_DOUBLE: begin + `V_MULTMODE_2X: begin R_act = R_lbuf; G_act = G_lbuf; B_act = B_lbuf; DATA_enable_act = (h_enable_2x & v_enable_2x); - PCLK_out = pclk_out_2x; + PCLK_out = pclk_2x; HSYNC_act = HSYNC_2x; VSYNC_act = VSYNC_2x; lines_out = lines_2x; @@ -240,104 +237,89 @@ begin hcnt_act = hcnt_2x; vcnt_act = vcnt_2x>>1; end - `LINEMULT_TRIPLE: begin + `V_MULTMODE_3X: begin R_act = R_lbuf; G_act = G_lbuf; B_act = B_lbuf; + HSYNC_act = HSYNC_3x; VSYNC_act = VSYNC_1x; - case (H_L3MODE) - `LINETRIPLE_M0: begin - DATA_enable_act = (h_enable_3x & v_enable_3x); - PCLK_out = pclk_out_3x; - HSYNC_act = HSYNC_3x; - lines_out = {1'b0, lines_3x}; + DATA_enable_act = (h_enable_3x & v_enable_3x); + lines_out = {1'b0, lines_3x}; + slid_act = line_out_idx_3x; + vcnt_act = vcnt_3x/2'h3; //divider generated + case (H_MULTMODE) + `H_MULTMODE_FULLWIDTH: begin + PCLK_out = pclk_3x; linebuf_rdclock = pclk_3x; linebuf_hoffset = hcnt_3x; pclk_act = pclk_3x; - slid_act = line_out_idx_3x; hcnt_act = hcnt_3x; - vcnt_act = vcnt_3x/2'h3; //divider generated end - `LINETRIPLE_M1: begin - DATA_enable_act = (h_enable_3x & v_enable_3x); - PCLK_out = pclk_out_4x; - HSYNC_act = HSYNC_3x; - lines_out = {1'b0, lines_3x}; + `H_MULTMODE_ASPECTFIX: begin + PCLK_out = pclk_4x; linebuf_rdclock = pclk_4x; linebuf_hoffset = hcnt_4x; pclk_act = pclk_4x; - slid_act = line_out_idx_3x; hcnt_act = hcnt_4x; - vcnt_act = vcnt_3x/2'h3; //divider generated end - `LINETRIPLE_M2: begin - DATA_enable_act = (h_enable_3x_h1x & v_enable_3x_h1x); - PCLK_out = pclk_out_3x_h4x; - HSYNC_act = HSYNC_3x_h1x; - lines_out = {1'b0, lines_3x_h1x}; - linebuf_rdclock = pclk_3x_h4x; - linebuf_hoffset = hcnt_3x_h4x; - pclk_act = pclk_3x_h4x; - slid_act = line_out_idx_3x_h1x; - hcnt_act = hcnt_3x_h4x; - vcnt_act = vcnt_3x_h1x/2'h3; //divider generated + `H_MULTMODE_OPTIMIZED: begin + PCLK_out = pclk_3x; + linebuf_rdclock = pclk_3x; + linebuf_hoffset = hcnt_3x_opt; + pclk_act = pclk_3x; + hcnt_act = hcnt_3x; end - `LINETRIPLE_M3: begin - DATA_enable_act = (h_enable_3x_h1x & v_enable_3x_h1x); - PCLK_out = pclk_out_3x_h5x; - HSYNC_act = HSYNC_3x_h1x; - lines_out = {1'b0, lines_3x_h1x}; - linebuf_rdclock = pclk_3x_h5x; - linebuf_hoffset = hcnt_3x_h5x; - pclk_act = pclk_3x_h5x; - slid_act = line_out_idx_3x_h1x; - hcnt_act = hcnt_3x_h5x; - vcnt_act = vcnt_3x_h1x/2'h3; //divider generated + default: begin + PCLK_out = pclk_3x; + linebuf_rdclock = pclk_3x; + linebuf_hoffset = hcnt_3x; + pclk_act = pclk_3x; + hcnt_act = hcnt_3x; end endcase end default: begin - R_act = 0; - G_act = 0; - B_act = 0; - DATA_enable_act = 0; - PCLK_out = 0; - HSYNC_act = 0; + R_act = R_1x; + G_act = G_1x; + B_act = B_1x; + DATA_enable_act = (h_enable_1x & v_enable_1x); + PCLK_out = pclk_1x; + HSYNC_act = HSYNC_1x; VSYNC_act = VSYNC_1x; - lines_out = 0; + lines_out = lines_1x; linebuf_rdclock = 0; linebuf_hoffset = 0; - pclk_act = 0; - slid_act = 0; - hcnt_act = 0; - vcnt_act = 0; + pclk_act = pclk_1x; + slid_act = {1'b0, vcnt_1x[0]}; + hcnt_act = hcnt_1x; + vcnt_act = vcnt_1x; end endcase end pll_2x pll_linedouble ( - .areset ( (H_LINEMULT != `LINEMULT_DOUBLE) ), + .areset ( (V_MULTMODE != `V_MULTMODE_2X) ), .inclk0 ( PCLK_in ), .c0 ( pclk_2x ), .locked ( pclk_2x_lock ) ); pll_3x pll_linetriple ( - .areset ( ((H_LINEMULT != `LINEMULT_TRIPLE) | H_L3MODE[1]) ), + .areset ( (V_MULTMODE != `V_MULTMODE_3X) ), .inclk0 ( PCLK_in ), .c0 ( pclk_3x ), // sampling clock for 240p: 1280 or 960 samples & MODE0: 1280 output pixels from 1280 input samples (16:9) .c1 ( pclk_4x ), // MODE1: 1280 output pixels from 960 input samples (960 drawn -> 4:3 aspect) .locked ( pclk_3x_lock ) ); -pll_3x_lowfreq pll_linetriple_lowfreq ( +/*pll_3x_lowfreq pll_linetriple_lowfreq ( .areset ( (H_LINEMULT != `LINEMULT_TRIPLE) | ~H_L3MODE[1]), .inclk0 ( PCLK_in ), .c0 ( pclk_3x_h1x ), // sampling clock for 240p: 320 or 256 samples .c1 ( pclk_3x_h4x ), // MODE2: 1280 output pixels from 320 input samples (960 drawn -> 4:3 aspect) .c2 ( pclk_3x_h5x ), // MODE3: 1280 output pixels from 256 input samples (1024 drawn -> 5:4 aspect) .locked ( pclk_3x_lowfreq_lock ) -); +);*/ //TODO: add secondary buffers for interlaced signals with alternative field order linebuf linebuf_rgb ( @@ -377,9 +359,9 @@ begin VSYNC_pp1 <= VSYNC_act; DATA_enable_pp1 <= DATA_enable_act; - R_out <= apply_scanlines(V_SCANLINES, R_pp1, V_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_1x); - G_out <= apply_scanlines(V_SCANLINES, G_pp1, V_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_1x); - B_out <= apply_scanlines(V_SCANLINES, B_pp1, V_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_1x); + R_out <= apply_scanlines(V_SCANLINEMODE, R_pp1, H_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_1x); + G_out <= apply_scanlines(V_SCANLINEMODE, G_pp1, H_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_1x); + B_out <= apply_scanlines(V_SCANLINEMODE, B_pp1, H_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_1x); HSYNC_out <= HSYNC_pp1; VSYNC_out <= VSYNC_pp1; DATA_enable <= DATA_enable_pp1; @@ -394,7 +376,6 @@ begin warn_h_unstable <= 1'b0; warn_pll_lock_lost <= 1'b0; warn_pll_lock_lost_3x <= 1'b0; - warn_pll_lock_lost_3x_lowfreq <= 1'b0; end else begin @@ -403,25 +384,20 @@ begin else if (warn_h_unstable != 0) warn_h_unstable <= warn_h_unstable + 1'b1; - if ((H_LINEMULT == `LINEMULT_DOUBLE) & ~pclk_2x_lock) + if ((V_MULTMODE == `V_MULTMODE_2X) & ~pclk_2x_lock) warn_pll_lock_lost <= 1; else if (warn_pll_lock_lost != 0) warn_pll_lock_lost <= warn_pll_lock_lost + 1'b1; - if ((H_LINEMULT == `LINEMULT_TRIPLE) & ~H_L3MODE[1] & ~pclk_3x_lock) + if ((V_MULTMODE == `V_MULTMODE_3X) & ~pclk_3x_lock) warn_pll_lock_lost_3x <= 1; else if (warn_pll_lock_lost_3x != 0) warn_pll_lock_lost_3x <= warn_pll_lock_lost_3x + 1'b1; - - if ((H_LINEMULT == `LINEMULT_TRIPLE) & H_L3MODE[1] & ~pclk_3x_lowfreq_lock) - warn_pll_lock_lost_3x_lowfreq <= 1; - else if (warn_pll_lock_lost_3x_lowfreq != 0) - warn_pll_lock_lost_3x_lowfreq <= warn_pll_lock_lost_3x_lowfreq + 1'b1; end end assign h_unstable = (warn_h_unstable != 0); -assign pll_lock_lost = {(warn_pll_lock_lost != 0), (warn_pll_lock_lost_3x != 0), (warn_pll_lock_lost_3x_lowfreq != 0)}; +assign pll_lock_lost = {(warn_pll_lock_lost != 0), (warn_pll_lock_lost_3x != 0), 1'b0}; //Buffer the inputs using input pixel clock and generate 1x signals always @(posedge pclk_1x or negedge reset_n) @@ -437,17 +413,21 @@ begin FID_prev <= 0; fpga_vsyncgen <= 0; lines_1x <= 0; + H_MULTMODE <= 0; + V_MULTMODE <= 0; H_ACTIVE <= 0; H_BACKPORCH <= 0; - H_LINEMULT <= 0; - H_L3MODE <= 0; H_MASK <= 0; V_ACTIVE <= 0; V_BACKPORCH <= 0; - V_SCANLINES <= 0; - V_SCANLINEID <= 0; - V_SCANLINESTR <= 0; V_MASK <= 0; + V_SCANLINEMODE <= 0; + V_SCANLINEID <= 0; + H_SCANLINESTR <= 0; + H_OPT_STARTOFF <= 0; + H_OPT_SAMPLE_MULT <= 0; + H_OPT_SAMPLE_SEL <= 0; + H_OPT_SCALE <= 0; prev_hs <= 0; prev_vs <= 0; HSYNC_start <= 0; @@ -495,17 +475,24 @@ begin end //Read configuration data from CPU - H_ACTIVE <= h_info[20:10]; // Horizontal active length from by the CPU - 11bits (0...2047) + H_MULTMODE <= h_info[27:26]; // Horizontal scaling mode + V_MULTMODE <= v_info[26:24]; // Line multiply mode + + H_ACTIVE <= h_info[19:9]; // Horizontal active length from by the CPU - 11bits (0...2047) H_BACKPORCH <= h_info[7:0]; // Horizontal backporch length from by the CPU - 8bits (0...255) - H_LINEMULT <= h_info[31:30]; // Horizontal line multiply mode - H_L3MODE <= h_info[29:28]; // Horizontal line triple mode - H_MASK <= h_info[27:22]; + H_MASK <= h_info[25:20]; V_ACTIVE <= v_info[17:7]; // Vertical active length from by the CPU, 11bits (0...2047) V_BACKPORCH <= v_info[5:0]; // Vertical backporch length from by the CPU, 6bits (0...64) - V_SCANLINES <= v_info[31:30]; - V_SCANLINEID <= v_info[29:28]; - V_SCANLINESTR <= ((v_info[27:24]+8'h01)<<4)-1'b1; V_MASK <= v_info[23:18]; + + H_SCANLINESTR <= ((h_info[31:28]+8'h01)<<4)-1'b1; + V_SCANLINEMODE <= v_info[31:30]; + V_SCANLINEID <= v_info[29:28]; + + H_OPT_STARTOFF <= hscale_info[9:0]; + H_OPT_SAMPLE_MULT <= hscale_info[12:10]; + H_OPT_SAMPLE_SEL <= hscale_info[15:13]; + H_OPT_SCALE <= hscale_info[18:16]; end prev_hs <= HSYNC_in; @@ -609,6 +596,8 @@ begin pclk_3x_cnt <= 0; pclk_1x_prev3x <= 0; line_out_idx_3x <= 0; + hcnt_3x_opt <= 0; + hcnt_3x_opt_ctr <= 0; end else begin @@ -616,14 +605,30 @@ begin begin hcnt_3x <= 0; line_out_idx_3x <= 0; + hcnt_3x_opt <= H_OPT_SAMPLE_SEL; + hcnt_3x_opt_ctr <= 0; end else if (hcnt_3x == hmax[~line_idx]) //line_idx_prev? begin hcnt_3x <= 0; line_out_idx_3x <= line_out_idx_3x + 1'b1; + hcnt_3x_opt <= H_OPT_SAMPLE_SEL; + hcnt_3x_opt_ctr <= 0; end else - hcnt_3x <= hcnt_3x + 1'b1; + begin + hcnt_3x <= hcnt_3x + 1'b1; + if (hcnt_3x >= H_OPT_STARTOFF) + begin + if (hcnt_3x_opt_ctr == H_OPT_SCALE-1'b1) + begin + hcnt_3x_opt <= hcnt_3x_opt + H_OPT_SAMPLE_MULT; + hcnt_3x_opt_ctr <= 0; + end + else + hcnt_3x_opt_ctr <= hcnt_3x_opt_ctr + 1'b1; + end + end if (hcnt_3x == 0) vcnt_3x <= vcnt_3x + 1'b1; @@ -633,7 +638,7 @@ begin vcnt_3x <= 0; lines_3x <= vcnt_3x; end - + HSYNC_3x <= ~(hcnt_3x >= HSYNC_start); //TODO: VSYNC_3x h_enable_3x <= ((hcnt_3x >= H_BACKPORCH) & (hcnt_3x < H_BACKPORCH + H_ACTIVE)); @@ -670,115 +675,4 @@ begin end - -//Generate 3x_h1x signals for linetriple M2 and M3 -always @(posedge pclk_3x_h1x or negedge reset_n) -begin - if (!reset_n) - begin - hcnt_3x_h1x <= 0; - vcnt_3x_h1x <= 0; - lines_3x_h1x <= 0; - HSYNC_3x_h1x <= 0; - h_enable_3x_h1x <= 0; - v_enable_3x_h1x <= 0; - pclk_3x_h1x_cnt <= 0; - pclk_1x_prev3x_h1x <= 0; - line_out_idx_3x_h1x <= 0; - end - else - begin - if ((pclk_3x_h1x_cnt == 0) & `HSYNC_TRAILING_EDGE) //sync with posedge of pclk_1x - begin - hcnt_3x_h1x <= 0; - line_out_idx_3x_h1x <= 0; - end - else if (hcnt_3x_h1x == hmax[~line_idx]) //line_idx_prev? - begin - hcnt_3x_h1x <= 0; - line_out_idx_3x_h1x <= line_out_idx_3x_h1x + 1'b1; - end - else - hcnt_3x_h1x <= hcnt_3x_h1x + 1'b1; - - if (hcnt_3x_h1x == 0) - vcnt_3x_h1x <= vcnt_3x_h1x + 1'b1; - - if ((pclk_3x_h1x_cnt == 0) & `VSYNC_TRAILING_EDGE & !(`FALSE_FIELD)) //sync with posedge of pclk_1x - begin - vcnt_3x_h1x <= 0; - lines_3x_h1x <= vcnt_3x_h1x; - end - - HSYNC_3x_h1x <= ~(hcnt_3x_h1x >= HSYNC_start); - //TODO: VSYNC_3x_h1x - h_enable_3x_h1x <= ((hcnt_3x_h1x >= H_BACKPORCH) & (hcnt_3x_h1x < H_BACKPORCH + H_ACTIVE)); - v_enable_3x_h1x <= ((vcnt_3x_h1x >= (3*V_BACKPORCH)) & (vcnt_3x_h1x < (3*(V_BACKPORCH + V_ACTIVE)))); //multiplier generated!!! - - //read pclk_1x to examine when edges are synced (pclk_1x=1 @ 120deg & pclk_1x=0 @ 240deg) - if (((pclk_1x_prev3x_h1x == 1'b1) & (pclk_1x == 1'b0)) | (pclk_3x_h1x_cnt == 2'h2)) - pclk_3x_h1x_cnt <= 0; - else - pclk_3x_h1x_cnt <= pclk_3x_h1x_cnt + 1'b1; - - pclk_1x_prev3x_h1x <= pclk_1x; - end -end - - - -//Generate 3x_h4x signals for for linetriple M2 -always @(posedge pclk_3x_h4x or negedge reset_n) -begin - if (!reset_n) - begin - hcnt_3x_h4x <= 0; - hcnt_3x_h4x_ctr <= 0; - h_enable_3x_prev3x_h4x <= 0; - end - else - begin - // Can we sync reliably to h_enable_3x??? - if ((h_enable_3x_h1x == 1) & (h_enable_3x_prev3x_h4x == 0)) - hcnt_3x_h4x <= hcnt_3x_h1x - (160/3); - else if (hcnt_3x_h4x_ctr == 2'h0) - hcnt_3x_h4x <= hcnt_3x_h4x + 1'b1; - - if ((h_enable_3x_h1x == 1) & (h_enable_3x_prev3x_h4x == 0)) - hcnt_3x_h4x_ctr <= 2'h1; - else if (hcnt_3x_h4x_ctr == 2'h2) - hcnt_3x_h4x_ctr <= 2'h0; - else - hcnt_3x_h4x_ctr <= hcnt_3x_h4x_ctr + 1'b1; - - h_enable_3x_prev3x_h4x <= h_enable_3x_h1x; - end -end - -//Generate 3x_h5x signals for for linetriple M3 -always @(posedge pclk_3x_h5x or negedge reset_n) -begin - if (!reset_n) - begin - hcnt_3x_h5x <= 0; - hcnt_3x_h5x_ctr <= 0; - h_enable_3x_prev3x_h5x <= 0; - end - else - begin - // Can we sync reliably to h_enable_3x??? - if ((h_enable_3x_h1x == 1) & (h_enable_3x_prev3x_h5x == 0)) - hcnt_3x_h5x <= hcnt_3x_h1x - (128/4); - else if (hcnt_3x_h5x_ctr == 2'h0) - hcnt_3x_h5x <= hcnt_3x_h5x + 1'b1; - - if ((h_enable_3x_h1x == 1) & (h_enable_3x_prev3x_h5x == 0)) - hcnt_3x_h5x_ctr <= 2'h2; - else - hcnt_3x_h5x_ctr <= hcnt_3x_h5x_ctr + 1'b1; - - h_enable_3x_prev3x_h5x <= h_enable_3x_h1x; - end -end - endmodule diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index b907082..62581d8 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -1,1051 +1,1051 @@ :020000020000FC :2000000000402074084008140800683A0000000000000000000000000000000000000000FE -:2000080006C02074DEE8001406802074D6BD161400802074109ED41400C0207418DFD614EE -:2000100010C00326100000151080010410FFFD360815800008158E00003FFF06DEFFFB0473 +:2000080006C02074DEE8001406802074D6BEE2140080207410A0B81400C0207418E1BB1454 +:2000100010C00326100000151080010410FFFD360815E8000815F600003FFF06DEFFFB04A3 :2000180000BFE084D880000500800084D880004500800344D8800085DFC0041529403FCCD7 :200020000080004428800426008000842880041E008014040000030600800C040000010624 :2000280000800404D88000C5D88000C331803FCC10800094D88000C5300002260080080417 :20003000000001060080040439C03FCC10C002143800022600BFE004000001060080100499 :200038001884B03AD8800105D900018500800204D80B883A01000044D8800145D80001C5B9 :20004000D8000205D8000245D8000285D80002C5D8000305D8000345D8000385D80003C5A4 -:2000480008104640DFC00417DEC00504F800283ADEFFFC04DC000015040020B484041804F5 -:20005000DC80021504801304DC400115900B883A2023883A000D883A8009883ADFC003151D -:20005800081604C089403FCC8009883A000D883A08160B0004400044900B883A8009883A5F -:20006000880D883A081604C0880B883A8009883ADFC00317DC800217DC400117DC00001752 -:20006800DEC0040408160841DEFFFC04DC000015040020B484041804DC800215DC4001157C -:200070002025883A2823883A8009883A000D883A01401304DFC00315081604C091403FCC75 -:200078008009883A000D883A08160B000180004489403FCC8009883ADFC00317DC80021713 -:20008000DC400117DC000017DEC0040408160B01DEFFFF0421003FCCDFC00015081013007E -:20008800DFC00017DEC00104F800283ADEFFFF0429403FCC21003FCCDFC0001508101A80BF -:200090000005883ADFC00017DEC00104F800283ADEFFFB04DCC00315DC800215DC400115A1 -:20009800DC000015DFC004152825883A3027883A2023883A2821883A8485C83A14C0060E74 -:2000A0008140000389003FCC8400004408101A808C400044003FF8060005883ADFC00417A0 -:2000A800DCC00317DC800217DC400117DC000017DEC00504F800283ADEFFFF040140FA04C6 -:2000B000DFC000150814F3401009883ADFC00017DEC00104081590C1DEFFFF04000B883ADE -:2000B800010003C4DFC00015081022C0000B883A01001844DFC00017DEC00104081022C134 -:2000C000DEFFFD04DC00001504002074841EE8048140008301000144DFC00215DC400115B9 -:2000C800081022C0808000838100030300FFFEC41004D1FA20C6703A044001041085883A44 -:2000D0001085883A1884B03A01400F448809883A80800305081022C00100004408102B8042 -:2000D8008809883A01400744081022C001400C0401001844081022C001402C84010002444F -:2000E000081022C001403E0401000284081022C001400DC4010002C4081022C0000B883A62 -:2000E800010003C4081022C0000B883A01003244081022C0000B883A01003284081022C07A -:2000F000000B883A010032C4081022C0000B883A01003304081022C0000B883A01003344EE -:2000F800081022C0000B883A01003384081022C0000B883A010033C4081022C0000B883AE3 -:2001000001003404081022C08140010301003844DFC00217DC400117DC000017DEC00304E6 -:20010800081022C1DEFFFE04DC000015040001048009883ADFC00115081021001080021424 -:200110008009883A11403FCC081022C001400C0401001844DFC00117DC000017DEC0020432 -:20011800081022C1DEFFFE04DFC00115DC00001521003FCC2000021E000B883A00003B06CD -:200120002821883A28003D2601400044010003C4081022C0814000C301001604081022C049 -:200128008140010301001644081022C08140014301001684081022C081400183010016C4E3 -:20013000081022C0814001C301001704081022C08140020301001784081022C081400243B8 -:20013800010017C4081022C08140028301001804081022C0814002C301001844081022C097 -:200140008140030301001884081022C081400343010018C4081022C08140038301001904FE -:20014800081022C0814003C301001944081022C0808000C4000B883A8400040410C00003CE -:200150001080004428CBC83A143FFC1E29401BC429403FCC01001744081022C0000B883A7A -:20015800010003C4081022C0014000C401003344081022C000800044000001060005883ABC -:20016000DFC00117DC000017DEC00204F800283ADEFFFD04DC000015000B883A2021883A38 -:20016800010003C4DFC00215DC400115081022C0044030448809883A0810210084003FCCFA -:20017000800AC03A10803F8C8809883A114AB03A081022C0014000C401003184DFC002178B -:20017800DC400117DC000017DEC00304081022C1DEFFF904DCC00315DC800215DC4001156D -:200180002025883A2823883A010001040140074404C020749CDEE804DD000415DC00001514 -:20018800DFC006153821883ADD4005153029883A081022C098C0030381C0004C00BFFF4449 -:200190001884703A39CF883A11CEB03A99C00305988003171080008C10000226010000444A -:200198000810590001001C049C0000C308102100108000CC8D4000CC00C00044100B883A47 -:2001A000A8C0052600C00084A8C0041E017FE004114AB03A00000106114010148080020CAB -:2001A80010000126294008148080004C10000126294004148080008C100001262940021435 -:2001B0008400010C800001262940011429403FCC01001C04081022C0A800131EA50000CCA0 -:2001B80000800044A080082600800084A0800E1E88803FCC1080201C10BFE00410005016BD -:2001C0000029883A00004F0688803FCC1080201C10BFE0048D00100C10004A0E00BFE80491 -:2001C8001528B03A000047060029883A0021883A01001C84081021001080070CA420B03AAA -:2001D000140AB03A29403FCC01001C84081022C0988003171080008C1000022601400044ED -:2001D80000000106000B883A01003004081022C00140054401000104081022C00140040431 -:2001E00001001844081022C094803FCC008000849080081E0140220401001884081022C051 -:2001E80001400404010018C4081022C001402104000007060140060401001884081022C082 -:2001F00001400404010018C4081022C00140030401001904081022C00100004408102B8067 -:2001F80001000104081021001140074C01000104081022C00100190408102B80010001041D -:20020000081021001140054C01000104081022C00400190401000384081021001080040C81 -:200208001000321E0100004408102B8080BFFFC41021883A10BFFFCC103FF61E00002B064B -:20021000053FE8048C400C0C008008048880081E018000C4D16000C401001CC408102500A8 -:200218000140207401800484295B9F840000090600800C0488800B1E018000C4D16000C437 -:2002200001001CC4081025000140207401800484295B968401001D840810250004000084BD -:20022800003FA306008004048880081E018000C4D160000401001CC40810250001402074AB -:2002300001800484295B9204003FF206018000C4D160000401001CC40810250001402074E7 -:2002380001800484295B9B04003FEA06000B883A01000304081022C00140100401000344DF -:20024000081022C00140004401000384081022C0000B883A01000384081022C008102D8089 -:2002480000800044DFC00617DD400517DD000417DCC00317DC800217DC400117DC00001793 -:20025000DEC00704F800283ADEFFFD04DC0000152021883A01001644DC400115DFC0021576 -:200258002823883A0810210010800BCC840000CC88000826100B883A01001644081022C0A1 -:2002600001400044010003C4081022C0800B883A00000906802091BA0100164481400414BC -:20026800114AB03A081022C001400044010003C4081022C0000B883A01001704081022C00D -:20027000000B883A010003C4DFC00217DC400117DC000017DEC00304081022C10080207446 -:20027800109CDF0410C03217D0A1BF151800042610803517108040180085C83AF800283A92 -:2002800000BFFFC4F800283ADEFFFD04DC400115280F883A2823883A200B883AD121BF17B7 -:20028800DC000015DFC002153021883A0815DA8010000B1E800D883A8463883A3440062654 -:20029000308000031005C2721005D63A3180004430BFFFC5003FF9060005883A0000010679 -:2002980000BFFFC4DFC00217DC400117DC000017DEC00304F800283ADEFFFA04DC80031597 -:2002A000DC400215DC000115DFC00515DCC0041530803FCC2023883A2825883A3021883AC9 -:2002A800100003268805883A8C89883A00001706300A923AD121BF170815A9C01027883A6D -:2002B000103FF82601402074010020740180044429589904211EEF0408150CC00180207440 -:2002B80001002074980F883A31989C0401400444211EEF040814FB4000802074109EF78470 -:2002C0001000000500FFCE0400001D061100062610C000031807C2721807D63A10800044AF -:2002C80010FFFFC5003FF906800AD23A800C923AD121BF17280A943ADC800015880F883A85 -:2002D0000815B3000007883A10000D2601402074010020740180044429589F04211EEF04A9 -:2002D80008150CC00140207401002074018004442958A204211EF78408150CC000FFCDC490 -:2002E0001805883ADFC00517DCC00417DC800317DC400217DC000117DEC00604F800283A0C -:2002E800DEFFF904DD400515DD000415DCC00315DC800215DC000015DFC00615DC400115EB -:2002F0002825883A3029883A3827883A0021883A0007883A0540400484800F2E9423C83A74 -:2002F800AC40012E04404004980D883A880B883A8009883A0810A0801000141E800D003AFB -:20030000880B883A9809883A0815F6401007883A84004004003FF0060005883A1D000C26E6 -:2003080001802074010020743198A40401400444211EEF040814FB4000802074109EF7846B -:200310001000000500BFCD440000010600BFCD84DFC00617DD400517DD000417DCC003172E -:20031800DC800217DC400117DC000017DEC00704F800283ADEFFFD04DC0001152021883A53 -:2003200001002074211EEC04DFC002150814928010803FCC10000B2601802074010020748F -:200328003198A90401400444211EEF040814FB4000802074109EF784100000050080004417 -:20033000000009060080800401002074D8800015000F883A000D883A800B883A211EEC047C -:200338000814B44010803FCCDFC00217DC000117DEC00304F800283A0140207401002074E5 -:20034000295EF784211EEF04081377410140207401002074295F0704211EF3440813774150 -:20034800DEFFFE04DC0000152021883A01000044DFC001150810590008104240000B883AF0 -:200350000009883A0810464084003FCC800F003A000D883A000B883A0100004408105F0074 -:200358008000051E000F883A000D883A000B883A01000084081005C00009883ADFC0011791 -:20036000DC000017DEC0020408105901DEFFFD04DFC00215DC400115DC00001521003FCC91 -:200368002000271ED0A1C14300C0020410C01D2600C0040410C0062600C0010410C01C1E2F -:200370000009883A081408400100004400002E0604002074841EFC04814001170100673416 -:20037800213F30040814E78081000287014007041023883A0814F34000C0207418DF240441 -:2003800010C5883A1140000B8809883A0814F34000C072B418F0DFC418800236010003C49F -:20038800000001060009883A08140840000015060009883A081408400009883A00001206F2 -:2003900000802074109F0B4410C00403044000841021883A1C4002260009883A0000010653 -:20039800010003C4081408408100040320803FCC8880042E008001441109C83A21003FCC9F -:2003A00000000106010000C4DFC00217DC400117DC000017DEC003040813A641DEFFF70413 -:2003A80000C020B4DFC00815DDC00715DD800615DD400515DD000415DCC00315DC80021560 -:2003B000DC400115DC0000150089C40418C4200419800037050020B4A50420043000020E03 -:2003B80010BFFFC4103FFA1E29403FCC21003FCC04002074081455C0841EFC041025883A2A -:2003C000808002C310000326A44000378822D43A000001060023883A01000DC40813B4C0FF -:2003C80001000E041027883A0813B4C010C003CC1806923A1CE6B03A1006D1BA18C0004C40 -:2003D00080C00245A0C00037010000848C403FCC89000E2601002074211EFC04210002C31C -:2003D8002000021E010063C424C0082E010000448900041E9CC9883A18FFFFCC213FFF84A7 -:2003E00020C005361080080C100004269D00322805C00044000003061827883A9D006428D1 -:2003E800002F883A80C002C390803FCC1800101E10001E26A0001D26D0A1C00301000084AE -:2003F00010C03FCC18C0201C18FFE00420C0060E0080207400C00044109EFC04D021C00593 -:2003F80010C002C500000C061080004400000D0610000126A0000D1ED0A1C00300FFFF041D -:2004000011003FCC2100201C213FE00420C0040ED021C005800002C50440010400000506DC -:2004080010BFFFC4D0A1C00500000106D021C0050023883A01000E440813B4C001000E84F5 -:2004100004802074102D883A949F0B440813B4C0A0006626108003CC1004923A80C00017E2 -:2004180015ACB03A00802074109EFC041CC0051E10C00117B0C0031E10C00203B8803FCCC7 -:2004200018800226D021C44500000C06D0A1C44300C0004411003FCC20C0082610C5883AB3 -:20042800D0A1C44510803FCC10C0041E88803FCC00C0008410C0012E1823883A90C0010306 -:20043000808004831880071E00802074109F0B4410C0014300802074109EFC04108004C329 -:200438001880042688803FCC00C0008410C0012E1823883A90C00243808005C318800F2665 -:2004400000802074109EFC0411000287014007040814F34000C0207418DF268410C5883A19 -:200448001080000B1080600C1000042688803FCC00C0008410C0012E1823883AD0A1C543F7 -:2004500010002726850002870140070405402074A009883A0814F340AD5F2004A885883A23 -:200458001080068B01400704A009883A1080100C100005260814F340A885883A1500040B63 -:20046000A529883A000003060814F340A885883A1500040BA53FFFCCA00A913A01000084D8 -:2004680029403C0C0813BCC0A00AD13A0100004429403FCC0813BCC08100028701400704D1 -:200470000814F340A885883A11400583010001C40813BCC088803FCC1000011E044000442E -:2004780084C000158580011585C00205914000038100038300C020740080207418DF0B441B -:20048000109EFC042900151E19400043110003C32900121E194000831100040329000F1E3C -:20048800194000C31100044329000C1E19400183110005032900091E194001C311000543D1 -:200490002900061E194004C3110008432900031E18C00503108008831880032688803FCC6A -:200498001000011E0440004491000283808006032080012608141200910003038080068359 -:2004A0002080012608141EC091000343808006C3208001260813D400910003838080070304 -:2004A800208001260813D4C091000443808007C32080071E00802074109F0B4410C00483EE -:2004B00000802074109EFC041080080318800226914004830813D0C0910002C3808006436D -:2004B80020800626014006040814F34001002074211AC5042089883A0813F6C09100040351 -:2004C00080800783208001260810D8C0910003C3808007432080012608140CC001402074F6 -:2004C8000100207401800184295F0504211F10C40814F5C01000031E01002074211F0504F4 -:2004D0000813D580014020740100207401800704295F0B44211EFF840814F8C08805883AEA -:2004D800D021C545DFC00817DDC00717DD800617DD400517DD000417DCC00317DC800217BA -:2004E000DC400117DC000017DEC00904F800283ADEFFF804DC00001504002074DCC00315BA -:2004E800DFC00715DD800615DD400515DD000415DC800215DC400115841EFC0485800287BF -:2004F00004C0207401400704B009883A0814F3409CDF20049885883A10C0068B190003CCB7 -:2004F8002000092680800443048000848480030510001226808003C3010000441100101EA8 -:200500001025883A00000E061900040C2000051E8100084320000626108006031080010C16 -:20050800100003260080004480800305000001068000030584800443000001060025883A06 -:2005100080800383010000841100051E00802074109EFC04144003C38C40004400000A0690 -:20051800010000441100051E1880080C1000051E1C4007CC8822C03A000003060023883AAA -:2005200000000106044000C4808008831000062618C0080C1800042600802074109EFC04F5 -:20052800100003050023883A85000303808004C3B009883AA02897BA1004973A014007049F -:2005300005402074A0A8B03A0814F34080C005039885883A10800503180695BA01400704D9 -:20053800A0A8B03AB009883AA0E8B03A0814F3409885883A1080028B00C020B4AD5EFC049A -:20054000100492BA18C42804A084B03A1880003580C0040380800543850002871806963ACD -:20054800100494BAA009883A0140070418A0B03A0814F34098AD883AB080054301400704BE -:20055000A009883A80A0B03A0814F3409885883A1080030B9024973A882297BA100491FA2B -:200558008084B03A14A4B03A008020B49462B03A1084240414400035B08006031080010CA4 -:2005600010000326000B883AA900084300000F0601400704A009883A0814F34000C0207412 -:2005680018DF20041885883A1080068B1080080C10000426A9400583A9000883280B003AE8 -:2005700000000206000B883A0009883ADFC00717DD800617DD400517DD000417DCC00317AD -:20057800DC800217DC400117DC000017DEC0080408109481DEFFF504DC000415040020748D -:20058000841EFC04DC4005158440011700800044DFC00A15DD400915DD000815DCC0071538 -:20058800DC800615D0A1C4458800162684C000179800142601006734880B883A213F3004EC -:200590000814E7801025883A8080020301283BF4980B883A212EC004100004260814E7803F -:20059800880B883A1009883A000003060814E780880B883A1089883A0814E7801023883A5F -:2005A000000002060445DC04048F550401000EC40813B4C001000F041027883A0813B4C020 -:2005A8008080034300C0207418D8F2041085883A1085883A1885883A11C0001780800203B9 -:2005B00080C000171000021E00801A440000010600801C040180207401002074D8C00015C8 -:2005B8003198AD0401400444211EF344D88001150814FB40014019048809883A0814E780B1 -:2005C0000140FA049009883A1029883A0814E780014019048809883A102B883A0814EDC08C -:2005C8000140FA049009883AD8800215DD0001150814EDC0014002841009883A0814E78029 -:2005D0000180207401002074D8800015A80F883A3198AF0401400444211F07040814FB40D4 -:2005D800D0A1CA831000011E0810D0C0808005C381000017D1E1C503D8800215808004C35E -:2005E00081400203A00D883AD880011580800483044020748C5EFC04D880001508145CC06A -:2005E80011003FCC2100201C213FE00400FFFFC420C0011E008001048880028584800283D8 -:2005F0000140070405402074D4A1C10594803FCC9480201C94BFE0049009883A0814F3403B -:2005F80000C0207418DF20041885883A14400603D0E1C50399003FCCAD5EFC0488E2703A7C -:20060000D461C1451140040B0814F340814001171009883A0814E78080C0020389403FCCA0 -:20060800A98000171800011E300CD07A80C0070310803FCCD8800015D8C0031580C0080388 -:20061000A1C03FCC9009883AD8C0021580C007C3D8C0011508142B40810007830810D8C05A -:20061800DFC00A17DD400917DD000817DCC00717DC800617DC400517DC000417DEC00B04BA -:200620000811390120803FCC00C003C410C00C2600C0040410C00F2600C002C4D121C3C368 -:2006280010C0211EDEFFFF04DFC00015081347C010000F2601C0207439D8B70400000E0673 -:20063000D0A1C3C310C03FCC1800072610BFFFC400000506D0A1C3C30100020410C03FCC1D -:2006380020C0013610800044D0A1C3C5F800283A01C0207439D8B50401802074010020749B -:200640003198BA0401400444211EF7840814FB400810CF800100023421284804DFC0001790 -:20064800DEC00104081590C1200F883A01802074010020743198BB0401400444211EF7841B -:200650000814FB4120803FCC00C003C410C00C2600C0040410C00F2600C002C4D121C3C333 -:2006580010C0211EDEFFFF04DFC0001508132D8010000F2601C0207439D8BF0400000E0695 -:20066000D0A1C3C310C03FCC1800072610BFFFC400000506D0A1C3C30100020410C03FCCED -:2006680020C0013610800044D0A1C3C5F800283A01C0207439D8BD04018020740100207463 -:200670003198BA0401400444211EF7840814FB400810CF800100023421284804DFC0001760 -:20067800DEC00104081590C1200F883A01802074010020743198BB0401400444211EF784EB -:200680000814FB4121003FCC008003C4208026260080040420802926DEFFFD04DC0000155D -:20068800DFC0021504002074DC400115008002C4841F20042080281ED461C103014007049A -:20069000D461C4058C403FCC8809883A0814F3408085883A10C0040B014007048809883A8E -:20069800D0E1C30D10C00583D0E1C58D10C0028BD0E1C28D10C0030BD0E1C20D1080050313 -:2006A000D0A1C08D0814F3408085883A10800543D0A1C48DDFC00217DC400117DC000017ED -:2006A800DEC00304F800283AD0A1C10310C03FCC1800072610BFFFC400000506D0A1C1030C -:2006B0000100060410C03FCC20C0013610800044D0A1C105F800283AD121C10301400704C6 -:2006B8000814F3400100207401800444808B883A211EF784DFC00217DC400117DC0000170F -:2006C000DEC0030408150CC1DEFFFA0400802074DD000415DCC00315DC800215DC4001154D -:2006C800DC000015DFC00515109EFC0410C002C3040020742029883AD461C403841F2004BF -:2006D000D4E1C08BD4A1C48B18001E261080028714401C1E8809883A014007040814F34055 -:2006D8008085883A1100040BD0E1C30B20C0131E11000583D0E1C58B20C0101E1100028B45 -:2006E000D0E1C28B20C00D1E1100030BD0E1C20B20C00A1E10C0050398803FCC1880071E94 -:2006E800014007048809883A0814F3408085883A10C0054390803FCC188002260080004486 -:2006F000D0A1C5458809883A014007040814F340D0E1C30B8085883A8809883A10C0040D07 -:2006F800D0E1C58B0140070410C00585D0E1C28B10C0028DD0E1C20B14C0050510C0030DA2 -:200700000814F34001802074010020748085883AA1FFFFCC3199AE0401400444211EF784EF -:2007080014800545DFC00517DD000417DCC00317DC800217DC400117DC000017DEC0060446 -:200710000814FB41DEFFFE04DC000015040020B4DFC001158404300400800444808000355B -:2007180080000035008020B41084280410000035008020B410842404100000350109C4048C -:20072000081590C000BFF444D0A1C1850080344480800035010000F421035004081590C097 -:20072800018001B401406734010020B43186A004297F3004210418040815FD80081368C075 -:2007300008139EC0100023260009883A0813B4C000C03FC410C02126081416C0010000842C -:200738000810210010803FCC00C004C410C01D1E0810300008109DC01021883A10001B1E41 -:2007400008121BC00009883A081347C0014020740100207401800D8429595004211F12848F -:200748000814F8C0008020B410842C0410800037108000AC1000021E081222C0000002066E -:20075000010003C4081347C00009883A0810D20000000706043FFF8400000506043FFF4486 -:2007580000000306043FFF0400000106043FFFC48005883ADFC00117DC000017DEC0020490 -:20076000F800283ADEFFFF04DFC0001508115F400813CC0000802074109F0B4411000203C4 -:20076800DFC00017DEC001040810D201DEFFF504DFC00A15DF000915DDC00815DD80071569 -:20077000DD400615DD000515DCC00415DC800315DC400215DC0001150811C4401000211688 -:20077800008012C40180207401002074000F883A3198C20401400444211EF344D880001595 -:200780000814FB400140207401002074018004442958CE04211F07040814F8C005002074C4 -:200788000810D0C0A51F0B44A080054305C020B404C020740580207407002074D0A1C385D0 -:20079000002B883A0021883A0023883A0025883ABDC42C049CDEFC04B598F204E707E3046A -:2007980000003B060180207401002074100F883A3198C70401400444211EF3440814FB408B -:2007A0000140207401002074018004442959BD04211F070408150CC00810D0C0003FFF06A3 -:2007A80010FFFF8418C03FCC010000C420C0312ED0E1C70310C02F2608123D40D0A1CA83C3 -:2007B000100002260009883A0812E84099000343D0A1C38305002074A51EFC042080011E33 -:2007B800D021C385D121C3830140020420BFFFC410803FCC2880212E20803FCC1000561E06 -:2007C00000802074109F0B4411000203A0800583208006260810D20000802074109F0B4481 -:2007C800108002039800011598800585A8803FCC10006F1ED0A1C91701004B04D021C38582 -:2007D000D0A1C615D0A1CA03D0A1C705081590C0B880003710FFFFCCD0E1C8150086303A0E -:2007D8001004D63A18C000ECD0E1C915D0A1CA05103FCB1ED021C815003FCF06100490BAD2 -:2007E0001705883A108000171000683A00811FB000811FC000811FD800811FE800811FF87A -:2007E8000081200C0081201C0081202C00812044008003C4D0A1C5050021883A0000030667 -:2007F000008003C4D0A1C50504000084044000440025883A00002006008003C4D0A1C505C8 -:2007F800040000C4003FF906008003C4D0A1C505040000C400000306008003C4D0A1C50506 -:20080000040000840023883A003FF10600800404D0A1C5050400004400000D06008003C4D0 -:20080800D0A1C5050021883A0000090604000084008003C4D0A1C5058023883A8025883ACD -:2008100000000506008003C4D0A1C505040000C4044000848825883AA1400783A1000345E8 -:20081800A00002C528803FCC0180004489003FCC3080042E00800144114BC83A29403FCCD4 -:2008200000000106014000C40813AD000813C34081403FCC91003FCC08144240A08003435A -:2008280001002074018004441085883A1085883AB085883A11400017211EF344A000011519 -:2008300008150CC00140207401002074018004442958CB04211F070408150CC0D0A1CA834A -:200838001000011E0810D0C005400044003F840691003FCC81403FCC0810E9C010803FCCB3 -:200840000100008411002526010001041100032601000044113F871E00002406A08002C32E -:2008480010000926D0A1C18300C020B418C4300410800094D0A1C18510803FCC18800035B5 -:200850000811D840003F7B06980001150813C3409880034301002074018004441085883AB8 -:200858001085883AB085883A11400017211EF34408150CC001402074010020740180044438 -:200860002958CB04211F070408150CC0D0A1CA83103F681E0810D0C0003F6606A08002C329 -:20086800103F642608115F40003F6206A08002C3103F602608113900003F5E0600C0207435 -:2008700018DF0B4401402074DEFFFF041809883A018007042958FC04DFC000150814F8C0F5 -:200878001007883A008020B410842C0410800037014020741004D4BA010020740180B60461 -:200880001080004C295AD104211F2004188002050814F8C000800044D0A1C5450005883A47 -:20088800DFC00017DEC00104F800283ADEFFF804DD000415DCC00315DC800215050020740E -:20089000048020B404C02074DD800615DC000015DFC00715DD400515DC400115002D883A1C -:200898000021883AA5195E0494842C049CDF12840140207401002074018004442959030428 -:2008A000211EEF0408150CC08405883A1085883AA085883A114000170100207401800444CE -:2008A800211EF78408150CC00810CF800023883A054000849080003710BFFFCCD0A1C81549 -:2008B00090C0003700C6303A18C000ECD0E1C91510001A26B08019268407883A98C7883AF1 -:2008B80088000A1E01402074010020740180044429590504211EEF041880000D08150CC0F2 -:2008C0000810CF800440004400000C0618C0000B10C0092601402074010020740180044402 -:2008C80029590704211EEF0408150CC00810CF800023883A0000010604400084D0A1C617FF -:2008D00010000F1ED0E1C9170080007418800C1E8000091E014020740100207401800D8461 -:2008D80029595004211F12840814F8C004400084040006C400000206843FFF8404400084D4 -:2008E000D0A1C917D5A1C817D0A1C6158D4003260109C404081590C0003FC60684000044FE -:2008E80000800684143FB20E010003C4DFC00717DD800617DD400517DD000417DCC00317ED -:2008F000DC800217DC400117DC000017DEC0080408132D81D121C817DEFFFA040140207458 -:2008F800DFC00515DCC00415DC800315DC400215DC0001150005883A295F128401800684E9 -:200900001087883A1947883A18C0000B04002074841F1284190003261180D4261080004407 -:20090800003FF70600C006841880D036100490BA00C0207418C9110410C5883A10800017C5 -:200910001000683A008124B0008124CC008124DC008124B8008124D4008124E4008124C406 -:200918000081276C008124EC008124F4008124FC0081276C0081276C0081276C0081276C90 -:200920000081276C0081276C0081252000812598008125B8008125E4008126300081261015 -:200928000081265C008126740081269C008126C4008000440000010600800084D0A1C3855B -:200930000000AA06008000C4003FFC0600800104003FFA0600800144003FF80600800184A7 -:20093800003FF606008001C4003FF40600800204003FF20600800244003FF006D0A1CA8370 -:200940001007003AD0E1CA851000031E010000440812E840000095060810D0C000009306B2 -:2009480000802074109EFC0411000287014007040814F34001C0207439DF200401802074F2 -:2009500001002074388F883A31990B0401400444211EEF040814FB40008020B41084200472 -:2009580011C0003710800037018020741005D43A0100207439FFFFCC108000CC31990E04A8 -:2009600001400444211EF784D88000150814FB400810CF8000007506D0A1C18300C020B445 -:2009680018C430041080041CD0A1C18510803FCC1880003500006D0600C0207418DF0B4483 -:20097000188000030140004411003FCC290002361145883A000001060005883A1880000547 -:200978000000620600C0207418DF0B44188000430140004411003FCC290002361145883A68 -:20098000000001060005883A188000450000570600802074109F0B4410C0008319003FCCC6 -:200988002000012618FFFFC410C0008500004F0600C0207418DF0B44188000830140038407 -:2009900011003FCC290002361080004400000106008003C4188000850000440600C02074ED -:2009980018DF0B44188001031005003A1880010500003E0600C0207418DF0B441880028375 -:2009A0000140078411003FCC290002361080004400000A060005883A0000080600C02074E1 -:2009A80018DF0B441880028311003FCC2000022610BFFFC400000106008007C41880028565 -:2009B00000002A0601402074010020740180044429591204211EEF0408150CC0014020743C -:2009B800010020740180044429591604211EF78408150CC0044020B40810CF808C442C0403 -:2009C000048006C404C00244888000370009883A10BFFFCC2107883A1C07883A18C0000B69 -:2009C80010C003262100004424BFFA1E000008069900071601400284210000440814E0408A -:2009D000010002C4D0A1C3C508118840000005068080060B110003260109C404081590C0D1 -:2009D800003FE9060810D0C0D021CA85D0A1C6171000191ED0E1C9171880006C10000A267F -:2009E00000802074109EFC04108003430100024411403FCC29000226108000440000010690 -:2009E80000800044D0A1C38518C000AC18000A2600C0207418DF0B441880000301400044EC -:2009F00011003FCC290002361145883A000001060005883A18800005DFC00517DCC0041775 -:2009F800DC800317DC400217DC000117DEC00604F800283ADEFF6C04D9000304DC008C1594 -:200A0000DFC09315DD809215DD409115DD009015DCC08F15DC808E15DC408D150810C7402A -:200A08001021883A0814CC008000891E01800104D9400304D900830408150CC00140207408 -:200A10000180010429597904D9008304081506C010000B26018020740100207431997B04CA -:200A180001400444211EEF040814FB4000802074109EF78410000005040000440000740698 -:200A2000D8800403DC808484D9400484D8808405D8800443018002049009883AD8808445CF -:200A280008150CC0D880068BD8008645D8808B0DD880070BD8808B8DD9408B17280BC2328D -:200A3000D880078BD9408715D8808B0DD880080BD8808B8DD8808B171005C232D880881544 -:200A3800D880088BD8808B0DD880090BD8808B8DD8808B171005C232D8808915D8808217FD -:200A4000D8808B151005C232D8808A1500C0788428BFF98418800B2E018020740100207403 -:200A480031997F0401400444211EEF040814FB4000802074109EF78410000005043FFFC4D7 -:200A50000000430601800044D90003040815F640D8C08A1710C00A26018020740100207462 -:200A58003199830401400444211EEF040814FB4000802074109EF784100000050000330690 -:200A600001802074010020743199870401400444211EEF040814FB40D9C088170180207418 -:200A68000100207431998B0401400444211EF7840814FB400810CF80DCC08817DD40891787 -:200A70000021883A0005883A0580800484C0132E9C23C83AB440012E044080048500800479 -:200A7800A00CD27A01002074DC400015000F883AD9400304211EEC040814B44010803FCCD5 -:200A80001000121E800D003A880B883AD90003040815F640A021883A003FEC06A880612664 -:200A8800018020740100207431998E0401400444211EEF040814FB4000802074109EF784F9 -:200A900010000005043FFF4400000106043FFF8404C000C40814CC000810CF80010003F40F -:200A980021109004081590C000BFCE4480804B0E98004A2601802074010020743199A404BE -:200AA00001400444211EEF040814FB409CFFFFC401402074010020740180044429599C0471 -:200AA800211EF78408150CC00810CF800025883A0580800405004004DC4088179440202E0E -:200AB0008CA3C83AB440012E0440800495408004A80DD27A01002074DC400015000F883A19 -:200AB800D9400304211EEC040814B44014003FCC803FD81E9025D23A880B883AA440012EC2 -:200AC00001404004900D883AD90003040810A7801021883A103FCF1EA4400236A825883A39 -:200AC800003FE50691800044897FC004D90043040810A7801021883A103FF826003FC506FA -:200AD0000140207401002074018004442959A004211EEF0408150CC0014020740100207428 -:200AD8000180044429599C04211EF78408150CC00810CF80D9808917D9408817D9C00304B8 -:200AE0000009883A0810BA001021883A103FB11E0814CC000005883A0000430600BFFFC4CE -:200AE80000004106D8808487D9C08403D8C084431000032600802074109943040000020680 -:200AF000008020741099BD040180207401002074D8C000153199930401400444211EEF04F5 -:200AF800DC800215D88001150814FB400140207401002074018004442959A804211EF7848B -:200B00000814F8C0044020B4040020740810CF808C442C04841F12848880003780C0000B27 -:200B080010BFFFCC10C00E2680C0008B10C0091E014020740100207401800444295996041E -:200B1000211EEF0408150CC004C000C404000084003F80060109C404081590C0003FEE0663 -:200B18000813C340D0A1C18300C020B418C4300410800094D0A1C18510803FCC1880003503 -:200B20000109C404081590C001402074010020740180044429599904211EEF0408150CC009 -:200B280004C000C4003F7A06DFC09317DD809217DD409117DD009017DCC08F17DC808E178B -:200B3000DC408D17DC008C17DEC09404F800283A21C03FCC01802074010020743199AD04C5 -:200B380001400444211EF7840814FB41DEFFFF040141194421003FCCDFC000150814F34054 -:200B4000014019041009883A0814D8000180207401002074100F883A3199AF0401400444D7 -:200B4800211EF784DFC00017DEC001040814FB4121C03FCC01802074010020743199B1040D -:200B500001400444211EF7840814FB41014003F4DEFFFC042950900421003FCCDFC00315E5 -:200B5800DC400215DC0001150814F340014018F4294BA8041009883A1021883A0814E7804B -:200B60008009883A014659041023883A0814E7800140FA041009883A0814EDC00140028464 -:200B68001009883A0814E7800180207401002074D8800015880F883A3199B4040140044494 -:200B7000211EF7840814FB40DFC00317DC400217DC000117DEC00404F800283ADEFFFF0492 -:200B7800014119C421003FCCDFC000150814F340014019041009883A0814D80001802074CD -:200B800001002074100F883A3199B70401400444211EF784DFC00017DEC001040814FB4166 -:200B880021C03FCC01802074010020743199B90401400444211EF7840814FB4121003FCC69 -:200B9000DEFFFF0401409C4421000044DFC000150814F340014019041009883A0814D800AF -:200B98000180207401002074100F883A3199BC0401400444211EF784DFC00017DEC001048C -:200BA0000814FB41D161C817DEFFF70401802074DC000015DFC00815DDC00715DD80061501 -:200BA800DD400515DD000415DCC00315DC800215DC400115040002C4319F128400C004446F -:200BB0008405883A1185883A1080000B114005268400004480FFFA1E21003FCC2000F326A7 -:200BB8000021883AD521CA4304802074949BA404A5C03FCCB82290FA014005049445883A8F -:200BC00014C00103158000179D403FCCA809883A0814F340B0C00117010001441885883ABA -:200BC80080FFFD4418C03FCC20C08B36180690BA01002074210BD1041907883A18C00017FA -:200BD0001800683A00812FD000812F9000812F5C00812F740081308400813084A800011E2A -:200BD800B4C000039CFFFFC49463883A8CC0010500007906B14000039463883AA900004404 -:200BE0008C4001040814E0408880000500007206B8000326A53FFFC4D521CA4500006E0662 -:200BE800D021CA85DFC00817DDC00717DD800617DD400517DD000417DCC00317DC8002175E -:200BF000DC400117DC000017DEC009040810D0C110C00103010000C4190006260100010486 -:200BF80019005D1E10800217103EE83A1023883A00005A061080031710000226010002C432 -:200C0000103EE83AD4E1CA43014005049C403FCC882890FA9505883A15800017110001031A -:200C0800B54001170814F340A885883A10C00217180049268C400044882290FA00802074B9 -:200C1000109BA4041445883A1100001719000126100001059529883AA1000103B5400117A6 -:200C1800014005040814F340A885883A108002179463883A9CC0004488800015D4E1CA4551 -:200C20000000350610C001030100008419001E2619000336010000C419002B2600002E060E -:200C28001180021781C03FCC020003C41100030310C0034331400003108003833A00081ED6 -:200C300019C03FCC2A003FCC3A00022E28BFFFC400000B0621003FCC2000091E00000706E6 -:200C380011C03FCC2A003FCC41C0022E288000440000030621003FCC200001261805883A13 -:200C400030800005000014061100021781403FCC018003C420C0000B2980051E1080030B32 -:200C4800197FFFCC1140062E18FFFFC4000004061080038B197FFFCC2880012E18C000444C -:200C500020C0000D00000406108003171000022681003FCC103EE83A0023883AD0A1CA434C -:200C580001400504100490FA90A5883A9100010394C000170814F3401025883A9880011727 -:200C600001002074211EEF041485883A114000170180044408150CC0988001170100010402 -:200C68001485883A10C0010320C03536180690BA01002074210C71041907883A18C0001782 -:200C70001800683A008131D80081320800813218008132280081323C10C0021711000417BB -:200C78000180044418C0000318C5883A1085883A2085883A1140001701002074211EF784A4 -:200C800008150CC000001E0610C0021710800417190000030000070610C0021710800417F6 -:200C88001900000B0000030610800317100006260009883A103EE83A0000110684003FCC58 -:200C900000C002C480C0042600802074109EF7841000000500000A068800021E11C003175F -:200C98000000010611C0041701802074010020743198BA0401400444211EF7840814FB407E -:200CA000DFC00817DDC00717DD800617DD400517DD000417DCC00317DC800217DC400117B1 -:200CA800DC000017DEC009040810CF81DFC00817DDC00717DD800617DD400517DD00041702 -:200CB000DCC00317DC800217DC400117DC000017DEC00904F800283A21003FCC008003C45A -:200CB80011005836009114B4DEFFB6041094D544D88000150080107410951044D8800115F8 -:200CC000008012C4D8800245208002B0DC004015DFC04915DF004815DDC04715DD804615B2 -:200CC800DD404515DD004415DCC04315DC804215DC404115D8000205D8800285240004045C -:200CD00010000E1E0140207401800D84295F1284D9000344D98002C5D80003050814F8C0CF -:200CD800800C923A014010C4D809883A0810A7801004C03A0085C83A0000340600BFF60425 -:200CE00001402074802A923A01800704D8800345295F0B4400800084D90003C40700207467 -:200CE800D98002C5D8000305D88003850025883A0814F8C0002F883A04400AC40580B6040F -:200CF00005004004E71F2004893FFFCCA10DC83AB0BFFFCCD909883AB97FFFCC94C03FCCEE -:200CF80011800C16E14B883A0814F8C0A463C83A9D4D883A01404004D809883A8DEF883A4C -:200D0000B5BFC0040810A780948000440023883A003FED06100D883A00802074109F200427 -:200D0800114B883A0814F8C0800C923A01404004D809883A34CD883A0810A7800005883A90 -:200D10000000020600BFFFC4F800283ADFC04917DF004817DDC04717DD804617DD40451774 -:200D1800DD004417DCC04317DC804217DC404117DC004017DEC04A04F800283ADEFFB70453 -:200D2000DFC04815DDC04715DD804615DD404515DD004415DCC04315DC804215DC40411540 -:200D2800DC00401521003FCC008003C41100022E047FFFC400005906240004048008943A9F -:200D3000D80D883A014040040810A080103FF81E0140207401800204295AC204D809883A92 -:200D3800081506C01023883A1000491ED88002031000491ED8C00243008012C41880461E49 -:200D4000D88002831000032600C0004410C00C2600004206D9800303D88002C3300C923AAB -:200D4800308CB03A00800D8430803C1E01002074D9400344211F12840814F8C000003706EE -:200D5000D8800317D8C002C311803FCC300C923A30CCB03A00C0070430C0301E1004D23A01 -:200D580000C0B6041025883A10BFFFCC10C02B1E010020748020923AD94003C4211F0B44E7 -:200D600005C020740814F8C00027883A002D883A05400AC405004004BDDF2004A97FFFCC5F -:200D6800A14DC83A90BFFFCCB13FFFCCD94B883A11800F16B909883A0814F8C099000044D5 -:200D70002027883A21003FCC2409883A2008923AA56BC83AD80D883A01404004ADAD883AF1 -:200D780094BFC0040810A080002B883A003FEB06100D883A00802074109F20041109883A4D -:200D80000814F8C000800044D0A1C545000003060440004400000106044000848805883A91 -:200D8800DFC04817DDC04717DD804617DD404517DD004417DCC04317DC804217DC404117C8 -:200D9000DC004017DEC04904F800283ADEFFFD04D9000005010020B4DC0001150180004483 -:200D98002821883A21041804D80B883ADFC00215081612C0813FFFCC081590C0DFC00217F4 -:200DA000DC000117DEC00304F800283AD0E1C183DEFFFC0400BFE7C41884703ADC4001158C -:200DA800044020B4D0A1C185DFC00315DC800215DC00001510803FCC8C4430048880003565 -:200DB000040005048009883A081590C0800B883A01000E04081364C0800B883A01000E441F -:200DB800081364C0800B883A8009883A081364C0800B883A01001C44081364C0800B883ACB -:200DC00001001784081364C0800B883A01001B44081364C0800B883A01000304081364C0B8 -:200DC8000480C804900B883A01000044081364C0800B883A01000184081364C0900B883A6B -:200DD00001000084081364C0D0A1C18310801014D0A1C18510803FCC88800035DFC003178E -:200DD800DC800217DC400117DC000017DEC00404F800283AD0E1C183DEFFFA0400BFE7C425 -:200DE0001884703ADC000015040020B4DC800215DC400115D0A1C185DFC00515DD000415D9 -:200DE800DCC003152025883A2823883A10803FCC84043004808000350140C8040100004445 -:200DF000081364C0D0A1C18310800814D0A1C18510803FCC80800035014004049009883A18 -:200DF800081512001029883A10803FCC1000091E014020749009883A01800444295AC4049B -:200E000008150CC09100000301400504081364C0000009060027883AA5003FCC94C5883A09 -:200E080011000003014005049CC00044081364C098803FCC153FF936D0E1C18300BFF7C478 -:200E10001884703AD0A1C18510803FCC808000350140050401003004081364C0D0A1C18382 -:200E180010800814D0A1C18510803FCC80800035014004048809883A081512001027883AC3 -:200E200010803FCC1000091E014020748809883A01800444295AC40408150CC0890000032F -:200E280001400504081364C0000009060025883A9CC03FCC8C85883A110000030140050493 -:200E300094800044081364C090803FCC14FFF936D0A1C18310801014D0A1C18510803FCCF3 -:200E380080800035DFC00517DD000417DCC00317DC800217DC400117DC000017DEC00604B8 -:200E4000F800283ADEFFFB04DC000015040020B484041804DC80021504800B04DCC0031535 -:200E4800900B883A2027883A000D883A8009883ADFC00415DC400115081604C004400044B6 -:200E5000880D883A99403FCC8009883A08160B00900B883A8009883A880D883A081604C0F2 -:200E5800880B883A8009883ADFC00417DCC00317DC800217DC400117DC000017DEC0050427 -:200E600008160841DEFFFC04DC000015040020B484041804DC800215DC4001152025883A15 -:200E68002823883A8009883A000D883A01400B04DFC00315081604C091403FCC8009883A33 -:200E7000000D883A08160B000180004489403FCC8009883ADFC00317DC800217DC40011724 -:200E7800DC000017DEC0040408160B01010020B4DEFFFD04000D883A000B883A2104180407 -:200E8000DFC00215DC400115DC00001508160B0001000284081590C00400060404400044C6 -:200E8800800B883A8809883A08139840800B883A0100008408139840800B883A010000C4E0 -:200E9000081398408809883A081390401405003ADFC00217DC400117DC000017DEC003043A -:200E9800F800283ADEFFFD04DC400115DC000015044000442021883A84003FCC8809883A72 -:200EA000DFC00215802090FA0813904000FFF9C410C4703A80A0B03A84003FCC800B883A47 -:200EA8008809883A08139840800B883A0100008408139840800B883A010000C4DFC0021755 -:200EB000DC400117DC000017DEC0030408139841DEFFFD04DC4001152023883A0100004408 -:200EB800DC000015DFC00215043FF604081390401420703A89003FCC008000842080021E15 -:200EC00084000054000003062008917A2080011480A0B03A84003FCC800B883A010000441E -:200EC80008139840800B883A0100008408139840800B883A010000C4DFC00217DC40011754 -:200ED000DC000017DEC0030408139841DEFFFB04DC000015040020B484041804DC800215BA -:200ED80004801704DCC00315900B883A2027883A000D883A8009883ADFC00415DC4001153D -:200EE000081604C004400044880D883A99403FCC8009883A08160B00900B883A8009883A31 -:200EE800880D883A081604C0880B883A8009883ADFC00417DCC00317DC800217DC400117F8 -:200EF000DC000017DEC0050408160841DEFFFC04DC000015040020B484041804DC80021524 -:200EF800DC4001152025883A2823883A8009883A000D883A01401704DFC00315081604C085 -:200F000091403FCC8009883A000D883A08160B000180004489403FCC8009883ADFC0031715 -:200F0800DC800217DC400117DC000017DEC0040408160B01DEFFFC04DC00001504000884FF -:200F10008009883ADFC00315DC800215DC4001150813B4C0014004C4010005841023883A03 -:200F18000489C4040813BCC09009883A081590C0014000C4010005C40813BCC09009883A44 -:200F2000081590C0017FE004894AB03A29403FCC8009883A0813BCC00100FA04081590C061 -:200F280089401FCC8009883ADFC00317DC800217DC400117DC000017DEC004040813BCC117 -:200F3000DEFFFE04DC0000150409C4048009883ADFC00115081590C001400444010005847C -:200F38000813BCC08009883A081590C001400084010005C40813BCC08009883ADFC0011722 -:200F4000DC000017DEC00204081590C1DEFFFE04DC0000152821883A21403FCC01000484BC -:200F4800DFC001150813BCC081403FCC010004C4DFC00117DC000017DEC002040813BCC1C2 -:200F500021403FCC01000F440813BCC121403FCC010004440813BCC1DEFFFE04DFC0011548 -:200F5800DC000015214000C32021883A010002840813BCC081400103010002440813BCC0A0 -:200F600081400143010002040813BCC081400003010003440813BCC081400043010003041F -:200F68000813BCC081400083010002C4DFC00117DC000017DEC002040813BCC1DEFFFA0406 -:200F7000DC400115DC0000152023883A2021883A01000104DD000415DCC00315DC80021513 -:200F78003829883A2825883ADFC005153027883A0813B4C0017FFE04A5003FCC1144703A95 -:200F8000A00006261140005429403FCC010001040813BCC08423883A0000030611403FCC01 -:200F8800010001040813BCC08C7FFFCC880AD13A0100004429403FCC0813BCC0880A913A8C -:200F90000100008429403C0C0813BCC099403FCC913FFFCC0814F340880B883A1009883A76 -:200F98000814F3400140FA041009883A0814E78000E327D41880092E00C0007418C45BC471 -:200FA0001880082E00C000B418C3D5C41885403A00C000C41885C83A000003060005883A71 -:200FA800000001060080004414003FCCD0A018041405883A1100000301400A040814F34026 -:200FB0008808D07A880B883A1109883A0814D800100B883A00C001C410803FCC1880012E5E -:200FB800180B883A29403FCC800491BA280A90FA010000C4288AB03A29403FCCDFC00517A5 -:200FC000DD000417DCC00317DC800217DC400117DC000017DEC006040813BCC1DEFFFE04A8 -:200FC800DC0000152021883A01000684DFC001150813B4C0017FFE8484003FCC00C00044B1 -:200FD0001144703A80C0021E10800094000001061080029411403FCC01000684DFC00117B3 -:200FD800DC000017DEC002040813BCC12140028BDEFFFE04DC000015280AD23A2021883ACB -:200FE000010012C4DFC001150813BCC081400283010012840813BCC08140030B0100134433 -:200FE800280AD23A0813BCC081400303010013040813BCC08140038B010013C4280AD23A39 -:200FF0000813BCC081400383010013840813BCC08140010B01001444280AD23A0813BCC0D9 -:200FF80081400103010014040813BCC08140018B010014C4280AD23A0813BCC08140018324 -:20100000010014840813BCC08140020B01001544280AD23A0813BCC08140020301001504C3 -:201008000813BCC08140040B010015C4280AD23A0813BCC081400403010015840813BCC0B9 -:201010008140048B01001644280AD23A0813BCC081400483010016040813BCC08140050B75 -:20101800010016C4280AD23A0813BCC08140050301001684DFC00117DC000017DEC0020456 -:201020000813BCC1DEFFFD04DC00001504000FC4DC4001152023883A8009883ADFC002153A -:201028000813B4C000FFFC0410C4703A888AB03A29403FCC8009883ADFC00217DC400117F5 -:20103000DC000017DEC003040813BCC1DEFFFD04DC40011504400684DC0000152021883A9E -:201038008809883ADFC002150813B4C080C03FCC014000C428C7C83A180691BA10800FCCEB -:201040008809883A188AB03A29403FCCDFC00217DC400117DC000017DEC003040813BCC121 -:20104800DEFFFD04DC0000152021883ADC40011584003FCC044001048809883A802090FA2F -:20105000DFC002150813B4C0108001CC808AB03A29403FCC8809883ADFC00217DC40011737 -:20105800DC000017DEC003040813BCC1DEFFFF04DFC000150813C3400009883A0813F1C0FF -:20106000000B883A01000D440813BCC001002074211AC5040813F6C0010004040814120019 -:201068000009883A081408400009883A08140CC0010001840813D400014000C401000D04FA -:201070000813BCC0010011040813D4C001402204010006C40813BCC00140020401000704E8 -:20107800DFC00017DEC001040813BCC1DEFFFD04DC0000152021883ADC40011584003FCCD4 -:20108000044004048809883A802090FADFC002150813B4C0108001CC808AB03A29403FCC7D -:201088008809883ADFC00217DC400117DC000017DEC003040813BCC121003FCC20001A264D -:20109000DEFFFD04DC400115010009842823883A01402004DC000015DFC002153021883A76 -:201098000813BCC089403FCC0080004428800426008002042880041E814016840000030683 -:2010A00081400244000001068140060429403FCC01000C44DFC00217DC400117DC000017B3 -:2010A800DEC0030400000206000B883A010009840813BCC1DEFFF704D8800917DDC007157F -:2010B000DD800615DD400515DD000415DCC00315DC800215DC400115DC000015DFC0081575 -:2010B8002C403FCC00C000442021883A3029883A382B883ADC800A17DCC00B17DD800C179F -:2010C00015C03FCC88C0082600C0020488C00D1E11400C8429403FCC010001440813BCC04F -:2010C8000140080400000C061140008429403FCC010001440813BCC0014001842809883ACA -:2010D000000006061140018429403FCC010001440813BCC001400404010001840813BCC067 -:2010D800B80D883A880B883A0100004408142380B1003FCC0813D4C0008004048880021EFD -:2010E00001400304000001060140020484003FCC010008848400201C0813BCC0843FE00440 -:2010E8008009883A01400704044020740814F3408C5F20048885883A11C0068B1100040BCA -:2010F000A9803FCC39C0100C380EC03AA17FFFCC0813DBC099403FCC91003FCC0813D0C08B -:2010F8008009883A014007040814F3408885883A11400583010001C4DFC00817DDC007170B -:20110000DD800617DD400517DD000417DCC00317DC800217DC400117DC000017DEC0090427 -:201108000813BCC1DEFFFD0429BFFF84DC400115DC000015DFC0021531803FCC008000448D -:2011100024403FCC2C003FCC11800436008000848880071E8805883A000008068800061E14 -:201118008005003A00C000841885C83A000003060005883A0000010600800084880A913ADD -:201120008C47883A10803FCC100491BA18C7883A28CAB03A214AB03A288AB03A29403FCC3E -:20112800010006440813BCC0008000C48080041E01401744010004040813BCC0000012060B -:2011300001401604010004040813BCC00080008488800D1E0080004414000B368080021E34 -:201138000140148400000106014014C4010003840813BCC00100FA04081590C00100050409 -:201140000813B4C000000B06014016C4010003840813BCC00100FA04081590C0010005043F -:201148000813B4C0008000C48080021E0140040400000106000B883A010006040813BCC0D5 -:20115000000B883A01000D84DFC00217DC400117DC000017DEC003040813BCC1DEFFFD0426 -:20115800DC4001152023883A01000504DC000015DFC002152821883A0813B4C08C403FCC1E -:2011600000C0008488C00A1E81403FCC00C0004428C0031E1080240C108024200000060642 -:201168002800031E1080220C10802220000002061004D07A1080004CDFC00217DC40011760 -:20117000DC000017DEC00304F800283ADEFFFE04DAC0030302800044DFC0011552D6983A79 -:20117800DA400403DFC0020302002074DC000015421F26040005883A037FFEC4038000846E -:2011800003FFFBC429403FCC030006844180008B40C000033400200C800005264800021ECB -:2011880078C6703A000009064B80081E000006063400400C800005264800021E68C6703AE8 -:20119000000002064A80011E0007883A19C6703A18C03FCC18000C2630C0080C1807003A72 -:2011980028C0091E40FFFE8B18C0078419000636318003CCF800032630FFFFCC18000126CE -:2011A0001AC007263000062610C000441805883A18C03FCC420007041B3FDC1E00BFFFC4D3 -:2011A800DFC00117DC000017DEC00204F800283A20803FCCDEFFF9041080201CDC400415F9 -:2011B000DFC00615DC800515DC00031510BFE0042823883A10000A0E2025883A000B883A3F -:2011B80001001DC408146B00D880028510C03FCC0100004420C02E3694001FCC00000106E5 -:2011C0002021883A0814CC00014001040009883A0814C8C00814CA408804D63ADC000005CC -:2011C800DC400105D88000458804D43A84003FCCD88000858804D23AD88000C500801004F9 -:2011D00080800426008012048080041E00BFE1C40000030600BFE5440000010600800044FD -:2011D80001400184D809883AD88001450814C780010001440814D1C01021883A044000441F -:2011E00001400044D90002840814C8C0D8800287100003168000051E0814D780000003063E -:2011E8000814D580147FF626003FFA06D8800283DFC00617DC800517DC400417DC0003174A -:2011F000DEC00704F800283ADEFFF604DC000515000B883A2021883A01001244DFC009152B -:2011F800DCC00815DC800715DC40061508146B0010803FCC100002260005883A0000430605 -:20120000010001440814D1C01023883A0480004404C03FC401400044D90004840814C8C0CD -:20120800D880048314C003268800051E0814D780000003060814D58014BFF626003FFA0624 -:20121000D8C0048300803F8418BFEB1E01400484D809883A0814C8C08080010310C0008C0C -:2012180018001326D8800183D8C001C3D9400143108000CC1004923A294003CC10C8B03A9A -:20122000D88002031006D1BA2105883A1085883AD900028310C4B03AD8C002432008D1FA85 -:2012280018C000CC18C7883A20C8B03A000010061080010C10000B26D88001C3D9000203A1 -:20123000000B883A10800FCC1004923A1104B03A1006923AD8800243010004441884B03A39 -:2012380000000306000B883A0009883A0005883A10BFFFCC10C0004421003FCC28803FCCA1 -:201240002085883A10BFFE441884983ADFC00917DCC00817DC800717DC400617DC00051783 -:20124800DEC00A04F800283ADEFFF60401402074DCC00715018002842027883A295B8704FD -:20125000D809883ADD000815DC400515DFC00915DC800615DC000415050000C40814F8C0E5 -:20125800044000440814C5C00814CC000814D00001400284D809883A0814C780000B883ADF -:20126000980000150100100408146B0010803FCC1440511E01406A840100120408146B00FA -:2012680010803FCC1440271E01400104D90002840814C8C0D88003031440471ED8C00343F4 -:2012700000802A841880441E0100FA040814D1C00814D580144003260814D58014400C1EAD -:20127800000006060150003401003A4408146B0010803FCC103FF61E003FF706000B883AB8 -:2012800001001E8408146B0010803FCC100002260021883A0000090601400104D9000284BA -:201288000814C8C0D88002831080100C1000021E0400010400000106040003040814D7805B -:2012900000002606000B883A01003A4408146B0010803FCC8880032E04000044048010444B -:20129800000002060400008404BFFA4401003E840814D1C094803FCC0814D5801440042627 -:2012A0000814D5801000081E0021883A00000606000B883A9009883A08146B0010803FCC4E -:2012A800103FF51E003FF6060814D780000B883A01001EC408146B0010803FCC100001260D -:2012B0000021883A014080040100140408146B0010803FCC100001260021883AA0BFFFC4FF -:2012B8001029883A10803FCC84803FCC10000A26903F9C26008000449C000105988000150D -:2012C0009809883A08147C8010BFFFC4988002150814CE4000000106903FF61E0814CA00D8 -:2012C8009005003ADFC00917DD000817DCC00717DC800617DC400517DC000417DEC00A046E -:2012D000F800283A20800217DEFFF804DC000115DFC00715DD400615DD000515DCC0041581 -:2012D800DC800315DC400215DC0008171180313684BFFFCC90002F26208001032827883AB4 -:2012E0003823883A1080020C300B883A1000011E300A927A0100144408146B0010803FCC46 -:2012E800100002260400008400001F06010019040814D1C00500004405403FC40140004420 -:2012F000D809883A0814C8C0D8800003154005260814D780D8C0000300803F8418BFF11E85 -:2012F800000003060814D580153FF426003FF806008080841445C83A897FFFCC1421C83AC8 -:20130000280002260009883A0814C8C0900B883A9809883A0814C8C0817FFFCC0009883A14 -:201308000814C8C00021883A0814CA008005883A00000106008000C4DFC00717DD400617CF -:20131000DD000517DCC00417DC800317DC400217DC000117DEC00804F800283A018001B434 -:2013180001406734010020B43186A004297F3004210400040815FD81280D883A200B883A25 -:20132000010020B421040004081612C1280D883A200B883A010020B42104000408160EC1EF -:20132800F800283AD0A1C18300C020B418C4300410801FCCD0A1C18518800035F800283A99 -:20133000D0E1C18300BFE0041884B03A00C020B4D0A1C18518C4300410803FCC18800035BC -:20133800F800283A01800A7401406734010020B4318CB804297F3004210400040815FD8172 -:20134000018001B401406734010020B43186A004297F3004210400040815FD81D0A1CB1758 -:2013480010000B1EDEFFFF04015A5E04213FFFCCDFC000150814F340D0A1CB15081595403E -:201350000005883ADFC00017DEC00104F800283A00800044F800283ADEFFFF04DFC0001551 -:2013580008159900D0E1CB1710C5803ADFC00017DEC00104F800283AD021CB15F800283ABF -:2013600020001B16000F883A28001616200D883A29001A2E0080080400C000440000010600 -:2013680010000D26294B883A10BFFFC418C7883A293FFB360005883A180007260005883A4D -:2013700031400236314DC83A10C4B03A1806D07A280AD07A183FFA1E380001260085C83A3D -:20137800F800283A014BC83A39C0005C003FE7060109C83A01C00044003FE30600C00044EF -:20138000003FEE0620001716000F883A2005883A280012162900162E0180080400C00044C7 -:201388000000010630000A26294B883A31BFFFC418C7883A293FFB36180005261806D07A10 -:20139000114001361145C83A280AD07A183FFB1E380001260085C83AF800283A014BC83AE3 -:20139800003FEC060109C83A01C00044003FE70600C00044003FF106200D883A2900152E32 -:2013A000280014160080080400C000440000020610000E2628000516294B883A10BFFFC4F4 -:2013A80018C7883A293FFA36180008260005883A31400236314DC83A10C4B03A1806D07A90 -:2013B000280AD07A183FFA1EF800283A0005883AF800283A00C00044003FF4062005883A93 -:2013B8002900122E280011160180080400C000440000020630000C2628000516294B883AE9 -:2013C00031BFFFC418C7883A293FFA36180006261806D07A114001361145C83A280AD07A19 -:2013C800183FFB1EF800283AF800283A00C00044003FF7060005883A2000072620C0004C61 -:2013D0002008D07A180001261145883A294B883A203FFA1EF800283AF800283A218D883A63 -:2013D800218008262080000328C0000310C0022610C5C83AF800283A21000044294000445D -:2013E000003FF7060005883AF800283A2005883A2007883A218D883A198005262900000360 -:2013E80018C0004429400044193FFFC5003FFA06F800283ADEFFF504DFC00915DC4008159B -:2013F000DC000715D9C00A1500802074109D2F04144000172800040E008022C48880001511 -:2013F80000BFFFC400001C0600C08204D8C0000DD9000415D90002152800022628FFFFC42A -:20140000000001060007883AD8C00515D8C003151100001700FFFFC4D8C0008D00C0207437 -:2014080018D5B7042821883AD9C00A04D80B883AD8C00115D800061508151B0000FFFFC42A -:2014100010C0020E00C022C488C0001580000226D8C0041718000005DFC00917DC40081767 -:20141800DC000717DEC00B04F800283A3000152631BFFFC4218D883A2080000328C0000397 -:2014200011C03FCC1A003FCC39C0201C4200201C39FFE004423FE0043A00061E218004264E -:20142800380003262100004429400044003FF2061007883A18C03FCC10803FCC10C5C83ACC -:20143000F800283A0005883AF800283A2005883A200F883A30000C262A00000338C000447E -:2014380031BFFFC43A00000542003FCC4200201C423FE00429400044180F883A403FF51E4A -:20144000198D883A00000106F800283A30C003261800000518C00044003FFC06F800283AD6 -:20144800214B883A2005883A1140021E1105C83AF800283A10C00007183FFC261080004468 -:20145000003FF906DEFFFB04DC800315DC400215DC000115DFC004152025883A2823883AFD -:20145800D98000053821883A04000A0E8880011701C00044D80D883A880B883A9009883A63 -:20146000103EE83A843FFFC4103FF72600BFFFC4000001060005883ADFC00417DC8003178A -:20146800DC400217DC000117DEC00504F800283ADEFFE504D8C00804DDC01815DD8017157D -:20147000DD401615DD001515DCC01415DC801315DC401215DC001115DFC01A15DF001915A4 -:201478002029883A2823883A382D883AD9800F150021883AD8000E15D8000A15002B883AD6 -:201480000027883A0025883AD8000C15D8000B15002F883AD8C00915D8C00F17190000030A -:2014880020803FCC1080201C10BFE00410011E2600C00044B8C014261DC00216B800062636 -:201490000001150601400084B9401D26014000C4B9402B2600011006014009441140FC26B8 -:2014980088800117D900000501C00044D80D883A880B883AA009883A103EE83A1000D81E54 -:2014A000840000440001040601400C041140FA260140094411400A1ED88000058880011713 -:2014A800B80F883AD80D883A880B883AA009883A103EE83A1000CA1E840000440000F50609 -:2014B00025FFF404BDC03FCC00C002441DC0093600BFFFC490800426014002849009883A78 -:2014B8000814F340000001060005883AB8A5883A0000E20601400B841140E42605C000847C -:2014C000213FF40427003FCC00C002441F00093600BFFFC498800426014002849809883A30 -:2014C8000814F340000001060005883AE0A7883A0000D90600C01B0410C0D226013FFFC415 -:2014D00099000226D8000B150000010604C0004401001A441100162620800916010018C4EC -:2014D800110088260100190411001126010016041100C81E00C00044D8C00E1500001506E3 -:2014E00001001CC4110098262080041601001BC41100C01E0540020400000F0601001D44F1 -:2014E80011000D2601001E0411000A260000B906D8C00A17B700010418000726DF000D15C2 -:2014F000B5C00017B800080E05EFC83A024000440000060605400404B0C00104D8C00D157E -:2014F800B5C00017D8000A150013883AD839883AB8001726A80B883AB809883ADA4010157D -:201500000814E780A80B883A1009883A102D883A0814F340B885C83A00C00244DA40101724 -:201508001880021610800C0400000506D8C00E171800022610800DC400000106108015C49A -:20151000E0800005B02F883AE7000044003FE806E6EFC83A9DC5C83A0080090EE085883A64 -:2015180001400C04D8C00917E009883AE0C0032EE700004421400005E0BFFA1EE6EFC83A0F -:20152000D8C00B174DD1883A922DC83A1800162648000A2600800B44D88008058880011730 -:2015280001C00044D9800804880B883AA009883A103EE83A10004A1E840000440580070E2F -:20153000B00F883A01800C04880B883AA009883A081514401000421E85A1883AE02D883A31 -:20153800BF2FC83A000020060580090EB00F883A01800804880B883AA009883ADA401015D4 -:2015400008151440DA4010171000351E85A1883A483FF22600800B44D8800805888001179B -:2015480001C00044D9800804880B883AA009883A103EE83A10002A1E84000044003FE7069D -:20155000B5BFFFC4B080000301C00044D9800804D880080588800117880B883AA009883A62 -:20155800103EE83A10001E1E8585C83AB5C9883AE085883A013FF2161021883ADD800D1788 -:2015600000004406008000441480080E95FFFFC4B80F883A01800804880B883AA009883A86 -:201568000815144010000E1E85E1883AB080001701C00044D80D883AD8800005888001171E -:20157000880B883AA009883AB5C00104103EE83A1000031E84000044B82D883A00002D06DE -:2015780000BFFFC400003106B5C00017B7000104B809883A08157E409091C83A102D883AD2 -:201580000200090E400F883A01800804880B883AA009883ADA00101508151440DA00101763 -:20158800103FEF1E8221883A88800117B00F883AB80D883A880B883AA009883A103EE83A2A -:20159000103FE71E85A1883AE02D883A0000110600C0004404FFFFC4D8000E15D8C00A159D -:20159800054002849825883AD8000C15D8000B15182F883A00000806DDC00B1505C00084DB -:2015A0000000050600C00044D8C00C1505C000C400000106002F883AD8C00F1718C0004408 -:2015A800D8C00F15003EDC068005883ADFC01A17DF001917DDC01817DD801717DD40161780 -:2015B000DD001517DCC01417DC801317DC401217DC001117DEC01B04F800283A2880000BB2 -:2015B80010C0020C1800202628C0008FDEFFFD04DC000015DFC00215DC4001152821883A9E -:2015C0001800150E10C0800C180013262C40051789C0030E10C0200C1800032600000E06F0 -:2015C8003C40010E3823883A81000417300B883A880D883A08157840808005171445C83A1F -:2015D00080800515808004171463883A844004150005883A00000606108010148080000D1C -:2015D80000BFFFC40000020600BFFFC4F800283ADFC00217DC400117DC000017DEC0030409 -:2015E000F800283A2005883A218F883A290002361007883A00000C062987883A20FFFC2E31 -:2015E800380B883A30CDC83A1989883A2000052618FFFFC419000003297FFFC429000005A1 -:2015F000003FF906F800283A19C005262900000318C0004429400044193FFFC5003FFA06EF -:2015F800F800283A2005883A10C000071800022610800044003FFC061105C83AF800283AF4 -:20160000DEFFFF040100207401402074DFC00015211B9204295D33042140061E0100207423 -:201608000140207421000804294008042140121E00000B0600C0207418DD33041907C83A07 -:201610000005883A10FFF526114F883A39C00017110D883A1080010431C00015003FF906DE -:20161800010020740140207421189904295899042140101E00000B0600C0207418C008047C -:201620001907C83A0005883A10FFF526114F883A39C00017110D883A1080010431C00015EA -:20162800003FF90608161E80DFC00017DEC001040816258100C0207418DB92041907C83A8C -:201630000005883A18BFF726114F883A39C00017110D883A1080010431C00015003FF906F4 -:20163800DEFFFF040009883ADFC000150815910008159300D1A1CC17D161CD17D121CE1793 -:20164000DFC00017DEC001040811DAC108161681DEFFFF04DFC00015081625C0008000446D -:201648001001703ADFC00017DEC00104F800283A008020B410840804D0A1D0150100207435 -:201650000080673410BF3004211CDF04D0A1CF150815DF81D0E1CF17D0A1D01718000A2633 -:2016580010C001040100020419000035013FFFD41100023511000335008001041880003552 -:201660000005883AF800283A00BFFFC4F800283AD0A1CF1710000926D0E1D017188004049F -:20166800100000351080003718C005371806943A10BFFFCC1884303AF800283A00BFFFC4DA -:20167000F800283AD0A1CF17F800283A20001D262804923A20C03017DEFFFD04DC400115BD -:20167800DC000015DFC002152823883A2021883A108000D41880033580C030171880003711 -:201680001080004C100003260100004408161680003FF906198000373007D0BA3009D0FA6A -:2016880018C001CC2100020C1908B03A3007D07A18C0040C1906B03A88C0042600BFF48448 -:201690000000020600BFFA84F800283ADFC00217DC400117DC000017DEC00304F800283ABD -:2016980020000A26280009263000082620800C173080001520800C171000062621000D0474 -:2016A000290000150005883AF800283A00BFFA84F800283A00BFFEC4F800283A2005883A72 -:2016A80020001D262809883A28001B1610C0311728C0192E1140341728FFFFC41906703ADD -:2016B0001800151EDEFFFE04DC000015DFC001151021883A0814E7801004923A00C04034C0 -:2016B80018FFC00410C4703A80C03017108000941880033580C0301718C004041880003708 -:2016C0001080004C10000626008000441880003500BFFEC40000020600BFFA84F800283A41 -:2016C800DFC00117DC000017DEC00204F800283ADEFFF504DC000115DFC00A15DF000915D7 -:2016D000DDC00815DD800715DD400615DD000515DCC00415DC800315DC400215DC000B173E -:2016D80028003A163023883A300038162027883A20003626382B883A3800342620803117C3 -:2016E0003080322E2880312E208034173147C83A10C7C83A1C002D3680002C1610BFFFC4C2 -:2016E800114A703A2800291E0029883A05BFFFC405C000C40700010480002226DD8000152D -:2016F000890000CC20000626E105C83A8025883A1400012E1025883A8923C83A00000406F8 -:2016F800BC0002368025883A0000010604800104AD0B883A900D883AD909883A0814F8C096 -:2017000098802E17D8C00017A4A9883A84A1C83A8885883A10C000359880301710800404BC -:2017080010C0003718C0008C1800042600C0008410C0003500BFFEC4000005068C4001046E -:20171000003FDD060005883A0000010600BFFA84DFC00A17DF000917DDC00817DD80071796 -:20171800DD400617DD000517DCC00417DC800317DC400217DC000117DEC00B04F800283A21 -:2017200020001626DEFFF604DD000515DFC00915DDC00815DD800715DD400615DCC00415A2 -:20172800DC800315DC400215DC0001153029883A30000C262021883A20802E1780C02F171D -:201730002823883A2885883A382B883A3889883A10C0042E20C005360000020600BFFA8413 -:20173800F800283A00BFFA8400002406814034178809883A002D883A0814E780102F883AFE -:2017400080803317B8801C2EA8001B2684C0341704E7C83A9C66703A8CC002268CE5C83AC5 -:20174800000001060025883A980B883A8009883A0815A9C01000111E8080341714A5C83A18 -:20175000AC80012EA825883ADC800015A58F883A880D883A980B883A8009883A0815B30051 -:201758001000061EACABC83AB4AD883A8CA3883ABDC00044003FE2060005883ADFC0091762 -:20176000DDC00817DD800717DD400617DD000517DCC00417DC800317DC400217DC000117A9 -:20176800DEC00A04F800283A200008263007883A3000062620802E17288B883A20802F1778 -:20177000394D883A2880012E3080023600BFFA84F800283ADEFFFF04380D883A1809883AF1 -:20177800DFC000150814F8C00005883ADFC00017DEC00104F800283A20004E2620803017D4 -:2017800010004E2620C03217DEFFFB04DFC00415DCC00315DC800215DC400115DC000015BE -:2017880018001E1E1480023700C0030494803FCC90BFFAC418804336100490BA00C020746A -:2017900018D7940410C5883A108000171000683A00815EBC00815ECC00815ED400815EC426 -:2017980000815E8400815F4400815F4400815F4400815F4400815F4400815F4400815E8C8B -:2017A00000815E94044080040000130604410004000011060442000400000F06148001374A -:2017A8000080058494803FCC90800A260080060490800426008005049080221E04400804CC -:2017B00000000506044040040000030604401004000001060440200424C034172021883A84 -:2017B8008809883A980B883A0814F34080C0311710C0021E80C0331788C0022680000C15F7 -:2017C0000000100600C000448480361580C00C1580000D1580800E1584400F1584C0101529 -:2017C800D1601B048009883A08161EC00005883A0000050600BFFA84F800283A00BFFB4403 -:2017D000F800283A00BFFB44DFC00417DCC00317DC800217DC400117DC000017DEC00504EE -:2017D800F800283A300001260005C03200FFFF042005883A28C6703A110DC83A30C0042E86 -:2017E00011800017300DC0F210800104003FFA06294000CC00C000C428C0041E10C0000BE0 -:2017E8001807C0B2108000830000080600C0008428C0031E1080000B1005C0B200000406B6 -:2017F00000C0004428C0021E108000031005C0720005C132F800283ADEFFFD04DC40011591 -:2017F800DC0000152823883A2021883A014001443009883ADFC002150814F340100B883A6D -:201800008809883A0814E78010BFFFC480C0020418000035010000448100043511003FCCB2 -:20180800810000351004D23A10803FCC808001350080200418800035DFC00217DC400117BC -:20181000DC000017DEC00304F800283A3180004C294B883A298B883A21400335210004045B -:201818000080240420800035208000371080008C103FFD1E208000371004D1FA1080004C44 -:20182000F800283A20C004042800022600801A040000010600800804188000351880003749 -:201828001080008C103FFD1E20800337F800283A29403FCC21400335210004043000022658 -:2018300000801404000001060080040420800035208000371080008C103FFD1E2080003768 -:201838001004D1FA1080004CF800283A21C004042805883A02000B04210003041147C83A10 -:201840001980090E3A00003538C0003718C0008C183FFD1E2800022620C0003710C0000528 -:2018480010800044003FF506F800283A21C003042805883A21000404020007041147C83AB1 -:201850001980080E10C0000338C000352200003520C0003718C0008C183FFD1E10800044B1 -:20185800003FF606F800283A014AAAF4DEFFFE04296AAA84DC000015DFC001152021883AA9 -:201860000814E78010000F2601600034013555740007883A297FFFC421155584297FFFC45E -:20186800283FFE1E18C000448121883A18BFFB168405883A1421883A843FFFC4803FFE1ED2 -:20187000000004068405883A1421883A843FFFC4043FFE160005883ADFC00117DC000017BE -:20187800DEC00204F800283AF800283A20000226208002171000101ED0A01A1710000926D9 -:20188000DEFFFF04DFC00015103EE83A00C0058410C0001500BFFA84DFC00017DEC0010480 -:20188800F800283AD0A1D10400C0058410C0001500BFFA84F800283A2880001721400115A5 -:20189000208000152880001711000115290000150005883AF800283AF800283A000170FA79 -:20189800F800283A73616C4672652068006573616F727265642520720000000073616C465F -:2018A00072772068006574696F7272650000007273616C4665762068206669726C69616665 -:2018A8000000000053206F4E6163204464206472002E74652520732500632575252E7525A0 -:2018B0006B75322E25207A482E252E757A4875320000000064616F4C0000646564616F4CA9 -:2018B800696166200064656C00007325746F6C530075252065766153000000646576615315 -:2018C000696166200064656C4353534F776620207525202E322E252E0000007574696E498A -:2018C800727265202020726F000064252020202053204F4E00434E59343130323130322DEC -:2018D0006D202036737172610000000074736554746170206E726574000000003A3156419E -:2018D80042475220000000533A31564173475220000000423A315641625059200000725043 -:2018E0003A32564162505920000072503A32564173475220000000423A3356414247522088 -:2018E800000056483A33564142475220000000533A33564173475220000000423A3356417A -:2018F00062505920000072500081634C0081635C0081636800816374008163800081638C03 -:2018F80000816398008163A4008163B0008163BC0000000000000000001000000344060B30 -:2019000000000100808000001A1A1A807365725000000073666E6F43006D72696D73694D87 -:20190800686374616572202C00797274646F4D567325203A00000000203A4F4C5620752530 -:20191000203A4D5300007525666F725020656C6964616F6C0000003A736572702D302073AE -:2019180000000039000000310000003200000033000000340000003500000036000000370A -:201920000000003800000030554E454D0000000000004B4F4B4341420000000000005055BA -:201928004E574F44000000005446454C0000000048474952000000544F464E490000000092 -:201930005F44434C4B4341424847494C000000544E414353454E494C444F4D5F000000450B -:201938004E414353454E494C5059545F000000454E414353454E494C544E495F0000002BDF -:201940004E414353454E494C544E495F0000002D454E494C544C554D444F4D5F00000045D5 -:201948005341485000002B455341485000002D45464F52505F454C494B544F480000594501 -:201950003EA93E293EE93E693E993E193ED93E593EC93E393E1D3E4D3E2D3EED3EAD3ECD8F -:201958003E653E6D1C483E011C501C181CC81CD05ED85E5800003EB9008164640081646895 -:201960000081646C0081647000816474008164780081647C00816480008164600081648497 -:201968000081648800816490008164940081649C008164A0008164A8008164B0008164B83F -:20197000008164C0008164D0008164E0008164F00081650000816510008165200081652873 -:20197800008165304353534F0000000061766E492064696C67616D690000006561766E4989 -:201980002064696C646165680000726561766E492064696C2072646800435243696C6156DC -:20198800697461646420676E0061746162207525736574790000000061766E492064696C46 -:20199000617461644352432000000000252E75252575322E00732573636E6143656C6C659C -:201998000000006461647055676E69740057462061656C70772065732E74696100002E2EF9 -:2019A000697265566E6979666C6620670068736172746552676E697964707520006574614F -:2019A80061647055203F65742C593D314E3D32200000000020202020000075256420642566 -:2019B000000067656C20752573656E6900000000252E75252075322E000073756D20642596 -:2019B80000000056702075256C657869000000732525752500000000656469566E69206F98 -:2019C0006F7270203E20206300000000706D6153676E696C74706F203E20202E000000005B -:2019C800636E795374706F202020202E3E202020000000007074754F6F207475202E747071 -:2019D0003E2020200000000074736F506F72702D20202E633E20202000000000616F4C3C6E -:2019D800727020646C69666F003E20657661533C727020656C69666F003E20657365523C7C -:2019E00073207465697474653E73676E00000000657365526F6420740000656E2E77463C4F -:2019E80064707520206574613E202020000000002D204B4F736C7020736572207472617403 -:2019F000000000006C696166000064656E616353656E696C000000736E616353656E696CA5 -:2019F800727473200000002E6E616353656E696C70797420000000656E616353656E696CEC -:201A0000696C61202E6D6E670000000069726F48746E6F7A6D206C61006B73617472655669 -:201A08006C61636973616D200000006B703034323838322F696C20703358656E00000000BF -:201A1000656E694C706972746D20656C0065646F703038343637352F696C20703258656E3A -:201A180000000000693038343637352F6170206972747373000000006D2058540065646F41 -:201A200074696E49206C616975706E69000000746C616E417320676F20636E790046504C5B -:201A28006C616E417320676F20636E79006874566E7973486F7420636172656C0065636E3B -:201A30006E797356687420636873657200646C6F4C502D487250204C6F432D6500747361CB -:201A38004C502D486F50204C432D74737473616F00000000706D6153676E696C61687020B0 -:201A40000000657370303834206E6920706D61730072656C7664413C6974202E676E696D6A -:201A48003E2020200000000065646956504C206F00000046506250596E6920726C6F4320E5 -:201A50000061705372502F5266666F200074657320592F477366666F0000746562502F42CF -:201A580066666F200074657372502F52696167200000006E20592F476E6961670000000037 -:201A600062502F42696167200000006E73202E486C706D61746172650000006573202E48B7 -:201A68006C636E7900006E6561202E48766974630000006561202E567669746300000065A3 -:201A700062202E48706B63616863726F0000000062202E56706B63616863726F00000000C2 -:201A780000706F5474746F4200006D6F69726F48746E6F7A00006C61747265566C61636942 -:201A80000000000065746C4174616E7200676E690066664F6F74754100000000756E614D88 -:201A880000006C61494D44480000000000495644656E654720636972393A363100000000B5 -:201A9000656E65472063697200333A3478303233203034326974706F00002E6D7836353289 -:201A9800203034326974706F00002E6D484D33336D28207A00296E69484D30316D28207AD2 -:201AA000002964654D352E3228207A482978616D00000000205654447030383400000000BF -:201AA800415345563034362030383478003036402E63655231303620000000002E63655234 -:201AB0003930372000000000484D35394828207A2056544400294949484D35334828207A79 -:201AB8002056544400002949484D36314528207A29565444000000007A484D39445328204D -:201AC0000029565400006E4F44525355004154410000002000816AB00000200020002CE556 -:201AC800E926F4FD38BC20000000000000816ABC000020002000323EF113FA043B612000D5 -:201AD00000000000703034324D334C5F05000030177000F001060618034810AA004100129C -:201AD800703034324D334C5F03C00031177000F00106049203361080004200127030343292 -:201AE0004D334C5F01400032177000F0010601AA031F103100440011703034324D334C5F36 -:201AE80001000033177000F0010601550319102700480011703034320000000002D0000052 -:201AF000177000F00106035A033C104100500012703838324D334C5F0500003013880120DB -:201AF80001380618034810AA00410012703838324D334C5F03C00031138801200138049263 -:201B00000336108000420012703838324D334C5F01400032138800F0013801AA031F29310D -:201B080000440011703838324D334C5F01000033138800F001380155031929270048001118 -:201B1000703838320000000002D000001388012001380360033C1041005000127034383378 -:201B18000000000001F000001686018001A70280033E1D32005000047830343600343833E0 -:201B200002800000157C018001EC032002603F3000100010693038340000000002D0000039 -:201B2800176A00F0020D035A033C104100700012703038340000000002D00000176A01E06E -:201B3000020D035A063E1E3C00800014783034360030383402800000177001E0020D03202D -:201B38000260213001000014783034360032313502800000177002000238032002601C3005 -:201B400000000010693637350000000002D000001388012002710360033C104100700012F4 -:201B4800703637350000000002D000001388024002710360063C204100000004783030382F -:201B5000003030360320000017700258027404200480175800000010703032370000000035 -:201B580005000000176A02D002EE0672052814FF0000000830383231303237780500000084 -:201B6000177002D002EE0672052814DC000000103432303138363778040000001770030005 -:201B68000326054006881DA00000001030383231323031780500003417700400042A06982E -:201B7000037026F800000010303830310000006907800000176A043804650898052C109460 -:201B780000200008303830310000007007800000176A043804650898052C24BC0000000886 -:201B80003032393138303178078000301770043804650898052C249400000010FFFFFFFFF0 -:201B8800FFFFFFFF0000FFFF7665642F6370652F6F635F716F72746E72656C6C615F305F0B -:201B90006D5F6C7600006D65000000000000000000000000000000000000000000000000B5 -:201B980000000000000000000000000000000000000000000000000000000000000000002D -:201BA000000000000000000000000000000000000000000000000000000000000000000025 -:201BA80000000000000000000000000000000000000000000000000000000000000000001D -:201BB000000000000000000000000000000000000000000000000000000000000000000015 -:201BB80000000000000000000000000000000000000000000000000000000000000000000D -:201BC000000000000000000000000000000000000000000000000000000000000000000005 -:201BC8000000000000000000000000000000000000000000000000000000000000000000FD -:201BD0000000000000000000000000000000000000000000000000000000000000000000F5 -:201BD8000000000000000000000000000000000000000000000000000000000000000000ED -:201BE0000000000000000000000000000000000000000000000000000000000000000000E5 -:201BE8000000000000000000000000000000000000000000000000000000000000000000DD -:201BF0000000000000000000000000000000000000000000000000000000000000000000D5 -:201BF8000000000000000000000000000000000000000000000000000000000000000000CD -:201C00000000000000000000000000000000000000000000000000000000000000000000C4 -:201C08000000000000000000000000000000000000000000000000000000000000000000BC -:201C10000000000000000000000000000000000000000000000000000000000000000000B4 -:201C18000000000000000000000000000000000000000000000000000000000000000000AC -:201C20000000000000000000000000000000000000000000000000000000000000000000A4 -:201C280000000000000000000000000000000000000000000000000000000000000000009C -:201C3000000000000000000000000000000000000000000000000000000000000000000094 -:201C380000000000000000000000000000000000000000000000000000000000000000008C -:201C4000000000000000000000000000000000000000000000000000000000000000000084 -:201C480000000000000000000000000000000000000000000000000000000000000000007C -:201C5000000000000000000000000000000000000000000000000000000000000000000074 -:201C580000000000000000000000000000000000000000000000000000000000000000006C -:201C6000000000000000000000000000000000000000000000000000000000000000000064 -:201C680000000000000000000000000000000000000000000000000000000000000000005C -:201C7000000000000000000000000000000000000000000000000000000000000000000054 -:201C780000000000000000000000000000000000000000000000000000000000000000004C -:201C8000000000000000000000000000000000000000000000000000000000000000000044 -:201C880000000000000000000000000000000000000000000000000000000000000000003C -:201C9000000000000000000000000000000000000000000000000000000000000000000034 -:201C980000000000000000000000000000000000000000000000000000000000000000002C -:201CA000000000000000000000000000000000000000000000000000000000000000000024 -:201CA80000000000000000000000000000000000000000000000000000000000000000001C -:201CB000000000000000000000000000000000000000000000000000000000000000000014 -:201CB80000000000000000000000000000000000000000000000000000000000000000000C -:201CC000000000000000000000000000000000000000000000000000000000000000000004 -:201CC8000000000000000000000000000000000000000000000000000000000000000000FC -:201CD0000000000000000000000000000000000000000000000000000000000000000000F4 -:201CD8000000000000000000000000000000000000000000000000000000000000000000EC -:201CE0000000000000000000000000000000000000000000000000000000000000000000E4 -:201CE8000000000000000000000000000000000000000000000000000000000000000000DC -:201CF0000000000000000000000000000000000000000000000000000000000000000000D4 -:201CF8000000000000000000000000000000000000000000000000000000000000000000CC -:201D00000000000000000000000000000000000000000000000000000000000000000000C3 -:201D08000000000000000000000000000000000000000000000000000000000000000000BB -:201D10000000000000000000000000000000000000000000000000000000000000000000B3 -:201D18000000000000000000000000000000000000000000000000000000000000000000AB -:201D20000000000000000000000000000000000000000000000000000000000000000000A3 -:201D280000000000000000000000000000000000000000000000000000000000000000009B -:201D3000000000000000000000000000017804E53CCE00813FAE03833F333D4905B80383F8 -:201D3800009301B404163C493CD93F9F04163F10020E04093D0E00C83F6E03833ED03DACEE -:201D400004B2038300E9026404163C933D493F5604163E9F008174600000000000000000A8 -:201D4800000000000000000000000000008166F8000000030081748800000000000000001C -:201D50000081670C000000030081748000000000000000000081672000000003008174788F -:201D58000000000000000000008167340000000300817470000000000000000000816748B7 -:201D6000000000030081746800000000000000000081675C0000000300000000008118849F -:201D6800000000000081676C000000030000000000811944000000000081677C00000004BE -:201D7000008121BC00816790008166F40081679C00000004008127F4008167B0008167C43A -:201D7800008167CC0000000000817C2D0002000100817224008167D80000000100817C2F66 -:201D8000000F000000812E3C008167E80000000000817C2E0002000100817218008167F860 -:201D88000000000000817C3000010001008174980081680C0000000100817C33003F00001A -:201D900000812E200081681C0000000100817C34003F000000812E200081682C000000000A -:201D980000817C3100010001008174B0008168400000000000817C320003000100817230D7 -:201DA000008168500000000000817C4000010001008174B0008168640000000000817C417B -:201DA80000010001008174B0008168780000000000817C3500010001008174A000816880E1 -:201DB0000000000000817C420009000100817274008168900000000000817C3C00030001AD -:201DB80000817240008168A00000000100817C39001F000000812DDC008168B000000001D5 -:201DC00000817C3A00FF000000812D4C008168C00000000100817C3B00C80A0000812D4C25 -:201DC800008168D00000000100817C3E0005000000812D30008168E00000000100817C3F1D -:201DD0000005000000812D30008168F40000000100817C37001F000100812CEC0081690457 -:201DD8000000000000817C36000200010081725000816914000000030081749000811A044D -:201DE00000000000008169280000000000817C3D000500010081725C008169340000000024 -:201DE80000817C3800010001008174A8008169440000000100817C4300FF000000812CD01C -:201DF000008169500000000100817C4400FF000000812CD00081695C0000000100817C4552 -:201DF80000FF000000812CD0008169680000000100817C4600FF000000812CD000816974DF -:201E00000000000100817C4700FF000000812CD0008169800000000100817C4800FF000052 -:201E080000812CD00081698C0000000200817B6408FC012C00811B080081699C0000000208 -:201E100000817B6E00C8000A00811B08008169A80000000200817B62078000C800811B08ED -:201E1800008169B40000000200817B6004B000C800811B08008169C00000000200817B5A8C -:201E200000FF000100811B08008169D00000000200817B6A00FF000100811B08008169EC62 -:201E2800008169F800816A0400816A1000816A1400816A1C00816A3000816A4000816A4C4B -:201E300000816A5C00816A1000816A6C00816A7800816A8400816A1400816A9400816AA01E -:201E380000816A1400816A1000816AC800816AD800816AE800816AF80081634C0081635CF4 -:201E40000081636800816374008163800081638C00816398008163A4008163B0008163BCD2 -:201E4800000000000081735C008173640081736C0000000000000000000000000000000072 +:2000480008107740DFC00417DEC00504F800283ADEFFFB0400800104D8800005008000448C +:20005000D880004500800284D8800085D88000C3DFC0041521003FCC1080020C108000548F +:2000580010800414D88000C5D8800103108000D4D88001052000021E008001440000010699 +:20006000008000C4D9000103108001CC1085883A00FFF8C420C6703A1085883A1884B03A83 +:20006800D8800105D88001C3D80B883A01000044108001CCD8000185D88001C508108A0099 +:20007000DFC00417DEC00504F800283ADEFFFC04DC000015040020B484041804DC800215F8 +:2000780004801304DC400115900B883A2023883A000D883A8009883ADFC0031508166CC01E +:2000800089403FCC8009883A000D883A0816730004400044900B883A8009883A880D883A5A +:2000880008166CC0880B883A8009883ADFC00317DC800217DC400117DC000017DEC0040473 +:2000900008167041DEFFFC04DC000015040020B484041804DC800215DC4001152025883A8B +:200098002823883A8009883A000D883A01401304DFC0031508166CC091403FCC8009883AA1 +:2000A000000D883A081673000180004489403FCC8009883ADFC00317DC800217DC4001179A +:2000A800DC000017DEC0040408167301DEFFFF0421003FCCDFC0001508101CC0DFC00017A3 +:2000B000DEC00104F800283ADEFFFF0429403FCC21003FCCDFC00015081024400005883ABC +:2000B800DFC00017DEC00104F800283ADEFFFB04DCC00315DC800215DC400115DC0000154F +:2000C000DFC004152825883A3027883A2023883A2821883A8485C83A14C0060E8140000379 +:2000C80089003FCC84000044081024408C400044003FF8060005883ADFC00417DCC00317BC +:2000D000DC800217DC400117DC000017DEC00504F800283ADEFFFF040140FA04DFC00015A0 +:2000D80008155B401009883ADFC00017DEC001040815F8C1DEFFFF04000B883A010003C4D1 +:2000E000DFC0001508102C80000B883A01001844DFC00017DEC0010408102C81DEFFFD0462 +:2000E800DC000015040020B48420CC048140008301000144DFC00215DC40011508102C8085 +:2000F000808000838100030300FFFEC41004D1FA20C6703A044001041085883A1085883ABF +:2000F8001884B03A01400F448809883A8080030508102C8001000044081035408809883A8A +:200100000140074408102C8001400C040100184408102C8001402C840100024408102C8021 +:2001080001403E040100028408102C8001400DC4010002C408102C80000B883A010003C4D7 +:2001100008102C80000B883A0100324408102C80000B883A0100328408102C80000B883AEE +:20011800010032C408102C80000B883A0100330408102C80000B883A0100334408102C803A +:20012000000B883A0100338408102C80000B883A010033C408102C80000B883A01003404E7 +:2001280008102C808140010301003844DFC00217DC400117DC000017DEC0030408102C8168 +:20013000DEFFF904DC0000152821883A0140FA04DD400515DCC00315DC800215DC4001150A +:20013800DFC00615DD000415202B883A3025883A84003FCC08154F8004C000441023883A5B +:2001400084C0021E0506000400000106050C000401400044010003C408102C80000B883A32 +:2001480001000CC408102C80A00AD23A01000D0408102C80000B883A01000D4408102C8093 +:2001500089403FCC01000C0408102C80880AD23A01000C4429403FCC08102C80880AD43A1F +:2001580001000C8408102C80000B883A010003C408102C80000B883A0100314408102C80D2 +:200160000100160408102AC010801C4C114001140100160408102C8084C0061E0100164462 +:2001680008102AC01080005411403FCC0100164408102C80044020B48C60CC048D4002155E +:20017000008000448080022604000284000001060400008400C000448C0001C588C00105C6 +:2001780094803FCC908002260005883A00000106008003C4014030048880014501003804FB +:2001800008102C800100010408102AC011403ACC0100010408102C80894001030100384428 +:2001880008102C80014039040100388408102C8089400143010038C4294003CC08102C808E +:2001900001403A040100390408102C8001400044010003C408102C80000B883A0100244487 +:2001980008102C80000B883A0100248408102C8001400044010024C408102C80000B883A4A +:2001A0000100250408102C80017FF004814AB03A29403FCC0100260408102C80040A303A4D +:2001A80029403FCC280A913A01002644294002D429403FCC08102C80000B883A010003C44F +:2001B00008102C80014030440100380408102C8000BFF0448880018500800044DFC00617B4 +:2001B800DD400517DD000417DCC00317DC800217DC400117DC000017DEC00704F800283AA1 +:2001C000DEFFFE04DC000015040001048009883ADFC0011508102AC0108002148009883A53 +:2001C80011403FCC08102C8001400C0401001844DFC00117DC000017DEC0020408102C8136 +:2001D000DEFFFE04DC000015040001048009883ADFC0011508102AC01404B03A11403FCCD6 +:2001D8008009883ADFC00117DC000017DEC0020408102C81DEFFFE04DFC00115DC00001524 +:2001E00021003FCC2000021E000B883A00003B062821883A28003D2601400044010003C4A2 +:2001E80008102C80814000C30100160408102C80814001030100164408102C8081400143E7 +:2001F0000100168408102C8081400183010016C408102C80814001C30100170408102C8047 +:2001F800814002030100178408102C8081400243010017C408102C808140028301001804B8 +:2002000008102C80814002C30100184408102C80814003030100188408102C808140034344 +:20020800010018C408102C80814003830100190408102C80814003C30100194408102C8063 +:20021000808000C4000B883A8400040410C000031080004428CBC83A143FFC1E29401BC460 +:2002180029403FCC0100174408102C80000B883A010003C408102C80014000C4010033445C +:2002200008102C8000800044000001060005883ADFC00117DC000017DEC00204F800283AC0 +:20022800DEFFFE04DFC00115DC00001521003FCC2000021E000B883A000020062821883AC7 +:200230002800222601400044010003C408102C80814000C301001A0408102C808140010301 +:2002380001001A4408102C808140018301001AC408102C80814001C301001B0408102C8032 +:20024000808000C4000B883A8400020410C000031080004428CBC83A143FFC1E29401C44B1 +:2002480029403FCC01001B4408102C80000B883A010003C408102C80014000C401003384E8 +:2002500008102C8000800044000001060005883ADFC00117DC000017DEC00204F800283A90 +:20025800DEFFFD04DC000015000B883A2021883A010003C4DFC00215DC40011508102C8073 +:20026000044030448809883A08102AC084003FCC800AC03A10803F8C8809883A114AB03A6B +:2002680008102C80014000C401003184DFC00217DC400117DC000017DEC0030408102C81AE +:20027000DEFFF904DCC00315DC800215DC4001152025883A2823883A010001040140074495 +:2002780004C020B49CE0CC04DD000415DC000015DFC006153821883ADD4005153029883A74 +:2002800008102C8098C0030381C0004C00BFFF441884703A39CF883A11CEB03A99C0030573 +:20028800988003171080008C10000226010000440810960001001C049C0000C308102AC05B +:20029000108000CC8D4000CC00C00044100B883AA8C0052600C00084A8C0041E017FE004B3 +:20029800114AB03A00000106114010148080020C10000126294008148080004C1000012638 +:2002A000294004148080008C10000126294002148400010C800001262940011429403FCC51 +:2002A80001001C0408102C80A800131EA50000CC00800044A080082600800084A0800E1EA5 +:2002B00088803FCC1080201C10BFE004100050160029883A00004F0688803FCC1080201C07 +:2002B80010BFE0048D00100C10004A0E00BFE8041528B03A000047060029883A0021883A75 +:2002C00001001C8408102AC01080070CA420B03A140AB03A29403FCC01001C8408102C8049 +:2002C800988003171080008C100002260140004400000106000B883A0100300408102C803E +:2002D000014005440100010408102C80014004040100184408102C8094803FCC008000842D +:2002D8009080081E014022040100188408102C8001400404010018C408102C8001402104B8 +:2002E00000000706014006040100188408102C8001400404010018C408102C800140030413 +:2002E8000100190408102C8001000044081035400100010408102AC01140074C0100010490 +:2002F00008102C8001001904081035400100010408102AC01140054C0100010408102C800B +:2002F800040019040100038408102AC01080040C1000321E010000440810354080BFFFC467 +:200300001021883A10BFFFCC103FF61E00002B06053FE8048C400C0C008008048880081EEE +:20030800018000C4D16000C401001CC408102EC00140207401800484295D5B840000090662 +:2003100000800C0488800B1E018000C4D16000C401001CC408102EC001402074018004840D +:20031800295D528401001D8408102EC004000084003FA306008004048880081E018000C456 +:20032000D160000401001CC408102EC00140207401800484295D4E04003FF206018000C46F +:20032800D160000401001CC408102EC00140207401800484295D5704003FEA06000B883ADE +:200330000100030408102C80014010040100034408102C80014000440100038408102C80AF +:20033800000B883A0100038408102C800810374000800044DFC00617DD400517DD0004174C +:20034000DCC00317DC800217DC400117DC000017DEC00704F800283ADEFFFD04DC00001579 +:200348002021883A01001644DC400115DFC002152823883A08102AC010800BCC840000CC89 +:2003500088000826100B883A0100164408102C8001400044010003C408102C80800B883A7D +:2003580000000906802091BA0100164481400414114AB03A08102C8001400044010003C401 +:2003600008102C80000B883A0100170408102C80000B883A010003C4DFC00217DC4001178B +:20036800DC000017DEC0030408102C8100802074109EAB0410C03217D0A1D71518000426EF +:2003700010803517108040180085C83AF800283A00BFFFC4F800283ADEFFFD04DC400115DC +:20037800280F883A2823883A200B883AD121D717DC000015DFC002153021883A08164280F8 +:2003800010000B1E800D883A8463883A34400626308000031005C2721005D63A3180004476 +:2003880030BFFFC5003FF9060005883A0000010600BFFFC4DFC00217DC400117DC00001735 +:20039000DEC00304F800283ADEFFFA04DC800315DC400215DC000115DFC00515DCC004156C +:2003980030803FCC2023883A2825883A3021883A100003268805883A8C89883A00001706E7 +:2003A000300A923AD121D717081611C01027883A103FF82601402074010020B4018004448F +:2003A800295A39042120D304081574C001802074010020B4980F883A319A3C040140044425 +:2003B0002120D30408156340008020B410A0DB841000000500FFCE0400001D0611000626AC +:2003B80010C000031807C2721807D63A1080004410FFFFC5003FF906800AD23A800C923AFD +:2003C000D121D717280A943ADC800015880F883A08161B000007883A10000D260140207459 +:2003C800010020B401800444295A3F042120D304081574C001402074010020B401800444D5 +:2003D000295A42042120DB84081574C000FFCDC41805883ADFC00517DCC00417DC800317FC +:2003D800DC400217DC000117DEC00604F800283ADEFFF904DD400515DD000415DCC003151F +:2003E000DC800215DC000015DFC00615DC4001152825883A3029883A3827883A0021883A7F +:2003E8000007883A0540400484800F2E9423C83AAC40012E04404004980D883A880B883A4A +:2003F0008009883A0810DD801000141E800D003A880B883A9809883A08165E401007883AD7 +:2003F80084004004003FF0060005883A1D000C2601802074010020B4319A4404014004444C +:200400002120D30408156340008020B410A0DB841000000500BFCD440000010600BFCD84A5 +:20040800DFC00617DD400517DD000417DCC00317DC800217DC400117DC000017DEC00704EC +:20041000F800283ADEFFFD04DC0001152021883A010020B42120D004DFC002150814FA8069 +:2004180010803FCC10000B2601802074010020B4319A4904014004442120D3040815634085 +:20042000008020B410A0DB8410000005008000440000090600808004010020B4D88000152B +:20042800000F883A000D883A800B883A2120D00408151C4010803FCCDFC00217DC000117F2 +:20043000DEC00304F800283A014020B4010020B42960DB842120D3040813DBC1014020B4F7 +:20043800010020B42960EB042120D7440813DBC1DEFFFB04DFC00415DC400115DCC00315CA +:20044000DC800215DC00001508107400000B883A0009883A044020B408108A008C60EF443B +:200448008880020310002B1E008020B410A0E0041140011714C0028701006734213F300450 +:2004500008154F80014007049809883A048020B41021883A94A1048408155B409085883A5A +:200458001140040B8009883A08155B40014007049809883A1021883A08155B409085883AF0 +:200460001080068B10C0040C180002268421883A00000406108003CC100002268405883AE8 +:200468001421883A89800503894004C38009883A08104C00890004C3DFC00417DCC003176D +:20047000DC800217DC400117DC000017DEC0050408101301DFC00417DCC00317DC80021718 +:20047800DC400117DC000017DEC00504F800283ADEFFFE04DC0000152021883A0100004424 +:20048000DFC001150810960008107000000B883A0009883A0810774084003FCC800F003AB2 +:20048800000D883A000B883A0100004408109C008000071E01000084000F883A000D883AF5 +:20049000000B883A081005C00009883A08110F000009883ADFC00117DC000017DEC0020496 +:2004980008109601DEFFFD04DFC00215DC400115DC00001521003FCC2000271ED0A1D943C0 +:2004A00000C0020410C01D2600C0040410C0062600C0010410C01C1E0009883A08146CC0BD +:2004A8000100004400002E06040020B48420E0048140011701006734213F300408154F8066 +:2004B00081000287014007041023883A08155B4000C020B418E1088410C5883A1140000B1D +:2004B8008809883A08155B4000C072B418F0DFC418800236010003C4000001060009883A1E +:2004C00008146CC0000015060009883A08146CC00009883A00001206008020B410A0EF448C +:2004C80010C00403044000841021883A1C4002260009883A00000106010003C408146CC01C +:2004D0008100040320803FCC8880042E008001441109C83A21003FCC00000106010000C4C6 +:2004D800DFC00217DC400117DC000017DEC0030408140AC1DEFFF60400C020B4DFC0091571 +:2004E000DF000815DDC00715DD800615DD400515DD000415DCC00315DC800215DC40011549 +:2004E800DC0000150089C40418C4200419800037050020B4A50420043000020E10BFFFC46A +:2004F000103FFA1E29403FCC21003FCC040020B40814BDC08420E0041025883A808002C330 +:2004F80010000326A44000378822D43A000001060023883A01000DC40814194001000E0492 +:200500001027883A0814194010C003CC1806923A1CE6B03A1006D1BA18C0004C80C00245AC +:20050800A0C00037010000848C403FCC89000E26010020B42120E004210002C32000021E03 +:20051000010063C424C0082E010000448900041E9CC9883A18FFFFCC213FFF8420C0053692 +:200518001080080C100004269D00322805C00044000003061827883A9D006428002F883AC1 +:2005200080C002C390803FCC1800101E10001E26A0001D26D0A1D8030100008410C03FCC72 +:2005280018C0201C18FFE00420C0060E008020B400C0004410A0E004D021D80510C002C55F +:2005300000000C061080004400000D0610000126A0000D1ED0A1D80300FFFF0411003FCC46 +:200538002100201C213FE00420C0040ED021D805800002C5044001040000050610BFFFC415 +:20054000D0A1D80500000106D021D8050023883A01000E440814194001000E84048020B4E0 +:20054800102D883A94A0EF4408141940A0007226108003CC1004923A80C0001715ACB03A3F +:20055000008020B410A0E0041CC0051E10C00117B0C0031E10C00203B8803FCC1880022653 +:20055800D021DC4500000C06D0A1DC4300C0004411003FCC20C0082610C5883AD0A1DC4578 +:2005600010803FCC10C0041E88803FCC00C0008410C0012E1823883A90C0010380800483C0 +:200568001880071E008020B410A0EF4410C00143008020B410A0E004108004C3188004266A +:2005700088803FCC00C0008410C0012E1823883A90C00243808005C318800F26008020B49A +:2005780010A0E004110002870140070408155B4000C020B418E10B0410C5883A1080000B63 +:200580001080600C1000042688803FCC00C0008410C0012E1823883AD0A1DD4310003326D8 +:200588008500028701400704054020B4A009883A08155B40AD610484A885883A1700068B90 +:2005900001400704A009883AE080100C1000052608155B40A885883A1500040BA529883A82 +:200598000000030608155B40A885883A1500040BE080010C1000041EE700020CE000041ED9 +:2005A0000700004400000306070001040000010607000144A53FFFCCA00A913A01000084DF +:2005A80029403C0C08142140A00AD13A0100004429403FCC081421408100028701400704C4 +:2005B00008155B40A885883A11400583E009883A08155B4011403FCC010001C408142140A9 +:2005B80088803FCC1000011E0440004484C000158580011585C002059140000381000383BE +:2005C00000C020B4008020B418E0EF4410A0E0042900151E19400043110003C32900121E4C +:2005C800194000831100040329000F1E194000C31100044329000C1E19400183110005030C +:2005D0002900091E194001C3110005432900061E19400543110008C32900031E18C00583D1 +:2005D800108009031880032688803FCC1000011E04400044910002838080060320800126F6 +:2005E000081476809100030380800683208001260814834091000343808006C320800126BC +:2005E800081438809100038380800703208001260814394091000443808007C32080071E3B +:2005F000008020B410A0EF4410C00483008020B410A0E004108008031880022691400483C2 +:2005F80008143540910002C380800643208006260140060408155B4001002074211C81048D +:200600002089883A08145B4091000403808007832080012608112640910003C380800743AF +:200608002080012608147140014020B4010020B4018001842960E9842120F54408155DC0A4 +:200610001000031E010020B42120E98408143A0090C004C3808008431880071E008020B44D +:2006180010A0EF4410C00503008020B410A0E00410800883188002269100020308110F0086 +:20062000014020B4010020B4018007842960EF442120E384081560C08805883AD021DD45C1 +:20062800DFC00917DF000817DDC00717DD800617DD400517DD000417DCC00317DC80021764 +:20063000DC400117DC000017DEC00A04F800283ADEFFF704DC000015040020B4DCC0031528 +:20063800DFC00815DDC00715DD800615DD400515DD000415DC800215DC4001158420E0045B +:2006400085C0028704C020B401400704B809883A08155B409CE104849885883A10C0068B68 +:20064800190003CC2000092680800443048000848480030510001226808003C301000044AD +:200650001100101E1025883A00000E061900040C2000051E810008C32000062610800603A3 +:200658001080010C10000326008000448080030500000106800003058480044300000106FF +:200660000025883A80800383010000841100051E008020B410A0E004144003C38C40004442 +:2006680000000A06010000441100051E1880080C1000051E1C4007CC8822C03A000003062E +:200670000023883A00000106044000C480800903100006261880080C10000426008020B4F4 +:2006780010A0E004100003050023883A1880010C1000041E18C0020C1800041E0540004451 +:200680000000030605400104000001060540014485000303808004C3B809883AA02897BA88 +:200688001004973A01400704AD403FCCA0A8B03A80800503058020B4B5A0E004100495BAFA +:20069000A0A8B03A08155B409885883A11000503A80B883A08155B40B809883A014007046C +:20069800A0A8B03A08155B409885883A1100028BA80B883A08155B40100492BAA0A8B03A1C +:2006A000008020B4108428041500003580C0040380800543850002871806963A100494BAEF +:2006A800A009883A0140070418A0B03A08155B4098AB883AA880054301400704A009883AF5 +:2006B00080A0B03A08155B409885883A1080030B9024973A882297BA100491FA8084B03ADE +:2006B80014A4B03A008020B49462B03A1084240414400035A88006031080010C1000032600 +:2006C000000B883AB10008C300000F0601400704A009883A08155B4000C020B418E1048438 +:2006C8001885883A1080068B1080080C10000426B1400583B1000903280B003A0000020609 +:2006D000000B883A0009883ADFC00817DDC00717DD800617DD400517DD000417DCC0031798 +:2006D800DC800217DC400117DC000017DEC009040810D181DEFFF504DC000415040020B4AE +:2006E0008420E004DC4005158440011700800044DFC00A15DD400915DD000815DCC00715F1 +:2006E800DC800615D0A1DC458800162684C000179800142601006734880B883A213F300473 +:2006F00008154F801025883A8080020301283BF4980B883A212EC0041000042608154F800C +:2006F800880B883A1009883A0000030608154F80880B883A1089883A08154F801023883A2C +:20070000000002060445DC04048F550401000EC40814194001000F041027883A08141940F2 +:200708008080034300C0207418DA95041085883A1085883A1885883A11C0001780800203B2 +:2007100080C000171000021E00801A440000010600801C0401802074010020B4D8C0001526 +:20071800319A4D04014004442120D744D880011508156340014019048809883A08154F80F5 +:200720000140FA049009883A1029883A08154F80014019048809883A102B883A081555C058 +:200728000140FA049009883AD8800215DD000115081555C0014002841009883A08154F80F5 +:2007300001802074010020B4D8800015A80F883A319A4F04014004442120EB040815634042 +:20073800D0A1E2831000011E08110DC0808005C381000017D1E1DD03D8800215808004C38E +:2007400081400203A00D883AD880011580800483044020B48C60E004D88000150814C4C07A +:2007480011003FCC2100201C213FE00400FFFFC420C0011E00800104888002858480028376 +:2007500001400704054020B4D4A1D90594803FCC9480201C94BFE0049009883A08155B4018 +:2007580000C020B418E104841885883A14400603D0E1DD0399003FCCAD60E00488E2703A76 +:20076000D461D9451140040B08155B40814001171009883A08154F8080C0020389403FCC55 +:20076800A98000171800011E300CD07A80C0070310803FCCD8800015D8C0031580C0080327 +:20077000A1C03FCC9009883AD8C0021580C007C3D8C0011508148FC0810007830811264046 +:20077800DFC00A17DD400917DD000817DCC00717DC800617DC400517DC000417DEC00B0459 +:2007800008118D0120803FCC00C003C410C00E2600C0040410C0112600C002C4D121DBC397 +:2007880010C0271EDEFFFE04DC000015DFC001150813A9C01021883A10000F2601C02074A6 +:2007900039DA570400000E06D0A1DBC310C03FCC1800072610BFFFC400000506D0A1DBC3EC +:200798000100020410C03FCC20C0013610800044D0A1DBC5F800283A01C0207439DA550448 +:2007A00001802074010020B4319A5A04014004442120DB840815634008110C808000021EF8 +:2007A800010003C408138DC00100023421284804DFC00117DC000017DEC002040815F8C111 +:2007B000200F883A01802074010020B4319A5B04014004442120DB840815634120803FCC8F +:2007B80000C003C410C00E2600C0040410C0112600C002C4D121DBC310C0271EDEFFFE04BD +:2007C000DC000015DFC0011508138DC01021883A10000F2601C0207439DA5F0400000E06F4 +:2007C800D0A1DBC310C03FCC1800072610BFFFC400000506D0A1DBC30100020410C03FCC54 +:2007D00020C0013610800044D0A1DBC5F800283A01C0207439DA5D0401802074010020B400 +:2007D800319A5A04014004442120DB840815634008110C808000021E010003C408138DC07A +:2007E0000100023421284804DFC00117DC000017DEC002040815F8C1200F883A0180207403 +:2007E800010020B4319A5B04014004442120DB840815634121003FCC008003C420802626A9 +:2007F0000080040420802926DEFFFD04DC000015DFC00215040020B4DC400115008002C49D +:2007F800842104842080281ED461D90301400704D461DC058C403FCC8809883A08155B4079 +:200800008085883A10C0040B014007048809883AD0E1DB0D10C00583D0E1DD8D10C0028B2A +:20080800D0E1DA8D10C0030BD0E1DA0D10800503D0A1D88D08155B408085883A108005437D +:20081000D0A1DC8DDFC00217DC400117DC000017DEC00304F800283AD0A1D90310C03FCCE8 +:200818001800072610BFFFC400000506D0A1D9030100060410C03FCC20C0013610800044C0 +:20082000D0A1D905F800283AD121D9030140070408155B40010020B401800444808B883AD2 +:200828002120DB84DFC00217DC400117DC000017DEC00304081574C1DEFFFA04008020B40B +:20083000DD000415DCC00315DC800215DC400115DC000015DFC0051510A0E00410C002C386 +:20083800040020B42029883AD461DC0384210484D4E1D88BD4A1DC8B18001E261080028713 +:2008400014401C1E8809883A0140070408155B408085883A1100040BD0E1DB0B20C0131E24 +:2008480011000583D0E1DD8B20C0101E1100028BD0E1DA8B20C00D1E1100030BD0E1DA0B5C +:2008500020C00A1E10C0050398803FCC1880071E014007048809883A08155B408085883AAA +:2008580010C0054390803FCC1880022600800044D0A1DD458809883A0140070408155B40DF +:20086000D0E1DB0B8085883A8809883A10C0040DD0E1DD8B0140070410C00585D0E1DA8B11 +:2008680010C0028DD0E1DA0B14C0050510C0030D08155B4001802074010020B48085883A54 +:20087000A1FFFFCC319B5304014004442120DB8414800545DFC00517DD000417DCC003176A +:20087800DC800217DC400117DC000017DEC0060408156341DEFFFE04DC000015040020B4B3 +:20088000DFC0011584043004008004448080003580000035008020B41084280410000035DC +:20088800008020B410842404100000350109C4040815F8C000BFF444D0A1D9850080344496 +:2008900080800035010000F4210350040815F8C0018001B401406734010020B43186A0048F +:20089800297F300421041804081665800813CD4008140340100022260009883A0814194001 +:2008A00000C03FC410C0202608147B400100008408102AC010803FCC00C004C410C01C1ED4 +:2008A800081039C00810DAC01021883A10001A1E0812794001402074010020B401800D84A3 +:2008B000295AF5042120F704081560C0010003C40813A9C0D121DBC30813A9C0008020B47F +:2008B80010842C0410800037108000AC1000011E08127E400009883A08111F000000070642 +:2008C000043FFF8400000506043FFF4400000306043FFF0400000106043FFFC48005883A1E +:2008C800DFC00117DC000017DEC00204F800283ADEFFFF04DFC000150811B74008143080F8 +:2008D000008020B410A0EF4411000203DFC00017DEC0010408111F01DEFFF504DFC00A1595 +:2008D800DF000915DDC00815DD800715DD400615DD000515DCC00415DC800315DC40021545 +:2008E000DC00011508121F4010001B16008012C401802074010020B4000F883A319A62040A +:2008E800014004442120D744D88000150815634001402074010020B401800444295A6E0476 +:2008F0002120EB04081560C008110DC00100023421284804054020B40815F8C0AD60EF449B +:2008F800A88005C30100024410C03FCC20C01136D0A1DB8500000F0601802074010020B4D7 +:20090000100F883A319A6704014004442120D7440815634001402074010020B401800444A8 +:20090800295B62042120EB04081574C008110DC0003FFF06058020B405C0207407002074ED +:200910000029883A0023883A0021883A0025883AB5A0E004BDDA9504E7093D0400C020B4FF +:2009180018C42C041880003710FFFFCCD0E1E0150086303A1004D63A18C000ECD0E1E115E5 +:20092000D0A1E2051000062610FFFF8418C03FCC010000C420C0022ED0E1DF0310C0011E57 +:20092800D021E015081298C0D0A1E283100002260009883A081343C0B0C00343D0A1DB83DB +:2009300004C020B49CE0E0041880011ED021DB85D0E1DB830100020418BFFFC410803FCC5C +:2009380020803836100490BA1705883A108000171000683A0081251800812528008125408A +:200940000081255000812560008125740081258400812594008125AC008003C4D0A1DD0531 +:200948000023883A00000306008003C4D0A1DD0504400084040000440025883A00002306E7 +:20095000008003C4D0A1DD05044000C4003FF906008003C4D0A1DD05044000C400000306FC +:20095800008003C4D0A1DD05044000840021883A003FF10600800404D0A1DD0504400044A1 +:2009600000000D06008003C4D0A1DD050023883A0000090604400084008003C4D0A1DD0574 +:200968008821883A8825883A00000806008003C4D0A1DD05044000C4040000848025883AF6 +:200970000000020618803FCC10002D269940078398C00345980002C528803FCC00C0004440 +:2009780081003FCC1880042E00800144114BC83A29403FCC00000106014000C408141180B9 +:20098000081427C00810740089403FCC91003FCC0814AA4098800343010020B40180044456 +:200988001085883A1085883AB885883A114000172120D74498000115081574C0014020740A +:20099000010020B401800444295A6B042120EB04081574C0D0A1E2831000011E08110DC04B +:20099800A5003FCCA0000526A8C005C3008002841880021E010003C408138DC0050000445D +:2009A000A9000203988005832080062608111F00008020B410A0EF4410800203B000011553 +:2009A800B0800585A0803FCC1000322689403FCC91003FCC0811374010803FCC00C0008403 +:2009B00010C0252600C0010410C0032600C0004410C0281E00002406988002C310000926EE +:2009B800D0A1D98300C020B418C4300410800094D0A1D98510803FCC188000350812330006 +:2009C00000001C06B0000115081427C0B0800343010020B4018004441085883A1085883A6A +:2009C800B885883A114000172120D744081574C001402074010020B401800444295A6B0496 +:2009D0002120EB04081574C0D0A1E2831000091E08110DC000000706988002C3100005266E +:2009D8000811B74000000306988002C31000012608118D00D0A1E11701004B04D021DB8522 +:2009E000D0A1DE15D0A1E203D0A1DF050815F8C0003F320601402074010020B4DEFFFF0412 +:2009E80001800784295AA0042120EF44DFC00015081560C001402074010020B40180B60472 +:2009F000295C8D0421210484081560C000800044D0A1DD450005883ADFC00017DEC0010453 +:2009F800F800283ADEFFF804DD000415DCC00315DC80021505002074048020B404C020B406 +:200A0000DD800615DC000015DFC00715DD400515DC400115002D883A0021883AA51B0304B0 +:200A080094842C049CE0F70401402074010020B401800444295AA8042120D304081574C004 +:200A10008405883A1085883AA085883A11400017010020B4018004442120DB84081574C046 +:200A180008110C800023883A054000849080003710BFFFCCD0A1E01590C0003700C6303A6D +:200A200018C000ECD0E1E11510001A26B08019268407883A98C7883A88000A1E0140207499 +:200A2800010020B401800444295AAA042120D3041880000D081574C008110C8004400044A4 +:200A300000000C0618C0000B10C0092601402074010020B401800444295AAC042120D304F4 +:200A3800081574C008110C800023883A0000010604400084D0A1DE1710000F1ED0E1E117A8 +:200A40000080007418800C1E8000091E01402074010020B401800D84295AF5042120F704C5 +:200A4800081560C004400084040006C400000206843FFF8404400084D0A1E117D5A1E017CF +:200A5000D0A1DE158D4003260109C4040815F8C0003FC6068400004400800684143FB20E95 +:200A5800010003C4DFC00717DD800617DD400517DD000417DCC00317DC800217DC400117EF +:200A6000DC000017DEC0080408138DC1D121E017DEFFFA04014020B4DFC00515DCC0041529 +:200A6800DC800315DC400215DC0001150005883A2960F704018006841087883A1947883A04 +:200A700018C0000B040020B48420F704190003261180D42610800044003FF70600C00684E5 +:200A78001880D036100490BA00C0207418CA7F0410C5883A108000171000683A00812A68A6 +:200A800000812A8400812A9400812A7000812A8C00812A9C00812A7C00812D2400812AA407 +:200A880000812AAC00812AB400812D2400812D2400812D2400812D2400812D2400812D24AC +:200A900000812AD800812B5000812B7000812B9C00812BE800812BC800812C1400812C2CC1 +:200A980000812C5400812C7C008000440000010600800084D0A1DB850000AA06008000C480 +:200AA000003FFC0600800104003FFA0600800144003FF80600800184003FF606008001C4AA +:200AA800003FF40600800204003FF20600800244003FF006D0A1E2831007003AD0E1E285FE +:200AB0001000031E01000044081343C00000950608110DC000009306008020B410A0E00490 +:200AB800110002870140070408155B4001C020B439E1048401802074010020B4388F883AD6 +:200AC000319AB004014004442120D30408156340008020B41084200411C00037108000375B +:200AC800018020741005D43A010020B439FFFFCC108000CC319AB304014004442120DB84F7 +:200AD000D88000150815634008110C8000007506D0A1D98300C020B418C430041080041C98 +:200AD800D0A1D98510803FCC1880003500006D0600C020B418E0EF44188000030140004475 +:200AE00011003FCC290002361145883A000001060005883A188000050000620600C020B4FA +:200AE80018E0EF44188000430140004411003FCC290002361145883A000001060005883A00 +:200AF0001880004500005706008020B410A0EF4410C0008319003FCC2000012618FFFFC4DD +:200AF80010C0008500004F0600C020B418E0EF44188000830140038411003FCC2900023615 +:200B00001080004400000106008003C4188000850000440600C020B418E0EF4418800103F1 +:200B08001005003A1880010500003E0600C020B418E0EF44188002830140078411003FCCD8 +:200B1000290002361080004400000A060005883A0000080600C020B418E0EF4418800283CF +:200B180011003FCC2000022610BFFFC400000106008007C41880028500002A060140207451 +:200B2000010020B401800444295AB7042120D304081574C001402074010020B401800444FD +:200B2800295ABB042120DB84081574C0044020B408110C808C442C04048006C404C0024465 +:200B3000888000370009883A10BFFFCC2107883A1C07883A18C0000B10C0032621000044F1 +:200B380024BFFA1E0000080699000716014002842100004408154840010002C4D0A1DBC535 +:200B40000811E040000005068080060B110003260109C4040815F8C0003FE90608110DC04B +:200B4800D021E285D0A1DE171000191ED0E1E1171880006C10000A26008020B410A0E004B3 +:200B5000108003430100024411403FCC29000226108000440000010600800044D0A1DB854B +:200B580018C000AC18000A2600C020B418E0EF44188000030140004411003FCC2900023655 +:200B60001145883A000001060005883A18800005DFC00517DCC00417DC800317DC400217D5 +:200B6800DC000117DEC00604F800283ADEFF6C04D9000304DC008C15DFC09315DD80921582 +:200B7000DD409115DD009015DCC08F15DC808E15DC408D15081104401021883A0815340082 +:200B78008000891E01800104D9400304D9008304081574C00140207401800104295B1E04DE +:200B8000D900830408156EC010000B2601802074010020B4319B2004014004442120D304EE +:200B880008156340008020B410A0DB84100000050400004400007406D8800403DC80848490 +:200B9000D9400484D8808405D8800443018002049009883AD8808445081574C0D880068BE7 +:200B9800D8008645D8808B0DD880070BD8808B8DD9408B17280BC232D880078BD94087154F +:200BA000D8808B0DD880080BD8808B8DD8808B171005C232D8808815D880088BD8808B0D97 +:200BA800D880090BD8808B8DD8808B171005C232D8808915D8808217D8808B151005C23266 +:200BB000D8808A1500C0788428BFF98418800B2E01802074010020B4319B240401400444D6 +:200BB8002120D30408156340008020B410A0DB8410000005043FFFC40000430601800044B9 +:200BC000D900030408165E40D8C08A1710C00A2601802074010020B4319B280401400444D5 +:200BC8002120D30408156340008020B410A0DB84100000050000330601802074010020B49A +:200BD000319B2C04014004442120D30408156340D9C0881701802074010020B4319B300486 +:200BD800014004442120DB840815634008110C80DCC08817DD4089170021883A0005883ACD +:200BE0000580800484C0132E9C23C83AB440012E0440800485008004A00CD27A010020B4E5 +:200BE800DC400015000F883AD94003042120D00408151C4010803FCC1000121E800D003A9B +:200BF000880B883AD900030408165E40A021883A003FEC06A880612601802074010020B4A7 +:200BF800319B3304014004442120D30408156340008020B410A0DB8410000005043FFF447B +:200C000000000106043FFF8404C000C40815340008110C80010003F4211090040815F8C0F7 +:200C080000BFCE4480804B0E98004A2601802074010020B4319B4904014004442120D304F6 +:200C1000081563409CFFFFC401402074010020B401800444295B41042120DB84081574C079 +:200C180008110C800025883A0580800405004004DC4088179440202E8CA3C83AB440012EAD +:200C20000440800495408004A80DD27A010020B4DC400015000F883AD94003042120D00486 +:200C280008151C4014003FCC803FD81E9025D23A880B883AA440012E01404004900D883A52 +:200C3000D90003040810E4801021883A103FCF1EA4400236A825883A003FE50691800044EF +:200C3800897FC004D90043040810E4801021883A103FF826003FC50601402074010020B420 +:200C400001800444295B45042120D304081574C001402074010020B401800444295B410459 +:200C48002120DB84081574C008110C80D9808917D9408817D9C003040009883A0810F700CB +:200C50001021883A103FB11E081534000005883A0000430600BFFFC400004106D8808487E6 +:200C5800D9C08403D8C084431000032600802074109AE8040000020600802074109B6204ED +:200C600001802074010020B4D8C00015319B3804014004442120D304DC800215D880011553 +:200C68000815634001402074010020B401800444295B4D042120DB84081560C0044020B46F +:200C7000040020B408110C808C442C048420F7048880003780C0000B10BFFFCC10C00E2620 +:200C780080C0008B10C0091E01402074010020B401800444295B3B042120D304081574C0FB +:200C800004C000C404000084003F80060109C4040815F8C0003FEE06081427C0D0A1D983D5 +:200C880000C020B418C4300410800094D0A1D98510803FCC188000350109C4040815F8C0A6 +:200C900001402074010020B401800444295B3E042120D304081574C004C000C4003F7A065B +:200C9800DFC09317DD809217DD409117DD009017DCC08F17DC808E17DC408D17DC008C1722 +:200CA000DEC09404F800283A21C03FCC01802074010020B4319B5204014004442120DB8483 +:200CA80008156341DEFFFF040141194421003FCCDFC0001508155B40014019041009883A1B +:200CB0000815400001802074010020B4100F883A319B5404014004442120DB84DFC00017F9 +:200CB800DEC001040815634121C03FCC01802074010020B4319B5604014004442120DB8493 +:200CC00008156341014003F4DEFFFC042950900421003FCCDFC00315DC400215DC00011529 +:200CC80008155B40014018F4294BA8041009883A1021883A08154F808009883A014659043E +:200CD0001023883A08154F800140FA041009883A081555C0014002841009883A08154F8049 +:200CD80001802074010020B4D8800015880F883A319B5904014004442120DB84081563403A +:200CE000DFC00317DC400217DC000117DEC00404F800283ADEFFFF04014119C421003FCCE7 +:200CE800DFC0001508155B40014019041009883A0815400001802074010020B4100F883A1F +:200CF000319B5C04014004442120DB84DFC00017DEC001040815634121C03FCC0180207474 +:200CF800010020B4319B5E04014004442120DB840815634121003FCCDEFFFF0401409C44C2 +:200D000021000044DFC0001508155B40014019041009883A0815400001802074010020B482 +:200D0800100F883A319B6104014004442120DB84DFC00017DEC0010408156341D0A1E0170E +:200D1000DEFFF604018020B4DCC00315DFC00915DF000815DDC00715DD800615DD400515C2 +:200D1800DD000415DC800215DC400115DC00001504C002C431A0F704014004449CC7883A2B +:200D20001987883A18C0000B1880041E21003FCC9823883A2000051E000003069CC000441F +:200D2800997FF61E0000A50610010026D561E24304802074949D6004AF003FCCE02090FA51 +:200D3000014005049405883A1500010315C00017A5803FCCB009883A08155B40B8C0011706 +:200D3800010001041885883A88FFFD0418C03FCC20C02936180690BA01002074210D43041A +:200D40001907883A18C000171800683A0081355400813520008135380081364C0081364C34 +:200D4800B000011EBD000003A53FFFC49421883A8500010500008406B94000039421883A56 +:200D5000B100004484000104081548408080000500007D06E0000326AD7FFFC4D561E24583 +:200D580000007906D021E285DFC00917DF000817DDC00717DD800617DD400517DD00041781 +:200D6000DCC00317DC800217DC400117DC000017DEC00A0408110DC110C00103014000C4B5 +:200D6800194006261900631E10800217044002C4103EE83A1021883A000064061080031722 +:200D700010000226010002C4103EE83AD461E243014005048C003FCC802690FA94C5883A6E +:200D78001540001711000103AD00011708155B40A085883A10C0021718004E268400004439 +:200D8000802090FA00802074109D60041405883A1100001719000126100001059421883A34 +:200D880094E5883A91000103ACC001170140050408155B409885883A108002178C4000445D +:200D9000D461E2458080001500003A0610C00103010000841900202619000336010000C4C3 +:200D980019002F26000038061180021789C03FCC020003C41100030310C003433140000327 +:200DA000108003833A000A1E19C03FCC2A003FCC3A00022E28BFFFC40000030621003FCC59 +:200DA8002000011E1805883A308000050000260611C03FCC2A003FCC41C0022E28800044FE +:200DB0000000030621003FCC200001261805883A30800005000010061100021789403FCCFF +:200DB800018003C420C0000B2980061E1080030B197FFFCC1140012E18FFFFC420C0000DD3 +:200DC000000011061080038B197FFFCC2880012E18C0004420C0000D0440040400000A063F +:200DC800108003171000082699003FCC103EE83A00000506044002C40000030621003FCCC5 +:200DD000200059260023883A0021883AD0A1E24300C0207418DD6004100490FA0140050471 +:200DD8001885883A1100010314C0001708155B401025883A98800117010020B42120D304D0 +:200DE0001485883A1140001701800444081574C098800117010001041485883A10C00103B1 +:200DE80020C03536180690BA01002074210DEF041907883A18C000171800683A008137D06F +:200DF0000081380000813810008138200081383410C00217110004170180044418C00003E2 +:200DF80018C5883A1085883A2085883A11400017010020B42120DB84081574C000001E062C +:200E000010C0021710800417190000030000070610C00217108004171900000B0000030654 +:200E080010800317100006260009883A103EE83A000011068C403FCC00C002C488C00426C3 +:200E1000008020B410A0DB841000000500000A068000021E11C003170000010611C00417BC +:200E180001802074010020B4319A5A04014004442120DB8408156340DFC00917DF00081701 +:200E2000DDC00717DD800617DD400517DD000417DCC00317DC800217DC400117DC000017FA +:200E2800DEC00A0408110C81103FA61EDFC00917DF000817DDC00717DD800617DD4005171A +:200E3000DD000417DCC00317DC800217DC400117DC000017DEC00A04F800283A21003FCC26 +:200E3800008003C411005F36009114B4DEFFB6041094D544D8800015008010741095104496 +:200E4000D8800115008012C4D8800245208002B0DC004015DFC04915DF004815DDC047157A +:200E4800DD804615DD404515DD004415DCC04315DC804215DC404115D8000205D88002854E +:200E5000240004041000151E00800E04D88002C5D0A1DBC3014020B401800D84D88003458C +:200E5800008020B410A0E004108003432960F704D90003C4D8000305D8800385081560C09B +:200E6000800C923A01401144D809883A0810E4801004C03A0085C83A0000340600BFF604DD +:200E6800014020B4802A923A01800784D88003452960EF4400800084D90003C4070020B4F8 +:200E7000D98002C5D8000305D88003850025883A081560C0002F883A04400B440580B6049B +:200E780005004004E7210484893FFFCCA10DC83AB0BFFFCCD909883AB97FFFCC94C03FCCFE +:200E800011800C16E14B883A081560C0A463C83A9D4D883A01404004D809883A8DEF883A59 +:200E8800B5BFC0040810E480948000440023883A003FED06100D883A008020B410A10484BB +:200E9000114B883A081560C0800C923A01404004D809883A34CD883A0810E4800005883A61 +:200E98000000020600BFFFC4F800283ADFC04917DF004817DDC04717DD804617DD404517EB +:200EA000DD004417DCC04317DC804217DC404117DC004017DEC04A04F800283ADEFFB704CA +:200EA800DFC04815DDC04715DD804615DD404515DD004415DCC04315DC804215DC404115B7 +:200EB000DC00401521003FCC008003C41100022E047FFFC400006306240004048008943A0C +:200EB800D80D883A014040040810DD80103FF81E0140207401800204295C7E04D809883A0E +:200EC00008156EC01023883A1000531ED88002031000531ED8C00243008012C41880501E3A +:200EC800D88002831000032600C0004410C0162600004C06D8800303D8C002C31004923AF7 +:200ED00010C4B03A00C00E0410C0461ED880034300C0024411003FCC19000136D0A1DBC51D +:200ED800D880038311003FCC19000136D0A1DB85010020B401800D84D94003C42120F704DC +:200EE000081560C000003706D8800317D8C002C311803FCC300C923A30CCB03A00C00784D4 +:200EE80030C0301E1004D23A00C0B6041025883A10BFFFCC10C02B1E010020B48020923A27 +:200EF000D94003C42120EF4405C020B4081560C00027883A002D883A05400B440500400403 +:200EF800BDE10484A97FFFCCA14DC83A90BFFFCCB13FFFCCD94B883A11800F16B909883ADC +:200F0000081560C0990000442027883A21003FCC2409883A2008923AA56BC83AD80D883AE6 +:200F080001404004ADAD883A94BFC0040810DD80002B883A003FEB06100D883A008020B44C +:200F100010A104841109883A081560C000800044D0A1DD4500000306044000440000010680 +:200F1800044000848805883ADFC04817DDC04717DD804617DD404517DD004417DCC0431748 +:200F2000DC804217DC404117DC004017DEC04904F800283ADEFFFD04D9000005010020B47F +:200F2800DC000115018000442821883A21041804D80B883ADFC0021508167AC0813FFFCC68 +:200F30000815F8C0DFC00217DC000117DEC00304F800283AD0E1D983DEFFFC0400BFE7C4CD +:200F38001884703ADC400115044020B4D0A1D985DFC00315DC800215DC00001510803FCC84 +:200F40008C44300488800035040005048009883A0815F8C0800B883A01000E040813C9409F +:200F4800800B883A01000E440813C940800B883A8009883A0813C940800B883A01001C445B +:200F50000813C940800B883A010017840813C940800B883A01001B440813C940800B883A32 +:200F5800010003040813C9400480C804900B883A010000440813C940800B883A0100018464 +:200F60000813C940900B883A010000840813C940D0A1D98310801014D0A1D98510803FCC5C +:200F680088800035DFC00317DC800217DC400117DC000017DEC00404F800283AD0E1D983CA +:200F7000DEFFFA0400BFE7C41884703ADC000015040020B4DC800215DC400115D0A1D98599 +:200F7800DFC00515DD000415DCC003152025883A2823883A10803FCC840430048080003556 +:200F80000140C804010000440813C940D0A1D98310800814D0A1D98510803FCC80800035C3 +:200F8800014004049009883A08157A001029883A10803FCC1000091E014020749009883A11 +:200F900001800444295C8004081574C091000003014005040813C940000009060027883A24 +:200F9800A5003FCC94C5883A11000003014005049CC000440813C94098803FCC153FF936A6 +:200FA000D0E1D98300BFF7C41884703AD0A1D98510803FCC80800035014005040100300446 +:200FA8000813C940D0A1D98310800814D0A1D98510803FCC80800035014004048809883A51 +:200FB00008157A001027883A10803FCC1000091E014020748809883A01800444295C8004C5 +:200FB800081574C089000003014005040813C940000009060025883A9CC03FCC8C85883A9E +:200FC0001100000301400504948000440813C94090803FCC14FFF936D0A1D9831080101459 +:200FC800D0A1D98510803FCC80800035DFC00517DD000417DCC00317DC800217DC40011758 +:200FD000DC000017DEC00604F800283ADEFFFB04DC000015040020B484041804DC80021550 +:200FD80004800B04DCC00315900B883A2027883A000D883A8009883ADFC00415DC40011548 +:200FE00008166CC004400044880D883A99403FCC8009883A08167300900B883A8009883A60 +:200FE800880D883A08166CC0880B883A8009883ADFC00417DCC00317DC800217DC4001178F +:200FF000DC000017DEC0050408167041DEFFFC04DC000015040020B484041804DC800215BB +:200FF800DC4001152025883A2823883A8009883A000D883A01400B04DFC0031508166CC028 +:2010000091403FCC8009883A000D883A081673000180004489403FCC8009883ADFC00317AC +:20100800DC800217DC400117DC000017DEC0040408167301010020B4DEFFFD04000D883A72 +:20101000000B883A21041804DFC00215DC400115DC00001508167300010002840815F8C0EC +:201018000400060404400044800B883A8809883A0813FCC0800B883A010000840813FCC002 +:20102000800B883A010000C40813FCC08809883A0813F4C01405003ADFC00217DC40011766 +:20102800DC000017DEC00304F800283ADEFFFD04DC400115DC000015044000442021883A2A +:2010300084003FCC8809883ADFC00215802090FA0813F4C000FFF9C410C4703A80A0B03ACB +:2010380084003FCC800B883A8809883A0813FCC0800B883A010000840813FCC0800B883A9C +:20104000010000C4DFC00217DC400117DC000017DEC003040813FCC1DEFFFD04DC4001155F +:201048002023883A01000044DC000015DFC00215043FF6040813F4C01420703A89003FCC19 +:20105000008000842080021E84000054000003062008917A2080011480A0B03A84003FCC5A +:20105800800B883A010000440813FCC0800B883A010000840813FCC0800B883A010000C454 +:20106000DFC00217DC400117DC000017DEC003040813FCC1DEFFFB04DC000015040020B46F +:2010680084041804DC80021504801704DCC00315900B883A2027883A000D883A8009883A7E +:20107000DFC00415DC40011508166CC004400044880D883A99403FCC8009883A081673008D +:20107800900B883A8009883A880D883A08166CC0880B883A8009883ADFC00417DCC00317FF +:20108000DC800217DC400117DC000017DEC0050408167041DEFFFC04DC000015040020B498 +:2010880084041804DC800215DC4001152025883A2823883A8009883A000D883A0140170475 +:20109000DFC0031508166CC091403FCC8009883A000D883A081673000180004489403FCC1F +:201098008009883ADFC00317DC800217DC400117DC000017DEC0040408167301DEFFFC0483 +:2010A000DC000015040008848009883ADFC00315DC800215DC40011508141940014004C48A +:2010A800010005841023883A0489C404081421409009883A0815F8C0014000C4010005C4D8 +:2010B000081421409009883A0815F8C0017FE004894AB03A29403FCC8009883A0814214016 +:2010B8000100FA040815F8C089401FCC8009883ADFC00317DC800217DC400117DC000017F0 +:2010C000DEC0040408142141DEFFFE04DC0000150409C4048009883ADFC001150815F8C072 +:2010C8000140044401000584081421408009883A0815F8C001400084010005C4081421404C +:2010D0008009883ADFC00117DC000017DEC002040815F8C1DEFFFE04DC0000152821883AB6 +:2010D80021403FCC01000484DFC001150814214081403FCC010004C4DFC00117DC00001792 +:2010E000DEC002040814214121403FCC01000F440814214121403FCC01000444081421415D +:2010E800DEFFFE04DFC00115DC000015214000C32021883A01000284081421408140010373 +:2010F00001000244081421408140014301000204081421408140000301000344081421400A +:2010F80081400043010003040814214081400083010002C4DFC00117DC000017DEC00204F6 +:2011000008142141DEFFFA04DC400115DC0000152023883A2021883A01000104DD0004154F +:20110800DCC00315DC8002153829883A2825883ADFC005153027883A08141940017FFE04A5 +:20111000A5003FCC1144703AA00006261140005429403FCC01000104081421408423883A3F +:201118000000030611403FCC01000104081421408C7FFFCC880AD13A0100004429403FCCA3 +:2011200008142140880A913A0100008429403C0C0814214099403FCC913FFFCC08155B40EB +:20112800880B883A1009883A08155B400140FA041009883A08154F8000E327D41880092E0C +:2011300000C0007418C45BC41880082E00C000B418C3D5C41885403A00C000C41885C83A80 +:20113800000003060005883A000001060080004414003FCCD0A01C041405883A110000035E +:2011400001400A0408155B408808D07A880B883A1109883A08154000100B883A00C001C4BE +:2011480010803FCC1880012E180B883A29403FCC800491BA280A90FA010000C4288AB03AE0 +:2011500029403FCCDFC00517DD000417DCC00317DC800217DC400117DC000017DEC006045E +:2011580008142141DEFFFE04DC0000152021883A01000684DFC0011508141940017FFE846F +:2011600084003FCC00C000441144703A80C0021E10800094000001061080029411403FCCD0 +:2011680001000684DFC00117DC000017DEC00204081421412140028BDEFFFE04DC00001552 +:20117000280AD23A2021883A010012C4DFC0011508142140814002830100128408142140BB +:201178008140030B01001344280AD23A081421408140030301001304081421408140038BCA +:20118000010013C4280AD23A081421408140038301001384081421408140010B010014443A +:20118800280AD23A081421408140010301001404081421408140018B010014C4280AD23ACD +:20119000081421408140018301001484081421408140020B01001544280AD23A0814214084 +:201198008140020301001504081421408140040B010015C4280AD23A0814214081400403AD +:2011A00001001584081421408140048B01001644280AD23A0814214081400483010016044F +:2011A800081421408140050B010016C4280AD23A081421408140050301001684DFC0011728 +:2011B000DC000017DEC0020408142141DEFFFD04DC00001504000FC4DC4001152023883A2D +:2011B8008009883ADFC002150814194000FFFC0410C4703A888AB03A29403FCC8009883A69 +:2011C000DFC00217DC400117DC000017DEC0030408142141DEFFFD04DC400115044006842F +:2011C800DC0000152021883A8809883ADFC002150814194080C03FCC014000C428C7C83A54 +:2011D000180691BA10800FCC8809883A188AB03A29403FCCDFC00217DC400117DC000017F9 +:2011D800DEC0030408142141DEFFFD04DC0000152021883ADC40011584003FCC04400104F8 +:2011E0008809883A802090FADFC0021508141940108001CC808AB03A29403FCC8809883A2F +:2011E800DFC00217DC400117DC000017DEC0030408142141DEFFFF04DFC00015081427C04E +:2011F0000009883A08145640000B883A01000D440814214001002074211C810408145B40B8 +:2011F80001000404081476800009883A08146CC00009883A081471400100018408143880B7 +:20120000014000C401000D0408142140010011040814394001402204010006C408142140E0 +:201208000140020401000704DFC00017DEC0010408142141DEFFFD04DC0000152021883ACA +:20121000DC40011584003FCC044004048809883A802090FADFC0021508141940108001CCAC +:20121800808AB03A29403FCC8809883ADFC00217DC400117DC000017DEC0030408142141F9 +:2012200021003FCC20001A26DEFFFD04DC400115010009842823883A01402004DC00001521 +:20122800DFC002153021883A0814214089403FCC0080004428800426008002042880041EA6 +:20123000814016840000030681400244000001068140060429403FCC01000C44DFC00217E4 +:20123800DC400117DC000017DEC0030400000206000B883A0100098408142141DEFFF70411 +:20124000D8800917DDC00715DD800615DD400515DD000415DCC00315DC800215DC4001155F +:20124800DC000015DFC008152C403FCC00C000442021883A3029883A382B883ADC800A179E +:20125000DCC00B17DD800C1715C03FCC88C0082600C0020488C00D1E11400C8429403FCC5C +:2012580001000144081421400140080400000C061140008429403FCC010001440814214048 +:20126000014001842809883A000006061140018429403FCC01000144081421400140040453 +:201268000100018408142140B80D883A880B883A0100004408148800B1003FCC081439404D +:20127000008004048880021E01400304000001060140020484003FCC010008848400201C3C +:2012780008142140843FE004014007048009883A044020B408155B408C6104848885883A87 +:2012800015800684B1C0000B1100040BA9803FCC39C0100C380EC03AA17FFFCC0814404083 +:2012880099403FCC91003FCC08143540B080000B10C0010C1800041E1080020C1000041E13 +:2012900004800044000003060480010400000106048001448009883A0140070408155B40C5 +:201298008885883A114005839009883A08155B4011403FCC010001C4DFC00817DDC00717E0 +:2012A000DD800617DD400517DD000417DCC00317DC800217DC400117DC000017DEC0090486 +:2012A80008142141DEFFFD0429BFFF84DC400115DC000015DFC0021531803FCC0080004406 +:2012B00024403FCC2C003FCC11800436008000848880071E8805883A000008068800061E73 +:2012B8008005003A00C000841885C83A000003060005883A0000010600800084880A913A3C +:2012C0008C47883A10803FCC100491BA18C7883A28CAB03A214AB03A288AB03A29403FCC9D +:2012C8000100064408142140008000C48080041E014017440100040408142140000012069E +:2012D0000140160401000404081421400080008488800D1E0080004414000B368080021EAD +:2012D8000140148400000106014014C401000384081421400100FA040815F8C0010005041A +:2012E0000814194000000B06014016C401000384081421400100FA040815F8C0010005046A +:2012E80008141940008000C48080021E0140040400000106000B883A010006040814214068 +:2012F000000B883A01000D84DFC00217DC400117DC000017DEC0030408142141DEFFFD049F +:2012F800DC4001152023883A01000504DC000015DFC002152821883A081419408C403FCC97 +:2013000000C0008488C00A1E81403FCC00C0004428C0031E1080240C1080242000000606A0 +:201308002800031E1080220C10802220000002061004D07A1080004CDFC00217DC400117BE +:20131000DC000017DEC00304F800283ADEFFFE04DAC0030302800044DFC0011552D6983AD7 +:20131800DA400403DFC00203020020B4DC00001542210A840005883A037FFEC40380008426 +:2013200003FFFBC429403FCC030006844180008B40C000033400200C800005264800021E29 +:2013280078C6703A000009064B80081E000006063400400C800005264800021E68C6703A46 +:20133000000002064A80011E0007883A19C6703A18C03FCC18000C2630C0080C1807003AD0 +:2013380028C0091E40FFFE8B18C0078419000636318003CCF800032630FFFFCC180001262C +:201340001AC007263000062610C000441805883A18C03FCC420007041B3FDC1E00BFFFC431 +:20134800DFC00117DC000017DEC00204F800283A20803FCCDEFFF9041080201CDC40041557 +:20135000DFC00615DC800515DC00031510BFE0042823883A10000A0E2025883A000B883A9D +:2013580001001DC40814D300D880028510C03FCC0100004420C02E3694001FCC00000106DB +:201360002021883A08153400014001040009883A081530C0081532408804D63ADC000005EF +:20136800DC400105D88000458804D43A84003FCCD88000858804D23AD88000C50080100457 +:2013700080800426008012048080041E00BFE1C40000030600BFE54400000106008000445B +:2013780001400184D809883AD880014508152F8001000144081539C01021883A04400044AB +:2013800001400044D9000284081530C0D8800287100003168000051E08153F8000000306CA +:2013880008153D80147FF626003FFA06D8800283DFC00617DC800517DC400417DC0003173F +:20139000DEC00704F800283ADEFFF604DC000515000B883A2021883A01001244DFC0091589 +:20139800DCC00815DC800715DC4006150814D30010803FCC100002260005883A00004306FB +:2013A00001000144081539C01023883A0480004404C03FC401400044D9000484081530C05A +:2013A800D880048314C003268800051E08153F800000030608153D8014BFF626003FFA06B1 +:2013B000D8C0048300803F8418BFEB1E01400484D809883A081530C08080010310C0008C02 +:2013B80018001326D8800183D8C001C3D9400143108000CC1004923A294003CC10C8B03AF9 +:2013C000D88002031006D1BA2105883A1085883AD900028310C4B03AD8C002432008D1FAE4 +:2013C80018C000CC18C7883A20C8B03A000010061080010C10000B26D88001C3D900020300 +:2013D000000B883A10800FCC1004923A1104B03A1006923AD8800243010004441884B03A98 +:2013D80000000306000B883A0009883A0005883A10BFFFCC10C0004421003FCC28803FCC00 +:2013E0002085883A10BFFE441884983ADFC00917DCC00817DC800717DC400617DC000517E2 +:2013E800DEC00A04F800283ADEFFF60401402074DCC00715018002842027883A295D43049E +:2013F000D809883ADD000815DC400515DFC00915DC800615DC000415050000C4081560C0DB +:2013F8000440004408152DC0081534000815380001400284D809883A08152F80000B883A9A +:2014000098000015010010040814D30010803FCC1440511E01406A84010012040814D30088 +:2014080010803FCC1440271E01400104D9000284081530C0D88003031440471ED8C00343E9 +:2014100000802A841880441E0100FA04081539C008153D801440032608153D8014400C1ED0 +:20141800000006060150003401003A440814D30010803FCC103FF61E003FF706000B883AAE +:2014200001001E840814D30010803FCC100002260021883A0000090601400104D9000284B0 +:20142800081530C0D88002831080100C1000021E04000104000001060400030408153F80E7 +:2014300000002606000B883A01003A440814D30010803FCC8880032E040000440480104441 +:20143800000002060400008404BFFA4401003E84081539C094803FCC08153D8014400426B3 +:2014400008153D801000081E0021883A00000606000B883A9009883A0814D30010803FCCDB +:20144800103FF51E003FF60608153F80000B883A01001EC40814D30010803FCC100001269A +:201450000021883A01408004010014040814D30010803FCC100001260021883AA0BFFFC4F5 +:201458001029883A10803FCC84803FCC10000A26903F9C26008000449C000105988000156B +:201460009809883A0814E48010BFFFC4988002150815364000000106903FF61E08153200FC +:201468009005003ADFC00917DD000817DCC00717DC800617DC400517DC000417DEC00A04CC +:20147000F800283A20800217DEFFF804DC000115DFC00715DD400615DD000515DCC00415DF +:20147800DC800315DC400215DC0008171180313684BFFFCC90002F26208001032827883A12 +:201480003823883A1080020C300B883A1000011E300A927A010014440814D30010803FCC3C +:20148800100002260400008400001F0601001904081539C00500004405403FC40140004415 +:20149000D809883A081530C0D88000031540052608153F80D8C0000300803F8418BFF11E11 +:201498000000030608153D80153FF426003FF806008080841445C83A897FFFCC1421C83ABD +:2014A000280002260009883A081530C0900B883A9809883A081530C0817FFFCC0009883AA1 +:2014A800081530C00021883A081532008005883A00000106008000C4DFC00717DD4006175C +:2014B000DD000517DCC00417DC800317DC400217DC000117DEC00804F800283A018001B493 +:2014B80001406734010020B43186A004297F30042104000408166581280D883A200B883A1B +:2014C000010020B42104000408167AC1280D883A200B883A010020B421040004081676C17E +:2014C800F800283AD0A1D98300C020B418C4300410801FCCD0A1D98518800035F800283AC8 +:2014D000D0E1D98300BFE0041884B03A00C020B4D0A1D98518C4300410803FCC18800035EB +:2014D800F800283A01800A7401406734010020B4318CB804297F3004210400040816658168 +:2014E000018001B401406734010020B43186A004297F30042104000408166581D0A1E31736 +:2014E80010000B1EDEFFFF04015A5E04213FFFCCDFC0001508155B40D0A1E3150815FD40B4 +:2014F0000005883ADFC00017DEC00104F800283A00800044F800283ADEFFFF04DFC00015B0 +:2014F80008160100D0E1E31710C5803ADFC00017DEC00104F800283AD021E315F800283A85 +:2015000020001B16000F883A28001616200D883A29001A2E0080080400C00044000001065E +:2015080010000D26294B883A10BFFFC418C7883A293FFB360005883A180007260005883AAB +:2015100031400236314DC83A10C4B03A1806D07A280AD07A183FFA1E380001260085C83A9B +:20151800F800283A014BC83A39C0005C003FE7060109C83A01C00044003FE30600C000444D +:20152000003FEE0620001716000F883A2005883A280012162900162E0180080400C0004425 +:201528000000010630000A26294B883A31BFFFC418C7883A293FFB36180005261806D07A6E +:20153000114001361145C83A280AD07A183FFB1E380001260085C83AF800283A014BC83A41 +:20153800003FEC060109C83A01C00044003FE70600C00044003FF106200D883A2900152E90 +:20154000280014160080080400C000440000020610000E2628000516294B883A10BFFFC452 +:2015480018C7883A293FFA36180008260005883A31400236314DC83A10C4B03A1806D07AEE +:20155000280AD07A183FFA1EF800283A0005883AF800283A00C00044003FF4062005883AF1 +:201558002900122E280011160180080400C000440000020630000C2628000516294B883A47 +:2015600031BFFFC418C7883A293FFA36180006261806D07A114001361145C83A280AD07A77 +:20156800183FFB1EF800283AF800283A00C00044003FF7060005883A2000072620C0004CBF +:201570002008D07A180001261145883A294B883A203FFA1EF800283AF800283A218D883AC1 +:20157800218008262080000328C0000310C0022610C5C83AF800283A2100004429400044BB +:20158000003FF7060005883AF800283A2005883A2007883A218D883A1980052629000003BE +:2015880018C0004429400044193FFFC5003FFA06F800283ADEFFF504DFC00915DC400815F9 +:20159000DC000715D9C00A1500802074109EFF04144000172800040E008022C4888000159E +:2015980000BFFFC400001C0600C08204D8C0000DD9000415D90002152800022628FFFFC488 +:2015A000000001060007883AD8C00515D8C003151100001700FFFFC4D8C0008D00C0207496 +:2015A80018D757042821883AD9C00A04D80B883AD8C00115D80006150815830000FFFFC47F +:2015B00010C0020E00C022C488C0001580000226D8C0041718000005DFC00917DC400817C6 +:2015B800DC000717DEC00B04F800283A3000152631BFFFC4218D883A2080000328C00003F6 +:2015C00011C03FCC1A003FCC39C0201C4200201C39FFE004423FE0043A00061E21800426AD +:2015C800380003262100004429400044003FF2061007883A18C03FCC10803FCC10C5C83A2B +:2015D000F800283A0005883AF800283A2005883A200F883A30000C262A00000338C00044DD +:2015D80031BFFFC43A00000542003FCC4200201C423FE00429400044180F883A403FF51EA9 +:2015E000198D883A00000106F800283A30C003261800000518C00044003FFC06F800283A35 +:2015E800214B883A2005883A1140021E1105C83AF800283A10C00007183FFC2610800044C7 +:2015F000003FF906DEFFFB04DC800315DC400215DC000115DFC004152025883A2823883A5C +:2015F800D98000053821883A04000A0E8880011701C00044D80D883A880B883A9009883AC2 +:20160000103EE83A843FFFC4103FF72600BFFFC4000001060005883ADFC00417DC800317E8 +:20160800DC400217DC000117DEC00504F800283ADEFFE504D8C00804DDC01815DD801715DB +:20161000DD401615DD001515DCC01415DC801315DC401215DC001115DFC01A15DF00191502 +:201618002029883A2823883A382D883AD9800F150021883AD8000E15D8000A15002B883A34 +:201620000027883A0025883AD8000C15D8000B15002F883AD8C00915D8C00F171900000368 +:2016280020803FCC1080201C10BFE00410011E2600C00044B8C014261DC00216B800062694 +:201630000001150601400084B9401D26014000C4B9402B2600011006014009441140FC2616 +:2016380088800117D900000501C00044D80D883A880B883AA009883A103EE83A1000D81EB2 +:20164000840000440001040601400C041140FA260140094411400A1ED88000058880011771 +:20164800B80F883AD80D883A880B883AA009883A103EE83A1000CA1E840000440000F50667 +:2016500025FFF404BDC03FCC00C002441DC0093600BFFFC490800426014002849009883AD6 +:2016580008155B40000001060005883AB8A5883A0000E20601400B841140E42605C0008471 +:20166000213FF40427003FCC00C002441F00093600BFFFC498800426014002849809883A8E +:2016680008155B40000001060005883AE0A7883A0000D90600C01B0410C0D226013FFFC40A +:2016700099000226D8000B150000010604C0004401001A441100162620800916010018C44A +:20167800110088260100190411001126010016041100C81E00C00044D8C00E150000150641 +:2016800001001CC4110098262080041601001BC41100C01E0540020400000F0601001D444F +:2016880011000D2601001E0411000A260000B906D8C00A17B700010418000726DF000D1520 +:20169000B5C00017B800080E05EFC83A024000440000060605400404B0C00104D8C00D15DC +:20169800B5C00017D8000A150013883AD839883AB8001726A80B883AB809883ADA401015DB +:2016A00008154F80A80B883A1009883A102D883A08155B40B885C83A00C00244DA401017B1 +:2016A8001880021610800C0400000506D8C00E171800022610800DC400000106108015C4F9 +:2016B000E0800005B02F883AE7000044003FE806E6EFC83A9DC5C83A0080090EE085883AC3 +:2016B80001400C04D8C00917E009883AE0C0032EE700004421400005E0BFFA1EE6EFC83A6E +:2016C000D8C00B174DD1883A922DC83A1800162648000A2600800B44D8800805888001178F +:2016C80001C00044D9800804880B883AA009883A103EE83A10004A1E840000440580070E8E +:2016D000B00F883A01800C04880B883AA009883A08157C401000421E85A1883AE02D883A28 +:2016D800BF2FC83A000020060580090EB00F883A01800804880B883AA009883ADA40101533 +:2016E00008157C40DA4010171000351E85A1883A483FF22600800B44D88008058880011792 +:2016E80001C00044D9800804880B883AA009883A103EE83A10002A1E84000044003FE706FC +:2016F000B5BFFFC4B080000301C00044D9800804D880080588800117880B883AA009883AC1 +:2016F800103EE83A10001E1E8585C83AB5C9883AE085883A013FF2161021883ADD800D17E7 +:2017000000004406008000441480080E95FFFFC4B80F883A01800804880B883AA009883AE4 +:2017080008157C4010000E1E85E1883AB080001701C00044D80D883AD88000058880011714 +:20171000880B883AA009883AB5C00104103EE83A1000031E84000044B82D883A00002D063C +:2017180000BFFFC400003106B5C00017B7000104B809883A0815E6409091C83A102D883AC8 +:201720000200090E400F883A01800804880B883AA009883ADA00101508157C40DA00101759 +:20172800103FEF1E8221883A88800117B00F883AB80D883A880B883AA009883A103EE83A88 +:20173000103FE71E85A1883AE02D883A0000110600C0004404FFFFC4D8000E15D8C00A15FB +:20173800054002849825883AD8000C15D8000B15182F883A00000806DDC00B1505C0008439 +:201740000000050600C00044D8C00C1505C000C400000106002F883AD8C00F1718C0004466 +:20174800D8C00F15003EDC068005883ADFC01A17DF001917DDC01817DD801717DD401617DE +:20175000DD001517DCC01417DC801317DC401217DC001117DEC01B04F800283A2880000B10 +:2017580010C0020C1800202628C0008FDEFFFD04DC000015DFC00215DC4001152821883AFC +:201760001800150E10C0800C180013262C40051789C0030E10C0200C1800032600000E064E +:201768003C40010E3823883A81000417300B883A880D883A0815E040808005171445C83A15 +:2017700080800515808004171463883A844004150005883A00000606108010148080000D7A +:2017780000BFFFC40000020600BFFFC4F800283ADFC00217DC400117DC000017DEC0030467 +:20178000F800283A2005883A218F883A290002361007883A00000C062987883A20FFFC2E8F +:20178800380B883A30CDC83A1989883A2000052618FFFFC419000003297FFFC429000005FF +:20179000003FF906F800283A19C005262900000318C0004429400044193FFFC5003FFA064D +:20179800F800283A2005883A10C000071800022610800044003FFC061105C83AF800283A52 +:2017A000DEFFFF040100207401402074DFC00015211D4E04295F03042140061E01002074F2 +:2017A8000140207421000804294008042140121E00000B0600C0207418DF03041907C83A94 +:2017B0000005883A10FFF526114F883A39C00017110D883A1080010431C00015003FF9063D +:2017B8000100207401402074211A3904295A39042140101E00000B0600C0207418C0080497 +:2017C0001907C83A0005883A10FFF526114F883A39C00017110D883A1080010431C0001549 +:2017C800003FF90608168680DFC00017DEC0010408168D8100C0207418DD4E041907C83A5D +:2017D0000005883A18BFF726114F883A39C00017110D883A1080010431C00015003FF90653 +:2017D800DEFFFF040009883ADFC000150815F9000815FB00D1A1E417D161E517D121E617DA +:2017E000DFC00017DEC001040812358108167E81DEFFFF04DFC0001508168DC000800044E0 +:2017E8001001703ADFC00017DEC00104F800283A008020B410840804D0A1E815010020747C +:2017F0000080673410BF3004211EAB04D0A1E71508164781D0E1E717D0A1E81718000A2613 +:2017F80010C001040100020419000035013FFFD411000235110003350080010418800035B1 +:201800000005883AF800283A00BFFFC4F800283AD0A1E71710000926D0E1E81718800404CD +:20180800100000351080003718C005371806943A10BFFFCC1884303AF800283A00BFFFC438 +:20181000F800283AD0A1E717F800283A20001D262804923A20C03017DEFFFD04DC40011503 +:20181800DC000015DFC002152823883A2021883A108000D41880033580C03017188000376F +:201820001080004C100003260100004408167E80003FF906198000373007D0BA3009D0FA60 +:2018280018C001CC2100020C1908B03A3007D07A18C0040C1906B03A88C0042600BFF484A6 +:201830000000020600BFFA84F800283ADFC00217DC400117DC000017DEC00304F800283A1B +:2018380020000A26280009263000082620800C173080001520800C171000062621000D04D2 +:20184000290000150005883AF800283A00BFFA84F800283A00BFFEC4F800283A2005883AD0 +:2018480020001D262809883A28001B1610C0311728C0192E1140341728FFFFC41906703A3B +:201850001800151EDEFFFE04DC000015DFC001151021883A08154F801004923A00C04034B5 +:2018580018FFC00410C4703A80C03017108000941880033580C0301718C004041880003766 +:201860001080004C10000626008000441880003500BFFEC40000020600BFFA84F800283A9F +:20186800DFC00117DC000017DEC00204F800283ADEFFF504DC000115DFC00A15DF00091535 +:20187000DDC00815DD800715DD400615DD000515DCC00415DC800315DC400215DC000B179C +:2018780028003A163023883A300038162027883A20003626382B883A380034262080311721 +:201880003080322E2880312E208034173147C83A10C7C83A1C002D3680002C1610BFFFC420 +:20188800114A703A2800291E0029883A05BFFFC405C000C40700010480002226DD8000158B +:20189000890000CC20000626E105C83A8025883A1400012E1025883A8923C83A0000040656 +:20189800BC0002368025883A0000010604800104AD0B883A900D883AD909883A081560C08B +:2018A00098802E17D8C00017A4A9883A84A1C83A8885883A10C0003598803017108004041B +:2018A80010C0003718C0008C1800042600C0008410C0003500BFFEC4000005068C400104CD +:2018B000003FDD060005883A0000010600BFFA84DFC00A17DF000917DDC00817DD800717F5 +:2018B800DD400617DD000517DCC00417DC800317DC400217DC000117DEC00B04F800283A80 +:2018C00020001626DEFFF604DD000515DFC00915DDC00815DD800715DD400615DCC0041501 +:2018C800DC800315DC400215DC0001153029883A30000C262021883A20802E1780C02F177C +:2018D0002823883A2885883A382B883A3889883A10C0042E20C005360000020600BFFA8472 +:2018D800F800283A00BFFA8400002406814034178809883A002D883A08154F80102F883AF4 +:2018E00080803317B8801C2EA8001B2684C0341704E7C83A9C66703A8CC002268CE5C83A24 +:2018E800000001060025883A980B883A8009883A081611C01000111E8080341714A5C83A0E +:2018F000AC80012EA825883ADC800015A58F883A880D883A980B883A8009883A08161B0047 +:2018F8001000061EACABC83AB4AD883A8CA3883ABDC00044003FE2060005883ADFC00917C1 +:20190000DDC00817DD800717DD400617DD000517DCC00417DC800317DC400217DC00011707 +:20190800DEC00A04F800283A200008263007883A3000062620802E17288B883A20802F17D6 +:20191000394D883A2880012E3080023600BFFA84F800283ADEFFFF04380D883A1809883A4F +:20191800DFC00015081560C00005883ADFC00017DEC00104F800283A20004E2620803017C9 +:2019200010004E2620C03217DEFFFB04DFC00415DCC00315DC800215DC400115DC0000151C +:2019280018001E1E1480023700C0030494803FCC90BFFAC418804336100490BA00C02074C8 +:2019300018D9340410C5883A108000171000683A0081653C0081654C0081655400816544C6 +:2019380000816504008165C4008165C4008165C4008165C4008165C4008165C40081650CB7 +:2019400000816514044080040000130604410004000011060442000400000F061480013721 +:201948000080058494803FCC90800A260080060490800426008005049080221E044008042A +:2019500000000506044040040000030604401004000001060440200424C034172021883AE2 +:201958008809883A980B883A08155B4080C0311710C0021E80C0331788C0022680000C15EC +:201960000000100600C000448480361580C00C1580000D1580800E1584400F1584C0101587 +:20196800D1601F048009883A081686C00005883A0000050600BFFA84F800283A00BFFB44F5 +:20197000F800283A00BFFB44DFC00417DCC00317DC800217DC400117DC000017DEC005044C +:20197800F800283A300001260005C03200FFFF042005883A28C6703A110DC83A30C0042EE4 +:2019800011800017300DC0F210800104003FFA06294000CC00C000C428C0041E10C0000B3E +:201988001807C0B2108000830000080600C0008428C0031E1080000B1005C0B20000040614 +:2019900000C0004428C0021E108000031005C0720005C132F800283ADEFFFD04DC400115EF +:20199800DC0000152823883A2021883A014001443009883ADFC0021508155B40100B883A62 +:2019A0008809883A08154F8010BFFFC480C0020418000035010000448100043511003FCCA8 +:2019A800810000351004D23A10803FCC808001350080200418800035DFC00217DC4001171B +:2019B000DC000017DEC00304F800283A3180004C294B883A298B883A2140033521000404BA +:2019B8000080240420800035208000371080008C103FFD1E208000371004D1FA1080004CA3 +:2019C000F800283A20C004042800022600801A0400000106008008041880003518800037A8 +:2019C8001080008C103FFD1E20800337F800283A29403FCC214003352100040430000226B7 +:2019D00000801404000001060080040420800035208000371080008C103FFD1E20800037C7 +:2019D8001004D1FA1080004CF800283A21C004042805883A02000B04210003041147C83A6F +:2019E0001980090E3A00003538C0003718C0008C183FFD1E2800022620C0003710C0000587 +:2019E80010800044003FF506F800283A21C003042805883A21000404020007041147C83A10 +:2019F0001980080E10C0000338C000352200003520C0003718C0008C183FFD1E1080004410 +:2019F800003FF606F800283A014AAAF4DEFFFE04296AAA84DC000015DFC001152021883A08 +:201A000008154F8010000F2601600034013555740007883A297FFFC421155584297FFFC453 +:201A0800283FFE1E18C000448121883A18BFFB168405883A1421883A843FFFC4803FFE1E30 +:201A1000000004068405883A1421883A843FFFC4043FFE160005883ADFC00117DC0000171C +:201A1800DEC00204F800283AF800283A20000226208002171000101ED0A01E171000092633 +:201A2000DEFFFF04DFC00015103EE83A00C0058410C0001500BFFA84DFC00017DEC00104DE +:201A2800F800283AD0A1E90400C0058410C0001500BFFA84F800283A2880001721400115EB +:201A3000208000152880001711000115290000150005883AF800283AF800283A000170FAD7 +:201A3800F800283A73616C4672652068006573616F727265642520720000000073616C46BD +:201A400072772068006574696F7272650000007273616C4665762068206669726C696166C3 +:201A48000000000053206F4E6163204464206472002E74652520732500632575252E7525FE +:201A50006B75322E25207A482E252E757A4875320000000064616F4C0000646564616F4C07 +:201A5800696166200064656C00007325746F6C530075252065766153000000646576615373 +:201A6000696166200064656C4353534F776620207525202E322E252E0000617574696E4987 +:201A6800727265202020726F000064252020202053204F4E00434E59343130323130322D4A +:201A70006D202037737172610000000074736554746170206E726574000000003A315641FB +:201A780042475220000000533A31564173475220000000423A3156416250592000007250A1 +:201A80003A32564162505920000072503A32564173475220000000423A33564142475220E6 +:201A8800000056483A33564142475220000000533A33564173475220000000423A335641D8 +:201A900062505920000072507473614C6573752000000064008169CC008169DC008169E896 +:201A9800008169F400816A0000816A0C00816A1800816A2400816A3000816A3C00816A48E7 +:201AA0000000000000000000001000000344060B01000100000000001A80808000001A1AEE +:201AA8007365725000000073666E6F43006D72696D73694D686374616572202C007972748B +:201AB000646F4D567325203A00000000203A4F4C56207525203A4D5300007525666F72507E +:201AB80020656C6964616F6C0000003A736572702D30207300000039000000310000003294 +:201AC00000000033000000340000003500000036000000370000003800000030554E454D60 +:201AC8000000000000004B4F4B43414200000000000050554E574F44000000005446454C4B +:201AD0000000000048474952000000544F464E49000000005F44434C4B4341424847494CE5 +:201AD800000000544E414353454E494C444F4D5F000000454E414353454E494C5059545F20 +:201AE000000000454E414353454E494C544E495F0000002B4E414353454E494C544E495F48 +:201AE8000000002D454E494C544C554D444F4D5F000000455341485000002B4553414850FB +:201AF00000002D45464F52505F454C494B544F48000059453EA93E293EE93E693E993E19D6 +:201AF8003ED93E593EC93E393E1D3E4D3E2D3EED3EAD3ECD3E653E6D1C483E011C501C189F +:201B00001CC81CD05ED85E5800003EB900816AF800816AFC00816B0000816B0400816B0878 +:201B080000816B0C00816B1000816B1400816AF400816B1800816B1C00816B2400816B28BA +:201B100000816B3000816B3400816B3C00816B4400816B4C00816B5400816B6400816B74F9 +:201B180000816B8400816B9400816BA400816BB400816BBC00816BC44353534F00000000FD +:201B200061766E492064696C67616D690000006561766E492064696C64616568000072656B +:201B280061766E492064696C2072646800435243696C6156697461646420676E00617461C3 +:201B300062207525736574790000000061766E492064696C6174616443524320000000003B +:201B3800252E75252575322E00732573636E6143656C6C650000006461647055676E6974E4 +:201B40000057462061656C70772065732E74696100002E2E697265566E6979666C6620674A +:201B48000068736172746552676E6979647075200065746161647055203F65742C593D3195 +:201B50004E3D322000000000202020200000752564206425000067656C20752573656E69D0 +:201B580000000000252E75252075322E000073756D20642500000056702075256C6578695B +:201B6000000000732525752500000000656469566E69206F6F7270203E20206300000000CE +:201B6800706D6153676E696C74706F203E20202E00000000636E795374706F202020202E65 +:201B70003E202020000000007074754F6F207475202E74703E2020200000000074736F5021 +:201B78006F72702D20202E633E2020200000000069647541706F206F6E6F69743E202073C4 +:201B800000000000616F4C3C727020646C69666F003E20657661533C727020656C69666FA3 +:201B8800003E20657365523C73207465697474653E73676E00000000657365526F64207476 +:201B90000000656E2E77463C64707520206574613E202020000000002D204B4F736C702084 +:201B98007365722074726174000000006C696166000064656E776F446D61732D6E696C70EA +:201BA000000000677061775366656C2069722F74007468676E616353656E696C000000736B +:201BA8006E616353656E696C727473200000002E6E616353656E696C70797420000000653A +:201BB0006E616353656E696C696C61202E6D6E670000000069726F48746E6F7A6D206C616B +:201BB800006B7361747265566C61636973616D200000006B703034323838322F696C20708C +:201BC0003358656E00000000656E694C706972746D20656C0065646F703038343637352FED +:201BC800696C20703258656E00000000693038343637352F6170206972747373000000003F +:201BD0006D2058540065646F74696E49206C616975706E69000000746C616E417320676F85 +:201BD80020636E790046504C6C616E417320676F20636E79006874566E7973486F74206318 +:201BE0006172656C0065636E6E797356687420636873657200646C6F4C502D487250204CCC +:201BE8006F432D65007473614C502D486F50204C432D74737473616F00000000706D615376 +:201BF000676E696C616870200000657370303834206E6920706D61730072656C7664413C8C +:201BF8006974202E676E696D3E2020200000000065646956504C206F000000465062505905 +:201C00006E6920726C6F43200061705372502F5266666F200074657320592F477366666F72 +:201C08000000746562502F4266666F200074657372502F52696167200000006E20592F4728 +:201C10006E6961670000000062502F42696167200000006E73202E486C706D6174617265D4 +:201C18000000006573202E486C636E7900006E6561202E48766974630000006561202E569E +:201C2000766974630000006562202E48706B63616863726F0000000062202E56706B6361A1 +:201C28006863726F000000002066664F207366283639203D297A486B000000002020783288 +:201C3000207366283834203D297A486B0000000000706F5474746F4200006D6F69726F481A +:201C3800746E6F7A00006C61747265566C6163690000000065746C4174616E7200676E6941 +:201C40000066664F6F74754100000000756E614D00006C61494D444800000000004956446D +:201C4800656E654720636972393A363100000000656E65472063697200333A34783032333A +:201C5000203034326974706F00002E6D78363532203034326974706F00002E6D484D33334A +:201C58006D28207A00296E69484D30316D28207A002964654D352E3228207A482978616DCB +:201C60000000000020565444703038340000000041534556303436203038347800303640A7 +:201C68002E63655231303620000000002E6365523930372000000000484D35394828207A48 +:201C70002056544400294949484D35334828207A2056544400002949484D36314528207A01 +:201C780029565444000000007A484D39445328200029565400006E4F44525355004154416A +:201C800000000020008171A00000200020002CE5E926F4FD38BC200000000000008171AC8F +:201C8800000020002000323EF113FA043B61200000000000703034324D334C5F0500003068 +:201C9000177000F001060618034810AA00410012703034324D334C5F03C00031177000F0A4 +:201C9800010604920336108000420012703034324D334C5F01400032177000F0010606A8A2 +:201CA000031F103100040011703034324D334C5F01000033177000F0010606A903191027C7 +:201CA80000080011703034320000000002D00000177000F00106035A033C1041005000125E +:201CB000703838324D334C5F050000301388012001380618034810AA004100127038383225 +:201CB8004D334C5F03C0003113880120013804920336108000420012703838324D334C5F08 +:201CC00001400032138800F0013801AA031F293100440011703838324D334C5F01000033E0 +:201CC800138800F0013801550319292700480011703838320000000002D00000138801207D +:201CD00001380360033C104100500012703438330000000001F000001686018001A702801F +:201CD800033E1D3200500004783034360034383302800000157C018001EC032002603F30E2 +:201CE00000100010693038340000000002D00000176A00F0020D035A033C104100700012FE +:201CE800703038340000000002D00000176A01E0020D035A063E1E3C0080001478303436EC +:201CF0000030383402800000177001E0020D032002602130010000147830343600323135AA +:201CF80002800000177002000238032002601C3000000010693637350000000002D00000C9 +:201D00001388012002710360033C104100700012703637350000000002D00000138802405E +:201D080002710360063C2041000000047830303800303036032000001770025802740420FA +:201D10000480175800000010703032370000000005000000176A02D002EE0672052814FFA7 +:201D180000000008303832313032377805000000177002D002EE0672052814DC00000010D4 +:201D2000343230313836377804000000177003000326054006881DA000000010303832319D +:201D2800323031780500003417700400042A0698037026F80000001030383031000000692D +:201D300007800000176A043804650898052C10940020000830383031000000700780000089 +:201D3800176A043804650898052C24BC000000083032393138303178078000301770043855 +:201D400004650898052C249400000010FFFFFFFFFFFFFFFF0000FFFF7665642F6370652FB6 +:201D48006F635F716F72746E72656C6C615F305F6D5F6C7600006D65000000000000000098 +:201D5000000000000000000000000000000000000000000000000000000000000000000073 +:201D580000000000000000000000000000000000000000000000000000000000000000006B +:201D6000000000000000000000000000000000000000000000000000000000000000000063 +:201D680000000000000000000000000000000000000000000000000000000000000000005B +:201D7000000000000000000000000000000000000000000000000000000000000000000053 +:201D780000000000000000000000000000000000000000000000000000000000000000004B +:201D8000000000000000000000000000000000000000000000000000000000000000000043 +:201D880000000000000000000000000000000000000000000000000000000000000000003B +:201D9000000000000000000000000000000000000000000000000000000000000000000033 +:201D980000000000000000000000000000000000000000000000000000000000000000002B +:201DA000000000000000000000000000000000000000000000000000000000000000000023 +:201DA80000000000000000000000000000000000000000000000000000000000000000001B +:201DB000000000000000000000000000000000000000000000000000000000000000000013 +:201DB80000000000000000000000000000000000000000000000000000000000000000000B +:201DC000000000000000000000000000000000000000000000000000000000000000000003 +:201DC8000000000000000000000000000000000000000000000000000000000000000000FB +:201DD0000000000000000000000000000000000000000000000000000000000000000000F3 +:201DD8000000000000000000000000000000000000000000000000000000000000000000EB +:201DE0000000000000000000000000000000000000000000000000000000000000000000E3 +:201DE8000000000000000000000000000000000000000000000000000000000000000000DB +:201DF0000000000000000000000000000000000000000000000000000000000000000000D3 +:201DF8000000000000000000000000000000000000000000000000000000000000000000CB +:201E00000000000000000000000000000000000000000000000000000000000000000000C2 +:201E08000000000000000000000000000000000000000000000000000000000000000000BA +:201E10000000000000000000000000000000000000000000000000000000000000000000B2 +:201E18000000000000000000000000000000000000000000000000000000000000000000AA +:201E20000000000000000000000000000000000000000000000000000000000000000000A2 +:201E280000000000000000000000000000000000000000000000000000000000000000009A +:201E3000000000000000000000000000000000000000000000000000000000000000000092 +:201E380000000000000000000000000000000000000000000000000000000000000000008A +:201E4000000000000000000000000000000000000000000000000000000000000000000082 +:201E480000000000000000000000000000000000000000000000000000000000000000007A :201E5000000000000000000000000000000000000000000000000000000000000000000072 :201E580000000000000000000000000000000000000000000000000000000000000000006A :201E6000000000000000000000000000000000000000000000000000000000000000000062 :201E680000000000000000000000000000000000000000000000000000000000000000005A :201E7000000000000000000000000000000000000000000000000000000000000000000052 -:201E780000000004000000000001000A000000000002000A0000000000000000000000002F -:201E8000000000000000000000816E28000000000000000000815C8000815DA800815A600D -:201E880000815A9C00815B3000000000008000000000000000000000000000000000000037 +:201E780000000000000000000000000000000000000000000000000000000000000000004A +:201E8000000000000000000000000000000000000000000000000000000000000000000042 +:201E880000000000000000000000000000000000000000000000000000000000000000003A :201E9000000000000000000000000000000000000000000000000000000000000000000032 :201E980000000000000000000000000000000000000000000000000000000000000000002A :201EA000000000000000000000000000000000000000000000000000000000000000000022 -:201EA8000000000000000000000000000000000000000000008159CC0000000000800000F4 -:201EB00000821040008000000000000100000080000100000000010000000000001080109D -:201EB800000000800000000900816EA80000000600816F5C0000000600816FD400000006C8 -:201EC0000081704C00000003008170C4000000080081710000000006008171A0008169E0B1 -:201EC800008169E400816A2400816A2C00816AB000816ABC00816A1000816B04C896554BDB -:201ED0000081729C00000000008174C4008174C400000000000000000000000000000000F1 +:201EA80000000000000000000000000000000000000000000000000000000000000000001A +:201EB000000000000000000000000000000000000000000000000000000000000000000012 +:201EB80000000000000000000000000000000000000000000000000000000000000000000A +:201EC000000000000000000000000000000000000000000000000000000000000000000002 +:201EC8000000000000000000000000000000000000000000000000000000000000000000FA +:201ED0000000000000000000000000000000000000000000000000000000000000000000F2 :201ED8000000000000000000000000000000000000000000000000000000000000000000EA :201EE0000000000000000000000000000000000000000000000000000000000000000000E2 :201EE8000000000000000000000000000000000000000000000000000000000000000000DA :201EF0000000000000000000000000000000000000000000000000000000000000000000D2 :201EF8000000000000000000000000000000000000000000000000000000000000000000CA -:201F00000000000000000000000000000000000000000000000000000000000000000000C1 -:201F08000000000000000000000000000000000000000000000000000000000000000000B9 -:201F10000000000000000000000000000000000000000000000000000000000000000000B1 -:201F18000000000000000000000000000000000000000000000000000000000000000000A9 -:201F20000000000000000000000000000000000000000000000000000000000000000000A1 -:201F2800000000000000000000000000000000000000000000000000000000000000000099 -:201F3000000000000000000000000000000000000000000000000000000000000000000091 -:201F3800000000000000000000000000000000000000000000000000000000000000000089 -:201F4000000000000000000000000000000000000000000000000000000000000000000081 -:201F4800000000000000000000000000000000000000000000000000000000000000000079 -:201F5000000000000000000000000000000000000000000000000000000000000000000071 -:201F5800000000000000000000000000000000000000000000000000000000000000000069 -:201F6000000000000000000000000000000000000000000000000000000000000000000061 -:201F6800000000000000000000000000000000000000000000000000000000000000000059 -:201F7000000000000000000000000000000000000000000000000000000000000000000051 -:201F7800000000000000000000000000000000000000000000000000000000000000000049 -:201F8000000000000000000000000000000000000000000000000000000000000000000041 -:201F8800000000000000000000000000000000000000000000000000000000000000000039 -:201F9000000000000000000000000000000000000000000000000000000000000000000031 -:201F9800000000000000000000000000000000000000000000000000000000000000000029 -:201FA000000000000000000000000000000000000000000000000000000000000000000021 -:201FA800000000000000000000000000000000000000000000000000000000000000000019 -:201FB000000000000000000000000000000000000000000000000000000000000000000011 -:201FB800000000000000000000000000000000000000000000000000000000000000000009 -:201FC000000000000000000000000000000000000000000000000000000000000000000001 -:201FC8000000000000000000000000000000000000000000000000000000000000000000F9 -:201FD0000000000000000000000000000000000000000000000000000000000000000000F1 -:201FD8000000000000000000000000000000000000000000000000000000000000000000E9 -:201FE0000000000000000000000000000000000000000000000000000000000000000000E1 -:201FE8000000000000000000000000000000000000000000000000000000000000000000D9 -:201FF0000000000000000000000000000000000000000000000000000000000000000000D1 -:201FF8000000000000000000000000000000000000000000000000000000000000000000C9 -:202000000000000000000000000000000000000000000000000000000000000000000000C0 -:202008000000000000000000000000000000000000000000000000000000000000000000B8 -:202010000000000000000000000000000000000000000000000000000000000000000000B0 -:202018000000000000000000000000000000000000000000000000000000000000000000A8 -:202020000000000000000000000000000000000000000000000000000000000000000000A0 -:20202800000000000000000000000000000000000000000000000000000000000000000098 +:201F0000000000000000000000000000017804E53CCE00813FAE03833F333D4905B8038326 +:201F0800009301B404163C493CD93F9F04163F10020E04093D0E00C83F6E03833ED03DAC1C +:201F100004B2038300E9026404163C933D493F5604163E9F00817B9000000000000000009F +:201F180000000000000000000000000000816D8C0000000300817BC0000000000000000070 +:201F200000816DA00000000300817BB8000000000000000000816DB40000000300817BB00B +:201F2800000000000000000000816DC80000000300817BA8000000000000000000816DDC72 +:201F30000000000300817BA0000000000000000000816DF00000000300817B98000000007D +:201F38000000000000816E04000000030000000000811E040000000000816E1400000003EA +:201F40000000000000811EDC0000000000816E24000000040081279400816E3800816D8816 +:201F480000816E440000000400812DAC00816E5800816E6C00816E7400000000008183D00F +:201F50000001000100817BD000816E8400000000008183D10001000100817BF000816E94EA +:201F580000000000008183BD000200010081795000816EA000000001008183BF000F0000F9 +:201F6000008133F400816EB000000000008183BE000200010081794400816EC00000000068 +:201F6800008183C00001000100817BD800816ED400000001008183C3003F0000008133D869 +:201F700000816EE400000001008183C4003F0000008133D800816EF400000000008183C142 +:201F78000001000100817BF000816F0800000000008183C2000300010081795C00816F183B +:201F800000000000008183D20001000100817BF000816F2C00000000008183D30001000188 +:201F880000817BF000816F4000000000008183C50001000100817BE000816F48000000003E +:201F9000008183D4000A0001008179A000816F5800000000008183CC000300010081796C32 +:201F980000816F6800000001008183C9001F00000081339400816F7800000001008183CA65 +:201FA00000FF00000081330400816F8800000001008183CB00C80A000081330400816F9810 +:201FA80000000001008183CE00050000008132E800816FA800000001008183CF0005000035 +:201FB000008132E800816FBC00000001008183C7001F0001008132A400816FCC00000000CB +:201FB800008183C6000200010081797C00816FDC0000000300817BC800811FB400000000DF +:201FC00000816FF000000000008183CD000500010081798800816FFC00000000008183C810 +:201FC8000001000100817BE80081700C00000001008183D500FF00000081328800817018F9 +:201FD00000000001008183D600FF0000008132880081702400000001008183D700FF0000EC +:201FD800008132880081703000000001008183D800FF0000008132880081703C0000000148 +:201FE000008183D900FF0000008132880081704800000001008183DA00FF00000081328878 +:201FE8000081705400000002008182F408FC012C008120B80081706400000002008182FEB9 +:201FF00000C8000A008120B80081707000000002008182F2078000C8008120B80081707C39 +:201FF80000000002008182F004B000C8008120B80081708800000002008182EA00FF000197 +:20200000008120B80081709800000002008182FA00FF0001008120B8008170DC008170E8E0 +:20200800008170F400817100008171040081710C00817120008171300081713C0081714C4D +:20201000008171000081715C008171680081717400817104008171840081719000817104CC +:2020180000817100008171B8008171C8008171D8008171E8008169CC008169DC008169E860 +:20202000008169F400816A0000816A0C00816A1800816A2400816A3000816A3C00816A4859 +:202028000000000000817A8C00817A9400817A9C00000000000000000000000000000000EB :20203000000000000000000000000000000000000000000000000000000000000000000090 :20203800000000000000000000000000000000000000000000000000000000000000000088 :20204000000000000000000000000000000000000000000000000000000000000000000080 :20204800000000000000000000000000000000000000000000000000000000000000000078 :20205000000000000000000000000000000000000000000000000000000000000000000070 -:20205800000000000000000000000000000000000000000000000000000000000000000068 -:20206000000000000000000000000000000000000000000000000000000000000000000060 -:20206800000000000000000000000000000000000000000000000000000000000000000058 +:2020580000000004000000000001000A000000000002000A0000000000000000000000004D +:2020600000000000000000000081751800000000000000000081630000816428008160E0A0 +:202068000081611C008161B000000000008000000000000000000000000000000000000048 :20207000000000000000000000000000000000000000000000000000000000000000000050 :20207800000000000000000000000000000000000000000000000000000000000000000048 :20208000000000000000000000000000000000000000000000000000000000000000000040 -:20208800000000000000000000000000000000000000000000000000000000000000000038 -:20209000000000000000000000000000000000000000000000000000000000000000000030 -:20209800000000000000000000000000000000000000000000000000000000000000000028 -:2020A000000000000000000000000000000000000000000000000000000000000000000020 -:2020A800000000000000000000000000000000000000000000000000000000000000000018 -:2020B000000000000000000000000000000000000000000000000000000000000000000010 +:2020880000000000000000000000000000000000000000000081604C00000000008000008B +:202090000082104000800000000000010000008000010000000001000000000000108010BB +:20209800000000800000000A0081759800000002008176600000000600817688000000062C +:2020A00000817700000000060081777800000003008177F0000000080081782C0000000694 +:2020A800008178CC008170A8008170BC008170D0008170D4008171140081711C008171A0E1 +:2020B000008171AC00817100008171F4C896554B008179CC0000000000817C0400817C04D4 :2020B800000000000000000000000000000000000000000000000000000000000000000008 :2020C000000000000000000000000000000000000000000000000000000000000000000000 :2020C8000000000000000000000000000000000000000000000000000000000000000000F8 diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 50fdf11..f21cb87 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -192,6 +192,7 @@ status_t get_status(tvp_input_t input, video_format format) static alt_8 act_ctr; alt_u32 ctr; int valid_linecnt; + alt_u8 h_mult; status = NO_CHANGE; @@ -264,10 +265,16 @@ status_t get_status(tvp_input_t input, video_format format) status = (status < MODE_CHANGE) ? MODE_CHANGE : status; } - if ((tc.linemult_target != cm.cc.linemult_target) || (tc.l3_mode != cm.cc.l3_mode)) + if ((tc.pm_240p != cm.cc.pm_240p) || + (tc.pm_384p != cm.cc.pm_384p) || + (tc.pm_480i != cm.cc.pm_480i) || + (tc.pm_480p != cm.cc.pm_480p) || + (tc.l3_mode != cm.cc.l3_mode) || + (tc.l4_mode != cm.cc.l4_mode) || + (tc.l5_mode != cm.cc.l5_mode)) status = (status < MODE_CHANGE) ? MODE_CHANGE : status; - if ((tc.s480p_mode != cm.cc.s480p_mode) && (video_modes[cm.id].flags & (MODE_DTV480P|MODE_VGA480P))) + if ((tc.s480p_mode != cm.cc.s480p_mode) && ((video_modes[cm.id].group == GROUP_DTV480P) || (video_modes[cm.id].group == GROUP_VGA480P))) status = (status < MODE_CHANGE) ? MODE_CHANGE : status; if (update_cur_vm) { @@ -278,7 +285,7 @@ status_t get_status(tvp_input_t input, video_format format) tvp_writereg(TVP_HPLLDIV_LSB, ((h_samplerate & 0xf) << 4)); tvp_writereg(TVP_HPLLDIV_MSB, (h_samplerate >> 4)); - tvp_writereg(TVP_HSOUTWIDTH, video_modes[cm.id].h_synclen); + tvp_writereg(TVP_HSOUTWIDTH, cm.sample_mult*video_modes[cm.id].h_synclen); status = (status < INFO_CHANGE) ? INFO_CHANGE : status; } @@ -294,12 +301,13 @@ status_t get_status(tvp_input_t input, video_format format) (tc.sl_id != cm.cc.sl_id) || (tc.h_mask != cm.cc.h_mask) || (tc.v_mask != cm.cc.v_mask) || - (tc.edtv_l2x != cm.cc.edtv_l2x) || - (tc.interlace_pt != cm.cc.interlace_pt)) + (tc.l3m3_hmult != cm.cc.l3m3_hmult)) status = (status < INFO_CHANGE) ? INFO_CHANGE : status; - if (tc.sampler_phase != cm.cc.sampler_phase) - tvp_set_hpll_phase(tc.sampler_phase); + if (tc.sampler_phase != cm.cc.sampler_phase) { + cm.sample_sel = tvp_set_hpll_phase(tc.sampler_phase, cm.sample_mult); + status = (status < INFO_CHANGE) ? INFO_CHANGE : status; + } if (tc.sync_vth != cm.cc.sync_vth) tvp_set_sog_thold(tc.sync_vth); @@ -342,54 +350,58 @@ status_t get_status(tvp_input_t input, video_format format) return status; } -// h_info: [31:30] [29:28] [27:22] [21] [20:10] [9:8] [7:0] -// | H_LINEMULT[1:0] | H_L3MODE[1:0] | H_MASK[5:0] | | H_ACTIVE[10:0] | | H_BACKPORCH[7:0] | +// h_info: [31:28] [27:26] [25:20] [19:9] [8] [7:0] +// | H_SCANLINESTR[3:0] | H_MULTMODE[1:0] | H_MASK[5:0] | H_ACTIVE[10:0] | | H_BACKPORCH[7:0] | +// +// v_info: [31:30] [29:28] [27] [26:24] [23:18] [17:7] [6] [5:0] +// | V_SCANLINEMODE[1:0] | V_SCANLINEID | | V_MULTMODE[2:0] | V_MASK[5:0] | V_ACTIVE[10:0] | | V_BACKPORCH[5:0] | +// +// hscale_info: [31:19] [18:16] [15:13] [12:10] [9:0] +// | | H_OPT_SCALE[2:0] | H_OPT_SAMPLE_SEL[2:0] | H_OPT_SAMPLE_MULT[2:0] | H_OPT_STARTOFF[9:0] // -// v_info: [31] [30] [29:28] [27:24] [23:18] [17:7] [6] [5:0] -// | V_SCANLINES | V_SCANLINEDIR | V_SCANLINEID | V_SCANLINESTR[3:0] | V_MASK[5:0] | V_ACTIVE[10:0] | | V_BACKPORCH[5:0] | void set_videoinfo() { alt_u8 slid_target; alt_u8 sl_mode_fpga; + alt_u8 h_opt_scale = 0; + alt_u16 h_opt_startoffs = 0; - if (video_modes[cm.id].flags & MODE_L3ENABLE_MASK) { - cm.linemult = 2; + if (cm.fpga_vmultmode == FPGA_V_MULTMODE_3X) slid_target = cm.cc.sl_id ? (cm.cc.sl_type == 1 ? 1 : 2) : 0; - } else if ((video_modes[cm.id].flags & MODE_L2ENABLE) || (cm.cc.edtv_l2x && (video_modes[cm.id].type & VIDEO_EDTV))) { - cm.linemult = 1; + else slid_target = cm.cc.sl_id; - } else { - cm.linemult = 0; - slid_target = cm.cc.sl_id; - } if (cm.cc.sl_mode == 2) { //manual sl_mode_fpga = 1+cm.cc.sl_type; } else if (cm.cc.sl_mode == 1) { //auto if (video_modes[cm.id].flags & MODE_INTERLACED) - sl_mode_fpga = 3; - else if (video_modes[cm.id].flags & (MODE_L2ENABLE|MODE_L3ENABLE_MASK)) - sl_mode_fpga = 1; + sl_mode_fpga = cm.fpga_vmultmode ? FPGA_SCANLINEMODE_ALT : FPGA_SCANLINEMODE_OFF; + else if (cm.fpga_vmultmode) + sl_mode_fpga = FPGA_SCANLINEMODE_H; else - sl_mode_fpga = 0; + sl_mode_fpga = FPGA_SCANLINEMODE_OFF; } else { - sl_mode_fpga = 0; + sl_mode_fpga = FPGA_SCANLINEMODE_OFF; } - if ((cm.cc.interlace_pt) && (video_modes[cm.id].flags & MODE_INTERLACED)) { - cm.linemult = 0; - sl_mode_fpga = 0; + switch (cm.target_lm) { + case MODE_L3_320_COL: + h_opt_scale = 3; + break; + case MODE_L3_256_COL: + h_opt_scale = cm.cc.l3m3_hmult; + break; + default: + break; } - IOWR_ALTERA_AVALON_PIO_DATA(PIO_2_BASE, (cm.linemult<<30) | (cm.cc.l3_mode<<28) | (cm.cc.h_mask)<<22 | (video_modes[cm.id].h_active<<10) | video_modes[cm.id].h_backporch); - IOWR_ALTERA_AVALON_PIO_DATA(PIO_3_BASE, (sl_mode_fpga<<30) | (slid_target<<28) | (cm.cc.sl_str<<24) | (cm.cc.v_mask<<18) | (video_modes[cm.id].v_active<<7) | video_modes[cm.id].v_backporch); + h_opt_startoffs = (((cm.sample_mult-h_opt_scale)*video_modes[cm.id].h_active)/2) + ((cm.sample_mult-h_opt_scale)*(cm.sample_mult*video_modes[cm.id].h_backporch) / cm.sample_mult); + h_opt_startoffs = (h_opt_startoffs/cm.sample_mult)*cm.sample_mult; + printf("h_opt_startoffs: %u\n", h_opt_startoffs); - if (video_modes[cm.id].type & VIDEO_EDTV) - HDMITX_SetPixelRepetition(cm.cc.edtv_l2x, 0); - else if (video_modes[cm.id].flags & MODE_INTERLACED) - HDMITX_SetPixelRepetition(cm.cc.interlace_pt, (cm.cc.tx_mode==TX_HDMI)); - else - HDMITX_SetPixelRepetition(0, 0); + IOWR_ALTERA_AVALON_PIO_DATA(PIO_2_BASE, (cm.cc.sl_str<<28) | (cm.fpga_hmultmode<<26) | (cm.cc.h_mask<<20) | ((cm.sample_mult*video_modes[cm.id].h_active)<<9) | cm.sample_mult*video_modes[cm.id].h_backporch); + IOWR_ALTERA_AVALON_PIO_DATA(PIO_3_BASE, (sl_mode_fpga<<30) | (slid_target<<28) | (cm.fpga_vmultmode<<24) | (cm.cc.v_mask<<18) | (video_modes[cm.id].v_active<<7) | video_modes[cm.id].v_backporch); + IOWR_ALTERA_AVALON_PIO_DATA(PIO_5_BASE, (h_opt_scale<<16) | (cm.sample_sel<<13) | (cm.sample_mult<<10) | h_opt_startoffs); } // Configure TVP7002 and scan converter logic based on the video mode @@ -422,7 +434,7 @@ void program_mode() lcd_write_status(); //printf ("Get mode id with %u %u %f\n", totlines, progressive, hz); - cm.id = get_mode_id(cm.totlines, cm.progressive, v_hz_x100/100, target_typemask, cm.cc.linemult_target, cm.cc.l3_mode, cm.cc.s480p_mode); + cm.id = get_mode_id(cm.totlines, cm.progressive, v_hz_x100/100, target_typemask); if (cm.id == -1) { printf ("Error: no suitable mode found, defaulting to 240p\n"); @@ -435,8 +447,12 @@ void program_mode() printf("Mode %s selected - hsync width: %upx\n", video_modes[cm.id].name, (unsigned)h_synclen_px); - tvp_source_setup(cm.id, target_type, (cm.progressive ? cm.totlines : cm.totlines/2), v_hz_x100/100, (alt_u8)h_synclen_px, cm.cc.pre_coast, cm.cc.post_coast, cm.cc.vsync_thold); + tvp_source_setup(cm.id, target_type, (cm.progressive ? cm.totlines : cm.totlines/2), v_hz_x100/100, (alt_u8)h_synclen_px, cm.cc.pre_coast, cm.cc.post_coast, cm.cc.vsync_thold, cm.sample_mult); set_lpf(cm.cc.video_lpf); + cm.sample_sel = tvp_set_hpll_phase(cm.cc.sampler_phase, cm.sample_mult); + + HDMITX_SetPixelRepetition(cm.hdmitx_pixelrep, (cm.cc.tx_mode==TX_HDMI) ? cm.hdmitx_pixr_ifr : 0); + set_videoinfo(); #ifdef DIY_AUDIO diff --git a/software/sys_controller/ossc/av_controller.h b/software/sys_controller/ossc/av_controller.h index 671a606..abd0090 100644 --- a/software/sys_controller/ossc/av_controller.h +++ b/software/sys_controller/ossc/av_controller.h @@ -23,9 +23,7 @@ #include "avconfig.h" #include "sysconfig.h" -#define HDMITX_MODE_MASK 0x00040000 - -//sys_ctrl bits +// sys_ctrl bits #define SD_SPI_SS_N (1<<7) #define LCD_CS_N (1<<6) #define LCD_RS (1<<5) @@ -33,6 +31,29 @@ #define VIDGEN_OFF (1<<1) #define AV_RESET_N (1<<0) +// HDMI_TX definitions +#define HDMITX_MODE_MASK 0x00040000 + +#define HDMITX_PIXELREP_DISABLE 0 +#define HDMITX_PIXELREP_2X 1 +#define HDMITX_PIXELREP_4X 2 + +// FPGA macros +#define FPGA_V_MULTMODE_1X 0 +#define FPGA_V_MULTMODE_2X 1 +#define FPGA_V_MULTMODE_3X 2 +#define FPGA_V_MULTMODE_4X 3 +#define FPGA_V_MULTMODE_5X 4 + +#define FPGA_H_MULTMODE_FULLWIDTH 0 +#define FPGA_H_MULTMODE_ASPECTFIX 1 +#define FPGA_H_MULTMODE_OPTIMIZED 2 + +#define FPGA_SCANLINEMODE_OFF 0 +#define FPGA_SCANLINEMODE_H 1 +#define FPGA_SCANLINEMODE_V 2 +#define FPGA_SCANLINEMODE_ALT 3 + static const char *avinput_str[] = { "Test pattern", "AV1: RGBS", "AV1: RGsB", "AV1: YPbPr", "AV2: YPbPr", "AV2: RGsB", "AV3: RGBHV", "AV3: RGBS", "AV3: RGsB", "AV3: YPbPr", "Last used" }; typedef enum { @@ -71,7 +92,13 @@ typedef struct { alt_u8 macrovis; alt_8 id; alt_u8 sync_active; - alt_u8 linemult; + alt_u8 fpga_vmultmode; + alt_u8 fpga_hmultmode; + alt_u8 hdmitx_pixelrep; + alt_u8 hdmitx_pixr_ifr; + alt_u8 sample_mult; + alt_u8 sample_sel; + mode_flags target_lm; avinput_t avinput; // Current configuration avconfig_t cc; diff --git a/software/sys_controller/ossc/avconfig.c b/software/sys_controller/ossc/avconfig.c index a55c2fe..83be8d3 100644 --- a/software/sys_controller/ossc/avconfig.c +++ b/software/sys_controller/ossc/avconfig.c @@ -41,6 +41,12 @@ avconfig_t tc; // Default configuration const avconfig_t tc_default = { + .l3_mode = 1, + .l5_mode = 1, + .pm_240p = 1, + .pm_384p = 1, + .pm_480i = 1, + .l3m3_hmult = 4, .sampler_phase = DEFAULT_SAMPLER_PHASE, .sync_vth = DEFAULT_SYNC_VTH, .linelen_tol = DEFAULT_LINELEN_TOL, diff --git a/software/sys_controller/ossc/avconfig.h b/software/sys_controller/ossc/avconfig.h index 3c2b33e..866f8f1 100644 --- a/software/sys_controller/ossc/avconfig.h +++ b/software/sys_controller/ossc/avconfig.h @@ -35,7 +35,6 @@ #define SL_MODE_MAX 2 #define SL_TYPE_MAX 2 -#define LM_MODE_MAX 1 typedef struct { alt_u8 sl_mode; @@ -44,6 +43,13 @@ typedef struct { alt_u8 sl_id; alt_u8 linemult_target; alt_u8 l3_mode; + alt_u8 l4_mode; + alt_u8 l5_mode; + alt_u8 pm_240p; + alt_u8 pm_384p; + alt_u8 pm_480i; + alt_u8 pm_480p; + alt_u8 l3m3_hmult; alt_u8 h_mask; alt_u8 v_mask; alt_u8 tx_mode; @@ -61,8 +67,6 @@ typedef struct { alt_u8 audio_dw_sampl; alt_u8 audio_swap_lr; #endif - alt_u8 edtv_l2x; - alt_u8 interlace_pt; alt_u8 def_input; color_setup_t col; } __attribute__((packed)) avconfig_t; diff --git a/software/sys_controller/ossc/controls.c b/software/sys_controller/ossc/controls.c index aae9227..4ed5eb9 100644 --- a/software/sys_controller/ossc/controls.c +++ b/software/sys_controller/ossc/controls.c @@ -155,7 +155,7 @@ void parse_control() case RC_SL_TYPE: tc.sl_type = (tc.sl_type < SL_TYPE_MAX) ? (tc.sl_type + 1) : 0; break; case RC_SL_MINUS: tc.sl_str = tc.sl_str ? (tc.sl_str - 1) : 0; break; case RC_SL_PLUS: tc.sl_str = (tc.sl_str < SCANLINESTR_MAX) ? (tc.sl_str + 1) : SCANLINESTR_MAX; break; - case RC_LM_MODE: tc.linemult_target = (tc.linemult_target < LM_MODE_MAX) ? (tc.linemult_target + 1) : 0; break; + //case RC_LM_MODE: tc.linemult_target = (tc.linemult_target < LM_MODE_MAX) ? (tc.linemult_target + 1) : 0; break; case RC_PHASE_PLUS: tc.sampler_phase = (tc.sampler_phase < SAMPLER_PHASE_MAX) ? (tc.sampler_phase + 1) : 0; break; case RC_PHASE_MINUS: tc.sampler_phase = tc.sampler_phase ? (tc.sampler_phase - 1) : SAMPLER_PHASE_MAX; break; case RC_PROF_HOTKEY: diff --git a/software/sys_controller/ossc/firmware.h b/software/sys_controller/ossc/firmware.h index e6aa1fe..4fd18d4 100644 --- a/software/sys_controller/ossc/firmware.h +++ b/software/sys_controller/ossc/firmware.h @@ -24,7 +24,7 @@ #include "sysconfig.h" #define FW_VER_MAJOR 0 -#define FW_VER_MINOR 75 +#define FW_VER_MINOR 76 #ifdef DIY_AUDIO #define FW_SUFFIX1 "a" diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index 6547c91..b378898 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -49,6 +49,12 @@ static const char *ypbpr_cs_desc[] = { "Rec. 601", "Rec. 709" }; static const char *s480p_mode_desc[] = { LNG("Auto","ジドウ"), "DTV 480p", "VESA 640x480@60" }; static const char *sync_lpf_desc[] = { LNG("Off","オフ"), LNG("33MHz (min)","33MHz (サイショウ)"), LNG("10MHz (med)","10MHz (チュウイ)"), LNG("2.5MHz (max)","2.5MHz (サイダイ)") }; static const char *l3_mode_desc[] = { LNG("Generic 16:9","ハンヨウ 16:9"), LNG("Generic 4:3","ハンヨウ 4:3"), LNG("320x240 optim.","320x240 サイテキ."), LNG("256x240 optim.","256x240 サイテキ.") }; +static const char *l4_mode_desc[] = { LNG("Generic 4:3","ハンヨウ 4:3"), LNG("320x240 optim.","320x240 サイテキ."), LNG("256x240 optim.","256x240 サイテキ.") }; +//static const char *pm_240p_desc[] = { "Passthru", "Line2x", "Line3x", "Line4x", "Line5x" }; +static const char *pm_240p_desc[] = { "Passthru", "Line2x", "Line3x" }; +static const char *pm_384p_desc[] = { "Passthru", "Line2x" }; +static const char *pm_480i_desc[] = { "Passthru", "Line2x" }; +static const char *pm_480p_desc[] = { "Passthru", "Line2x" }; static const char *tx_mode_desc[] = { "HDMI", "DVI" }; static const char *sl_mode_desc[] = { LNG("Off","オフ"), LNG("Auto","ジドウ"), LNG("Manual","シュドウ") }; static const char *sl_type_desc[] = { LNG("Horizontal","スイヘイ"), LNG("Vertical","スイチョク"), LNG("Alternating","コウゴ") }; @@ -101,10 +107,14 @@ MENU(menu_sync, P99_PROTECT({ \ })) MENU(menu_output, P99_PROTECT({ \ - { LNG("240p/288p lineX3","240p/288pラインX3"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.linemult_target, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, - { LNG("Linetriple mode","ライントリプルモード"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.l3_mode, OPT_WRAP, SETTING_ITEM(l3_mode_desc) } } }, - { LNG("480p/576p lineX2","480p/576pラインX2"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.edtv_l2x, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, - { LNG("480i/576i passtr","480i/576iパススルー"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.interlace_pt, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, + { "240p/288p proc", OPT_AVCONFIG_SELECTION, { .sel = { &tc.pm_240p, OPT_WRAP, SETTING_ITEM(pm_240p_desc) } } }, + { "384p proc", OPT_AVCONFIG_SELECTION, { .sel = { &tc.pm_384p, OPT_WRAP, SETTING_ITEM(pm_384p_desc) } } }, + { "480i/576i proc", OPT_AVCONFIG_SELECTION, { .sel = { &tc.pm_480i, OPT_WRAP, SETTING_ITEM(pm_480i_desc) } } }, + { "480p/576p proc", OPT_AVCONFIG_SELECTION, { .sel = { &tc.pm_480p, OPT_WRAP, SETTING_ITEM(pm_480p_desc) } } }, + { "Line3x mode", OPT_AVCONFIG_SELECTION, { .sel = { &tc.l3_mode, OPT_WRAP, SETTING_ITEM(l3_mode_desc) } } }, + { "Line4x mode", OPT_AVCONFIG_SELECTION, { .sel = { &tc.l4_mode, OPT_WRAP, SETTING_ITEM(l4_mode_desc) } } }, + { "Line5x mode", OPT_AVCONFIG_SELECTION, { .sel = { &tc.l5_mode, OPT_WRAP, SETTING_ITEM(l3_mode_desc) } } }, + { "256x240 L3_Hmult", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.l3m3_hmult, OPT_NOWRAP, 1, 5, value_disp } } }, { LNG("TX mode","TXモード"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.tx_mode, OPT_WRAP, SETTING_ITEM(tx_mode_desc) } } }, { LNG("Initial input","ショキニュウリョク"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.def_input, OPT_WRAP, SETTING_ITEM(avinput_str) } } }, })) diff --git a/software/sys_controller/tvp7002/tvp7002.c b/software/sys_controller/tvp7002/tvp7002.c index ad57bc7..01b3451 100644 --- a/software/sys_controller/tvp7002/tvp7002.c +++ b/software/sys_controller/tvp7002/tvp7002.c @@ -163,7 +163,7 @@ void tvp_init() tvp_sel_csc(&csc_coeffs[0]); // Set default phase - tvp_set_hpll_phase(0x10); + tvp_set_hpll_phase(0x10, 1); // Set min LPF tvp_set_lpf(0); @@ -298,11 +298,17 @@ void tvp_set_sync_lpf(alt_u8 val) printf("Sync LPF value set to 0x%x\n", (3-val)); } -void tvp_set_hpll_phase(alt_u8 val) +alt_u8 tvp_set_hpll_phase(alt_u8 val, alt_u8 sample_mult) { + alt_u8 sample_sel; alt_u8 status = tvp_readreg(TVP_HPLLPHASE) & 0x07; + + sample_sel = (val*sample_mult)/32; + val = val*sample_mult % 32; tvp_writereg(TVP_HPLLPHASE, (val<<3)|status); - printf("Phase value set to 0x%x\n", val); + printf("Phase selection: %u/%u (FPGA), %u/32 (TVP)\n", sample_sel+1, sample_mult, val+1); + + return sample_sel; } void tvp_set_sog_thold(alt_u8 val) @@ -337,7 +343,7 @@ void tvp_set_alc(alt_u8 en_alc, video_type type, alt_u8 h_syncinlen) } } -void tvp_source_setup(alt_8 modeid, video_type type, alt_u32 vlines, alt_u8 hz, alt_u8 h_syncinlen, alt_u8 pre_coast, alt_u8 post_coast, alt_u8 vsync_thold) +void tvp_source_setup(alt_8 modeid, video_type type, alt_u32 vlines, alt_u8 hz, alt_u8 h_syncinlen, alt_u8 pre_coast, alt_u8 post_coast, alt_u8 vsync_thold, alt_u8 sample_mult) { // Clamp position and ALC tvp_set_clamp_position(type, h_syncinlen); @@ -367,7 +373,7 @@ void tvp_source_setup(alt_8 modeid, video_type type, alt_u32 vlines, alt_u8 hz, tvp_set_hpllcoast(pre_coast, post_coast); // Hsync output width - tvp_writereg(TVP_HSOUTWIDTH, video_modes[modeid].h_synclen); + tvp_writereg(TVP_HSOUTWIDTH, sample_mult*video_modes[modeid].h_synclen); } void tvp_source_sel(tvp_input_t input, video_format fmt) diff --git a/software/sys_controller/tvp7002/tvp7002.h b/software/sys_controller/tvp7002/tvp7002.h index 083f451..6a784ca 100644 --- a/software/sys_controller/tvp7002/tvp7002.h +++ b/software/sys_controller/tvp7002/tvp7002.h @@ -94,13 +94,13 @@ void tvp_set_lpf(alt_u8 val); void tvp_set_sync_lpf(alt_u8 val); -void tvp_set_hpll_phase(alt_u8 val); +alt_u8 tvp_set_hpll_phase(alt_u8 val, alt_u8 sample_mult); void tvp_set_sog_thold(alt_u8 val); void tvp_set_alc(alt_u8 en_alc, video_type type, alt_u8 h_syncinlen); -void tvp_source_setup(alt_8 modeid, video_type type, alt_u32 vlines, alt_u8 hz, alt_u8 h_syncinlen, alt_u8 pre_coast, alt_u8 post_coast, alt_u8 vsync_thold); +void tvp_source_setup(alt_8 modeid, video_type type, alt_u32 vlines, alt_u8 hz, alt_u8 h_syncinlen, alt_u8 pre_coast, alt_u8 post_coast, alt_u8 vsync_thold, alt_u8 sample_mult); void tvp_source_sel(tvp_input_t input, video_format fmt); diff --git a/software/sys_controller/tvp7002/video_modes.c b/software/sys_controller/tvp7002/video_modes.c index 6d95ac9..ffced2c 100644 --- a/software/sys_controller/tvp7002/video_modes.c +++ b/software/sys_controller/tvp7002/video_modes.c @@ -20,43 +20,131 @@ #include #include #include "system.h" +#include "av_controller.h" #include "video_modes.h" #define LINECNT_MAX_TOLERANCE 30 +extern avmode_t cm; + const mode_data_t video_modes_default[] = VIDEO_MODES_DEF; mode_data_t video_modes[VIDEO_MODES_CNT]; /* TODO: rewrite, check hz etc. */ -alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type typemask, alt_u8 linemult_target, alt_u8 l3_mode, alt_u8 s480p_mode) +alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type typemask) { alt_8 i; alt_u8 num_modes = sizeof(video_modes)/sizeof(mode_data_t); video_type mode_type; + mode_flags valid_lm[] = { MODE_PT, MODE_L2, (MODE_L3_GEN_16_9< GROUP_VGA480P) { + printf("WARNING: Corrupted mode (id %d)\n", i); + continue; } - if ((typemask & mode_type) && (progressive == !(video_modes[i].flags & MODE_INTERLACED)) && (totlines <= (video_modes[i].v_total+LINECNT_MAX_TOLERANCE))) { - if (linemult_target && (video_modes[i].flags & MODE_L3ENABLE_MASK) && ((video_modes[i].flags & MODE_L3ENABLE_MASK) == (1<>gk#4 zxU;6+@86~E)@HZgYbbsDNG7OYp&@4$K**=!`=0$>b1&;?^SuLLD6o?Iojx7MS% zc?twIo>XwbHDRg%hTLfhgnSAHTC%kU14BL?@NVlvL1D=0Msb}9TCB!_gnSPC)@yGT zKs>49rZZ7d%NPpcxxota*bzyU`&O`S_?9fO`I+a7CnbX?61enA0BDOgt`{x2ht6@Y zxFu|6vfv6}_FAtLXmNF0h=?uDEb=1BKGSgtqx@{nm?50s_MInDzxDXUnBG806aCWG>k8(U+&- zhOtT-Wl*fLyXh?a5=i02cwo0oaU@d=hmF^q{OF8182S*@m{8);sMBj` C!c`Ff delta 701 zcmYjOQAm?f6z2T@=C;&1CQaD7|0)}!q7_k;MQhB5NC-)NDQZy&!ODvEAQeJRLPn9b z`*0tGARi)D2zro98mqLoD9d3+poKmJ86*i-`qF>T-9u0RcfbFf?|kPw1A`R2@4mR{Trymf3e5lBWEqdOKAshILh!q22HU(4-D6J z3PViq0t;VUJ6Go7U2h0k80}tl3C|I`E}n~;wg%%xmca2l&4#99ebTfQEi`$)l7m+~#g zJn-2`yNHzlB;>Ce*hvjCUJLzdN?860KnKl}*&y5|e{vgxHJ8$p$p}=^L1a1xGfv`C zd*BPDAWt5GlJ00qz+#nhX35rLP|$+G hal default - Jan 7, 2017 11:01:41 PM - 1483822901087 + Jan 23, 2017 12:33:30 AM + 1485124410921 ./ settings.bsp ../../sys.sopcinfo @@ -910,20 +910,20 @@ 40960 memory - - i2c_opencores_1 - 0x00821000 - 0x0082101F - 32 - - timer_0 - 0x00821020 - 0x0082103F + 0x00821000 - 0x0082101F 32 timer epcq_controller_0_avl_csr + 0x00821020 - 0x0082103F + 32 + + + + i2c_opencores_1 0x00821040 - 0x0082105F 32 @@ -935,38 +935,44 @@ - pio_4 + pio_5 0x00821080 - 0x0082108F 16 - pio_3 + pio_4 0x00821090 - 0x0082109F 16 - pio_2 + pio_3 0x008210A0 - 0x008210AF 16 - pio_1 + pio_2 0x008210B0 - 0x008210BF 16 - pio_0 + pio_1 0x008210C0 - 0x008210CF 16 + + pio_0 + 0x008210D0 - 0x008210DF + 16 + + jtag_uart_0 - 0x008210D0 - 0x008210D7 + 0x008210E0 - 0x008210E7 8 printable diff --git a/software/sys_controller_bsp/system.h b/software/sys_controller_bsp/system.h index 83d75d2..6330ba0 100644 --- a/software/sys_controller_bsp/system.h +++ b/software/sys_controller_bsp/system.h @@ -4,7 +4,7 @@ * Machine generated for CPU 'nios2_qsys_0' in SOPC Builder design 'sys' * SOPC Builder design path: ../../sys.sopcinfo * - * Generated: Sat Dec 31 11:45:57 EET 2016 + * Generated: Sat Jan 21 12:40:58 EET 2017 */ /* @@ -175,19 +175,19 @@ #define ALT_NUM_INTERNAL_INTERRUPT_CONTROLLERS 1 #define ALT_NUM_INTERRUPT_CONTROLLERS 1 #define ALT_STDERR "/dev/jtag_uart_0" -#define ALT_STDERR_BASE 0x8210d0 +#define ALT_STDERR_BASE 0x8210e0 #define ALT_STDERR_DEV jtag_uart_0 #define ALT_STDERR_IS_JTAG_UART #define ALT_STDERR_PRESENT #define ALT_STDERR_TYPE "altera_avalon_jtag_uart" #define ALT_STDIN "/dev/jtag_uart_0" -#define ALT_STDIN_BASE 0x8210d0 +#define ALT_STDIN_BASE 0x8210e0 #define ALT_STDIN_DEV jtag_uart_0 #define ALT_STDIN_IS_JTAG_UART #define ALT_STDIN_PRESENT #define ALT_STDIN_TYPE "altera_avalon_jtag_uart" #define ALT_STDOUT "/dev/jtag_uart_0" -#define ALT_STDOUT_BASE 0x8210d0 +#define ALT_STDOUT_BASE 0x8210e0 #define ALT_STDOUT_DEV jtag_uart_0 #define ALT_STDOUT_IS_JTAG_UART #define ALT_STDOUT_PRESENT @@ -201,7 +201,7 @@ */ #define ALT_MODULE_CLASS_epcq_controller_0_avl_csr altera_epcq_controller_mod -#define EPCQ_CONTROLLER_0_AVL_CSR_BASE 0x821040 +#define EPCQ_CONTROLLER_0_AVL_CSR_BASE 0x821020 #define EPCQ_CONTROLLER_0_AVL_CSR_FLASH_TYPE "EPCS64" #define EPCQ_CONTROLLER_0_AVL_CSR_IRQ 2 #define EPCQ_CONTROLLER_0_AVL_CSR_IRQ_INTERRUPT_CONTROLLER_ID 0 @@ -265,7 +265,7 @@ */ #define ALT_MODULE_CLASS_i2c_opencores_1 i2c_opencores -#define I2C_OPENCORES_1_BASE 0x821000 +#define I2C_OPENCORES_1_BASE 0x821040 #define I2C_OPENCORES_1_IRQ 4 #define I2C_OPENCORES_1_IRQ_INTERRUPT_CONTROLLER_ID 0 #define I2C_OPENCORES_1_NAME "/dev/i2c_opencores_1" @@ -279,7 +279,7 @@ */ #define ALT_MODULE_CLASS_jtag_uart_0 altera_avalon_jtag_uart -#define JTAG_UART_0_BASE 0x8210d0 +#define JTAG_UART_0_BASE 0x8210e0 #define JTAG_UART_0_IRQ 1 #define JTAG_UART_0_IRQ_INTERRUPT_CONTROLLER_ID 0 #define JTAG_UART_0_NAME "/dev/jtag_uart_0" @@ -326,7 +326,7 @@ */ #define ALT_MODULE_CLASS_pio_0 altera_avalon_pio -#define PIO_0_BASE 0x8210c0 +#define PIO_0_BASE 0x8210d0 #define PIO_0_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_0_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_0_CAPTURE 0 @@ -353,7 +353,7 @@ */ #define ALT_MODULE_CLASS_pio_1 altera_avalon_pio -#define PIO_1_BASE 0x8210b0 +#define PIO_1_BASE 0x8210c0 #define PIO_1_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_1_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_1_CAPTURE 0 @@ -380,7 +380,7 @@ */ #define ALT_MODULE_CLASS_pio_2 altera_avalon_pio -#define PIO_2_BASE 0x8210a0 +#define PIO_2_BASE 0x8210b0 #define PIO_2_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_2_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_2_CAPTURE 0 @@ -407,7 +407,7 @@ */ #define ALT_MODULE_CLASS_pio_3 altera_avalon_pio -#define PIO_3_BASE 0x821090 +#define PIO_3_BASE 0x8210a0 #define PIO_3_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_3_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_3_CAPTURE 0 @@ -434,7 +434,7 @@ */ #define ALT_MODULE_CLASS_pio_4 altera_avalon_pio -#define PIO_4_BASE 0x821080 +#define PIO_4_BASE 0x821090 #define PIO_4_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_4_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_4_CAPTURE 0 @@ -455,6 +455,33 @@ #define PIO_4_TYPE "altera_avalon_pio" +/* + * pio_5 configuration + * + */ + +#define ALT_MODULE_CLASS_pio_5 altera_avalon_pio +#define PIO_5_BASE 0x821080 +#define PIO_5_BIT_CLEARING_EDGE_REGISTER 0 +#define PIO_5_BIT_MODIFYING_OUTPUT_REGISTER 0 +#define PIO_5_CAPTURE 0 +#define PIO_5_DATA_WIDTH 32 +#define PIO_5_DO_TEST_BENCH_WIRING 0 +#define PIO_5_DRIVEN_SIM_VALUE 0 +#define PIO_5_EDGE_TYPE "NONE" +#define PIO_5_FREQ 27000000 +#define PIO_5_HAS_IN 0 +#define PIO_5_HAS_OUT 1 +#define PIO_5_HAS_TRI 0 +#define PIO_5_IRQ -1 +#define PIO_5_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define PIO_5_IRQ_TYPE "NONE" +#define PIO_5_NAME "/dev/pio_5" +#define PIO_5_RESET_VALUE 0 +#define PIO_5_SPAN 16 +#define PIO_5_TYPE "altera_avalon_pio" + + /* * timer_0 configuration * @@ -462,7 +489,7 @@ #define ALT_MODULE_CLASS_timer_0 altera_avalon_timer #define TIMER_0_ALWAYS_RUN 0 -#define TIMER_0_BASE 0x821020 +#define TIMER_0_BASE 0x821000 #define TIMER_0_COUNTER_SIZE 32 #define TIMER_0_FIXED_PERIOD 0 #define TIMER_0_FREQ 27000000 diff --git a/sys.qsys b/sys.qsys index 11a2019..bcab066 100644 --- a/sys.qsys +++ b/sys.qsys @@ -29,7 +29,7 @@ { datum baseAddress { - value = "8523840"; + value = "8523808"; type = "String"; } } @@ -69,7 +69,7 @@ { datum baseAddress { - value = "8523776"; + value = "8523840"; type = "String"; } } @@ -85,7 +85,7 @@ { datum baseAddress { - value = "8523984"; + value = "8524000"; type = "String"; } } @@ -157,7 +157,7 @@ { datum baseAddress { - value = "8523968"; + value = "8523984"; type = "String"; } } @@ -173,7 +173,7 @@ { datum baseAddress { - value = "8523952"; + value = "8523968"; type = "String"; } } @@ -189,7 +189,7 @@ { datum baseAddress { - value = "8523936"; + value = "8523952"; type = "String"; } } @@ -205,7 +205,7 @@ { datum baseAddress { - value = "8523920"; + value = "8523936"; type = "String"; } } @@ -218,6 +218,22 @@ } } element pio_4.s1 + { + datum baseAddress + { + value = "8523920"; + type = "String"; + } + } + element pio_5 + { + datum _sortIndex + { + value = "16"; + type = "int"; + } + } + element pio_5.s1 { datum baseAddress { @@ -237,7 +253,7 @@ { datum baseAddress { - value = "8523808"; + value = "8523776"; type = "String"; } } @@ -298,6 +314,11 @@ internal="pio_4.external_connection" type="conduit" dir="end" /> + @@ -387,7 +408,7 @@ - ]]> + ]]> @@ -659,6 +680,20 @@ + + + + + + + + + + + + + + @@ -677,7 +712,7 @@ start="nios2_qsys_0.data_master" end="jtag_uart_0.avalon_jtag_slave"> - + - + - + - + - + - + - + - + - + + + + + + + + - + java.lang.Integer - 1483178399 + 1485124053 false true false @@ -378,6 +378,12 @@ parameters are a RESULT of the module parameters. --> clk timer_0.clk + + false + pio_5 + clk + pio_5.clk + false onchip_memory2_0 @@ -5432,7 +5438,7 @@ the requested settings for a module instance. --> java.lang.String - ]]> + ]]> false true false @@ -6103,7 +6109,7 @@ parameters are a RESULT of the module parameters. --> jtag_uart_0 avalon_jtag_slave jtag_uart_0.avalon_jtag_slave - 8523984 + 8524000 8 @@ -6119,7 +6125,7 @@ parameters are a RESULT of the module parameters. --> i2c_opencores_1 avalon_slave_0 i2c_opencores_1.avalon_slave_0 - 8523776 + 8523840 32 @@ -6127,7 +6133,7 @@ parameters are a RESULT of the module parameters. --> epcq_controller_0 avl_csr epcq_controller_0.avl_csr - 8523840 + 8523808 32 @@ -6159,7 +6165,7 @@ parameters are a RESULT of the module parameters. --> pio_0 s1 pio_0.s1 - 8523968 + 8523984 16 @@ -6167,7 +6173,7 @@ parameters are a RESULT of the module parameters. --> pio_1 s1 pio_1.s1 - 8523952 + 8523968 16 @@ -6175,7 +6181,7 @@ parameters are a RESULT of the module parameters. --> pio_2 s1 pio_2.s1 - 8523936 + 8523952 16 @@ -6183,7 +6189,7 @@ parameters are a RESULT of the module parameters. --> pio_3 s1 pio_3.s1 - 8523920 + 8523936 16 @@ -6191,7 +6197,7 @@ parameters are a RESULT of the module parameters. --> pio_4 s1 pio_4.s1 - 8523904 + 8523920 16 @@ -6199,9 +6205,17 @@ parameters are a RESULT of the module parameters. --> timer_0 s1 timer_0.s1 - 8523808 + 8523776 32 + + false + pio_5 + s1 + pio_5.s1 + 8523904 + 16 + + + + + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER + 0 + + + embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER + 0 + + + embeddedsw.CMacro.CAPTURE + 0 + + + embeddedsw.CMacro.DATA_WIDTH + 32 + + + embeddedsw.CMacro.DO_TEST_BENCH_WIRING + 0 + + + embeddedsw.CMacro.DRIVEN_SIM_VALUE + 0 + + + embeddedsw.CMacro.EDGE_TYPE + NONE + + + embeddedsw.CMacro.FREQ + 27000000 + + + embeddedsw.CMacro.HAS_IN + 0 + + + embeddedsw.CMacro.HAS_OUT + 1 + + + embeddedsw.CMacro.HAS_TRI + 0 + + + embeddedsw.CMacro.IRQ_TYPE + NONE + + + embeddedsw.CMacro.RESET_VALUE + 0 + + + embeddedsw.dts.compatible + altr,pio-1.0 + + + embeddedsw.dts.group + gpio + + + embeddedsw.dts.name + pio + + + embeddedsw.dts.params.altr,gpio-bank-width + 32 + + + embeddedsw.dts.params.resetvalue + 0 + + + embeddedsw.dts.vendor + altr + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + Output + false + true + true + true + + + java.lang.String + RISING + false + false + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + LEVEL + false + false + true + true + + + long + 0 + false + true + true + true + + + boolean + false + false + false + true + true + + + long + 0 + false + false + true + true + + + int + 32 + false + true + true + true + + + long + 27000000 + false + true + false + true + CLOCK_RATE + clk + + + boolean + false + true + true + false + true + + + boolean + true + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 27000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 4 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 2 + address + + + write_n + Input + 1 + write_n + + + writedata + Input + 32 + writedata + + + chipselect + Input + 1 + chipselect + + + readdata + Output + 32 + readdata + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + out_port + Output + 32 + export + + + java.math.BigInteger - 0x008210d0 + 0x008210e0 false true true @@ -13131,7 +13919,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00821000 + 0x00821040 false true true @@ -13182,7 +13970,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00821040 + 0x00821020 false true true @@ -13386,7 +14174,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x008210c0 + 0x008210d0 false true true @@ -13437,7 +14225,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x008210b0 + 0x008210c0 false true true @@ -13488,7 +14276,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x008210a0 + 0x008210b0 false true true @@ -13539,7 +14327,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00821090 + 0x008210a0 false true true @@ -13590,7 +14378,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00821080 + 0x00821090 false true true @@ -13641,7 +14429,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00821020 + 0x00821000 false true true @@ -13676,6 +14464,57 @@ parameters are a RESULT of the module parameters. --> timer_0 s1 + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00821080 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios2_qsys_0 + data_master + pio_5 + s1 + timer_0 clk + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_27 + clk + pio_5 + clk + timer_0 reset + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_27 + clk_reset + pio_5 + reset + 16.1 - 12 + 13 clock_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -14859,7 +15752,7 @@ parameters are a RESULT of the module parameters. --> 16.1 - 12 + 13 reset_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -14867,7 +15760,7 @@ parameters are a RESULT of the module parameters. --> 16.1 - 13 + 14 avalon_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -14891,7 +15784,7 @@ parameters are a RESULT of the module parameters. --> 16.1 - 7 + 8 conduit_end com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -14987,7 +15880,7 @@ parameters are a RESULT of the module parameters. --> 16.1 - 5 + 6 altera_avalon_pio com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule @@ -15003,7 +15896,7 @@ parameters are a RESULT of the module parameters. --> 16.1 - 15 + 16 avalon com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection @@ -15011,7 +15904,7 @@ parameters are a RESULT of the module parameters. --> 16.1 - 12 + 13 clock com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection @@ -15035,7 +15928,7 @@ parameters are a RESULT of the module parameters. --> 16.1 - 12 + 13 reset com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection