From 45ca4e926843c64a2c5038e083f9eebcb969cb2b Mon Sep 17 00:00:00 2001 From: borti4938 Date: Wed, 7 Mar 2018 09:43:37 +0100 Subject: [PATCH] undo changes as suggested in pull-request #21 comments --- rtl/lat_tester_includes.v | 3 --- rtl/ossc.v | 33 ++++++--------------------------- rtl/scanconverter.v | 16 ++++++++-------- 3 files changed, 14 insertions(+), 38 deletions(-) diff --git a/rtl/lat_tester_includes.v b/rtl/lat_tester_includes.v index 6ec58a1..f614331 100644 --- a/rtl/lat_tester_includes.v +++ b/rtl/lat_tester_includes.v @@ -24,6 +24,3 @@ `define LT_WIDTH_DIV 8 `define LT_HEIGHT_DIV 8 - -`define LT_WIDTH_RSHIFT 3 -`define LT_HEIGHT_RSHIFT 3 diff --git a/rtl/ossc.v b/rtl/ossc.v index 99a70f3..b4a410b 100644 --- a/rtl/ossc.v +++ b/rtl/ossc.v @@ -34,21 +34,12 @@ module ossc ( input VSYNC_in, input HSYNC_in, input PCLK_in, -`ifdef VIDEOGEN - output reg [7:0] HDMI_TX_RD, - output reg [7:0] HDMI_TX_GD, - output reg [7:0] HDMI_TX_BD, - output reg HDMI_TX_DE, - output reg HDMI_TX_HS, - output reg HDMI_TX_VS, -`else output [7:0] HDMI_TX_RD, output [7:0] HDMI_TX_GD, output [7:0] HDMI_TX_BD, output HDMI_TX_DE, output HDMI_TX_HS, output HDMI_TX_VS, -`endif output HDMI_TX_PCLK, input HDMI_TX_INT_N, input HDMI_TX_MODE, @@ -177,25 +168,13 @@ assign LCD_BL = sys_ctrl[4]; //reset_n in v1.2 PCB `ifdef VIDEOGEN wire videogen_sel; assign videogen_sel = ~sys_ctrl[1]; +assign HDMI_TX_RD = videogen_sel ? R_out_videogen : R_out; +assign HDMI_TX_GD = videogen_sel ? G_out_videogen : G_out; +assign HDMI_TX_BD = videogen_sel ? B_out_videogen : B_out; +assign HDMI_TX_HS = videogen_sel ? HSYNC_out_videogen : HSYNC_out; +assign HDMI_TX_VS = videogen_sel ? VSYNC_out_videogen : VSYNC_out; assign HDMI_TX_PCLK = videogen_sel ? PCLK_out_videogen : PCLK_out; - -always @(posedge HDMI_TX_PCLK) begin - if (videogen_sel) begin - HDMI_TX_RD <= R_out_videogen; - HDMI_TX_GD <= G_out_videogen; - HDMI_TX_BD <= B_out_videogen; - HDMI_TX_HS <= HSYNC_out_videogen; - HDMI_TX_VS <= VSYNC_out_videogen; - HDMI_TX_DE <= DE_out_videogen; - end else begin - HDMI_TX_RD <= R_out; - HDMI_TX_GD <= G_out; - HDMI_TX_BD <= B_out; - HDMI_TX_HS <= HSYNC_out; - HDMI_TX_VS <= VSYNC_out; - HDMI_TX_DE <= DE_out; - end -end +assign HDMI_TX_DE = videogen_sel ? DE_out_videogen : DE_out; `else wire videogen_sel; assign videogen_sel = 1'b0; diff --git a/rtl/scanconverter.v b/rtl/scanconverter.v index ed95a7e..3f362e9 100644 --- a/rtl/scanconverter.v +++ b/rtl/scanconverter.v @@ -855,14 +855,14 @@ begin V_AVIDMASK_START <= V_AVIDSTART+V_MASK; V_AVIDMASK_STOP <= V_AVIDSTART+V_ACTIVE-V_MASK; - LT_POS_TOPLEFT_BOX_H_STOP <= H_AVIDSTART+(H_ACTIVE>>`LT_WIDTH_RSHIFT); - LT_POS_TOPLEFT_BOX_V_STOP <= V_AVIDSTART+(V_ACTIVE>>`LT_HEIGHT_RSHIFT); - LT_POS_CENTER_BOX_H_START <= H_AVIDSTART+(H_ACTIVE>>1)-(H_ACTIVE>>(`LT_WIDTH_RSHIFT+1)); - LT_POS_CENTER_BOX_H_STOP <= H_AVIDSTART+(H_ACTIVE>>1)+(H_ACTIVE>>(`LT_WIDTH_RSHIFT+1)); - LT_POS_CENTER_BOX_V_START <= V_AVIDSTART+(V_ACTIVE>>1)-(V_ACTIVE>>(`LT_HEIGHT_RSHIFT+1)); - LT_POS_CENTER_BOX_V_STOP <= V_AVIDSTART+(V_ACTIVE>>1)+(V_ACTIVE>>(`LT_HEIGHT_RSHIFT+1)); - LT_POS_BOTTOMRIGHT_H_START <= H_AVIDSTART+H_ACTIVE-(H_ACTIVE>>`LT_WIDTH_RSHIFT); - LT_POS_BOTTOMRIGHT_V_START <= V_AVIDSTART+V_ACTIVE-(V_ACTIVE>>`LT_HEIGHT_RSHIFT); + LT_POS_TOPLEFT_BOX_H_STOP <= H_AVIDSTART+(H_ACTIVE/`LT_WIDTH_DIV); + LT_POS_TOPLEFT_BOX_V_STOP <= V_AVIDSTART+(V_ACTIVE/`LT_HEIGHT_DIV); + LT_POS_CENTER_BOX_H_START <= H_AVIDSTART+(H_ACTIVE/2)-(H_ACTIVE/(`LT_WIDTH_DIV*2)); + LT_POS_CENTER_BOX_H_STOP <= H_AVIDSTART+(H_ACTIVE/2)+(H_ACTIVE/(`LT_WIDTH_DIV*2)); + LT_POS_CENTER_BOX_V_START <= V_AVIDSTART+(V_ACTIVE/2)-(V_ACTIVE/(`LT_HEIGHT_DIV*2)); + LT_POS_CENTER_BOX_V_STOP <= V_AVIDSTART+(V_ACTIVE/2)+(V_ACTIVE/(`LT_HEIGHT_DIV*2)); + LT_POS_BOTTOMRIGHT_H_START <= H_AVIDSTART+H_ACTIVE-(H_ACTIVE/`LT_WIDTH_DIV); + LT_POS_BOTTOMRIGHT_V_START <= V_AVIDSTART+V_ACTIVE-(V_ACTIVE/`LT_HEIGHT_DIV); CALC_CONSTS <= 1'b0; end