diff --git a/.gitmodules b/.gitmodules new file mode 100644 index 0000000..0495bad --- /dev/null +++ b/.gitmodules @@ -0,0 +1,3 @@ +[submodule "ip/pulpino_qsys"] + path = ip/pulpino_qsys + url = https://github.com/marqs85/pulpino_qsys.git diff --git a/ip/altera_nios_custom_instr_endianconverter_qsys/endianconverter_qsys.v b/ip/altera_nios_custom_instr_endianconverter_qsys/endianconverter_qsys.v deleted file mode 100644 index 16d875e..0000000 --- a/ip/altera_nios_custom_instr_endianconverter_qsys/endianconverter_qsys.v +++ /dev/null @@ -1,56 +0,0 @@ -// (C) 2001-2015 Altera Corporation. All rights reserved. -// Your use of Altera Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any output -// files any of the foregoing (including device programming or simulation -// files), and any associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License Subscription -// Agreement, Altera MegaCore Function License Agreement, or other applicable -// license agreement, including, without limitation, that your use is for the -// sole purpose of programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the applicable -// agreement for further details. - - -//Legal Notice: (C)2010 Altera Corporation. All rights reserved. Your -//use of Altera Corporation's design tools, logic functions and other -//software and tools, and its AMPP partner logic functions, and any -//output files any of the foregoing (including device programming or -//simulation files), and any associated documentation or information are -//expressly subject to the terms and conditions of the Altera Program -//License Subscription Agreement or other applicable license agreement, -//including, without limitation, that your use is for the sole purpose -//of programming logic devices manufactured by Altera and sold by Altera -//or its authorized distributors. Please refer to the applicable -//agreement for further details. - -// synthesis translate_off -`timescale 1ns / 1ps -// synthesis translate_on - -// turn off superfluous verilog processor warnings -// altera message_level Level1 -// altera message_off 10034 10035 10036 10037 10230 10240 10030 - -module endianconverter_qsys ( - // inputs: - dataa, - datab, - - // outputs: - result - ) -; - - output [ 31: 0] result; - input [ 31: 0] dataa; - input [ 31: 0] datab; - - wire [ 31: 0] result; - //s1, which is an e_custom_instruction_slave - assign result[7 : 0] = dataa[31 : 24]; - assign result[15 : 8] = dataa[23 : 16]; - assign result[23 : 16] = dataa[15 : 8]; - assign result[31 : 24] = dataa[7 : 0]; - -endmodule - diff --git a/ip/altera_nios_custom_instr_endianconverter_qsys/endianconverter_qsys_hw.tcl b/ip/altera_nios_custom_instr_endianconverter_qsys/endianconverter_qsys_hw.tcl deleted file mode 100644 index 32a5e05..0000000 --- a/ip/altera_nios_custom_instr_endianconverter_qsys/endianconverter_qsys_hw.tcl +++ /dev/null @@ -1,84 +0,0 @@ -# (C) 2001-2015 Altera Corporation. All rights reserved. -# Your use of Altera Corporation's design tools, logic functions and other -# software and tools, and its AMPP partner logic functions, and any output -# files any of the foregoing (including device programming or simulation -# files), and any associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License Subscription -# Agreement, Altera MegaCore Function License Agreement, or other applicable -# license agreement, including, without limitation, that your use is for the -# sole purpose of programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the applicable -# agreement for further details. - - -# TCL File Generated by Component Editor 10.1 -# Tue Aug 17 15:04:48 MYT 2010 -# DO NOT MODIFY - - -# +----------------------------------- -# | -# | -# | ./converter_0.v syn, sim -# | -# +----------------------------------- - -# +----------------------------------- -# | request TCL package from ACDS 10.1 -# | -package require -exact sopc 10.1 -# | -# +----------------------------------- - -# +----------------------------------- -# | module altera_nios_custom_instr_endian_converter -# | -set_module_property NAME altera_nios_custom_instr_endianconverter -set_module_property VERSION 17.1 -set_module_property INTERNAL false -set_module_property GROUP "Custom Instruction Modules" -set_module_property AUTHOR "Altera Corporation" -set_module_property DISPLAY_NAME "Endian Converter" -set_module_property HIDE_FROM_SOPC true -set_module_property TOP_LEVEL_HDL_FILE endianconverter_qsys.v -set_module_property TOP_LEVEL_HDL_MODULE endianconverter_qsys -set_module_property INSTANTIATE_IN_SYSTEM_MODULE true -set_module_property SIMULATION_MODEL_IN_VHDL true -set_module_property EDITABLE false -set_module_property ANALYZE_HDL FALSE -# | -# +----------------------------------- - -# +----------------------------------- -# | files -# | -add_file endianconverter_qsys.v {SYNTHESIS SIMULATION} -# | -# +----------------------------------- - -# +----------------------------------- -# | parameters -# | -# | -# +----------------------------------- - -# +----------------------------------- -# | display items -# | -# | -# +----------------------------------- - -# +----------------------------------- -# | connection point s1 -# | -add_interface s1 nios_custom_instruction end -set_interface_property s1 clockCycle 1 -set_interface_property s1 operands 1 - -set_interface_property s1 ENABLED true - -add_interface_port s1 dataa dataa Input 32 -add_interface_port s1 datab datab Input 32 -add_interface_port s1 result result Output 32 -# | -# +----------------------------------- diff --git a/ip/nios2_hw_crc/HAL/doc/crc_main.c b/ip/nios2_hw_crc/HAL/doc/crc_main.c deleted file mode 100644 index d16548c..0000000 --- a/ip/nios2_hw_crc/HAL/doc/crc_main.c +++ /dev/null @@ -1,224 +0,0 @@ -/****************************************************************************** -* * -* License Agreement * -* * -* Copyright (c) 2008 Altera Corporation, San Jose, California, USA. * -* All rights reserved. * -* * -* Permission is hereby granted, free of charge, to any person obtaining a * -* copy of this software and associated documentation files (the "Software"), * -* to deal in the Software without restriction, including without limitation * -* the rights to use, copy, modify, merge, publish, distribute, sublicense, * -* and/or sell copies of the Software, and to permit persons to whom the * -* Software is furnished to do so, subject to the following conditions: * -* * -* The above copyright notice and this permission notice shall be included in * -* all copies or substantial portions of the Software. * -* * -* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * -* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * -* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * -* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * -* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * -* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * -* DEALINGS IN THE SOFTWARE. * -* * -* This agreement shall be governed in all respects by the laws of the State * -* of California and by the laws of the United States of America. * -* Altera does not recommend, suggest or require that this reference design * -* file be used in conjunction or combination with any other product. * -******************************************************************************/ - - -/****************************************************************************** -* Author - JCJB * -* * -* This design uses the following CRC-32 implementations: * -* * -* --> Software - Uses modulo 2 division to perform the remainder calculation. * -* --> Optimized Software - Uses a lookup table of all possible division * -* values. The calculation operates on 8 bit data. * -* --> Custom Instruction - Uses a parallel hardware CRC circuit to calculate * -* the remainder. The calculation operates on 8, * -* 16, 24, or 32 bit data. * -* * -* The software implementations can be changed to CRC-16 or CRC-CCITT however * -* the custom instruction must be modified as well to support the same * -* standard. Simply use the values defined in crc.h to change the standard * -* used (using the same values in the hardware parameterization) or define * -* your own standard. * -*******************************************************************************/ - -#include "system.h" -#include "stdio.h" -#include "crc.h" -#include "ci_crc.h" -#include "sys/alt_timestamp.h" -#include "stdlib.h" - - -/* Modify these values to adjust the test being performed */ -#define NUMBER_OF_BUFFERS 32 -#define BUFFER_SIZE 256 /* in bytes */ - -/* Change the name of memory device according to what you are using - * e.g.: DDR_SDRAM_0 ##_SPAN - * SSRAM_0 ##_SPAN - */ -#define MEMORY_DEVICE_SIZE 32768 - - -/* Make sure there is room left for Nios II text, rodata, rwdata, stack, - * and heap. This software and the buffer space must fit within the - * size of memory device. A total of 1.5 MBytes is reserved. If BUFFER_SIZE - * is a multiple of four then exactly 256kB will be left, otherwise is - * amount will be less since the column dimension needs some padding to - * stay 32 bit aligned - */ -#if ((BUFFER_SIZE * NUMBER_OF_BUFFERS) >= MEMORY_DEVICE_SIZE - 10000) - #error Your buffer space has exceeded the maximum allowable space. Please\ - reduce the buffer space so that there is enough room to hold Nios II\ - code. -#endif - - -/* This will line up the data onto a 32 bit (or greater) boundary. A 2d array - * is being used here for simplicity. The first dimension represents a byte - * of data and the second dimension represents an individual buffer - */ -#if ((BUFFER_SIZE & 0x3) == 0) - unsigned char data_buffer_region[NUMBER_OF_BUFFERS][BUFFER_SIZE] __attribute__ ((aligned(4))); -#else /* need to allocate extra bytes so that all buffers start on a 32 bit - boundaries by rounding up the column dimension to the next power of 4 - */ - unsigned char data_buffer_region[NUMBER_OF_BUFFERS][BUFFER_SIZE + 4 - (BUFFER_SIZE&0x3)] __attribute__ ((aligned(4))); -#endif - - - - - -int main() -{ - unsigned long buffer_counter, data_counter; - unsigned long sw_slow_results[NUMBER_OF_BUFFERS]; - unsigned long sw_fast_results[NUMBER_OF_BUFFERS]; - unsigned long ci_results[NUMBER_OF_BUFFERS]; - unsigned char random_data = 0x5A; - //unsigned long sw_slow_timeA, sw_slow_timeB; - // unsigned long sw_fast_timeA, sw_fast_timeB; - // unsigned long ci_timeA, ci_timeB; - - alt_u32 sw_slow_timeA, sw_slow_timeB; - alt_u32 sw_fast_timeA, sw_fast_timeB; - alt_u32 ci_timeA, ci_timeB; - - - printf("+-----------------------------------------------------------+\n"); - printf("| Comparison between software and custom instruction CRC32 |\n"); - printf("+-----------------------------------------------------------+\n\n\n"); - - printf("System specification\n"); - printf("--------------------\n"); - - printf("System clock speed = %lu MHz\n", (unsigned long)ALT_CPU_FREQ /(unsigned long)1000000); - printf("Number of buffer locations = %d\n", NUMBER_OF_BUFFERS); - printf("Size of each buffer = %d bytes\n\n\n", BUFFER_SIZE); - - - /* Initializing the data buffers */ - printf("Initializing all of the buffers with pseudo-random data\n"); - printf("-------------------------------------------------------\n"); - for(buffer_counter = 0; buffer_counter < NUMBER_OF_BUFFERS; buffer_counter++) - { - for(data_counter = 0; data_counter < BUFFER_SIZE; data_counter++) - { - data_buffer_region[buffer_counter][data_counter] = random_data; - random_data = (random_data >> 4) + (random_data << 4) + (data_counter & 0xFF); - } - } - printf("Initialization completed\n\n\n"); - - - if(alt_timestamp_start() < 0) // starts the timestamp timer - { - printf("Please add the high resolution timer to the timestamp timer setting in the syslib properties page.\n"); - exit(1); - } - - - /* Slow software CRC based on a modulo 2 division implementation */ - printf("Running the software CRC\n"); - printf("------------------------\n"); - sw_slow_timeA = alt_timestamp(); - - for(buffer_counter = 0; buffer_counter < NUMBER_OF_BUFFERS; buffer_counter++) - { - sw_slow_results[buffer_counter] = crcSlow(data_buffer_region[buffer_counter], BUFFER_SIZE); - } - sw_slow_timeB = alt_timestamp(); - - printf("Completed\n\n\n"); - - - /* Fast software CRC based on a lookup table implementation */ - crcInit(); - printf("Running the optimized software CRC\n"); - printf("----------------------------------\n"); - sw_fast_timeA = alt_timestamp(); - for(buffer_counter = 0; buffer_counter < NUMBER_OF_BUFFERS; buffer_counter++) - { - sw_fast_results[buffer_counter] = crcFast(data_buffer_region[buffer_counter], BUFFER_SIZE); - } - sw_fast_timeB = alt_timestamp(); - printf("Completed\n\n\n"); - - - /* Custom instruction CRC */ - printf("Running the custom instruction CRC\n"); - printf("----------------------------------\n"); - ci_timeA = alt_timestamp(); - for(buffer_counter = 0; buffer_counter < NUMBER_OF_BUFFERS; buffer_counter++) - { - ci_results[buffer_counter] = crcCI(data_buffer_region[buffer_counter], BUFFER_SIZE); - } - ci_timeB = alt_timestamp(); - printf("Completed\n\n\n"); - - /* Validation of results */ - printf("Validating the CRC results from all implementations\n"); - printf("----------------------------------------------------\n"); - for(buffer_counter = 0; buffer_counter < NUMBER_OF_BUFFERS; buffer_counter++) - { - /* Test every combination of results to make sure they are consistant */ - if((sw_slow_results[buffer_counter] != ci_results[buffer_counter]) | - (sw_fast_results[buffer_counter] != ci_results[buffer_counter])) - { - printf("FAILURE! Software CRC = 0x%lx, Optimized Software CRC = 0x%lx, Custom Instruction CRC = 0x%lx,\n", - sw_slow_results[buffer_counter], sw_fast_results[buffer_counter], ci_results[buffer_counter]); - exit(1); - } - } - printf("All CRC implementations produced the same results\n\n\n"); - - - // Report processing times - printf("Processing time for each implementation\n"); - printf("---------------------------------------\n"); - printf("Software CRC = %.2lu ms\n", 1000*((unsigned long)(sw_slow_timeB-sw_slow_timeA))/((unsigned long)alt_timestamp_freq())); - printf("Optimized software CRC = %.2lu ms\n", 1000*((unsigned long)(sw_fast_timeB-sw_fast_timeA))/((unsigned long)alt_timestamp_freq())); - printf("Custom instruction CRC = %.2lu ms\n\n\n", 1000*((unsigned long)(ci_timeB-ci_timeA))/((unsigned long)alt_timestamp_freq())); - - printf("Processing throughput for each implementation\n"); // throughput = total bits / (time(s) * 1000000) - printf("---------------------------------------------\n"); - printf("Software CRC = %.2lu Mbps\n", (8 * NUMBER_OF_BUFFERS * BUFFER_SIZE)/(1000000*(unsigned long)(sw_slow_timeB-sw_slow_timeA)/((unsigned long)alt_timestamp_freq()))); - printf("Optimized software CRC = %.2lu Mbps\n", (8 * NUMBER_OF_BUFFERS * BUFFER_SIZE)/(1000000*(unsigned long)(sw_fast_timeB-sw_fast_timeA)/((unsigned long)alt_timestamp_freq()))); - printf("Custom instruction CRC = %.2lu Mbps\n\n\n", (8 * NUMBER_OF_BUFFERS * BUFFER_SIZE)/(1000000*(unsigned long)(ci_timeB-ci_timeA)/((unsigned long)alt_timestamp_freq()))); - - printf("Speedup ratio\n"); - printf("-------------\n"); - printf("Custom instruction CRC vs software CRC = %lu\n", ((unsigned long)(sw_slow_timeB-sw_slow_timeA))/((unsigned long)(ci_timeB-ci_timeA))); - printf("Custom instruction CRC vs optimized software CRC = %lu\n", ((unsigned long)(sw_fast_timeB-sw_fast_timeA))/((unsigned long)(ci_timeB-ci_timeA))); - printf("Optimized software CRC vs software CRC= %lu\n", ((unsigned long)(sw_slow_timeB-sw_slow_timeA))/((unsigned long)(sw_fast_timeB-sw_fast_timeA))); - return 0; -} diff --git a/ip/nios2_hw_crc/HAL/src/ci_crc.c b/ip/nios2_hw_crc/HAL/src/ci_crc.c deleted file mode 100644 index 7f9b0f0..0000000 --- a/ip/nios2_hw_crc/HAL/src/ci_crc.c +++ /dev/null @@ -1,97 +0,0 @@ -/****************************************************************************** -* * -* License Agreement * -* * -* Copyright (c) 2008 Altera Corporation, San Jose, California, USA. * -* All rights reserved. * -* * -* Permission is hereby granted, free of charge, to any person obtaining a * -* copy of this software and associated documentation files (the "Software"), * -* to deal in the Software without restriction, including without limitation * -* the rights to use, copy, modify, merge, publish, distribute, sublicense, * -* and/or sell copies of the Software, and to permit persons to whom the * -* Software is furnished to do so, subject to the following conditions: * -* * -* The above copyright notice and this permission notice shall be included in * -* all copies or substantial portions of the Software. * -* * -* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * -* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * -* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * -* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * -* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * -* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * -* DEALINGS IN THE SOFTWARE. * -* * -* This agreement shall be governed in all respects by the laws of the State * -* of California and by the laws of the United States of America. * -* Altera does not recommend, suggest or require that this reference design * -* file be used in conjunction or combination with any other product. * -******************************************************************************/ - - -/********************************************************************** - * - * Filename: ci_crc.c - * - * Description: Custom instruction implementations of the CRC. - * - * Notes: A macro is defined that is used to access the CRC custom - * instruction. - *********************************************************************/ - -#include "system.h" - -/*The n values and their corresponding operation are as follow: - * n = 0, Initialize the custom instruction to the initial remainder value - * n = 1, Write 8 bits data to custom instruction - * n = 2, Write 16 bits data to custom instruction - * n = 3, Write 32 bits data to custom instruction - * n = 4, Read 32 bits data from the custom instruction - * n = 5, Read 64 bits data from the custom instruction - * n = 6, Read 96 bits data from the custom instruction - * n = 7, Read 128 bits data from the custom instruction*/ -#define CRC_CI_MACRO(n, A) __builtin_custom_ini(ALT_CI_NIOS2_HW_CRC32_0_N + (n & 0x7), (A)) - -unsigned long crcCI(unsigned char * input_data, unsigned long input_data_length, int do_initialize) -{ - unsigned long index; - /* copy of the data buffer pointer so that it can advance by different widths */ - void * input_data_copy = (void *)input_data; - - /* The custom instruction CRC will initialize to the inital remainder value */ - if (do_initialize) - CRC_CI_MACRO(0,0); - - /* Write 32 bit data to the custom instruction. If the buffer does not end - * on a 32 bit boundary then the remaining data will be sent to the custom - * instruction in the 'if' statement below. - */ - for(index = 0; index < (input_data_length & 0xFFFFFFFC); index+=4) - { - CRC_CI_MACRO(3, *(unsigned long *)input_data_copy); - input_data_copy += 4; /* void pointer, must move by 4 for each word */ - } - - /* Write the remainder of the buffer if it does not end on a word boundary */ - if((input_data_length & 0x3) == 0x3) /* 3 bytes left */ - { - CRC_CI_MACRO(2, *(unsigned short *)input_data_copy); - input_data_copy += 2; - CRC_CI_MACRO(1, *(unsigned char *)input_data_copy); - } - else if((input_data_length & 0x3) == 0x2) /* 2 bytes left */ - { - CRC_CI_MACRO(2, *(unsigned short *)input_data_copy); - } - else if((input_data_length & 0x3) == 0x1) /* 1 byte left */ - { - CRC_CI_MACRO(1, *(unsigned char *)input_data_copy); - } - - /* There are 4 registers in the CRC custom instruction. Since - * this example uses CRC-32 only the first register must be read - * in order to receive the full result. - */ - return CRC_CI_MACRO(4, 0); -} diff --git a/ip/nios2_hw_crc/HAL/src/crc.c b/ip/nios2_hw_crc/HAL/src/crc.c deleted file mode 100644 index f00568d..0000000 --- a/ip/nios2_hw_crc/HAL/src/crc.c +++ /dev/null @@ -1,265 +0,0 @@ -/****************************************************************************** -* * -* License Agreement * -* * -* Copyright (c) 2008 Altera Corporation, San Jose, California, USA. * -* All rights reserved. * -* * -* Permission is hereby granted, free of charge, to any person obtaining a * -* copy of this software and associated documentation files (the "Software"), * -* to deal in the Software without restriction, including without limitation * -* the rights to use, copy, modify, merge, publish, distribute, sublicense, * -* and/or sell copies of the Software, and to permit persons to whom the * -* Software is furnished to do so, subject to the following conditions: * -* * -* The above copyright notice and this permission notice shall be included in * -* all copies or substantial portions of the Software. * -* * -* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * -* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * -* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * -* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * -* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * -* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * -* DEALINGS IN THE SOFTWARE. * -* * -* This agreement shall be governed in all respects by the laws of the State * -* of California and by the laws of the United States of America. * -* Altera does not recommend, suggest or require that this reference design * -* file be used in conjunction or combination with any other product. * -******************************************************************************/ - - -/********************************************************************** - * - * Filename: crc.c - * - * Description: Slow and fast implementations of the CRC standards. - * - * Notes: The parameters for each supported CRC standard are - * defined in the header file crc.h. The implementations - * here should stand up to further additions to that list. - * - * - * Copyright (c) 2000 by Michael Barr. This software is placed into - * the public domain and may be used for any purpose. However, this - * notice must not be changed or removed and no warranty is either - * expressed or implied by its publication or distribution. - **********************************************************************/ - -#include "crc.h" - - -/* - * Derive parameters from the standard-specific parameters in crc.h. - */ -#define WIDTH (8 * sizeof(crc)) -#define TOPBIT (1 << (WIDTH - 1)) - -#if (REFLECT_DATA == TRUE) -#undef REFLECT_DATA -#define REFLECT_DATA(X) ((unsigned char) reflect((X), 8)) -#else -#undef REFLECT_DATA -#define REFLECT_DATA(X) (X) -#endif - -#if (REFLECT_REMAINDER == TRUE) -#undef REFLECT_REMAINDER -#define REFLECT_REMAINDER(X) ((crc) reflect((X), WIDTH)) -#else -#undef REFLECT_REMAINDER -#define REFLECT_REMAINDER(X) (X) -#endif - - -/********************************************************************* - * - * Function: reflect() - * - * Description: Reorder the bits of a binary sequence, by reflecting - * them about the middle position. - * - * Notes: No checking is done that nBits <= 32. - * - * Returns: The reflection of the original data. - * - *********************************************************************/ -static unsigned long -reflect(unsigned long data, unsigned char nBits) -{ - unsigned long reflection = 0x00000000; - unsigned char bit; - - /* - * Reflect the data about the center bit. - */ - for (bit = 0; bit < nBits; ++bit) - { - /* - * If the LSB bit is set, set the reflection of it. - */ - if (data & 0x01) - { - reflection |= (1 << ((nBits - 1) - bit)); - } - - data = (data >> 1); - } - - return (reflection); - -} /* reflect() */ - - -/********************************************************************* - * - * Function: crcSlow() - * - * Description: Compute the CRC of a given message. - * - * Notes: - * - * Returns: The CRC of the message. - * - *********************************************************************/ -crc -crcSlow(unsigned char const message[], int nBytes) -{ - crc remainder = INITIAL_REMAINDER; - int byte; - unsigned char bit; - - - /* - * Perform modulo-2 division, a byte at a time. - */ - for (byte = 0; byte < nBytes; ++byte) - { - /* - * Bring the next byte into the remainder. - */ - remainder ^= (REFLECT_DATA(message[byte]) << (WIDTH - 8)); - - /* - * Perform modulo-2 division, a bit at a time. - */ - for (bit = 8; bit > 0; --bit) - { - /* - * Try to divide the current data bit. - */ - if (remainder & TOPBIT) - { - remainder = (remainder << 1) ^ POLYNOMIAL; - } - else - { - remainder = (remainder << 1); - } - } - } - - /* - * The final remainder is the CRC result. - */ - return (REFLECT_REMAINDER(remainder) ^ FINAL_XOR_VALUE); - -} /* crcSlow() */ - - -crc crcTable[256]; - - -/********************************************************************* - * - * Function: crcInit() - * - * Description: Populate the partial CRC lookup table. - * - * Notes: This function must be rerun any time the CRC standard - * is changed. If desired, it can be run "offline" and - * the table results stored in an embedded system's ROM. - * - * Returns: None defined. - * - *********************************************************************/ -void -crcInit(void) -{ - crc remainder; - int dividend; - unsigned char bit; - - - /* - * Compute the remainder of each possible dividend. - */ - for (dividend = 0; dividend < 256; ++dividend) - { - /* - * Start with the dividend followed by zeros. - */ - remainder = dividend << (WIDTH - 8); - - /* - * Perform modulo-2 division, a bit at a time. - */ - for (bit = 8; bit > 0; --bit) - { - /* - * Try to divide the current data bit. - */ - if (remainder & TOPBIT) - { - remainder = (remainder << 1) ^ POLYNOMIAL; - } - else - { - remainder = (remainder << 1); - } - } - - /* - * Store the result into the table. - */ - crcTable[dividend] = remainder; - } - -} /* crcInit() */ - - -/********************************************************************* - * - * Function: crcFast() - * - * Description: Compute the CRC of a given message. - * - * Notes: crcInit() must be called first. - * - * Returns: The CRC of the message. - * - *********************************************************************/ -crc -crcFast(unsigned char const message[], int nBytes) -{ - crc remainder = INITIAL_REMAINDER; - unsigned char data; - int byte; - - - /* - * Divide the message by the polynomial, a byte at a time. - */ - for (byte = 0; byte < nBytes; ++byte) - { - data = REFLECT_DATA(message[byte]) ^ (remainder >> (WIDTH - 8)); - remainder = crcTable[data] ^ (remainder << 8); - } - - /* - * The final remainder is the CRC. - */ - return (REFLECT_REMAINDER(remainder) ^ FINAL_XOR_VALUE); - -} /* crcFast() */ diff --git a/ip/nios2_hw_crc/hdl/CRC_Component.v b/ip/nios2_hw_crc/hdl/CRC_Component.v deleted file mode 100644 index 5c67836..0000000 --- a/ip/nios2_hw_crc/hdl/CRC_Component.v +++ /dev/null @@ -1,314 +0,0 @@ -/* - Legal Notice: (C)2006 Altera Corporation. All rights reserved. Your - use of Altera Corporation's design tools, logic functions and other - software and tools, and its AMPP partner logic functions, and any - output files any of the foregoing (including device programming or - simulation files), and any associated documentation or information are - expressly subject to the terms and conditions of the Altera Program - License Subscription Agreement or other applicable license agreement, - including, without limitation, that your use is for the sole purpose - of programming logic devices manufactured by Altera and sold by Altera - or its authorized distributors. Please refer to the applicable - agreement for further details. -*/ - -/* - This component supports 8, 16, 24, and 32 bit little endian data - and any CRC standard between 1 to 128 bits. Through parameterization - you can change the CRC standard which will take effect after you - regenerate your system in SOPC Builder. - - Register Map: - 000 -> reset the CRC peripheral to the inital value (data and byte enables ignored) - 001 -> data write between 1-32 bits - 010 -> reserved - 011 -> reserved - 100 -> read bits 1-32 of the crc result - 101 -> read bits 33-64 of the crc result (where applicable) - 110 -> read bits 65-96 of the crc result (where applicable) - 111 -> read bits 97-128 of the crc result (where applicable) - - Write latency = 0 - Read latency = 1 - - Note: This component uses four blocks of eight bits of data in cascade. - To improve the timing of logic you can create seperate cascades - for 8, 16, 24, and 32 bit data which will allow for smaller area - and a shorter combinational depth. Since CRC logic consumes power - even when not in use you can also add a logic disable feature using - the chipselect signal. Even though the registered CRC value is - held constant when the circuit is not in use the input data will - change during this time and cause the CRC cascade logic to react. -*/ - - -module CRC_Component (clk, - reset, - address, - writedata, - byteenable, - write, - read, - chipselect, - readdata); - -/* - Using these parameters you can create any CRC ranging from one bit (parity checker) - up to 128 bits. The following list describes the function of each parameter: - - crc_width: - The width of the registered CRC result, this value is typically apart of - the name of the standard (CRC32 is 32 bits wide). Adjusting this value - will impact the logic resource usage. - - polynomial_initial: - The initial value set for the CRC result register. By writing any data to address 0 - this value will be stored in the result register thereby clearing any previously existing - value. This value must be the same width as 'crc_width' - - polynomial: - This is the divisor value used on the input data. Typically shown in polynomial format - the value symbolizes the placement of xor operation on the input data. In synthesis, the - polynomial bits that are '1' will create a not gate, whereas the bits that are '0' will - simply create a wire. Even with 32 stages of these operations cascaded, the simple logic - will not become a significant factor on logic utilization or fmax. This value must be the - same width as 'crc_width' - - reflected_input: - Some CRC standards require that all the input bits be reflected around the center point. - This option is enabled with '1' and disabled with '0'. Typically this option is enabled - or disabled with 'reflected_output'. - - reflected_output: - Some CRC standards require that all the output bits be reflected around the center point. - This operation occurs before the final optional xor output step. This option is enabled - with '1' and disabled with '0'. Typically this option is enabled or disabled with - 'reflected_input' (to undo the input reversal typically). - - xor_output: - This is the value used to bitwise xor the CRC result. Most standards use either all zeros - or all ones for this value. When zeros are used the CRC result is passed directly and when - ones are used the CRC result is inverted. Since it's no mandatory that this value be all - ones or zeros, this operation occurs before the output reflection when applicable. -*/ - - parameter crc_width = 32; - parameter polynomial_inital = 32'hFFFFFFFF; - parameter polynomial = 32'h04C11DB7; - parameter reflected_input = 1; - parameter reflected_output = 1; - parameter xor_output = 32'hFFFFFFFF; - - - input clk; - input reset; - input [2:0] address; - input [31:0] writedata; - input [3:0] byteenable; - input write; - input read; - input chipselect; - output [31:0] readdata; - - reg [crc_width-1:0] crc_value; - wire [crc_width-1:0] poly = polynomial; - wire [crc_width-1:0] cascade [3:0]; - wire [7:0] block0_data, block1_data, block2_data, block3_data; - wire [crc_width-1:0] result, result_xored; - wire [31:0] mux_result; - reg [31:0] readdata; - - - /* - Some standards like CRC16 and CRC32 require this bitreversal for serial - devices like ethernet, uarts, usb, etc... - */ - genvar index; - generate if (reflected_input == 1) - begin - for(index = 0; index < 8; index = index + 1) - begin: input_reflection - assign block0_data[index] = writedata[7-index]; - assign block1_data[index] = writedata[15-index]; - assign block2_data[index] = writedata[23-index]; - assign block3_data[index] = writedata[31-index]; - end - end - else - begin - assign block0_data = writedata[7:0]; - assign block1_data = writedata[15:8]; - assign block2_data = writedata[23:16]; - assign block3_data = writedata[31:24]; - end - endgenerate - - - /* - Control for the registered events. It assumes that either 8, 16, 24, or 32 - bit data is being written using byte enables. It is important that the data - be in little endian format and no gaps of byte enables be present (like - 1011 or 1101 for example) - */ - always @ (posedge clk or posedge reset) - begin - if(reset == 1) - begin - crc_value <= 0; - end - else - begin - if(write && chipselect && (address == 3'b000)) - begin - crc_value <= polynomial_inital; // reset the crc to the initial value - end - else if(write && chipselect && (address == 3'b001)) - begin - if(byteenable == 4'b0001) // 8 bit data input - begin - crc_value <= cascade[0]; - end - else if(byteenable == 4'b0011) // 16 bit data input - begin - crc_value <= cascade[1]; - end - else if(byteenable == 4'b0111) // 24 bit data input - begin - crc_value <= cascade[2]; - end - else if(byteenable == 4'b1111) // 32 bit data input - begin - crc_value <= cascade[3]; - end - end - end - end - - - /* four stages of cascade blocks (each block is crc_width x 8 bits) */ - XOR_Shift_Block cascade_block0(.block_input(crc_value), .poly(poly), .data_input(block0_data), .block_output(cascade[0])); - defparam cascade_block0.crc_width = crc_width; - XOR_Shift_Block cascade_block1(.block_input(cascade[0]), .poly(poly), .data_input(block1_data), .block_output(cascade[1])); - defparam cascade_block1.crc_width = crc_width; - XOR_Shift_Block cascade_block2(.block_input(cascade[1]), .poly(poly), .data_input(block2_data), .block_output(cascade[2])); - defparam cascade_block2.crc_width = crc_width; - XOR_Shift_Block cascade_block3(.block_input(cascade[2]), .poly(poly), .data_input(block3_data), .block_output(cascade[3])); - defparam cascade_block3.crc_width = crc_width; - - - - /* - Some standards like CRC16 and CRC32 require this bitreversal. - This is to better support serial devices like uarts, ethernet, usb, etc...) - */ - generate if (reflected_output == 1) - begin - for(index = 0; index < crc_width; index = index + 1) - begin: output_reflection32 - assign result[index] = crc_value[(crc_width-1)-index]; - end - end - else - begin - assign result = crc_value; - end - endgenerate - - - /* This final xor operation occurs after the bit swap */ - assign result_xored = result ^ xor_output; - - - /* Generates the appropriate MUX logic depending on the CRC width */ - generate if((crc_width > 32) && (crc_width < 65)) - begin - assign mux_result = (address == 3'b100)? result_xored[31:0] : result_xored[crc_width-1:32]; - end - else if((crc_width > 64) && (crc_width < 97)) - begin - assign mux_result = (address == 3'b100)? result_xored[31:0] : - ((address == 3'b101)? result_xored[63:32] : result_xored[crc_width-1:64]); - end - else if((crc_width > 96) && (crc_width < 129)) - begin - assign mux_result = (address == 3'b100)? result_xored[31:0] : - ((address == 3'b101)? result_xored[63:32] : - ((address == 3'b110)? result_xored[95:64] : result_xored[crc_width-1:96])); - end - else - begin - assign mux_result = result_xored; - end - endgenerate - - - /* Registering the return path of the CRC data (32 bits of it) */ - always @ (posedge clk or posedge reset) - begin - if(reset == 1) - begin - readdata <= 0; - end - else if((read == 1) && (chipselect == 1)) - begin - readdata <= mux_result; - end - end - -endmodule - - - -/* a single cascade block of width: crc_width and a length of eight input bits */ -module XOR_Shift_Block(block_input, - poly, - data_input, - block_output); - parameter crc_width = 32; - - input [(crc_width-1):0] block_input; - input [(crc_width-1):0] poly; - input [7:0] data_input; - output [(crc_width-1):0] block_output; - - wire [(crc_width-1):0] cascade [7:0]; - - XOR_Shift bit_0(.stage_input(block_input), .poly(poly), .new_bit(data_input[7]), .stage_output(cascade[0])); - defparam bit_0.crc_width = crc_width; - XOR_Shift bit_1(.stage_input(cascade[0]), .poly(poly), .new_bit(data_input[6]), .stage_output(cascade[1])); - defparam bit_1.crc_width = crc_width; - XOR_Shift bit_2(.stage_input(cascade[1]), .poly(poly), .new_bit(data_input[5]), .stage_output(cascade[2])); - defparam bit_2.crc_width = crc_width; - XOR_Shift bit_3(.stage_input(cascade[2]), .poly(poly), .new_bit(data_input[4]), .stage_output(cascade[3])); - defparam bit_3.crc_width = crc_width; - XOR_Shift bit_4(.stage_input(cascade[3]), .poly(poly), .new_bit(data_input[3]), .stage_output(cascade[4])); - defparam bit_4.crc_width = crc_width; - XOR_Shift bit_5(.stage_input(cascade[4]), .poly(poly), .new_bit(data_input[2]), .stage_output(cascade[5])); - defparam bit_5.crc_width = crc_width; - XOR_Shift bit_6(.stage_input(cascade[5]), .poly(poly), .new_bit(data_input[1]), .stage_output(cascade[6])); - defparam bit_6.crc_width = crc_width; - XOR_Shift bit_7(.stage_input(cascade[6]), .poly(poly), .new_bit(data_input[0]), .stage_output(cascade[7])); - defparam bit_7.crc_width = crc_width; - - assign block_output = cascade[7]; - -endmodule - - -/* performs the 'new_bit' stuffing, shifting, and XOR operations for a single input bit */ -module XOR_Shift (stage_input, - poly, - new_bit, - stage_output); - - parameter crc_width = 32; - - input [crc_width-1:0] stage_input; - input [crc_width-1:0] poly; - input new_bit; - output [crc_width-1:0] stage_output; - - assign stage_output[0] = new_bit ^ stage_input[crc_width-1]; - assign stage_output[crc_width-1:1] = stage_input[crc_width-2:0] ^ ({crc_width-1{stage_output[0]}} & poly[crc_width-1:1]); - -endmodule diff --git a/ip/nios2_hw_crc/hdl/CRC_Custom_Instruction.v b/ip/nios2_hw_crc/hdl/CRC_Custom_Instruction.v deleted file mode 100644 index f24f7bb..0000000 --- a/ip/nios2_hw_crc/hdl/CRC_Custom_Instruction.v +++ /dev/null @@ -1,101 +0,0 @@ -/* - Legal Notice: (C)2006 Altera Corporation. All rights reserved. Your - use of Altera Corporation's design tools, logic functions and other - software and tools, and its AMPP partner logic functions, and any - output files any of the foregoing (including device programming or - simulation files), and any associated documentation or information are - expressly subject to the terms and conditions of the Altera Program - License Subscription Agreement or other applicable license agreement, - including, without limitation, that your use is for the sole purpose - of programming logic devices manufactured by Altera and sold by Altera - or its authorized distributors. Please refer to the applicable - agreement for further details. -*/ - -/* - This thin wrapper re-uses the CRC Avalon component as a Nios II - custom instruction. The n port of custom instruction is used as - control to the CRC Avalon component. Below are the values of n and - the corresponding operations perform by the custom instruction: - n = 0, Initialize the custom instruction to the initial remainder value - n = 1, Write 8 bits data to custom instruction - n = 2, Write 16 bits data to custom instruction - n = 3, Write 32 bits data to custom instruction - n = 4, Read 32 bits data from the custom instruction - n = 5, Read 64 bits data from the custom instruction - n = 6, Read 96 bits data from the custom instruction - n = 7, Read 128 bits data from the custom instruction -*/ - - - -module CRC_Custom_Instruction(clk, - reset, - dataa, - n, - clk_en, - start, - done, - result); - /* - See the Avalon CRC component for details on the meaning of each - parameter listed below. - */ - parameter crc_width = 32; - parameter polynomial_inital = 32'hFFFFFFFF; - parameter polynomial = 32'h04C11DB7; - parameter reflected_input = 1; - parameter reflected_output = 1; - parameter xor_output = 32'hFFFFFFFF; - - input clk; - input reset; - input [31:0] dataa; - input [2:0] n; - input clk_en; - input start; - output done; - output [31:0] result; - - wire [2:0] address; - wire [3:0] byteenable; - wire write; - wire read; - reg done_delay; - - assign write = (n<4); - assign read = (n>3); - assign byteenable = (n==1)?4'b0001 : (n==2)?4'b0011 : (n==3)?4'b1111 : 4'b0000; - assign address = (n==0)?3'b000 : ((n==1)|(n==2)|(n==3))?3'b001 : (n==4)?3'b100 : (n==5)?3'b101 : (n==6)?3'b110 : 3'b111; - assign done = (n>3)? done_delay : start; - - always @ (posedge clk or posedge reset) - begin - if (reset) - done_delay <= 0; - else - done_delay <= start; - end - - /* - Instantiating the Avalon CRC component and wiring it to be - custom instruction compilant - */ - CRC_Component wrapper_wiring(.clk(clk), - .reset(reset), - .address(address), - .writedata(dataa), - .byteenable(byteenable), - .write(write & start), - .read(read), - .chipselect(clk_en), - .readdata(result)); - - defparam wrapper_wiring.crc_width = crc_width; - defparam wrapper_wiring.polynomial_inital = polynomial_inital; - defparam wrapper_wiring.polynomial = polynomial; - defparam wrapper_wiring.reflected_input = reflected_input; - defparam wrapper_wiring.reflected_output = reflected_output; - defparam wrapper_wiring.xor_output = xor_output; - -endmodule diff --git a/ip/nios2_hw_crc/nios2_hw_crc32_hw.tcl b/ip/nios2_hw_crc/nios2_hw_crc32_hw.tcl deleted file mode 100644 index aa5ecdd..0000000 --- a/ip/nios2_hw_crc/nios2_hw_crc32_hw.tcl +++ /dev/null @@ -1,116 +0,0 @@ -# TCL File Generated by Component Editor 15.1 -# Tue Dec 22 18:46:40 EET 2015 -# DO NOT MODIFY - - -# -# nios2_hw_crc32 "nios2_hw_crc32" v1.0 -# 2015.12.22.18:46:40 -# -# - -# -# request TCL package from ACDS 15.1 -# -package require -exact qsys 15.1 - - -# -# module nios2_hw_crc32 -# -set_module_property DESCRIPTION "" -set_module_property NAME nios2_hw_crc32 -set_module_property VERSION 17.1 -set_module_property INTERNAL false -set_module_property OPAQUE_ADDRESS_MAP true -set_module_property GROUP "Custom Instruction Modules" -set_module_property AUTHOR "" -set_module_property DISPLAY_NAME nios2_hw_crc32 -set_module_property INSTANTIATE_IN_SYSTEM_MODULE true -set_module_property EDITABLE true -set_module_property REPORT_TO_TALKBACK false -set_module_property ALLOW_GREYBOX_GENERATION false -set_module_property REPORT_HIERARCHY false - - -# -# file sets -# -add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" -set_fileset_property QUARTUS_SYNTH TOP_LEVEL CRC_Custom_Instruction -set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false -set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false -add_fileset_file CRC_Component.v VERILOG PATH hdl/CRC_Component.v -add_fileset_file CRC_Custom_Instruction.v VERILOG PATH hdl/CRC_Custom_Instruction.v TOP_LEVEL_FILE - - -# -# parameters -# -add_parameter crc_width INTEGER 32 -set_parameter_property crc_width DEFAULT_VALUE 32 -set_parameter_property crc_width DISPLAY_NAME crc_width -set_parameter_property crc_width TYPE INTEGER -set_parameter_property crc_width UNITS None -set_parameter_property crc_width HDL_PARAMETER true -add_parameter polynomial_inital STD_LOGIC_VECTOR 4294967295 -set_parameter_property polynomial_inital DEFAULT_VALUE 4294967295 -set_parameter_property polynomial_inital DISPLAY_NAME polynomial_inital -set_parameter_property polynomial_inital TYPE STD_LOGIC_VECTOR -set_parameter_property polynomial_inital UNITS None -set_parameter_property polynomial_inital ALLOWED_RANGES 0:17179869183 -set_parameter_property polynomial_inital HDL_PARAMETER true -add_parameter polynomial STD_LOGIC_VECTOR 79764919 -set_parameter_property polynomial DEFAULT_VALUE 79764919 -set_parameter_property polynomial DISPLAY_NAME polynomial -set_parameter_property polynomial TYPE STD_LOGIC_VECTOR -set_parameter_property polynomial UNITS None -set_parameter_property polynomial ALLOWED_RANGES 0:17179869183 -set_parameter_property polynomial HDL_PARAMETER true -add_parameter reflected_input INTEGER 1 -set_parameter_property reflected_input DEFAULT_VALUE 1 -set_parameter_property reflected_input DISPLAY_NAME reflected_input -set_parameter_property reflected_input TYPE INTEGER -set_parameter_property reflected_input UNITS None -set_parameter_property reflected_input HDL_PARAMETER true -add_parameter reflected_output INTEGER 1 -set_parameter_property reflected_output DEFAULT_VALUE 1 -set_parameter_property reflected_output DISPLAY_NAME reflected_output -set_parameter_property reflected_output TYPE INTEGER -set_parameter_property reflected_output UNITS None -set_parameter_property reflected_output HDL_PARAMETER true -add_parameter xor_output STD_LOGIC_VECTOR 4294967295 -set_parameter_property xor_output DEFAULT_VALUE 4294967295 -set_parameter_property xor_output DISPLAY_NAME xor_output -set_parameter_property xor_output TYPE STD_LOGIC_VECTOR -set_parameter_property xor_output UNITS None -set_parameter_property xor_output ALLOWED_RANGES 0:17179869183 -set_parameter_property xor_output HDL_PARAMETER true - - -# -# display items -# - - -# -# connection point nios_custom_instruction_slave -# -add_interface nios_custom_instruction_slave nios_custom_instruction end -set_interface_property nios_custom_instruction_slave clockCycle 0 -set_interface_property nios_custom_instruction_slave operands 1 -set_interface_property nios_custom_instruction_slave ENABLED true -set_interface_property nios_custom_instruction_slave EXPORT_OF "" -set_interface_property nios_custom_instruction_slave PORT_NAME_MAP "" -set_interface_property nios_custom_instruction_slave CMSIS_SVD_VARIABLES "" -set_interface_property nios_custom_instruction_slave SVD_ADDRESS_GROUP "" - -add_interface_port nios_custom_instruction_slave clk clk Input 1 -add_interface_port nios_custom_instruction_slave clk_en clk_en Input 1 -add_interface_port nios_custom_instruction_slave dataa dataa Input 32 -add_interface_port nios_custom_instruction_slave done done Output 1 -add_interface_port nios_custom_instruction_slave n n Input 3 -add_interface_port nios_custom_instruction_slave reset reset Input 1 -add_interface_port nios_custom_instruction_slave result result Output 32 -add_interface_port nios_custom_instruction_slave start start Input 1 - diff --git a/ip/nios2_hw_crc/nios2_hw_crc32_sw.tcl b/ip/nios2_hw_crc/nios2_hw_crc32_sw.tcl deleted file mode 100644 index ce0d0e4..0000000 --- a/ip/nios2_hw_crc/nios2_hw_crc32_sw.tcl +++ /dev/null @@ -1,58 +0,0 @@ -# (C) 2001-2015 Altera Corporation. All rights reserved. -# Your use of Altera Corporation's design tools, logic functions and other -# software and tools, and its AMPP partner logic functions, and any output -# files any of the foregoing (including device programming or simulation -# files), and any associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License Subscription -# Agreement, Altera MegaCore Function License Agreement, or other applicable -# license agreement, including, without limitation, that your use is for the -# sole purpose of programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the applicable -# agreement for further details. - - -# TCL File Generated by Altera University Program -# DO NOT MODIFY - -set aup_version 15.1 - -# Create a new driver - this name must be different than the -# hardware component name -create_driver nios2_hw_crc32_driver - -# Associate it with some hardware -set_sw_property hw_class_name nios2_hw_crc32 - -# The version of this driver -set_sw_property version $aup_version - -# This driver is proclaimed to be compatible with 'component' -# as old as version "1.0". The component hardware version is set in the -# _hw.tcl file - If the hardware component version number is not equal -# or greater than the min_compatable_hw_version number, the driver -# source files will not be copied over to the BSP driver directory -set_sw_property min_compatible_hw_version 1.0 - -# Initialize the driver in alt_sys_init() -set_sw_property auto_initialize false - -# Location in generated BSP that sources will be copied into -set_sw_property bsp_subdirectory drivers - -# -# Source file listings... -# - -# C/C++ source files -add_sw_property c_source HAL/src/ci_crc.c -add_sw_property c_source HAL/src/crc.c - -# Include files -add_sw_property include_source HAL/inc/ci_crc.h -add_sw_property include_source HAL/inc/crc.h - -# This driver supports HAL type -add_sw_property supported_bsp_type HAL - -# End of file - diff --git a/ip/pulpino_qsys b/ip/pulpino_qsys new file mode 160000 index 0000000..b11dd77 --- /dev/null +++ b/ip/pulpino_qsys @@ -0,0 +1 @@ +Subproject commit b11dd7718e6d367cbaef8a362ce206510fd87ed0 diff --git a/rtl/ossc.v b/rtl/ossc.v index 3980c89..1918ef3 100644 --- a/rtl/ossc.v +++ b/rtl/ossc.v @@ -83,6 +83,7 @@ wire DE_out_videogen; reg [7:0] cpu_reset_ctr = 0; reg cpu_reset_n = 1'b0; +wire ext_reset_req; reg [7:0] R_in_L, G_in_L, B_in_L; reg HSYNC_in_L, VSYNC_in_L, FID_in_L; @@ -226,7 +227,12 @@ end sys sys_inst( .clk_clk (clk27), - .reset_reset_n (cpu_reset_n), + .reset_reset_n (cpu_reset_n & ~ext_reset_req), + .pulpino_0_config_testmode_i (1'b0), + .pulpino_0_config_fetch_enable_i (1'b1), + .pulpino_0_config_clock_gating_i (1'b0), + .pulpino_0_config_boot_addr_i (32'h00010000), + .master_0_master_reset_reset (ext_reset_req), .i2c_opencores_0_export_scl_pad_io (scl), .i2c_opencores_0_export_sda_pad_io (sda), .i2c_opencores_0_export_spi_miso_pad_i (1'b0), diff --git a/scripts/rv-reprogram.tcl b/scripts/rv-reprogram.tcl new file mode 100644 index 0000000..80be390 --- /dev/null +++ b/scripts/rv-reprogram.tcl @@ -0,0 +1,25 @@ +#Select the master service type and check for available service paths. +set service_paths [get_service_paths master] + +#Set the master service path. +set master_service_path [lindex $service_paths 0] + +#Open the master service. +set claim_path [claim_service master $master_service_path mylib] + +puts "Halting CPU" +master_write_32 $claim_path 0x0 0x1 + +puts "Writing block RAM" +master_write_from_file $claim_path mem_init/sys_onchip_memory2_0.bin 0x10000 + +close_service master $claim_path + + +set jtag_debug_list [get_service_paths jtag_debug] +set jd [ lindex $jtag_debug_list 0 ] +open_service jtag_debug $jd +puts "Resetting system" +jtag_debug_reset_system $jd +close_service jtag_debug $jd +puts "Done" diff --git a/software/ossc_sw.project b/software/ossc_sw.project index e30f872..5d8bca9 100644 --- a/software/ossc_sw.project +++ b/software/ossc_sw.project @@ -161,7 +161,7 @@ - + diff --git a/software/sys_controller/Makefile b/software/sys_controller/Makefile index c0ee74d..e5b48fc 100644 --- a/software/sys_controller/Makefile +++ b/software/sys_controller/Makefile @@ -754,6 +754,8 @@ ifeq ($(MKDIR),) MKDIR := $(DEFAULT_MKDIR) endif +RV_OBJCOPY = riscv64-unknown-elf-objcopy + #------------------------------------------------------------------------------ # PATTERN RULES TO BUILD OBJECTS #------------------------------------------------------------------------------ @@ -1127,5 +1129,16 @@ print-elf-name: ossc/menu_sjis.c: ossc/menu.c iconv -f UTF-8 -t SHIFT-JIS ossc/menu.c > ossc/menu_sjis.c +mem_init/sys_onchip_memory2_0.hex: sys_controller.elf + $(RV_OBJCOPY) --change-addresses -0x10000 -O binary --gap-fill 0 $< mem_init/sys_onchip_memory2_0.bin + ../../tools/bin2hex mem_init/sys_onchip_memory2_0.bin mem_init/sys_onchip_memory2_0.hex + +.PHONY: mem_init_generate_new +mem_init_generate_new: mem_init/sys_onchip_memory2_0.hex + .PHONY: generate_hex -generate_hex: clean mem_init_generate +generate_hex: clean mem_init_generate_new + +.PHONY: rv-reprogram +rv-reprogram: mem_init_generate_new + system-console -cli --script ../../scripts/rv-reprogram.tcl diff --git a/software/sys_controller/crt0.boot.S b/software/sys_controller/crt0.boot.S new file mode 100644 index 0000000..863deb9 --- /dev/null +++ b/software/sys_controller/crt0.boot.S @@ -0,0 +1,103 @@ +// Copyright 2017 ETH Zurich and University of Bologna. +// Copyright and related rights are licensed under the Solderpad Hardware +// License, Version 0.51 (the “License”); you may not use this file except in +// compliance with the License. You may obtain a copy of the License at +// http://solderpad.org/licenses/SHL-0.51. Unless required by applicable law +// or agreed to in writing, software, hardware and materials distributed under +// this License is distributed on an “AS IS” BASIS, WITHOUT WARRANTIES OR +// CONDITIONS OF ANY KIND, either express or implied. See the License for the +// specific language governing permissions and limitations under the License. + +#include "pulpino.h" + +#define EXCEPTION_STACK_SIZE 72 + + +/* ========================================================= [ entry ] === */ + .section .text + +default_exc_handler: + jal x0, default_exc_handler + +reset_handler: + /* set all registers to zero */ + mv x1, x0 + mv x2, x1 + mv x3, x1 + mv x4, x1 + mv x5, x1 + mv x6, x1 + mv x7, x1 + mv x8, x1 + mv x9, x1 + mv x10, x1 + mv x11, x1 + mv x12, x1 + mv x13, x1 + mv x14, x1 + mv x15, x1 + mv x16, x1 + mv x17, x1 + mv x18, x1 + mv x19, x1 + mv x20, x1 + mv x21, x1 + mv x22, x1 + mv x23, x1 + mv x24, x1 + mv x25, x1 + mv x26, x1 + mv x27, x1 + mv x28, x1 + mv x29, x1 + mv x30, x1 + mv x31, x1 + + /* stack initilization */ + la x2, _stack_start + +_start: + .global _start + + /* clear BSS */ + la x26, _bss_start + la x27, _bss_end + + bge x26, x27, zero_loop_end + +zero_loop: + sw x0, 0(x26) + addi x26, x26, 4 + ble x26, x27, zero_loop +zero_loop_end: + + +main_entry: + /* jump to alt_main program entry point */ + jal alt_main + +/* =================================================== [ exceptions ] === */ +/* This section has to be down here, since we have to disable rvc for it */ + + .section .vectors, "ax" + .option norvc; + + // external interrupts are handled by the same callback + // until compiler supports IRQ routines + .org 0x00 + .rept 31 + nop + .endr + jal x0, default_exc_handler + + // reset vector + .org 0x80 + jal x0, reset_handler + + // illegal instruction exception + .org 0x84 + jal x0, default_exc_handler + + // ecall handler + .org 0x88 + jal x0, default_exc_handler diff --git a/software/sys_controller/it6613/hdmitx.h b/software/sys_controller/it6613/hdmitx.h index fe5d011..857a7ab 100644 --- a/software/sys_controller/it6613/hdmitx.h +++ b/software/sys_controller/it6613/hdmitx.h @@ -52,7 +52,7 @@ //#define NULL 0 //typedef unsigned char bool; -#include "Altera_UP_SD_Card_Avalon_Interface_mod.h" +typedef unsigned char bool; #include "sysconfig.h" // Hardwired to CPU reset diff --git a/software/sys_controller/link.common.ld b/software/sys_controller/link.common.ld new file mode 100644 index 0000000..d302ada --- /dev/null +++ b/software/sys_controller/link.common.ld @@ -0,0 +1,135 @@ +SEARCH_DIR(.) +__DYNAMIC = 0; + +MEMORY +{ + dataram : ORIGIN = 0x00010000, LENGTH = 0x9000 +} + +/* Stack information variables */ +_min_stack = 0x400; /* 1K - minimum stack space to reserve */ +_stack_start = ORIGIN(dataram) + LENGTH(dataram); + +/* We have to align each sector to word boundaries as our current s19->slm + * conversion scripts are not able to handle non-word aligned sections. */ + +SECTIONS +{ + .vectors : + { + . = ALIGN(4); + KEEP(*(.vectors)) + } > dataram + + .text : { + . = ALIGN(4); + _stext = .; + *(.text) + *(.text.*) + _etext = .; + __CTOR_LIST__ = .; + LONG((__CTOR_END__ - __CTOR_LIST__) / 4 - 2) + *(.ctors) + LONG(0) + __CTOR_END__ = .; + __DTOR_LIST__ = .; + LONG((__DTOR_END__ - __DTOR_LIST__) / 4 - 2) + *(.dtors) + LONG(0) + __DTOR_END__ = .; + *(.lit) + *(.shdata) + _endtext = .; + } > dataram + + /*--------------------------------------------------------------------*/ + /* Global constructor/destructor segement */ + /*--------------------------------------------------------------------*/ + + .preinit_array : + { + PROVIDE_HIDDEN (__preinit_array_start = .); + KEEP (*(.preinit_array)) + PROVIDE_HIDDEN (__preinit_array_end = .); + } > dataram + + .init_array : + { + PROVIDE_HIDDEN (__init_array_start = .); + KEEP (*(SORT(.init_array.*))) + KEEP (*(.init_array )) + PROVIDE_HIDDEN (__init_array_end = .); + } > dataram + + .fini_array : + { + PROVIDE_HIDDEN (__fini_array_start = .); + KEEP (*(SORT(.fini_array.*))) + KEEP (*(.fini_array )) + PROVIDE_HIDDEN (__fini_array_end = .); + } > dataram + + .rodata : { + . = ALIGN(4); + *(.rodata); + *(.rodata.*) + } > dataram + + .shbss : + { + . = ALIGN(4); + *(.shbss) + } > dataram + + .data : { + . = ALIGN(4); + sdata = .; + _sdata = .; + *(.data); + *(.data.*) + *(.sdata); + *(.sdata.*) + *(.sdata2); + *(.sdata2.*) + edata = .; + _edata = .; + } > dataram + + .bss : + { + . = ALIGN(4); + _bss_start = .; + *(.bss) + *(.bss.*) + *(.sbss) + *(.sbss.*) + *(COMMON) + _bss_end = .; + } > dataram + + /* ensure there is enough room for stack */ + .stack (NOLOAD): { + . = ALIGN(4); + . = . + _min_stack ; + . = ALIGN(4); + stack = . ; + _stack = . ; + } > dataram + + .stab 0 (NOLOAD) : + { + [ .stab ] + } + + .stabstr 0 (NOLOAD) : + { + [ .stabstr ] + } + + .bss : + { + . = ALIGN(4); + _end = .; + } > dataram +} + diff --git a/software/sys_controller/link.riscv.ld b/software/sys_controller/link.riscv.ld new file mode 100644 index 0000000..24f8fb0 --- /dev/null +++ b/software/sys_controller/link.riscv.ld @@ -0,0 +1,7 @@ +/* not needed, but we need separate linker scripts anyway */ +OUTPUT_ARCH(riscv) + +/* required to correctly link newlib */ +GROUP( -lc -lgloss -lgcc -lsupc++ ) + +INCLUDE link.common.ld diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index ad0f69a..e569cf8 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -1,1282 +1,7366 @@ -:020000020000FC -:2000000000402074084008140800683A0000000000000000000000000000000000000000FE -:2000080006C02074DEE80014068020B4D68387140080207410A6051400C0207418E72014EC -:2000100010C00326100000151080010410FFFD360816BC400816CA40003FFF06DEFFFB0479 -:2000180000BFE084D880000500800084D880004500800344D880008500800484DFC0041543 -:20002000D88000C531803FCCD8C005173000022600BFE004000001060080100439C03FCC99 -:20002800380E91FAD900018518C000CC39C0021410800214D80B883A01000044D8800105E7 -:20003000D9C00145D8C001C5D8000205D8000245D8000285D80002C5D8000305D800034577 -:20003800D8000385D80003C5081073C0DFC00417DEC00504F800283ADEFFFB040080010441 -:20004000D880000500800044D880004500800284D8800085D88000C3DFC0041521003FCC00 -:200048001080020C1080005410800414D88000C5D8800103108000D4D88001052000021EF3 -:200050000080014400000106008000C4D9000103108001CC1085883A00FFF8C420C6703AA4 -:200058001085883A1884B03AD8800105D88001C3D80B883A01000044108001CCD80001858C -:20006000D88001C508108680DFC00417DEC00504F800283ADEFFFC04DC000015040020B4E3 -:2000680084041804DC80021504801304DC400115900B883A2023883A000D883A8009883A18 -:20007000DFC003150817410089403FCC8009883A000D883A0817474004400044900B883AE0 -:200078008009883A880D883A08174100880B883A8009883ADFC00317DC800217DC40011764 -:20008000DC000017DEC0040408174481DEFFFC04DC000015040020B484041804DC80021526 -:20008800DC4001152025883A2823883A8009883A000D883A01401304DFC003150817410089 -:2000900091403FCC8009883A000D883A081747400180004489403FCC8009883ADFC0031717 -:20009800DC800217DC400117DC000017DEC0040408174741DEFFFF0421003FCCDFC000159F -:2000A00008101940DFC00017DEC00104F800283ADEFFFF0429403FCC21003FCCDFC00015E8 -:2000A800081020C00005883ADFC00017DEC00104F800283ADEFFFB04DCC00315DC800215C3 -:2000B000DC400115DC000015DFC004152825883A3027883A2023883A2821883A8485C83A12 -:2000B80014C0060E8140000389003FCC84000044081020C08C400044003FF8060005883A14 -:2000C000DFC00417DCC00317DC800217DC400117DC000017DEC00504F800283ADEFFFF0433 -:2000C8000140FA04DFC0001508162F801009883ADFC00017DEC001040816CD01DEFFFF0458 -:2000D000000B883A010003C4DFC0001508102900000B883A01001844DFC00017DEC0010403 -:2000D80008102901DEFFFD04DC000015040020B484261C048140008301000144DFC0021515 -:2000E000DC40011508102900808000838100030300FFFEC41004D1FA20C6703A044001040A -:2000E8001085883A1085883A1884B03A01400F448809883A8080030508102900010000444F -:2000F000081031C08809883A014007440810290001400C04010018440810290001402C84E7 -:2000F800010002440810290001403E04010002840810290001400DC4010002C408102900FB -:20010000000B883A010003C408102900000B883A0100324408102900000B883A0100328400 -:2001080008102900000B883A010032C408102900000B883A0100330408102900000B883A7E -:200110000100334408102900000B883A0100338408102900000B883A010033C4081029004A -:20011800000B883A01003404081029008140010301003844DFC00217DC400117DC0000175F -:20012000DEC0030408102901DEFFF904DCC003152827883A0140FA04DD400515DD000415CD -:20012800DC400115DC000015DFC00615DC800215202B883A3023883A9CC03FCC081623C0DD -:20013000050000441021883A9D00021E0486000400000106048C000401400044010003C440 -:2001380008102900000B883A01000CC408102900900AD23A01000D04048020B40810290036 -:20014000000B883A01000D440810290081403FCC01000C0408102900800AD23A01000C443A -:2001480094A61C0429403FCC08102900800AD43A01000C8408102900000B883A010003C489 -:2001500008102900000B883A0100314408102900954002159D000226040002840000010688 -:200158000400008400800044940001C5908001058C403FCC050000448D0002260005883A2F -:2001600000000106008003C401003804908001450140300408102900047FFF040100164407 -:200168009D00051E081027408884703A1140005429403FCC00000306081027408884703A2B -:2001700011403FCC0100164408102900010001040810274011403ACC010001040810290054 -:2001780091400103010038440810290001403904010038840810290091400143010038C446 -:20018000294003CC0810290001403A04010039040810290001400044010003C4081029005A -:20018800000B883A0100244408102900000B883A010024840810290001400044010024C4BB -:2001900008102900000B883A0100250408102900017FF004814AB03A29403FCC010026040E -:2001980008102900040A303A29403FCC280A913A01002644294002D429403FCC08102900BE -:2001A000000B883A010003C40810290001403044010038040810290000BFF04490800185AD -:2001A80000800044DFC00617DD400517DD000417DCC00317DC800217DC400117DC00001734 -:2001B000DEC00704F800283ADEFFFE04DC000015040001048009883ADFC0011508102740D4 -:2001B800108002148009883A11403FCC0810290001400C0401001844DFC00117DC00001741 -:2001C000DEC0020408102901DEFFFE04DC000015040001048009883ADFC0011508102740E1 -:2001C8001404B03A11403FCC8009883ADFC00117DC000017DEC0020408102901DEFFFE04FF -:2001D000DFC00115DC00001521003FCC2000021E000B883A00003B062821883A28003D2659 -:2001D80001400044010003C408102900814000C30100160408102900814001030100164479 -:2001E0000810290081400143010016840810290081400183010016C408102900814001C3F7 -:2001E800010017040810290081400203010017840810290081400243010017C408102900D4 -:2001F000814002830100180408102900814002C301001844081029008140030301001884C3 -:2001F8000810290081400343010018C408102900814003830100190408102900814003C354 -:200200000100194408102900808000C4000B883A8400040410C000031080004428CBC83A86 -:20020800143FFC1E29401BC429403FCC0100174408102900000B883A010003C4081029003A -:20021000014000C4010033440810290000800044000001060005883ADFC00117DC000017D4 -:20021800DEC00204F800283ADEFFFE04DFC00115DC00001521003FCC2000021E000B883A0A -:20022000000020062821883A2800222601400044010003C408102900814000C301001A04EC -:20022800081029008140010301001A44081029008140018301001AC408102900814001C326 -:2002300001001B0408102900808000C4000B883A8400020410C000031080004428CBC83A96 -:20023800143FFC1E29401C4429403FCC01001B4408102900000B883A010003C40810290085 -:20024000014000C4010033840810290000800044000001060005883ADFC00117DC00001764 -:20024800DEC00204F800283ADEFFFD04DC000015000B883A2021883A010003C4DFC002157B -:20025000DC40011508102900044030448809883A0810274084003FCC800AC03A10803F8C23 -:200258008809883A114AB03A08102900014000C401003184DFC00217DC400117DC00001713 -:20026000DEC0030408102901DEFFF904DCC00315DC800215DC4001152025883A2823883A50 -:20026800010001040140074404C020B49CE61C04DD000415DC000015DFC006153821883AEE -:20027000DD4005153029883A0810290098C0030381C0004C00BFFF441884703A39CF883ADE -:2002780011CEB03A99C00305988003171080008C10000226010000440810928001001C0426 -:200280009C0000C308102740108000CC8D4000CC00C00044100B883AA8C0052600C00084D3 -:20028800A8C0041E017FE004114AB03A00000106114010148080020C1000012629400814DD -:200290008080004C10000126294004148080008C10000126294002148400010C80000126D0 -:200298002940011429403FCC01001C0408102900A800131EA50000CC00800044A080082696 -:2002A00000800084A0800E1E88803FCC1080201C10BFE004100050160029883A00004F06A6 -:2002A80088803FCC1080201C10BFE0048D00100C10004A0E00BFE8041528B03A0000470674 -:2002B0000029883A0021883A01001C84081027401080070CA420B03A140AB03A29403FCC73 -:2002B80001001C8408102900988003171080008C100002260140004400000106000B883A65 -:2002C0000100300408102900014005440100010408102900014004040100184408102900F0 -:2002C80094803FCC008000849080081E01402204010018840810290001400404010018C452 -:2002D00008102900014021040000070601400604010018840810290001400404010018C40B -:2002D8000810290001400304010019040810290001000044081031C001000104081027404B -:2002E0001140074C010001040810290001001904081031C001000104081027401140054CC5 -:2002E80001000104081029000400190401000384081027401080040C1000321E0100004442 -:2002F000081031C080BFFFC41021883A10BFFFCC103FF61E00002B06053FE8048C400C0CAE -:2002F800008008048880081E018000C4D16000C401001CC408102B40014020B40180048470 -:20030000296170840000090600800C0488800B1E018000C4D16000C401001CC408102B40F1 -:20030800014020B4018004842961678401001D8408102B4004000084003FA3060080040425 -:200310008880081E018000C4D160000401001CC408102B40014020B40180048429616304B2 -:20031800003FF206018000C4D160000401001CC408102B40014020B40180048429616C0498 -:20032000003FEA06000B883A01000304081029000140100401000344081029000140004415 -:200328000100038408102900000B883A0100038408102900081033C000800044DFC00617CB -:20033000DD400517DD000417DCC00317DC800217DC400117DC000017DEC00704F800283A27 -:20033800DEFFFD04DC400115DC0000152823883A2021883A000B883A010003C4DFC0021549 -:200340000810290001001644081027408C403FCC10800BCC8800051E81403FCC280A91BA50 -:2003480029400414114AB03A00000106100B883A29403FCC01001644DFC00217DC40011730 -:20035000DC000017DEC0030408102901008020B410A3500410C03217D0A27F1518000526F6 -:2003580010C03517008040041880021E0005883AF800283A00BFCE04F800283ADEFFFD0403 -:20036000DC400115280F883A2823883A200B883AD1227F17DC000015DFC002153021883A15 -:20036800081716C010000B1E800D883A8463883A34400626308000031005C2721005D63A8E -:200370003180004430BFFFC5003FF9060005883A0000010600BFCDC4DFC00217DC4001177D -:20037800DC000017DEC00304F800283ADEFFFB04DC800315DC400215DC000115DFC0041546 -:2003800030803FCC2023883A2825883A3021883A100003268805883A8C89883A0000060610 -:20038800300A923AD1227F170816E600103FF92600BFCD84000010061100062610C000031E -:200390001807C2721807D63A1080004410FFFFC5003FF906800AD23A800C923AD1227F176F -:20039800280A943ADC800015880F883A0816EF401000012600BFCD44DFC00417DC800317F7 -:2003A000DC400217DC000117DEC00504F800283ADEFFF904DD400515DD000415DCC0031558 -:2003A800DC800215DC000015DFC00615DC4001152825883A3029883A3827883A0021883AB7 -:2003B0000005883A0540400484800E2E9423C83AAC40012E04404004980D883A880B883A85 -:2003B8008009883A0810D7C010000A1E800D003A880B883A9809883A0817328084004004DB -:2003C000003FF1061500021E0005883A0000010600BFCD04DFC00617DD400517DD00041767 -:2003C800DCC00317DC800217DC400117DC000017DEC00704F800283ADEFFFD04DC000115F0 -:2003D0002021883A010020B421262004DFC002150815CE8010803FCC1000091E00808004D3 -:2003D800010020B4D8800015000F883A000D883A800B883A212620040815F04010803FCC83 -:2003E000DFC00217DC000117DEC00304F800283A014020B4010020B429662E042126230439 -:2003E80008147381014020B4010020B4296645042126274408147381DEFFFB04DFC00415CD -:2003F000DC000015DCC003152021883ADC800215DC40011508107080000B883A0009883A00 -:2003F80084003FCC0810868080002B1E040020B4842633048140011701006734213F3004AD -:20040000081623C081000287014006841023883A08162F8000C020B418E6668410C5883A31 -:200408001140000B8809883A044020B408162F80814004031009883A8C66494408162F8051 -:20041000814003031009883A2940004408162F80810003838CC009C38C800983100B883A1C -:200418002100004408162F80980D883A900B883A1009883A0810488089000983DFC0041744 -:20042000DCC00317DC800217DC400117DC000017DEC0050408100F81DFC00417DCC00317AB -:20042800DC800217DC400117DC000017DEC00504F800283ADEFFFB04DC0001152021883A46 -:2004300001000044DFC00415DC800315DC4002150810928008106C80000B883A0009883A42 -:20043800081073C084003FCC800F003A000D883A000B883A010000440810988080000D1E45 -:20044000044020B48C663304048020B4888003C394A6494491C00583D8800015000D883A59 -:20044800000B883A0009883A081005C09080058388800B458009883A0810FB800009883A86 -:20045000DFC00417DC800317DC400217DC000117DEC0050408109281DEFFFD04DFC00215CD -:20045800DC400115DC00001521003FCC2000271ED0A2824300C0020410C01D2600C00404F8 -:2004600010C0062600C0010410C01C1E0009883A081507400100004400002E06040020B431 -:20046800842633048140011701006734213F3004081623C081000287014006841023883ABF -:2004700008162F8000C020B418E6668410C5883A1140000B8809883A08162F8000C072B42A -:2004780018F0DFC418800236010003C4000001060009883A08150740000015060009883A05 -:20048000081507400009883A00001206008020B410A6494410C00803044000841021883AE8 -:200488001C4002260009883A00000106010003C4081507408100080320803FCC8880042E61 -:20049000008001441109C83A21003FCC00000106010000C4DFC00217DC400117DC00001794 -:20049800DEC003040814A281DEFFF50400C020B4DFC00A15DF000915DDC00815DD80071508 -:2004A000DD400615DD000515DCC00415DC800315DC400215DC0001150089C40418C4380456 -:2004A80019800037054020B4AD4438043000020E10BFFFC4103FFA1E29403FCC21003FCC45 -:2004B000040020B40815500084263304102D883A808002C310000426AC4000378823D43A2C -:2004B8008C4000CC000001060023883A01000DC40814B10001000E041027883A0814B10028 -:2004C0001025883A108003CC1004923A01000E4414E6B03A9004D1BA1080004C808002456D -:2004C8000814B10001000E841029883A0814B100A8C00037010000848C403FCC89000E2634 -:2004D000010020B421263304210002C32000021E010063C424C0082E010000448900041E61 -:2004D8001827D4BA9CC1FFCC9927883A000005069480080C9000032698C032280540004466 -:2004E0000000020698C06428002B883A814002C3048020B4B1003FCC94A649442800131E69 -:2004E8002000212618002026D0E280030140008419003FCC2100201C213FE0042900070E32 -:2004F00000C020B40100004418E63304D0228005190002C5044001040000160618C0004406 -:2004F800D0E280050023883A000012062000012618000D1ED1228003017FFF0421803FCC81 -:200500003180201C31BFE0043140040ED0228005800002C50440010400000506213FFFC462 -:20050800D122800500000106D02280050023883A18008726108003CC1004923A80C000179D -:200510001528B03A19000044008020B410A6330424C007369900004420C0053610C0011705 -:20051800A0C0031E10C00203A8803FCC18800226D022864500000C06D0E28643008000446C -:2005200019003FCC208008261887883AD0E2864518C03FCC1880041E88803FCC00C00084F7 -:2005280010C0012E1823883A91400303808008C3010020B400C020B42126494418E63304A3 -:200530002880281E21400343188009032880251E21400383188009432880221E214003C3AD -:200538001880098328801F1E21400403188009C328801C1E214001C3188007832880191EC6 -:2005400021400203188007C32880161E21400243188008032880131E21400283188008430C -:200548002880101E210002C31880088320800D1E9140064381000C0300C020B4008020B457 -:2005500018E6494410A633042900061E1940068311000C432900031E18C0090310800EC3F5 -:200558001880042688803FCC00C0008410C0012E1823883A90C005C380800B8318800F26FB -:20056000008020B410A63304110002870140068408162F8000C020B418E6670410C5883A74 -:2005680010C0000B008083441880041E88803FCC00C0008410C0012E1823883AD0A2874308 -:200570001000202685C0028701400684058020B4B809883A08162F80B5A663048700040383 -:20057800B085883A1140038BE009883A08162F80173FFFCC80800C03A0FFFFCC10000A263B -:2005800001400684B809883AD8C0001508162F80B085883A1180060BD8C00017300CD07AC5 -:200588003180004C00000106000D883A180B883AE009883A0814DA8088803FCC1000011E38 -:200590000440004484C00015850001158540020591400003810005C300C020B4008020B4FE -:2005980018E6494410A6330429001E1E194000431100060329001B1E194000831100064318 -:2005A0002900181E194000C3110006832900151E19400103110006C32900121E194001439D -:2005A8001100070329000F1E1940048311000A4329000C1E194004C311000A832900091E23 -:2005B0001940050311000AC32900061E18C0044310800A031880031E90C0094380800F037C -:2005B8001880032688803FCC1000011E044000449100060380800BC304C020B49CE63304DF -:2005C0002080062699400403081518C09880044588803FCC1000011E0440004491000703B4 -:2005C80080800CC320800126081520C09100074380800D03208001260814D0409100078387 -:2005D00080800D43208001260814D1009100084380800E032080071E008020B410A64944BE -:2005D80010C00883008020B410A6330410800E4318800226914008830814CD00910006C327 -:2005E00080800C83208006260140060408162F80010020B4212090042089883A0814F5C0A2 -:2005E8009100080380800DC32080012608111580910007C380800D832080012608150BC078 -:2005F000014020B4010020B4018001C429664304212653840816320010000326010020B469 -:2005F800212653840814D1C090C0098380800F431880071E008020B410A6494410C009C3FA -:20060000008020B410A6330410800F8318800226910005430810FB80D0A287831000092690 -:2006080091000A0380800FC320C03FCC18800526213FFD0421003FCC2100201C213FE00486 -:2006100008145600014020B4010020B401800C4429664944212638C4081635008805883A9C -:20061800D0228745DFC00A17DF000917DDC00817DD800717DD400617DD000517DCC0041724 -:20062000DC800317DC400217DC000117DEC00B04F800283ADEFFF004DC000615040020B474 -:2006280084263304DF000E158700028701400684DDC00D15E009883ADD800C15DD400B15CF -:20063000DCC00915DFC00F15DD000A15DC800815DC40071508162F80058020B480C005C352 -:20063800B5A66304B085883A0100008485C00403114005031540030B14C004C31900031E87 -:20064000848006039480004400000E060100004419000B1E10C0060B1906703A8100030369 -:200648001800032620000626048000C40000050620000326148005C39480011800000106D9 -:200650000025883A80C0050B008020B40102000410A6330419001B2620C00D360100080481 -:2006580019001A2620C003360080040418801526000031060100400419001926010080045C -:2006600019002D1E10800A030440010400001606010800041900162620C00536010400048E -:200668001900251E10800A030440014400000E0601100004190013260120001419001626EB -:2006700000001D060440010400001E0614400A03008000C41463C83A8C63883A00001906EC -:20067800044000C40000170688A3C83A00001506108008831000101EAD7FFA049CC003040F -:2006800000000D061080088310000D1EAD7FFA049CC0030400000A0610C00A03108008835C -:200688000440018488E3C83A1000061EAD7FFA049CC0030400000306B823883A00000106AE -:2006900004400144D0E28243008002041880011E294B883A80C0048328BFFFCC193FFFCC3B -:200698002080022E28C7C83A0000010600C0004489803FCCBDC03FCCB985C83AE009883AEF -:2006A00001400684D9800515D8C00415D880001508162F80B085883A1240028BD90000174B -:2006A800480B883ADA40021508162F801028D7FA81000A4389403FCCA085883A1029D07A0A -:2006B00008162F80D8C00417A08F883AE009883A014006841C7FFFCCD9C0031508162F805F -:2006B800B085883A12800483D94000178A89883ADA80011508162F8087000343A087883A1A -:2006C000DA800117E02897BA87000883500B883AB809883AE20000584010977AD8C0041551 -:2006C800DA00011508162F80DA400217B809883A10807FCC480B883A103892FA08162F800E -:2006D0001081FFCC1504B03A880B883AB809883AE0B8B03A08162F8010803FCC1004953A06 -:2006D800DA000117E0B8B03A008020B4E238B03A108434041700003580800443D980051762 -:2006E000D9C003171008937A80800403300C943A380E94FA100492BAD8C004172084B03A9C -:2006E800118CB03A31CCB03A1D3FFFCC008020B4350CB03A108430041180003580C003030A -:2006F00080800703810002871806977A100496BA014006841886B03A80800A831004953A80 -:2006F80018A2B03A08162F80B085883A108005431004947A8886B03A900496FA18A4B03ABE -:20070000A8BFFFCC90A4B03A98BFFFCC100492FA90A4B03A008020B410842C0414800035C8 -:2007080080800F03100693BA80800AC3100492BA1884B03A80C006C310C4B03A80C0068319 -:200710001806927A10C6B03A808006431004913A1884B03A00C020B418C4280418800035C8 -:20071800DFC00F17DF000E17DDC00D17DD800C17DD400B17DD000A17DCC00917DC80081743 -:20072000DC400717DC000617DEC01004F800283ADEFFF404DC000315040020B484263304F8 -:20072800DC4004158440011700800044DFC00B15DDC00A15DD800915DD400815DD000715B3 -:20073000DCC00615DC800515D0A286458800162684C000179800142601006734880B883A5D -:20073800213F3004081623C01025883A8080020301283BF4980B883A212EC0041000042606 -:20074000081623C0880B883A1009883A00000306081623C0880B883A1089883A081623C0AD -:200748001023883A000002060445DC04048F550401000EC40814B10001000F041027883AD2 -:200750000814B1008080058300C0207418DDD2041085883A1085883A1885883A11C0001720 -:200758008080020380C000171000021E00801A440000010600801C0401802074010020B486 -:20076000D8C00015319D8A040140044421262744D880011508163780014019048809883A41 -:20076800081623C00140FA049009883A1029883A081623C0014019048809883A102B883A2C -:2007700008162A000140FA049009883AD8800215DD00011508162A00014002841009883A3B -:20077800081623C001802074010020B4D8800015A80F883A319D8C04014004442126450419 -:2007800008163780D0A28D831000011E0810FA4081000017D1E2870381400203A00D883A77 -:20078800044020B40815570011003FCC2100201C213FE00400FFFFC48C66330420C0011E1E -:2007900000800104888002858480028301400684054020B4D4A2810594803FCC9480201C5D -:2007980094BFE0049009883A08162F80AD666304A885883AD0E28703144005838580040355 -:2007A0008500011788E2703AD462824515C0038BB1403FCC9CC03FCCB93FFFCC08162F8035 -:2007A8009809883A100B883A08162F80A00B883A1009883A081623C0B1003FCCB80B883A9A -:2007B0001027883A08162F8015BFFFCC80800C038C403FCCA53FFFCC100008260140068426 -:2007B8009009883A08162F80A885883A11C0060B380ED07A39C0004C00000106000F883A7B -:2007C0009CC03FCCA00D883AB00B883A8809883ADCC0001508152D4081000DC30811158039 -:2007C80081000BC381400403081518C0808004450811894080800B03810003831000051E92 -:2007D000008020B410A63304114003C3290B003A00000106000B883A0810CE0080C00E83B8 -:2007D800008020B410A6330481000B0318000B26DFC00B17DDC00A17DD800917DD400817B0 -:2007E000DD000717DCC00617DC800517DC400417DC000317DEC00C0408110B412000061E49 -:2007E80011C00B43108003C3000D883A000B883AD8800015081005C0DFC00B17DDC00A1717 -:2007F000DD800917DD400817DD000717DCC00617DC800517DC400417DC000317DEC00C0429 -:2007F800F800283AD12281C3DEFFFE04DC000015DFC0011508141F001021883A1000141E5B -:20080000008020B410A64944D0E281C310800C0301000284D0E2858511403FCC29000126BD -:20080800D0A28205D0A285C310000226D0A28203000001060005883A010020B421262B8455 -:200810002085883A010003C410C00005081402808005883ADFC00117DC000017DEC0020491 -:20081800F800283AD12281C3DEFFFE04DC000015DFC00115081402801021883A10000F1EDC -:20082000D0E281C3D0A285C3D0E2858510000426008020B410A633041080058300000106B2 -:200828000005883A010020B421262B842085883A010003C410C00005081402808005883A35 -:20083000DFC00117DC000017DEC00204F800283ADEFFFD04DC000015D4228103DC40011585 -:2008380001400684D422860584003FCC8009883A044020B4DFC002158C66630408162F8086 -:200840008885883A10C0038B014006848009883AD0E2850D10C00503D0E2880D10C004839B -:20084800D0E2808D10C0028BD0E2840D10C00543D0E2828D108004C3D0A2868D08162F80AF -:200850008885883A1080030BD0A2838DDFC00217DC400117DC000017DEC00304F800283ABB -:20085800DEFFFA04008020B4DD000415DCC00315DC800215DC400115DC000015DFC0051558 -:2008600010A6330410C002C3040020B42029883AD462860384266304D4E2808BD4A2868BFB -:20086800180021261080028714401F1E8809883A0140068408162F808085883A1100038B16 -:20087000D0E2850B20C0161E11000503D0E2880B20C0131E1100048398C03FCC20C0101E9A -:200878001100028BD0E2840B20C00D1E11000543D0E2828B20C00A1E10C004C390803FCCA4 -:200880001880071E014006848809883A08162F808085883A10C0030BD0A2838B18800226CB -:2008880000800044D0A287458809883A0140068408162F80D0E2850B8085883A8809883A07 -:2008900010C0038DD0E2880B14C004850140068410C00505D0E2840B10C0028DD0E2828B42 -:20089800148004C510C0054508162F80D0E2838B01802074010020B48085883AA1FFFFCC20 -:2008A000319EC1040140044421262E0410C0030DDFC00517DD000417DCC00317DC800217E4 -:2008A800DC400117DC000017DEC0060408163781DEFFFE04DC000015040020B4DFC001152E -:2008B00084044004008004448080003580000035008020B41084340410000035008020B4F1 -:2008B80010842C04100000350109C4040816CD0000807444D0A2830D0080744480800035B3 -:2008C000010000F4210350040816CD00018001B401406734010020B43186A004297F3004A2 -:2008C80021041804081739C00814650008149B0010002C260009883A0814B10000C03FC4C2 -:2008D00010C02A2608151080010000840810274010803FCC00C004C410C0261E0810364072 -:2008D800081459801000022600800044D0A287850810D4C01021883A1000201E0812B28058 -:2008E00001402074010020B401800C44295DDD04212638C40816350001402074010020B4D6 -:2008E80001800D84295E41842126558408163500010003C408141F00D122858308141F00E6 -:2008F000008020B410843C0410800037108000AC1000011E0812B7800009883A08110B400E -:2008F80000000706043FFF8400000506043FFF4400000306043FFF0400000106043FFFC420 -:200900008005883ADFC00117DC000017DEC00204F800283AD0A2814300E00004DEFFF104FC -:200908001080004410803FCC1008933AD0A2830BDC000515040020B410C4B03A2084B03A61 -:20091000D0A2830DDFC00E15DF000D15DDC00C15DD800B15DD400A15DD000915DCC00815D7 -:20091800DC800715DC400615D900030D10BFFFCC840440048080003501802074010020B4A2 -:20092000319D92040140044421262E04081637800810F900054020B404C020B4058020B461 -:2009280005C020B400800044AD443C049CE65584B5A62E04BDC42404AC4000378C7FFFCC97 -:200930001000611E8800602698C0058BD0A2830B88C0551E00F3FFC41884703AD0A2830D69 -:2009380010BFFFCC80800035B00000050810F900010001B42106A0040816CD00D0A2830B9E -:20094000D8C0030B1090001410C4B03AD0A2830D10BFFFCC808000350100FA0408160E0083 -:2009480007000044081611C01700021EBC800037903FFC0E081613C090BFFFC410BFFFCC35 -:2009500000FFFF541880072E01802074010020B4319D95040140044421262E040816378040 -:2009580000002F069038D43A953FFFCC0083FFC4E703FFCC01401904A009883AE0800F1E84 -:20096000081623C0A009883A01401904173FFFCC08162A0010BFFFCC01802074010020B4C0 -:20096800D8800015E00F883A319D97040140044421262E040816378000001906081623C0F1 -:2009700011FFFFCC01401904E009883AD9C0041508162A0010BFFFCC01401904E009883AE6 -:20097800D8800215081623C010BFFFCC01401904A009883AD880011508162A00D9C0041722 -:2009800010BFFFCC01802074010020B4D8800015319D9A040140044421262E040816378023 -:200988000810F9000000020698C0060B88C00A26D0E2830B00AFFFC41884703AD0A2830D5B -:2009900010BFFFCC808000350109C4040816CD008805883A003F98061083FFCCD0A2830D2F -:20099800808000350005883ADFC00E17DF000D17DDC00C17DD800B17DD400A17DD00091702 -:2009A000DCC00817DC800717DC400617DC000517DEC00F04F800283ADEFFFF04DFC0001532 -:2009A8000811C9000814C840008020B410A6494411000543DFC00017DEC0010408110B417B -:2009B000DEFFF404DFC00B15DF000A15DDC00915DD800815DD400715DD000615DCC0051579 -:2009B800DC800415DC400315DC00021508122B0010001E160080144401802074010020B438 -:2009C000000F883A319D9F040140044421262744D88000150816378001402074010020B4AE -:2009C80001800444295DAB0421264504081635000810FA400100023421284804054020B4F7 -:2009D000040020B40500207404C020B40816CD000025883A0023883AAD443C048426330435 -:2009D800A51DD2049CE6494400003C0601802074010020B4100F883A319DA404014004444C -:2009E000212627440816378001402074010020B401800444295ED0042126450408164900AB -:2009E8000810FA40003FFF06113FFF8421003FCC014000C42900322ED1228A0311003026E5 -:2009F000D0A28A05D0A28917D0E2891510000126D0228C150812D200102F883AD0A28D834B -:2009F800100002260009883A0813B680D0A2820381000583058020B410C03FCCB5A63304C5 -:200A000020C0211E98C00543B0800B0318800B26000F883A000D883A000B883A0009883AD8 -:200A0800D8000015081005C09900054308110B40988005438000011580800B05008020B465 -:200A100010A649441080054310007826B08005831000821E01004B040816CD00A8800037FB -:200A180010FFFFCCD0E28B150086303A1004D63A18C000ECD0E28C15D0A28D05103FCA1E2C -:200A2000D0228B15003FCE06010003C4D1228705070000C4E0C0062E0100014420C0062ED1 -:200A28000440008407000044880B883A00000506014000440000020607000084000B883A56 -:200A30000023883A10BFFFC410803FCC0100020420801836100490BA01002074210A3B0442 -:200A38001105883A108000171000683A00812930008129100081291800812918008129109B -:200A4000008129200081293000812910008129180480008400000706048000C4000005060E -:200A480000800404D0A2870504800044000001060025883AD0A285C310000B26008020B403 -:200A500010A62B8410C7883A18800003D0E2858311003FCC20C00426D9400115D0A2858562 -:200A580008141F00D9400117D0A2820329003FCCB1400DC3B0800585B00002C528803FCC42 -:200A600000C000441880042E00800144114BC83A29403FCC00000106014000C40814A94000 -:200A68000814BF8008107080D0A2878310000226E1003FCC0814520091403FCC89003FCC8D -:200A700008153C00B0800583010020B4018004441085883A1085883AA085883A11400017BA -:200A780021262744B00001150816490001402074010020B401800444295DA804212645044A -:200A800008164900D0A28D831000011E0810FA40D0E281830080028418BF7A1EB83F79262B -:200A8800010003C408140280003F760699C00583B0800B4338BF8526808003C3000D883A97 -:200A9000000B883AD88000150009883A081005C09880058380800B45003F7C0691403FCCD7 -:200A980089003FCC0811268010803FCC00C0008410C0252600C0010410C0032600C000442F -:200AA00010FF741E00002406808002C310000926D0A2830B00C020B418C44004108000948F -:200AA800D0A2830D10BFFFCC1880003508126980003F6806800001150814BF80808005839C -:200AB000010020B4018004441085883A1085883AA085883A11400017212627440816490072 -:200AB80001402074010020B401800444295DA8042126450408164900D0A28D83103F551E3E -:200AC0000810FA40003F5306808002C3103F51260811C900003F4F06808002C3103F4D26A4 -:200AC80008118940003F4B0601402074010020B4DEFFFF0401800C44295DDD042126494406 -:200AD000DFC0001508163500014020B4010020B40180BC8429609C0421266304081635002A -:200AD80000800044D0A287450005883ADFC00017DEC00104F800283ADEFFF804DD000415B3 -:200AE000DCC00315DC80021505002074048020B404C020B4DD800615DC000015DFC0071522 -:200AE800DD400515DC400115002D883A0021883AA51E4F0494843C049CE655840140207415 -:200AF000010020B401800444295DEA0421262304081649008405883A1085883AA085883A76 -:200AF80011400017010020B40180044421262E04081649000810F9000023883A0540008439 -:200B00009080003710BFFFCCD0A28B1590C0003700C6303A18C000ECD0E28C1510001A26C4 -:200B0800B08019268407883A98C7883A88000A1E01402074010020B401800444295DEC0457 -:200B1000212623041880000D081649000810F9000440004400000C0618C0000B10C00926BE -:200B180001402074010020B401800444295DEE0421262304081649000810F9000023883A07 -:200B20000000010604400084D0A2891710000F1ED0E28C170080007418800C1E8000091EE5 -:200B280001402074010020B401800D84295E4184212655840816350004400084040006C49C -:200B300000000206843FFF8404400084D0A28C17D5A28B17D0A289158D4003260109C40489 -:200B38000816CD00003FC6068400004400800684143FB20E010003C4DFC00717DD800617C3 -:200B4000DD400517DD000417DCC00317DC800217DC400117DC000017DEC0080408140281C9 -:200B4800DEFFED04D8800BC4D8800315008020B410A64C4410C00044D8C0051501402074F3 -:200B500010C00084D8800415D8C00615018001C410C000C4295E3FC410800104D9000A042D -:200B5800DFC01215DD401115DD001015DCC00F15DC800E15DC400D15DC000C15D8000BC550 -:200B6000D8C00715D8C00815D880091508163500D1228B17014020B40005883A296655846A -:200B6800018006841087883A1947883A18C0000B044020B48C6655841900032611812926FE -:200B700010800044003FF70600C0068418812036100490BA00C0207418CB7A0410C5883A72 -:200B7800108000171000683A0081324800812E6400812E7400812E5400812E6C00812E7C8A -:200B800000812E5C0081325000812E8400812E8C00812E940081325000813250008132508D -:200B880000813250008132500081325000812EC000812F9000812FA000812FCC0081301800 -:200B900000812FF800813044008131DC0081320400813154008000840000FE06008000C411 -:200B98000000FC06008001040000FA06008001440000F806008001840000F606008001C4AD -:200BA0000000F406008002040000F206008002440000F006D0A28D831007003AD0E28D856A -:200BA800D0E28583D0E281C51000031E010000440813B6800000E6060810FA400000E4068C -:200BB000008020B41084380414000037D4A28583044020B48C66330489000287014006841A -:200BB80008162F8000C020B418E663041885883A01802074010020B4D8800015900F883A40 -:200BC000319DF204014004442126230408163780888002C310001C2680C2000C8081FFCC4C -:200BC800180EC03A1080004411C4983A11CF883A1800022600801A440000010600801C040B -:200BD0008020D43A840000CC8000021E00C008040000010600C00A84010020B42104200428 -:200BD8002100003701802074D9000215010020B4D8C00115D8800015319DF5040140044460 -:200BE00021262E04081637800810F9000000B006D0A2830B1080041CD0A2830D0000AC067C -:200BE80000C020B418E64944188000030140004411003FCC290002361145883A0000010612 -:200BF0000005883A188000050000A10600C020B418E64944188000430140004411003FCC3F -:200BF800290002361145883A000001060005883A1880004500009606008020B410A6494486 -:200C000010C0010319003FCC2000012618FFFFC410C0010500008E0600C020B418E6494432 -:200C0800188001030140038411003FCC290002361080004400000106008003C4188001052B -:200C10000000830601402074010020B401800444295DF9042126230408164900014020749B -:200C1800010020B401800444295DFD0421262E0408164900048020B4050020B4054020B46D -:200C20000810F90094843C0404C006C4A5266304AD663304908000370021883A10BFFFCC7D -:200C28008407883A1C47883A18C0000B10C002268400004484FFFA1EA900028701400684FF -:200C300008162F80A085883A108005C300C0014418803F3600C001041C001916D9000A048F -:200C38002087883A18C000031C07D83A18C0004C180007261085883A1085883AD8C003046D -:200C40001885883A10800017140000050000300601802074010020B481C00044319E0004FD -:200C48000140044421262E04081637800810F90001000234212848040816CD0000002406C3 -:200C500000800304808022260109C4040816CD00003FD00601402074010020B40180044470 -:200C5800295E0404212623040816490001402074010020B401800444295E080421262E0499 -:200C600008164900040020B40810F90084043C04048006C404C002440500030480800037C1 -:200C68000009883A10BFFFCC2107883A1C47883A18C0000B10C01D1E99001F160140028475 -:200C70002100004408161C80D0A281C50811FE400810FA40D0228D8500001D0600C020B429 -:200C780018E64944188006030140078411003FCC290002361080004400000A060005883A3C -:200C80000000080600C020B418E649441880060311003FCC2000022610BFFFC40000010689 -:200C8800008007C418800605000009062100004424BFDD1E00000106253FE5260109C404C4 -:200C90000816CD00003FD506008000440000010600800284D0E2830B18C0401CD0E2830DB8 -:200C98000000010600800284D0E28C171900006C20000926008020B410A6330410800583AD -:200CA0000100024411403FCC2900022610800044000001060080004418C000AC18000A26D5 -:200CA800010020B42126494420C000030180004419403FCC314002361987883A0000010665 -:200CB0000007883A20C0000510C03FCC0100028419000326D0A2820500800044000001060E -:200CB8000005883AD122830B00FFFCC420C8703AD0E28D83D122830D1800051ED0E284834A -:200CC00018C7883A18C7883A20C6B03AD0E2830DD122830B00C020B418C44004190000353D -:200CC800DFC01217DD401117DD001017DCC00F17DC800E17DC400D17DC000C17DEC01304C4 -:200CD000F800283ADEFF6B04D9000304DC008C15DFC09415DDC09315DD809215DD409115AD -:200CD800DD009015DCC08F15DC808E15DC408D150810F3801021883A081608808000641E57 -:200CE00001800104D9400304D9008304081649000140207401800104295E9704D9008304AA -:200CE8000816430010002D1ED8800403DCC08484D9400484D8808405D88004430180020485 -:200CF0009809883AD880844508164900D880068BD8008645D8808B0DD880070BD8808B8DA3 -:200CF800D9408B17280BC232D880078BD9408715D8808B0DD880080BD8808B8DD8808B1796 -:200D00001005C232D8808815D880088BD8808B0DD880090BD8808B8DD8808B171005C2321B -:200D0800D8808915D8808217D8808B151005C232D8808A1500C0788428BFF98418800936F5 -:200D100001800044D900030408173280D8C08A1710C006260400198400002D060400190423 -:200D180000002B06040019440000290601802074010020B4319E99040140044421262304AD -:200D200008163780D9C0881701802074010020B4319E9D040140044421262E040816378075 -:200D28000810F900DD008817DD8089170023883A0005883A05C080048D00132EA465C83A53 -:200D3000BC80012E048080048D408004A80CD27A010020B4DC800015000F883AD9400304A8 -:200D3800212620040815F04014003FCC8000081E880D003A900B883AD90003040817328041 -:200D4000A823883A003FEC06B080761E00000206048000C400004906D8808487D9C08403EF -:200D4800D8C084431000C82600802074109E330401802074010020B4D8C00015319EA0042B -:200D50000140044421262304DCC00215D88001150816378001402074010020B40180044423 -:200D5800295EB60421262E0408163500044020B4040020B40810F9008C443C0484265584DA -:200D60008880003780C0000B10BFFFCC10C0B11E0814BF80D0A2830B00C020B418C44004A1 -:200D680010800094D0A2830D10BFFFCC188000350109C4040816CD0001402074010020B477 -:200D700001800444295EA3042126230408164900048000C401402074010020B401800444DC -:200D7800295EA60421262E04081649000810F9000027883A0580800405004004DC40881743 -:200D80009C406E2E8CE3C83AB440012E044080049D408004A80DD27A010020B4DC40001517 -:200D8800000F883AD9400304212620040815F04010803FCC10004E2600A1C83A081608803A -:200D9000008000448080362614000F1600BFCD4480802D261400051600BFCD0480802D1EBD -:200D980001402074295E900400002F0600BFCD848080222600BFCDC48080261E0140207455 -:200DA000295E8404000028060080198480801226140007160080190480800C2600801944C4 -:200DA80080801C1E01402074295E720400001E06008019C480800D2600801A048080151E9A -:200DB00001402074295E7F040000170601402074295E6E040000140601402074295E760469 -:200DB8000000110608160880048000C401402074040019C4295E7A0400000B060140207475 -:200DC000295E88040000080601402074295E8C040000050601402074295E950400000206FE -:200DC80001402074295E6A04010020B421262E0401800444081649000810F900010003F4BA -:200DD000211090040816CD0080004A0E9000492601802074010020B4319EB2040140044484 -:200DD800212623040816378094BFFFC4003F99069827D23A880B883AA440012E014040040C -:200DE000980D883AD90003040810DEC01021883A103FAA1EA4400236A827883A003F970663 -:200DE80099800044897FC004D90043040810DEC01021883A103FF826003FA00601402074D2 -:200DF000010020B401800444295EAA04212623040816490001402074010020B401800444C8 -:200DF800295EA60421262E04081649000810F900D9808917D9408817D9C003040009883A9D -:200E00000810E9001021883A103F8C1E0816088001402074010020B401800444295EBB0486 -:200E0800212623040816350001402074010020B401800444295EAE0421262E04081649007D -:200E10000810F900003FFF0600802074109ED004003F370680C0008B10C003260109C404C5 -:200E18000816CD00003F4606048000C404001A04003F720600BFFFC4DFC09417DDC0931710 -:200E2000DD809217DD409117DD009017DCC08F17DC808E17DC408D17DC008C17DEC09504AA -:200E2800F800283A21C03FCC01802074010020B4319EC0040140044421262E04081637810F -:200E3000DEFFFF040141194421003FCCDFC0001508162F80014019041009883A08161440CB -:200E380001802074010020B4100F883A319EC2040140044421262E04DFC00017DEC00104DF -:200E40000816378121C03FCC01802074010020B4319EC4040140044421262E040816378177 -:200E4800014003F4DEFFFC042950900421003FCCDFC00315DC400215DC00011508162F8093 -:200E5000014018F4294BA8041009883A1021883A081623C08009883A014659041023883A62 -:200E5800081623C00140FA041009883A08162A00014002841009883A081623C0018020745F -:200E6000010020B4D8800015880F883A319EC7040140044421262E0408163780DFC00317AD -:200E6800DC400217DC000117DEC00404F800283ADEFFFF04014119C421003FCCDFC0001562 -:200E700008162F80014019041009883A0816144001802074010020B4100F883A319ECA0482 -:200E78000140044421262E04DFC00017DEC001040816378121C03FCC01802074010020B453 -:200E8000319ECC040140044421262E0408163781DEFFFF0401409C4421003FCCDFC00015FA -:200E880008162F80014019041009883A0816144001802074010020B4100F883A319ECF0465 -:200E90000140044421262E04DFC00017DEC001040816378121003FCCDEFFFF0401409C44E4 -:200E980021000044DFC0001508162F80014019041009883A0816144001802074010020B4BF -:200EA000100F883A319ECF040140044421262E04DFC00017DEC001040816378121003FCC52 -:200EA8002100201C213FE00421FFFD0401802074010020B4319ED1040140044421262E04D8 -:200EB00008163781DEFFFF040140068421003FCCDFC0001508162F80014020B429666304E9 -:200EB800010020B401800444288B883A21262E04DFC00017DEC001040816490121003FCCA1 -:200EC0002109883A008020B410A088042109883A1109883A21400017010020B401800444B8 -:200EC80021262E040816490121003FCC00800284208007262109883A008020B42109883AFE -:200ED00010A30D041109883A214000170000020601402074295ED304010020B40180044411 -:200ED80021262E0408164901D0A28B17DEFFF70401C020B4DFC00815DDC00715DD800615AB -:200EE000DD400515DD000415DCC00315DC800215DC400115DC00001500C002C439E65584FD -:200EE8000180044418CB883A29CB883A2940000B2880041E21003FCC1827883A2000051E18 -:200EF0000000030618C0004419BFF61E0001080610010A26D5228D43040020B484217504C4 -:200EF800A5C03FCCB82290FA014005048445883A148001031580001795403FCCA809883A9A -:200F000008162F80B0C00117993FFD0421003FCC1885883A00C00104180B883A19002836F7 -:200F0800200890BA00C0207418CF0E0420C9883A20C000171800683A00813C8000813C4C68 -:200F100000813C6400813D6400813D64A800011EB480000394BFFFC48461883A8480010597 -:200F180000008A06B14000038461883AA90000448400010408161C808080000500008306D0 -:200F2000B8000326A53FFFC4D5228D4500007F06D0228D85DFC00817DDC00717DD800617E4 -:200F2800DD400517DD000417DCC00317DC800217DC400117DC000017DEC009040810FA4128 -:200F300011000103014000C42140062620C06F1E1080021704000044103EE83A1023883A37 -:200F380000006C061080041710000126103EE83AD4E28D43014005049C403FCC8C800044CE -:200F4000882290FA902490FA8445883A154000171100010384AD883AAD00011708162F808E -:200F4800B0C00017A085883A1080021718800126B000010584A5883A8461883A81000103E6 -:200F5000AC4001170140050408162F808885883A108002179CC00044D4E28D4590800015A1 -:200F580000004A0610C0010328C04836180690BA01002074210F61041907883A18C000178C -:200F60001800683A00813D9800813D9800813E0000813E4000813E4011C0021798C03FCC01 -:200F6800020003C4114003031100034339800003108003831A00081E20C03FCC32003FCCB8 -:200F70001A00022E30BFFFC400000B0629403FCC2800091E0000070610C03FCC32003FCC6C -:200F780040C0022E308000440000030629403FCC280001262005883A38800005000023069C -:200F8000110002179CC03FCC014003C420C0000B9940051E1080030B197FFFCC1140062E4B -:200F880018FFFFC4000004061080038B197FFFCC2880012E18C0004420C0000D00001306EB -:200F900011400317290000172800102698C03FCC018003C4208000031980041E10C03FCC54 -:200F98001800092610BFFFC40000070628C0010311803FCC19403FCC3140022E10800044F2 -:200FA000000001061805883A208000050023883A0021883AD0A28D4300C020B418E1750496 -:200FA800100490FA014005041885883A1100010314C0001708162F801025883A98800117EE -:200FB000010020B4212623041485883A1140001701800444081649009880011701000104B5 -:200FB8001485883A10C0010320C03636180690BA01002074210FC1041907883A18C00017DB -:200FC0001800683A00813F1800813F4800813F5400813F9C00813F6410C0021711000417CE -:200FC8000180044418C0000318C5883A1085883A2085883A11400017010020B421262E0452 -:200FD0000816490000001F0610C002171080041700001A0610C00217108004171900000B09 -:200FD8000000170680000D268800032601C0207439DED7040000020601C0207439DED504E4 -:200FE00001802074010020B4319ED9040140044421262E040816378000000A0610800317CA -:200FE8001000041E008020B410A62E04100000050000040610C000171080021719000003B0 -:200FF000103EE83ADFC00817DDC00717DD800617DD400517DD000417DCC00317DC80021722 -:200FF800DC400117DC000017DEC009040810F90121003FCC203FA51E103FA41EDFC00817D8 -:20100000DDC00717DD800617DD400517DD000417DCC00317DC800217DC400117DC00001718 -:20100800DEC00904F800283A21003FCC008003C411006336009114B4DEFFB8041094D544F7 -:20101000D88000150080107410951044DC404115D8800115244002B000801444DCC0431594 -:20101800DC400285DFC04715DD804615DD404515DD004415DC804215DC004015D8000205F2 -:20102000D88002458C403FCC24C0040488001C1E014020B4008011040180028429662B849D -:20102800D9000344D88002C5D800030508163500D0A28203014020B401800D84D8800605B5 -:20103000D0A2818329665584D90006C4D8800645D0A285C3D88005C5D0A28483D880068544 -:2010380008163500980C923A01401444D809883A0810DEC01004C03A0085C83A0000320616 -:2010400000BFFC84014020B401800C44D88003452966494400800084D90003C4D98002C5EB -:20104800D8000305D880038508163500014020B49826923A0180300429666304D90010043E -:2010500008163500980D883A01404004D809883A04004004054020B40810DEC004803004CF -:2010580005008C84AD666304802D883A917FFFCC800D883AA94B883AD809883A08163500A4 -:2010600089803FCC800B883A84A5883AA421C83A34CD883AD809883A8029883A843FFFCCFE -:201068000810DEC08C40004480000326B43FEF2E04004004003FED060005883A00000206A0 -:2010700000BFFFC4F800283ADFC04717DD804617DD404517DD004417DCC04317DC80421771 -:20107800DC404117DC004017DEC04804F800283ADEFFB704DFC04815DDC04715DD80461528 -:20108000DD404515DD004415DCC04315DC804215DC404115DC00401521003FCC008003C4E6 -:201088001100022E047FFFC400007906240004048008943AD80D883A014040040810D7C0E5 -:20109000103FF81E014020B40180020429608B04D809883A081643001023883A1000691E97 -:20109800D88002031000691ED8C00243008014441880661ED88002831000032600C0004459 -:2010A00010C02C2600006206D8800303D8C002C31004923A10C4B03A00C0110410C05C1E2E -:2010A80001C020B4D8C003440005883A0180024439E62B84014002841900000322003FCC48 -:2010B0003200023611D1883A410000051080004418C00044117FF81ED880064300C002448F -:2010B800D0A2818511003FCC1900032ED880060311003FCC19000136D0A28205D88005C354 -:2010C000010020B401800D84D0A285C5008020B410A62B8410800003D94006C4212655841E -:2010C800D0A28585D8800683D0A284850816350000003706D8800317D8C002C311803FCC35 -:2010D000300C923A30CCB03A00C00C4430C0301E1004D23A00C0BC841025883A10BFFFCC13 -:2010D80010C02B1E010020B48020923AD94003C42126494405C020B4081635000027883A15 -:2010E000002D883A0540100405004004BDE66304A97FFFCCA14DC83A90BFFFCCB13FFFCC9D -:2010E800D94B883A11800F16B909883A08163500990000442027883A21003FCC2409883A74 -:2010F0002008923AA56BC83AD80D883A01404004ADAD883A94BFC0040810D7C0002B883ADF -:2010F800003FEB06100D883A008020B410A663041109883A0816350000800044D0A2874527 -:20110000000003060440004400000106044000848805883ADFC04817DDC04717DD8046176D -:20110800DD404517DD004417DCC04317DC804217DC404117DC004017DEC04904F800283A7F -:20111000DEFFFC04DC000015040020B484041804DC80021504801284DC400115900B883A59 -:201118002023883A000D883A8009883ADFC003150817410089403FCC8009883A000D883A93 -:201120000817474004400044900B883A8009883A880D883A08174100880B883A8009883A82 -:20112800DFC00317DC800217DC400117DC000017DEC0040408174481DEFFFC04DC000015FA -:20113000040020B484041804DC800215DC4001152025883A2823883A8009883A000D883A50 -:2011380001401284DFC003150817410091403FCC8009883A000D883A081747400180004448 -:2011400089403FCC8009883ADFC00317DC800217DC400117DC000017DEC0040408174741CE -:20114800DEFFFE040080004421003FCCDC0000151120983A01000184DFC0011584003FCCFA -:20115000800B883A08144B80800B883A010001C4DFC00117DC000017DEC0020408144B8102 -:20115800DEFFFE042109883ADC00001524003FCC800B883A01000044DFC0011508144B805E -:20116000800B883A01000084DFC00117DC000017DEC0020408144B81DEFFFF040100014441 -:20116800DFC000150814440000C0218410C0141E014024040100080408144B80000B883AC2 -:201170000100084408144B80000B883A0100088408144B80014000C4010008C408144B8031 -:20117800000B883A01000A0408144B8001400C0401001C4408144B80008000440000010630 -:201180000005883ADFC00017DEC00104F800283ADEFFFD04D9000005010020B4DC00011552 -:20118800018000442821883A21041804D80B883ADFC0021508174F00813FFFCC0816CD00F7 -:20119000DFC00217DC000117DEC00304F800283AD0E2830BDEFFFC0400BFE7C41884703AC7 -:20119800DC400115044020B4D0A2830DDFC00315DC800215DC00001510BFFFCC8C44400422 -:2011A00088800035040005048009883A0816CD00800B883A01000E0408146100800B883A85 -:2011A80001000E4408146100800B883A8009883A08146100800B883A01001C440814610017 -:2011B000800B883A0100178408146100800B883A01001B4408146100800B883A010003043A -:2011B800081461000480C804900B883A0100004408146100800B883A0100018408146100DB -:2011C000900B883A0100008408146100D0A2830B10801014D0A2830D10BFFFCC8880003523 -:2011C800DFC00317DC800217DC400117DC000017DEC00404F800283AD0E2830BDEFFFA0497 -:2011D00000BFE7C41884703ADC000015040020B4DC800215DC400115D0A2830DDFC0051526 -:2011D800DD000415DCC003152025883A2823883A10BFFFCC84044004808000350140C80491 -:2011E0000100004408146100D0A2830B10800814D0A2830D10BFFFCC808000350140040467 -:2011E8009009883A08164E401029883A10803FCC1000091E014020B49009883A01800444DA -:2011F00029608D0408164900910000030140050408146100000009060027883AA5003FCC5B -:2011F80094C5883A11000003014005049CC000440814610098803FCC153FF936D0E2830B5B -:2012000000BFF7C41884703AD0A2830D10BFFFCC8080003501400504010030040814610041 -:20120800D0A2830B10800814D0A2830D10BFFFCC80800035014004048809883A08164E4001 -:201210001027883A10803FCC1000091E014020B48809883A0180044429608D040816490041 -:20121800890000030140050408146100000009060025883A9CC03FCC8C85883A110000031F -:2012200001400504948000440814610090803FCC14FFF936D0A2830B10801014D0A2830D7C -:2012280010BFFFCC80800035DFC00517DD000417DCC00317DC800217DC400117DC000017D2 -:20123000DEC00604F800283ADEFFFB04DC000015040020B484041804DC80021504800B044D -:20123800DCC00315900B883A2027883A000D883A8009883ADFC00415DC4001150817410018 -:2012400004400044880D883A99403FCC8009883A08174740900B883A8009883A880D883ADB -:2012480008174100880B883A8009883ADFC00417DCC00317DC800217DC400117DC0000177A -:20125000DEC0050408174481DEFFFC04DC000015040020B484041804DC800215DC40011504 -:201258002025883A2823883A8009883A000D883A01400B04DFC003150817410091403FCC05 -:201260008009883A000D883A081747400180004489403FCC8009883ADFC00317DC8002179C -:20126800DC400117DC000017DEC0040408174741010020B4DEFFFD04000D883A000B883AA3 -:2012700021041804DFC00215DC400115DC00001508174740010002840816CD00040006041E -:2012780004400044800B883A8809883A08149480800B883A0100008408149480800B883AAF -:20128000010000C4081494808809883A08148C801405003ADFC00217DC400117DC000017AC -:20128800DEC00304F800283ADEFFFD04DC400115DC000015044000442021883A84003FCC2C -:201290008809883ADFC00215802090FA08148C8000FFF9C410C4703A80A0B03A84003FCC10 -:20129800800B883A8809883A08149480800B883A0100008408149480800B883A010000C452 -:2012A000DFC00217DC400117DC000017DEC0030408149481DEFFFD04DC4001152023883A64 -:2012A80001000044DC000015DFC00215043FF60408148C801420703A89003FCC008000845F -:2012B0002080021E84000054000003062008917A2080011480A0B03A84003FCC800B883AAF -:2012B8000100004408149480800B883A0100008408149480800B883A010000C4DFC00217D5 -:2012C000DC400117DC000017DEC0030408149481DEFFFB04DC000015040020B484041804C8 -:2012C800DC80021504801704DCC00315900B883A2027883A000D883A8009883ADFC0041508 -:2012D000DC4001150817410004400044880D883A99403FCC8009883A08174740900B883A5B -:2012D8008009883A880D883A08174100880B883A8009883ADFC00417DCC00317DC8002176F -:2012E000DC400117DC000017DEC0050408174481DEFFFC04DC000015040020B484041804F2 -:2012E800DC800215DC4001152025883A2823883A8009883A000D883A01401704DFC0031500 -:2012F0000817410091403FCC8009883A000D883A081747400180004489403FCC8009883AFE -:2012F800DFC00317DC800217DC400117DC000017DEC0040408174741DEFFFC04DC00001566 -:20130000040008848009883ADFC00315DC800215DC4001150814B100014004C40100058436 -:201308001023883A0489C4040814B9009009883A0816CD00014000C4010005C40814B900BC -:201310009009883A0816CD00017FE004894AB03A29403FCC8009883A0814B9000100FA04C3 -:201318000816CD0089401FCC8009883ADFC00317DC800217DC400117DC000017DEC00404D0 -:201320000814B901DEFFFE04DC0000150409C4048009883ADFC001150816CD0001400444BE -:20132800010005840814B9008009883A0816CD0001400084010005C40814B9008009883A61 -:20133000DFC00117DC000017DEC002040816CD01DEFFFE04DC0000152821883A21403FCC1C -:2013380001000484DFC001150814B90081403FCC010004C4DFC00117DC000017DEC002049F -:201340000814B90121403FCC01000F440814B90121403FCC010004440814B901DEFFFE04B7 -:20134800DFC00115DC000015208001832021883A010006C411403FCC280A913A288AB03AF8 -:2013500029403FCC0814B90081400183010007040814B900814000C3010002840814B9002E -:2013580081400103010002440814B90081400143010002040814B9008140000301000344A7 -:201360000814B90081400043010003040814B90081400083010002C4DFC00117DC00001702 -:20136800DEC002040814B901DEFFFB04DC400115DC0000152023883A2021883A01000104DE -:20137000DCC00315DC8002153027883A2825883ADFC004150814B100017FFE049CC03FCCA0 -:201378001144703A9800092680FFFFCC0101FFC420C006361140005429403FCC0100010445 -:201380000814B9008423883A0000030611403FCC010001040814B9008C7FFFCC880AD13A5C -:201388000100004429403FCC0814B900880A913A0100008429403C0C0814B90001006734B3 -:20139000917FFFCC213F3004081623C0880B883A1009883A08162F800140FA041009883AB6 -:20139800081623C000E327D41880092E00C0007418C45BC41880082E00C000B418C3D5C4A2 -:2013A0001885403A00C000C41885C83A000003060005883A000001060080004414003FCC39 -:2013A800D0A028041405883A1100000301400A0408162F808808D07A880B883A1109883A73 -:2013B00008161440100B883A00C001C410803FCC1880012E180B883A29403FCC800491BABF -:2013B800280A90FA010000C4288AB03A29403FCCDFC00417DCC00317DC800217DC4001176B -:2013C000DC000017DEC005040814B901DEFFFE04DC0000152021883A01000684DFC001158A -:2013C8000814B100017FFE8484003FCC00C000441144703A80C0021E108000940000010619 -:2013D0001080029411403FCC01000684DFC00117DC000017DEC002040814B9012140028BDE -:2013D800DEFFFE04DC000015280AD23A2021883A010012C4DFC001150814B900814002833D -:2013E000010012840814B9008140030B01001344280AD23A0814B900814003030100130468 -:2013E8000814B9008140038B010013C4280AD23A0814B90081400383010013840814B90022 -:2013F0008140010B01001444280AD23A0814B90081400103010014040814B9008140018BA4 -:2013F800010014C4280AD23A0814B90081400183010014840814B9008140020B010015440E -:20140000280AD23A0814B90081400203010015040814B9008140040B010015C4280AD23A1C -:201408000814B90081400403010015840814B9008140048B01001644280AD23A0814B900FA -:2014100081400483010016040814B9008140050B010016C4280AD23A0814B90081400503FC -:2014180001001684DFC00117DC000017DEC002040814B901DEFFFD04DC00001504000FC44F -:20142000DC4001152023883A8009883ADFC002150814B10000FFFC0410C4703A888AB03A2E -:2014280029403FCC8009883ADFC00217DC400117DC000017DEC003040814B901DEFFFD04AD -:20143000DC0000152021883ADC40011584003FCC044006848809883A802091BADFC0021525 -:201438000814B10010800FCC808AB03A29403FCC8809883ADFC00217DC400117DC000017C2 -:20144000DEC003040814B901DEFFFC04014020B4018001C429608D84D809883ADFC00315E5 -:20144800DC000215081635000814BF800009883A0814F0C004000044800B883A01000D4465 -:201450000814B900010020B4212090040814F5C00009883A08150BC0010001840814D040C7 -:20145800010011040814D100000B883A8009883A0814CD00D809883A0814D1C0DFC0031767 -:20146000DC000217DEC00404F800283ADEFFFB04DCC0031504C00104DC4001152023883AE7 -:201468009809883ADFC00415DC800215DC0000152825883A0814B100900B883A8809883A59 -:201470001021883A08162F80108007CC100A90FA840001CC9809883A2C0AB03A0814B900EC -:2014780091403FCC89003FCC08162F801004D17ADFC00417DCC00317DC800217DC4001179F -:20148000DC000017DEC00504F800283ADEFFFD04DC0000152021883ADC40011584003FCCC5 -:20148800044004048809883A802090FADFC002150814B100108001CC808AB03A29403FCC33 -:201490008809883ADFC00217DC400117DC000017DEC003040814B90121003FCC20001A2603 -:20149800DEFFFD04DC400115010009842823883A01402004DC000015DFC002153021883A6A -:2014A0000814B90089403FCC0080004428800426008002042880041E814016840000030639 -:2014A80081400244000001068140060429403FCC01000C44DFC00217DC400117DC000017A7 -:2014B000DEC0030400000206000B883A010009840814B901DEFFFA04DC4001152823883A24 -:2014B800D9400617DD000415DCC00315DC800215DC000015DFC0051524003FCC0080004424 -:2014C0003025883A3827883A2D003FCC808008260080020480800D1E29400C8429403FCC50 -:2014C800010001440814B9000140080400000C062940008429403FCC010001440814B9000E -:2014D000014001842809883A000006062940018429403FCC010001440814B9000140040471 -:2014D800010001840814B900A00D883A800B883A0100004408152580008004048080021E2E -:2014E000014003040000010601400204010008840814B90099803FCC917FFFCC893FFFCC62 -:2014E800DFC00517DD000417DCC00317DC800217DC400117DC000017DEC006040814DA81C0 -:2014F000DEFFFD0429BFFF84DC400115DC000015DFC0021531803FCC0080004424403FCCCB -:2014F8002C003FCC11800436008000848880071E8805883A000008068800061E8005003AD9 -:2015000000C000841885C83A000003060005883A0000010600800084880A913A8C47883A1B -:2015080010803FCC18C7883A100491BA28CAB03A214AB03A288AB03A29403FCC010006449C -:201510000814B900010004040814B10000FFFE0410C4703A00C000C4100B883A80C0011ED1 -:201518001140015429403FCC010004040814B9000080008488800D1E0080004414000B366B -:201520008080021E0140148400000106014014C4010003840814B9000100FA040816CD004B -:20152800010005040814B10000000B06014016C4010003840814B9000100FA040816CD0059 -:20153000010005040814B100008000C48080021E0140040400000106000B883A0100060438 -:201538000814B900000B883A01000D84DFC00217DC400117DC000017DEC003040814B90105 -:20154000DEFFFD04DC4001152023883A01000504DC000015DFC002152821883A0814B100ED -:201548008C403FCC00C0008488C00A1E81403FCC00C0004428C0031E1080240C108024208B -:20155000000006062800031E1080220C10802220000002061004D07A1080004CDFC002179C -:20155800DC400117DC000017DEC00304F800283ADEFFEC04DC400B15044020B48C66330403 -:2015600000800104D880060D8880078302400204020010044884983A0181000402880004D9 -:201568001244B03AD880068D888007C300C020B4DFC013154084983ADDC01115DD80101530 -:20157000D880070D88800803DD400F15DD000E153084983ADF001215DCC00D15D880078D65 -:2015780088800843DC800C15DC000A155084983AD800088518E66704D880080DD8800884C3 -:20158000D8800015888008C4D880011588800904D880021588800944D880031588800984BE -:20158800D8800415888009C4D880051588800B830029883A02C04004D88009050304000493 -:201590000382000403C080040340040407C02004054008040580010405C083441CC001C32E -:2015980001800144A021883A9C803FCC188001833480EC36900C90BA028020745295A30447 -:2015A000328D883A318000173000683A008156FC008156FC008156FC008156A4008156B88D -:2015A800008156FC01801004D980070D01810004D980078D000011061980000B35C00F1EFE -:2015B0001F3FFB43028000C4D9800903E280051E3000021E01BFFBC4000004060280008470 -:2015B800000005063000031E01BFFEC43084703A00000206028000443280CA2611C4703AE8 -:2015C00010803FCC1000C7269485883A1085883AD885883A108000171980020B1080000342 -:2015C8001085883AD885883A1280060B5194703A50BFFFCC1000BB263180004C2F003FCC59 -:2015D0003180005CE180B71E1980000B318007843100B43607000044018020B4880003850D -:2015D800880003C58F000405880004858A80050D31A6330412C0772658800E3613405E266E -:2015E000688003361580212612402F260000A50612005D2617C06A261540A21E00800044C7 -:2015E80088800305008000848880034500800184000073061300832660800936028100049F -:2015F000128071261380692613C0961E008000848880030588800345008001440000680672 -:2015F8000710000417007D2601A00014118084260288000412808B1E0080010488800305B0 -:201600008800034500801E040000840630000305300003459CC03F4C008000449880871EB6 -:2016080089000C4300C020B418E6330420000326010000841900040500000106188003850A -:20161000888003C500007D06008000448880030588800EC3054020B4AD6633041000101E19 -:2016180001400684A009883A08162F8000C020B418E6668410C5883A1080000B00C15DC41F -:20162000188007369CFFFFC49CC03FCC0080008414C00336A8800345A88004050000010657 -:20162800880003450080008490800D260080010490800B26008001449080601E01400684A7 -:20163000A009883A08162F8000C020B418E6668410C5883A1080000B00C12BC418805736DF -:2016380088C00C43008020B410A63304180032261000034500002D06008000443080030543 -:20164000008000840000150600800084308003053000034500C000C490C0481E89000C4325 -:2016480000C020B418E63304200002261880040500004206008000441880038500003F065F -:201650000080008488800305008000448880034500003A060080008430800305308003455E -:20165800008001043080040500003406008000C488800305008000848880034500800104CD -:201660008880040500002D06008000C43080030530000345008000C49080281E88C00C4381 -:20166800008020B410A633041800032600C0008410C004050000210600C0004410C0038540 -:2016700000001E06008000C430800305008000843080034500800144003FE20600800104CD -:201678003080030500800084308003450080014430800405008006043080048500000F0648 -:20168000008001048880030500800084888003450080018488800405008005048880048531 -:2016880000000606A50000440080074418C00684A0BF0A1E00BFFFC4000001068005883AC9 -:20169000DFC01317DF001217DDC01117DD801017DD400F17DD000E17DCC00D17DC800C179C -:20169800DC400B17DC000A17DEC01404F800283A20803FCCDEFFF9041080201CDC40041561 -:2016A000DFC00615DC800515DC00031510BFE0042823883A10000A0E2025883A000B883A4A -:2016A80001001DC40815A700D880028510C03FCC0100004420C02E3694001FCC00000106B3 -:2016B0002021883A08160880014001040009883A081604C0081606408804D63ADC0000059D -:2016B800DC400105D88000458804D43A84003FCCD88000858804D23AD88000C50080100404 -:2016C00080800426008012048080041E00BFE1C40000030600BFE544000001060080004408 -:2016C80001400184D809883AD8800145081603800100014408160E001021883A044000446D -:2016D00001400044D9000284081604C0D8800287100003168000051E081613C0000003068D -:2016D800081611C0147FF626003FFA06D8800283DFC00617DC800517DC400417DC000317D7 -:2016E000DEC00704F800283ADEFFF604DC000515000B883A2021883A01001244DFC0091536 -:2016E800DCC00815DC800715DC4006150815A70010803FCC100002260005883A00004306D3 -:2016F0000100014408160E001023883A0480004404C03FC401400044D9000484081604C01C -:2016F800D880048314C003268800051E081613C000000306081611C014BFF626003FFA0634 -:20170000D8C0048300803F8418BFEB1E01400484D809883A081604C08080010310C0008CD9 -:2017080018001326D8800183D8C001C3D9400143108000CC1004923A294003CC10C8B03AA5 -:20171000D88002031006D1BA2105883A1085883AD900028310C4B03AD8C002432008D1FA90 -:2017180018C000CC18C7883A20C8B03A000010061080010C10000B26D88001C3D9000203AC -:20172000000B883A10800FCC1004923A1104B03A1006923AD8800243010004441884B03A44 -:2017280000000306000B883A0009883A0005883A10BFFFCC10C0004421003FCC28803FCCAC -:201730002085883A10BFFE441884983ADFC00917DCC00817DC800717DC400617DC0005178E -:20173800DEC00A04F800283ADEFFF604014020B4DCC00715018002842027883A2961588471 -:20174000D809883ADD000815DC400515DFC00915DC800615DC000415050000C40816350071 -:2017480004400044081601C00816088008160C4001400284D809883A08160380000B883A32 -:2017500098000015010010040815A70010803FCC1440511E01406A84010012040815A7008B -:2017580010803FCC1440271E01400104D9000284081604C0D88003031440471ED8C00343C1 -:2017600000802A841880441E0100FA0408160E00081611C014400326081611C014400C1E3D -:20176800000006060150003401003A440815A70010803FCC103FF61E003FF706000B883A86 -:2017700001001E840815A70010803FCC100002260021883A0000090601400104D900028488 -:20177800081604C0D88002831080100C1000021E040001040000010604000304081613C0AA -:2017800000002606000B883A01003A440815A70010803FCC8880032E040000440480104419 -:20178800000002060400008404BFFA4401003E8408160E0094803FCC081611C01440042635 -:20179000081611C01000081E0021883A00000606000B883A9009883A0815A70010803FCC9E -:20179800103FF51E003FF606081613C0000B883A01001EC40815A70010803FCC100001265D -:2017A0000021883A01408004010014040815A70010803FCC100001260021883AA0BFFFC4CD -:2017A8001029883A10803FCC84803FCC10000A26903F9C26008000449C0001059880001518 -:2017B0009809883A0815B88010BFFFC49880021508160A8000000106903FF61E08160600EA -:2017B8009005003ADFC00917DD000817DCC00717DC800617DC400517DC000417DEC00A0479 -:2017C000F800283A20800217DEFFF804DC000115DFC00715DD400615DD000515DCC004158C -:2017C800DC800315DC400215DC0008171180313684BFFFCC90002F26208001032827883ABF -:2017D0003823883A1080020C300B883A1000011E300A927A010014440815A70010803FCC14 -:2017D800100002260400008400001F060100190408160E000500004405403FC401400044AC -:2017E000D809883A081604C0D880000315400526081613C0D8C0000300803F8418BFF11ED4 -:2017E80000000306081611C0153FF426003FF806008080841445C83A897FFFCC1421C83A55 -:2017F000280002260009883A081604C0900B883A9809883A081604C0817FFFCC0009883AA4 -:2017F800081604C00021883A081606008005883A00000106008000C4DFC00717DD4006175F -:20180000DD000517DCC00417DC800317DC400217DC000117DEC00804F800283A018001B43F -:2018080001406734010020B43186A004297F300421041004081739C1280D883A200B883AA2 -:20181000010020B42104100408174F01280D883A200B883A010020B42104100408174B01DE -:20181800F800283AD0E2830B00BFDFC41884703A00C020B4D0A2830D18C4400410BFFFCC1E -:2018200018800035F800283AD0A2830B00C020B418C4400410802014D0A2830D10BFFFCC6D -:2018280018800035F800283A01800A7401406734010020B4318CB804297F3004210410043B -:20183000081739C1018001B401406734010020B43186A004297F300421041004081739C10F -:20183800D0A28E1710000B1EDEFFFF04015A5E04213FFFCCDFC0001508162F80D0A28E15E2 -:201840000816D1800005883ADFC00017DEC00104F800283A00800044F800283ADEFFFF04A1 -:20184800DFC000150816D540D0E28E1710C5803ADFC00017DEC00104F800283AD0228E156B -:20185000F800283A20001B16000F883A28001616200D883A29001A2E0080080400C00044B8 -:201858000000010610000D26294B883A10BFFFC418C7883A293FFB360005883A1800072618 -:201860000005883A31400236314DC83A10C4B03A1806D07A280AD07A183FFA1E3800012608 -:201868000085C83AF800283A014BC83A39C0005C003FE7060109C83A01C00044003FE30677 -:2018700000C00044003FEE0620001716000F883A2005883A280012162900162E01800804D2 -:2018780000C000440000010630000A26294B883A31BFFFC418C7883A293FFB36180005267F -:201880001806D07A114001361145C83A280AD07A183FFB1E380001260085C83AF800283AD4 -:20188800014BC83A003FEC060109C83A01C00044003FE70600C00044003FF106200D883A5B -:201890002900152E280014160080080400C000440000020610000E2628000516294B883A25 -:2018980010BFFFC418C7883A293FFA36180008260005883A31400236314DC83A10C4B03A71 -:2018A0001806D07A280AD07A183FFA1EF800283A0005883AF800283A00C00044003FF4061D -:2018A8002005883A2900122E280011160180080400C000440000020630000C262800051643 -:2018B000294B883A31BFFFC418C7883A293FFA36180006261806D07A114001361145C83A6A -:2018B800280AD07A183FFB1EF800283AF800283A00C00044003FF7060005883A200007261C -:2018C00020C0004C2008D07A180001261145883A294B883A203FFA1EF800283AF800283AB2 -:2018C800218D883A218008262080000328C0000310C0022610C5C83AF800283A21000044A5 -:2018D00029400044003FF7060005883AF800283A2005883A2007883A218D883A19800526EA -:2018D8002900000318C0004429400044193FFFC5003FFA06F800283ADEFFF504DFC00915B3 -:2018E000DC400815DC000715D9C00A15008020B410A3B004144000172800040E008022C439 -:2018E8008880001500BFFFC400001C0600C08204D8C0000DD9000415D90002152800022602 -:2018F00028FFFFC4000001060007883AD8C00515D8C003151100001700FFFFC4D8C0008DAD -:2018F80000C0207418DAA8042821883AD9C00A04D80B883AD8C00115D80006150816574031 -:2019000000FFFFC410C0020E00C022C488C0001580000226D8C0041718000005DFC00917EB -:20190800DC400817DC000717DEC00B04F800283A3000152631BFFFC4218D883A2080000352 -:2019100028C0000311C03FCC1A003FCC39C0201C4200201C39FFE004423FE0043A00061E39 -:2019180021800426380003262100004429400044003FF2061007883A18C03FCC10803FCCE3 -:2019200010C5C83AF800283A0005883AF800283A2005883A200F883A30000C262A000003EE -:2019280038C0004431BFFFC43A00000542003FCC4200201C423FE00429400044180F883AAB -:20193000403FF51E198D883A00000106F800283A30C003261800000518C00044003FFC06A9 -:20193800F800283A214B883A2005883A1140021E1105C83AF800283A10C00007183FFC26ED -:2019400010800044003FF906DEFFFB04DC800315DC400215DC000115DFC004152025883A41 -:201948002823883AD98000053821883A04000A0E8880011701C00044D80D883A880B883ABC -:201950009009883A103EE83A843FFFC4103FF72600BFFFC4000001060005883ADFC00417B0 -:20195800DC800317DC400217DC000117DEC00504F800283ADEFFE504D8C00804DDC018159B -:20196000DD801715DD401615DD001515DCC01415DC801315DC401215DC001115DFC01A1533 -:20196800DF0019152029883A2823883A382D883AD9800F150021883AD8000E15D8000A15C1 -:20197000002B883A0027883A0025883AD8000C15D8000B15002F883AD8C00915D8C00F1744 -:201978001900000320803FCC1080201C10BFE00410011E2600C00044B8C014261DC0021609 -:20198000B80006260001150601400084B9401D26014000C4B9402B26000110060140094452 -:201988001140FC2688800117D900000501C00044D80D883A880B883AA009883A103EE83AF2 -:201990001000D81E840000440001040601400C041140FA260140094411400A1ED880000538 -:2019980088800117B80F883AD80D883A880B883AA009883A103EE83A1000CA1E84000044EF -:2019A0000000F50625FFF404BDC03FCC00C002441DC0093600BFFFC49080042601400284E3 -:2019A8009009883A08162F80000001060005883AB8A5883A0000E20601400B841140E426F7 -:2019B00005C00084213FF40427003FCC00C002441F00093600BFFFC4988004260140028455 -:2019B8009809883A08162F80000001060005883AE0A7883A0000D90600C01B0410C0D22642 -:2019C000013FFFC499000226D8000B150000010604C0004401001A441100162620800916D1 -:2019C800010018C4110088260100190411001126010016041100C81E00C00044D8C00E152C -:2019D0000000150601001CC4110098262080041601001BC41100C01E0540020400000F0643 -:2019D80001001D4411000D2601001E0411000A260000B906D8C00A17B7000104180007266C -:2019E000DF000D15B5C00017B800080E05EFC83A024000440000060605400404B0C0010442 -:2019E800D8C00D15B5C00017D8000A150013883AD839883AB8001726A80B883AB809883A0D -:2019F000DA401015081623C0A80B883A1009883A102D883A08162F80B885C83A00C0024436 -:2019F800DA4010171880021610800C0400000506D8C00E171800022610800DC400000106CE -:201A0000108015C4E0800005B02F883AE7000044003FE806E6EFC83A9DC5C83A0080090E2D -:201A0800E085883A01400C04D8C00917E009883AE0C0032EE700004421400005E0BFFA1ECA -:201A1000E6EFC83AD8C00B174DD1883A922DC83A1800162648000A2600800B44D880080584 -:201A18008880011701C00044D9800804880B883AA009883A103EE83A10004A1E84000044B4 -:201A20000580070EB00F883A01800C04880B883AA009883A081650801000421E85A1883AF4 -:201A2800E02D883ABF2FC83A000020060580090EB00F883A01800804880B883AA009883A4F -:201A3000DA40101508165080DA4010171000351E85A1883A483FF22600800B44D88008050A -:201A38008880011701C00044D9800804880B883AA009883A103EE83A10002A1E84000044B4 -:201A4000003FE706B5BFFFC4B080000301C00044D9800804D880080588800117880B883AAC -:201A4800A009883A103EE83A10001E1E8585C83AB5C9883AE085883A013FF2161021883AA9 -:201A5000DD800D1700004406008000441480080E95FFFFC4B80F883A01800804880B883A7B -:201A5800A009883A0816508010000E1E85E1883AB080001701C00044D80D883AD880000561 -:201A600088800117880B883AA009883AB5C00104103EE83A1000031E84000044B82D883AFC -:201A680000002D0600BFFFC400003106B5C00017B7000104B809883A0816BA809091C83A2C -:201A7000102D883A0200090E400F883A01800804880B883AA009883ADA00101508165080F3 -:201A7800DA001017103FEF1E8221883A88800117B00F883AB80D883A880B883AA009883AA4 -:201A8000103EE83A103FE71E85A1883AE02D883A0000110600C0004404FFFFC4D8000E15EF -:201A8800D8C00A15054002849825883AD8000C15D8000B15182F883A00000806DDC00B1578 -:201A900005C000840000050600C00044D8C00C1505C000C400000106002F883AD8C00F17E6 -:201A980018C00044D8C00F15003EDC068005883ADFC01A17DF001917DDC01817DD801717B9 -:201AA000DD401617DD001517DCC01417DC801317DC401217DC001117DEC01B04F800283A26 -:201AA8002880000B10C0020C1800202628C0008FDEFFFD04DC000015DFC00215DC40011501 -:201AB0002821883A1800150E10C0800C180013262C40051789C0030E10C0200C1800032604 -:201AB80000000E063C40010E3823883A81000417300B883A880D883A0816B48080800517F4 -:201AC0001445C83A80800515808004171463883A844004150005883A0000060610801014D9 -:201AC8008080000D00BFFFC40000020600BFFFC4F800283ADFC00217DC400117DC000017AC -:201AD000DEC00304F800283A2005883A218F883A290002361007883A00000C062987883AE0 -:201AD80020FFFC2E380B883A30CDC83A1989883A2000052618FFFFC419000003297FFFC491 -:201AE00029000005003FF906F800283A19C005262900000318C0004429400044193FFFC50B -:201AE800003FFA06F800283A2005883A10C000071800022610800044003FFC061105C83A1A -:201AF000F800283ADEFFFF04010020B4014020B4DFC00015212163042963B4042140061E8C -:201AF800010020740140207421000804294008042140121E00000B0600C020B418E3B404D9 -:201B00001907C83A0005883A10FFF526114F883A39C00017110D883A1080010431C0001505 -:201B0800003FF9060100207401402074211D8A04295D8A042140101E00000B0600C0207441 -:201B100018C008041907C83A0005883A10FFF526114F883A39C00017110D883A1080010417 -:201B180031C00015003FF90608175AC0DFC00017DEC00104081761C100C020B418E16304A2 -:201B20001907C83A0005883A18BFF726114F883A39C00017110D883A1080010431C000151B -:201B2800003FF906DEFFFF040009883ADFC000150816CD400816CF40D1A28F17D1629017B5 -:201B3000D1229117DFC00017DEC0010408126C01081752C1DEFFFF04DFC0001508176200D3 -:201B3800008000441001703ADFC00017DEC00104F800283A008020B410840004D0A2931555 -:201B4000010020B40080673410BF300421235004D0A2921508171BC1D0E29217D0A293176F -:201B480018000A2610C001040100020419000035013FFFD4110002351100033500800104E2 -:201B5000188000350005883AF800283A00BFFFC4F800283AD0A2921710000926D0E29317F5 -:201B580018800404100000351080003718C005371806943A10BFFFCC1884303AF800283AC7 -:201B600000BFFFC4F800283AD0A29217F800283A20001D262804923A20C03017DEFFFD04B4 -:201B6800DC400115DC000015DFC002152823883A2021883A108000D41880033580C03017B9 -:201B7000188000371080004C1000032601000044081752C0003FF906198000373007D0BA2C -:201B78003009D0FA18C001CC2100020C1908B03A3007D07A18C0040C1906B03A88C0042687 -:201B800000BFF4840000020600BFFA84F800283ADFC00217DC400117DC000017DEC00304EB -:201B8800F800283A20000A26280009263000082620800C173080001520800C171000062657 -:201B900021000D04290000150005883AF800283A00BFFA84F800283A00BFFEC4F800283A32 -:201B98002005883A20001D262809883A28001B1610C0311728C0192E1140341728FFFFC4CA -:201BA0001906703A1800151EDEFFFE04DC000015DFC001151021883A081623C01004923AB8 -:201BA80000C0403418FFC00410C4703A80C03017108000941880033580C0301718C00404AE -:201BB000188000371080004C10000626008000441880003500BFFEC40000020600BFFA84D7 -:201BB800F800283ADFC00117DC000017DEC00204F800283ADEFFF504DC000115DFC00A1585 -:201BC000DF000915DDC00815DD800715DD400615DD000515DCC00415DC800315DC4002154A -:201BC800DC000B1728003A163023883A300038162027883A20003626382B883A38003426B8 -:201BD000208031173080322E2880312E208034173147C83A10C7C83A1C002D3680002C1677 -:201BD80010BFFFC4114A703A2800291E0029883A05BFFFC405C000C4070001048000222618 -:201BE000DD800015890000CC20000626E105C83A8025883A1400012E1025883A8923C83A9B -:201BE80000000406BC0002368025883A0000010604800104AD0B883A900D883AD909883A6B -:201BF0000816350098802E17D8C00017A4A9883A84A1C83A8885883A10C00035988030170D -:201BF8001080040410C0003718C0008C1800042600C0008410C0003500BFFEC400000506B3 -:201C00008C400104003FDD060005883A0000010600BFFA84DFC00A17DF000917DDC008174B -:201C0800DD800717DD400617DD000517DCC00417DC800317DC400217DC000117DEC00B040B -:201C1000F800283A20001626DEFFF604DD000515DFC00915DDC00815DD800715DD40061508 -:201C1800DCC00415DC800315DC400215DC0001153029883A30000C262021883A20802E17F9 -:201C200080C02F172823883A2885883A382B883A3889883A10C0042E20C0053600000206D5 -:201C280000BFFA84F800283A00BFFA8400002406814034178809883A002D883A081623C04F -:201C3000102F883A80803317B8801C2EA8001B2684C0341704E7C83A9C66703A8CC0022642 -:201C38008CE5C83A000001060025883A980B883A8009883A0816E6001000111E80803417ED -:201C400014A5C83AAC80012EA825883ADC800015A58F883A880D883A980B883A8009883A71 -:201C48000816EF401000061EACABC83AB4AD883A8CA3883ABDC00044003FE2060005883ADF -:201C5000DFC00917DDC00817DD800717DD400617DD000517DCC00417DC800317DC400217E9 -:201C5800DC000117DEC00A04F800283A200008263007883A3000062620802E17288B883A75 -:201C600020802F17394D883A2880012E3080023600BFFA84F800283ADEFFFF04380D883AF9 -:201C68001809883ADFC00015081635000005883ADFC00017DEC00104F800283A20004E2664 -:201C70002080301710004E2620C03217DEFFFB04DFC00415DCC00315DC800215DC400115D3 -:201C7800DC00001518001E1E1480023700C0030494803FCC90BFFAC418804336100490BAD8 -:201C800000C0207418DC850410C5883A108000171000683A00817280008172900081729802 -:201C880000817288008172480081730800817308008173080081730800817308008173089E -:201C90000081725000817258044080040000130604410004000011060442000400000F0606 -:201C9800148001370080058494803FCC90800A260080060490800426008005049080221E5B -:201CA0000440080400000506044040040000030604401004000001060440200424C0341742 -:201CA8002021883A8809883A980B883A08162F8080C0311710C0021E80C0331788C0022622 -:201CB00080000C150000100600C000448480361580C00C1580000D1580800E1584400F15FC -:201CB80084C01015D1602B048009883A08175B000005883A0000050600BFFA84F800283A15 -:201CC00000BFFB44F800283A00BFFB44DFC00417DCC00317DC800217DC400117DC000017A2 -:201CC800DEC00504F800283A300001260005C03200FFFF042005883A28C6703A110DC83A0C -:201CD00030C0042E11800017300DC0F210800104003FFA06294000CC00C000C428C0041EA4 -:201CD80010C0000B1807C0B2108000830000080600C0008428C0031E1080000B1005C0B2F0 -:201CE0000000040600C0004428C0021E108000031005C0720005C132F800283ADEFFFD04C4 -:201CE800DC400115DC0000152823883A2021883A014001443009883ADFC0021508162F80A5 -:201CF000100B883A8809883A081623C010BFFFC480C002041800003501000044810004357F -:201CF80011003FCC810000351004D23A10803FCC808001350080200418800035DFC00217E0 -:201D0000DC400117DC000017DEC00304F800283A3180004C294B883A298B883A214003355B -:201D0800210004040080240420800035208000371080008C103FFD1E208000371004D1FA02 -:201D10001080004CF800283A20C004042800022600801A0400000106008008041880003547 -:201D1800188000371080008C103FFD1E20800337F800283A29403FCC2140033521000404EC -:201D20003000022600801404000001060080040420800035208000371080008C103FFD1EF2 -:201D2800208000371004D1FA1080004CF800283A21C004042805883A02000B04210003049E -:201D30001147C83A1980090E3A00003538C0003718C0008C183FFD1E2800022620C00037AE -:201D380010C0000510800044003FF506F800283A21C003042805883A210004040200070441 -:201D40001147C83A1980080E10C0000338C000352200003520C0003718C0008C183FFD1E36 -:201D480010800044003FF606F800283A014AAAF4DEFFFE04296AAA84DC000015DFC00115E3 -:201D50002021883A081623C010000F2601600034013555740007883A297FFFC42115558453 -:201D5800297FFFC4283FFE1E18C000448121883A18BFFB168405883A1421883A843FFFC44D -:201D6000803FFE1E000004068405883A1421883A843FFFC4043FFE160005883ADFC00117E1 -:201D6800DC000017DEC00204F800283AF800283A20000226208002171000101ED0A02A1720 -:201D700010000926DEFFFF04DFC00015103EE83A00C0058410C0001500BFFA84DFC00017EF -:201D7800DEC00104F800283AD0A2940400C0058410C0001500BFFA84F800283A28800017C0 -:201D800021400115208000152880001711000115290000150005883AF800283AF800283A78 -:201D8800000170FAF800283A2520732500632575252E75256B75322E25207A482E252E7572 -:201D90007A4875320000000074204B4F6E69206F00007469656D69540074756F252E752585 -:201D98006D75322E00000073252E75256D75322E75252F73322E252E00736D754353534FCB -:201DA000776620207525202E322E252E0000617574696E49727265202020726F00006425EE -:201DA8002020202053204F4E00434E59343130323130322D6D20203873717261000000007E -:201DB00074736554746170206E726574000000003A31564142475220000000533A31564103 -:201DB80073475220000000423A31564162505920000072503A3256416250592000007250BE -:201DC0003A32564173475220000000423A33564142475220000056483A33564142475220F6 -:201DC800000000533A33564173475220000000423A33564162505920000072507473614CB1 -:201DD0006573752000000064008176C0008176D0008176DC008176E8008176F4008177000F -:201DD8000081770C0081771800817724008177300081773C0000000000000000000000015E -:201DE000000101010000000100000000000001100044060B00000100000100008080800CEB -:201DE800081A1A1A0000000A7365725000000073666E6F43006D72696D73694D686374616A -:201DF0006572202C00797274666F72502075252E00733925756C34256325632575252020DD -:201DF80000000000656E694C746C756D646F6D2000003A65736572702D31207300000035A2 -:201E00002078752575736E75726F707000646574666F725020656C6964616F6C0000003AFC -:201E0800736572702D302073000000390000003100000032000000330000003400000036D7 -:201E10000000003700000038554E454D0000000000004B4F4B4341420000000000005055BE -:201E18004E574F44000000005446454C0000000048474952000000544F464E49000000009D -:201E20005F44434C4B4341424847494C000000544E414353454E494C444F4D5F0000004516 -:201E28004E414353454E494C5059545F000000454E414353454E494C544E495F0000002BEA -:201E30004E414353454E494C544E495F0000002D454E494C544C554D444F4D5F00000045E0 -:201E38005341485000002B455341485000002D45464F52505F454C494B544F48010059450B -:201E4000030F031F3E2903033E693EA93E193EE93E593E993E393ED93E4D3EC93EED3E1DC1 -:201E48003ECD3E2D3E6D3EAD3E013E651C181C481CD01C505E581CC83EB95ED80081782CB0 -:201E5000008178300081783400817838008177FC0081783C0081784000817844008178282B -:201E5800008181140081784800817850008178540081785C00817860008178680081787005 -:201E6000008178780081788000817890008178A0008178B0008178C0008178D0008178E052 -:201E6800008178E8008178F053206F4E6163204464206472002E746561766E492064696CF0 -:201E700067616D690000006561766E492064696C646165680000726561766E492064696C18 -:201E7800207264680043524361766E492064696C6174616443524320000000006164705511 -:201E80006320657465636E6164656C6C0000000073616C466572206865206461000072729B -:201E880073616C4672652068206573610072726573616C4672772068206574690072726511 -:201E900073616C4665762068206669726C696166000000006F727245000000724353534F0A -:201E980000000000696C6156697461646420676E006174616220752573657479000000008C -:201EA000252E75252575322E0073257361647055676E69740057462061656C707720657326 -:201EA8002E74696100002E2E697265566E6979666C6620670068736161656C707220657365 -:201EB000617473650000747272746552676E6979647075200065746161647055203F6574C6 -:201EB8002C593D314E3D3220000000006D72694665726177647075206465746100000000F6 -:201EC000202020200000752564206425000067656C20752573656E6900000000252E75254D -:201EC8002075322E000073756D20642500000056702075256C65786900000073252575257E -:201ED0000000000064206425000000426C206F4E006B6E69656E6F44000000006C69614616 -:201ED8000000646500007325656469566E69206F6F7270203E20206300000000706D6153B8 -:201EE000676E696C74706F203E20202E00000000636E795374706F202020202E3E202020DD -:201EE800000000007074754F6F207475202E74703E2020200000000074736F506F72702DC6 -:201EF00020202E633E20202000000000706D6F436269746174696C693E202079000000008B -:201EF80069647541706F206F6E6F69743E202073000000007474655373676E6974706F206A -:201F00003E20202000000000616F4C3C727020646C69666F003E20657661533C727020652B -:201F08006C69666F003E20657365523C73207465697474653E73676E000000006B6E694CB0 -:201F10006F727020693E2D667475706E000000006B6E694C706E69203E2D7475666F72703F -:201F18000000000074696E49206C616975706E69000000742044434C74204C426F656D69D0 -:201F2000000074752E77463C64707520206574613E202020000000006E776F446D61732D2A -:201F28006E696C70000000677061775366656C2069722F74007468672D6572502043444190 -:201F30006E696167000000006C6C75462058542075746573000000702033564165746E69A8 -:201F380063616C7278696665000000006E616353656E696C000000736E616353656E696C6E -:201F4000727473200000002E202E6C537262796873206469002E72746E616353656E696C77 -:201F480074656D2000646F686E616353656E696C70797420000000656E616353656E696C9C -:201F5000696C61202E6D6E670000000069726F48746E6F7A6D206C61006B73617472655614 -:201F58006C61636973616D200000006B6B73614D697262206E74686700737365657665528D -:201F6000206573720046504C5949443C74616C206574202E003E7473703034323838322F6F -:201F6800727020700000636F703438336F72702000000063693038343637352F72702069F1 -:201F70000000636F703038343637352F727020700000636F693036393830312F7020693065 -:201F780000636F72656E694C6D2078320065646F656E694C6D2078330065646F656E694C8E -:201F80006D2078340065646F656E694C6D2078350065646F656E694C66207835616D726F6C -:201F880000000074783635322030343265707361000074636D2058540065646F494D4448E7 -:201F900043544920000000006C616E417320676F20636E790046504C6C616E417320676F1B -:201F980020636E79006874566E7973486F7420636172656C0065636E6E797356687420639C -:201FA0006873657200646C6F4C502D487250204C6F432D65007473614C502D486F50204C29 -:201FA800432D74737473616F00000000706D6153676E696C61687020000065737030383493 -:201FB000206E6920706D61730072656C6F6C6C41565420775048205078324C4C00000000F3 -:201FB8006F6C6C4170752077706D61737832656C000000007664413C6974202E676E696DAC -:201FC0003E2020200000000065646956504C206F00000046506250596E6920726C6F432068 -:201FC8000061705372502F5266666F200074657320592F477366666F0000746562502F4252 -:201FD00066666F200074657372502F52696167200000006E20592F476E69616700000000BA -:201FD80062502F42696167200000006E2D657250204344416E6961470000000073202E4843 -:201FE0006C706D61746172650000006573202E486C636E7900006E6562202E48706B6361FD -:201FE8006863726F0000000061202E48766974630000006573202E566C636E7900006E657B -:201FF00062202E56706B63616863726F0000000061202E5676697463000000650066664F45 -:201FF8000000733300733031007330332D706F547466656C00000000746E6543000072650D -:2020000074746F42722D6D6F74686769000000002066664F207366283639203D297A486B82 -:202008000000000020207832207366283834203D297A486B0000000000706F5474746F42C2 -:2020100000006D6F69726F48746E6F7A00006C61747265566C6163690000000065746C41EA -:2020180074616E7200676E69746C754D696C70696974616300006E6F74627553746361729F -:20202000006E6F696F74754100000000756E614D00006C61494D444800000000004956445E -:2020280000373A38737361507572687400000000656E694C2820783229626F6200000000BF -:20203000656E694C00007832656E694C282078336563616C00002964656E694C2820783445 -:2020380029626F6200000000656E694C00007833656E694C00007834656E694C0000783590 -:2020400030323931383031780000003030303631303231780000003030323931303231789A -:2020480000000030656E65472063697200333A3478303233203034326974706F00002E6DB0 -:2020500078363532203034326974706F00002E6D656E654720636972393A36310000000097 -:202058004D352E3228207A482978616D00000000484D30316D28207A00296465484D3333FB -:202060006D28207A00296E692056544470303834000000004153455630343620303834781A -:20206800003036402E63655231303620000000002E6365523930372000000000484D3539A8 -:202070004828207A2056544400294949484D35334828207A2056544400002949484D3631FA -:202078004528207A29565444000000007A484D39445328200029565400006E4F0081982139 -:202080000000000200813AFC0081982300000009008138A4008198200000001C00813AC411 -:2020880000817FEC00817FF8008180004452535500415441808000201A1A1A800000000849 -:20209000008181A40000200020002CE5E926F4FD38BC200000000000008181B00000200053 -:202098002000323EF113FA043B6120000000000036333531303432780600000007FE00F002 -:2020A0000FEA01060112039632312002327830380000303400F005000106061803480FAA5B -:2020A80004420112783036390030343203C00000049200F00F800106011203363233008200 -:2020B000343278300000003000F00140010601AA031F0E3149100112783635320030343277 -:2020B80001000000015500F00E2701060112031934329220000070300000000000F002D0DC -:2020C0000106035A033E0F39000E011236333531303432780600004C07FE00F029EA013882 -:2020C80001120396323120023278303800003838012005000138061803480FAA044201126B -:2020D000783036390038383203C00000049201200F800138011203363233008234327830B4 -:2020D80000424C3000F00140013801AA031F293149100112783635324C30343201000042F3 -:2020E000015500F02927013801120319383292200000703800000000012002D0013803608F -:2020E800033F1345000E0112703438330000000001F00000028001801D3201A70204033EDC -:2020F0003436000E30347830000000300190028001C1032002602430000C0210783034363E -:2020F8000034383302800000032001803F3001EC021002603834000E000069300000000020 -:2021000000F002D0020D035A033E0F39044F0312703038340000000002D00000035A01E084 -:202108001E3C020D0414063E3436000C383478300000003001E00280020D032002602130F0 -:20211000000C0414783034360032313502800000032002001C300238041402603735000CC2 -:202118000000693600000000012002D002710360033F1345044F031270363735000000002B -:2021200002D000000360024027440271040405403038000C303678300000003002580320CE -:20212800027404200480175800040010703032370000000005000000067202D014DC02EEBE -:2021300000180528303100043778343200003836030004000326054006881DA0000400108E -:202138003038323132303178050000340698040026F8042A00100370343600043639783082 -:202140000000693001E00280041A032002602130000D0514303830310000006907800000B0 -:202148000898021C109404650518052C3031000D007030380000000004380780046508984C -:20215000052C249400040018303036313032317806400030087004B02EFF04E2001003F1DF -:20215800FFFF0004FFFFFFFFFFFFFFFF7665642F6370652F6F635F716F72746E72656C6C84 -:20216000615F305F6D5F6C7600006D65000000000000000000000000000000000000000090 -:20216800000000000000000000000000000000000000000000000000000000000000000057 -:2021700000000000000000000000000000000000000000000000000000000000000000004F -:20217800000000000000000000000000000000000000000000000000000000000000000047 -:2021800000000000000000000000000000000000000000000000000000000000000000003F -:20218800000000000000000000000000000000000000000000000000000000000000000037 -:2021900000000000000000000000000000000000000000000000000000000000000000002F -:20219800000000000000000000000000000000000000000000000000000000000000000027 -:2021A00000000000000000000000000000000000000000000000000000000000000000001F -:2021A800000000000000000000000000000000000000000000000000000000000000000017 -:2021B00000000000000000000000000000000000000000000000000000000000000000000F -:2021B800000000000000000000000000000000000000000000000000000000000000000007 -:2021C0000000000000000000000000000000000000000000000000000000000000000000FF -:2021C8000000000000000000000000000000000000000000000000000000000000000000F7 -:2021D0000000000000000000000000000000000000000000000000000000000000000000EF -:2021D8000000000000000000000000000000000000000000000000000000000000000000E7 -:2021E0000000000000000000000000000000000000000000000000000000000000000000DF -:2021E8000000000000000000000000000000000000000000000000000000000000000000D7 -:2021F0000000000000000000000000000000000000000000000000000000000000000000CF -:2021F8000000000000000000000000000000000000000000000000000000000000000000C7 -:202200000000000000000000000000000000000000000000000000000000000000000000BE -:202208000000000000000000000000000000000000000000000000000000000000000000B6 -:202210000000000000000000000000000000000000000000000000000000000000000000AE -:202218000000000000000000000000000000000000000000000000000000000000000000A6 -:2022200000000000000000000000000000000000000000000000000000000000000000009E -:20222800000000000000000000000000000000000000000000000000000000000000000096 -:2022300000000000000000000000000000000000000000000000000000000000000000008E -:20223800000000000000000000000000000000000000000000000000000000000000000086 -:2022400000000000000000000000000000000000000000000000000000000000000000007E -:20224800000000000000000000000000000000000000000000000000000000000000000076 -:2022500000000000000000000000000000000000000000000000000000000000000000006E -:20225800000000000000000000000000000000000000000000000000000000000000000066 -:2022600000000000000000000000000000000000000000000000000000000000000000005E -:20226800000000000000000000000000000000000000000000000000000000000000000056 -:2022700000000000000000000000000000000000000000000000000000000000000000004E -:20227800000000000000000000000000000000000000000000000000000000000000000046 -:2022800000000000000000000000000000000000000000000000000000000000000000003E -:20228800000000000000000000000000000000000000000000000000000000000000000036 -:2022900000000000000000000000000000000000000000000000000000000000000000002E -:20229800000000000000000000000000000000000000000000000000000000000000000026 -:2022A00000000000000000000000000000000000000000000000000000000000000000001E -:2022A800000000000000000000000000000000000000000000000000000000000000000016 -:2022B00000000000000000000000000000000000000000000000000000000000000000000E -:2022B800000000000000000000000000000000000000000000000000000000000000000006 -:2022C0000000000000000000000000000000000000000000000000000000000000000000FE -:2022C8000000000000000000000000000000000000000000000000000000000000000000F6 -:2022D0000000000000000000000000000000000000000000000000000000000000000000EE -:2022D8000000000000000000000000000000000000000000000000000000000000000000E6 -:2022E0000000000000000000000000000000000000000000000000000000000000000000DE -:2022E8000000000000000000000000000000000000000000000000000000000000000000D6 -:2022F0000000000000000000000000000000000000000000000000000000000000000000CE -:2022F8000000000000000000000000000000000000000000000000000000000000000000C6 -:202300000000000000000000000000000000000000000000000000000000000000000000BD -:202308000000000000000000000000000000000000000000000000000000000000000000B5 -:202310000000000000000000000000000000000000000000000000000000000000000000AD -:202318000000000000000000000000000000000000000000000000000000000000000000A5 -:2023200000000000000000000000000000000000000000000000000000000000000000009D -:20232800000000000000000000000000000000000000000000000000000000000000000095 -:2023300000000000000000000000000000000000000000000000000000000000000000008D -:20233800000000000000000000000000000000000000000000000000000000000000000085 -:2023400000000000000000000000000000000000000000000000000000000000000000007D -:20234800000000000000000000000000000000000000000000000000000000000000000075 -:2023500000000000000000000000000000000000000000000000000000000000000000006D -:20235800000000000000000000000000000000000000000000000000000000000000000065 -:2023600000000000000000000000000000000000000000000000000000000000000000005D -:20236800000000000000000000000000000000000000000000000000000000000000000055 -:2023700000000000000000000000000000000000000000000000000000000000000000004D -:20237800000000000000000000000000000000000000000000000000000000000000000045 -:2023800000000000000000000000000000000000000000000000000000000000000000003D -:20238800000000000000000000000000000000000000000000000000000000000000000035 -:2023900000000000000000000000000000000000000000000000000000000000000000002D -:20239800000000000000000000000000000000000000000000000000000000000000000025 -:2023A00000000000000000000000000000000000000000000000000000000000000000001D -:2023A800000000000000000000000000000000000000000000000000000000000000000015 -:2023B00000000000000000000000000000000000017804E53CCE00813FAE03833F333D49B5 -:2023B80005B80383009301B404163C493CD93F9F04163F10020E04093D0E00C83F6E03831C -:2023C0003ED03DAC04B2038300E9026404163C933D493F5604163E9F00818E24000000004D -:2023C8000000000000000000000000000000000000817B680000000300818E64000000001B -:2023D0000000000000817B7C0000000300818E5C000000000000000000817B900000000378 -:2023D80000818E54000000000000000000817BA40000000300818E4C000000000000000084 -:2023E00000817BB80000000300818E44000000000000000000817BCC0000000300818E3CBD -:2023E800000000000000000000817BE00000000300818E34000000000000000000817BF4C3 -:2023F0000000000300818E2C000000000000000000817C080000000400811FE400818208F7 -:2023F8000000000000817C180000000400812064008182080000000000817C280000000473 -:2024000000812B28000000000000000000817C3C0000000100819955000A010100813B284F -:2024080000817C5000000000008198330001000100818EB400817C640000000000819822BA -:20241000000A000100818C3400817C74000000000081982E0003000100818B8C00817C848B -:202418000000000400813344000000000000000000817C98000000000081994B00010001AC -:2024200000818E7400817CA8000000000081994C0001000100818EB400817CB80000000193 -:202428000081994D0018000000813A9C00817CC800000000008199480001000100818EB4D2 -:2024300000817CD800000000008199490001000100818EB400817CEC000000000081992567 -:202438000002000100818BA800817CF80000000100819929000F000000813A5400817D0870 -:202440000000000100819927001C000000813A1000817D18000000000081992800010001F9 -:2024480000818E8400817D2800000000008199260002000100818B9C00817D38000000009A -:202450000081992A0001000100818E7C00817D4C000000010081993700FF0000008139F452 -:2024580000817D5C0000000100819938003F0000008139F400817D6C00000001008199390C -:20246000000F0000008138A400817D7C000000010081994A001F0000008138A400817D880F -:202468000000000400812414008181FC0000000000817D98000000000081993100040001B3 -:2024700000818BC400817DA800000000008199320001000100818EA400817DB40000000023 -:20247800008199330003000100818BB400817DC400000000008199340001000100818EA46E -:2024800000817DD400000000008199350001000100818E9C00817DE4000000000081992C46 -:202488000002000100818BE400817DF0000000000081992D0003000100818BF000817DFC12 -:20249000000000000081992E0002000100818BE400817E08000000000081992F000200019E -:2024980000818BE400817E1400000000008199300002000100818BD800817E2400000000CD -:2024A000008199360001000100818E9400817E34000000000081993A0001000100818E8C03 -:2024A80000817E3C000000000081993B0001000100818EB400817E4800000000008199441A -:2024B0000003000100818C0000817E580000000100819941001F0000008139B000817E6858 -:2024B800000000010081994200FF00000081392000817E78000000010081994300C80A0027 -:2024C0000081392000817E880000000100819946000500000081390400817E9800000001DF -:2024C80000819947000500000081390400817EAC000000010081993D001F0001008138C034 -:2024D00000817EBC000000000081993C0002000100818C1000817ECC000000000081993E98 -:2024D8000001000100818EB400817EE0000000000081993F0001000100818EB400817EF42F -:2024E0000000000300818E6C00818214008120D000817F0800000000008199450005000169 -:2024E80000818C1C00817F1400000000008199400001000100818EAC00817F24000000015B -:2024F0000081994E00FF0000008138A400817F30000000010081994F00FF0000008138A412 -:2024F80000817F3C000000010081995000FF0000008138A400817F4800000001008199510D -:2025000000FF0000008138A400817F54000000010081995200FF0000008138A400817F60E2 -:20250800000000010081995300FF0000008138A400817F6C0000000100819954000F0000FF -:20251000008138A400817F7C000000020081983008FC012C0081216000817F8C00000002C6 -:202518000081983C00FF000A0081216000817F98000000020081981E00FF00010081216070 -:2025200000817FA8000000020081982C078000C80081216000817FB4000000020081982666 -:20252800000700010081216000817FC00000000200819836003F00010081216000817FD066 -:20253000000000020081982A04B000C80081216000817FDC00817FE000817FE400817FE840 -:2025380000818044008180500081805C00817FDC008180840081808C008180A4008180B04C -:20254000008180C8008180D8008180A4008180C0008180E8008180F0008180F8008181009E -:202548000081810C0081811800818124008181300081814000818150008181240081813007 -:202550000081814000818160008181700081817C00817FDC00818084008181880081819456 -:202558000081808400817FDC008181BC008181CC008181DC008181EC008176C0008176D02C -:20256000008176DC008176E8008176F4008177000081770C0081771800817724008177306E -:202568000081773C0000000000818D2000818D2800818D300000000000000000000000007D -:2025700000000000000000000000000000000000000000000000000000000000000000004B -:20257800000000000000000000000000000000000000000000000000000000000000000043 -:2025800000000000000000000000000000000000000000000000000000000000000000003B -:20258800000000000000000000000000000000000000000000000000000000000000000033 -:2025900000000000000000000000000000000000000000000000000000000000000000002B -:202598000000000000000004000000000001000A000000000002000A000000000000000008 -:2025A0000000000000000000000000000081856C0000000000000000008170440081716C16 -:2025A80000816E2400816E6000816EF400000000008000000000000000000000000000004E -:2025B00000000000000000000000000000000000000000000000000000000000000000000B -:2025B800000000000000000000000000000000000000000000000000000000000000000003 -:2025C0000000000000000000000000000000000000000000000000000000000000000000FB -:2025C80000000000000000000000000000000000000000000000000000816D900000000075 -:2025D0000080000000821020008000000000000100000080000100000000010000000000B6 -:2025D800001080100000008000000008008185EC000000080081868C000000030081872CF7 -:2025E00000000002008187680000000B008187900000000D0081886C0000000600818970C4 -:2025E80000000005008189E80000000900818A4C0000000700818B00008180100081802433 -:2025F000008180380081803C0081806800818078008180940081809C0081812C008180A072 -:2025F800008180A4008180B0008180A4008180C0008181A4008181B000817FDC008181F8D9 -:20260000C896554B00818C600000000000818EC800818EC8000000000000000000000000A1 -:202608000000000000000000000000000000000000000000000000000000000000000000B2 -:202610000000000000000000000000000000000000000000000000000000000000000000AA -:202618000000000000000000000000000000000000000000000000000000000000000000A2 -:2026200000000000000000000000000000000000000000000000000000000000000000009A -:20262800000000000000000000000000000000000000000000000000000000000000000092 -:2026300000000000000000000000000000000000000000000000000000000000000000008A -:20263800000000000000000000000000000000000000000000000000000000000000000082 -:2026400000000000000000000000000000000000000000000000000000000000000000007A -:20264800000000000000000000000000000000000000000000000000000000000000000072 -:2026500000000000000000000000000000000000000000000000000000000000000000006A -:20265800000000000000000000000000000000000000000000000000000000000000000062 -:2026600000000000000000000000000000000000000000000000000000000000000000005A -:20266800000000000000000000000000000000000000000000000000000000000000000052 -:2026700000000000000000000000000000000000000000000000000000000000000000004A -:20267800000000000000000000000000000000000000000000000000000000000000000042 -:2026800000000000000000000000000000000000000000000000000000000000000000003A -:20268800000000000000000000000000000000000000000000000000000000000000000032 -:2026900000000000000000000000000000000000000000000000000000000000000000002A -:20269800000000000000000000000000000000000000000000000000000000000000000022 -:2026A00000000000000000000000000000000000000000000000000000000000000000001A -:2026A800000000000000000000000000000000000000000000000000000000000000000012 -:2026B00000000000000000000000000000000000000000000000000000000000000000000A -:2026B800000000000000000000000000000000000000000000000000000000000000000002 -:2026C0000000000000000000000000000000000000000000000000000000000000000000FA -:2026C8000000000000000000000000000000000000000000000000000000000000000000F2 -:2026D0000000000000000000000000000000000000000000000000000000000000000000EA -:2026D8000000000000000000000000000000000000000000000000000000000000000000E2 -:2026E0000000000000000000000000000000000000000000000000000000000000000000DA -:2026E8000000000000000000000000000000000000000000000000000000000000000000D2 -:2026F0000000000000000000000000000000000000000000000000000000000000000000CA -:2026F8000000000000000000000000000000000000000000000000000000000000000000C2 -:202700000000000000000000000000000000000000000000000000000000000000000000B9 -:202708000000000000000000000000000000000000000000000000000000000000000000B1 -:202710000000000000000000000000000000000000000000000000000000000000000000A9 -:202718000000000000000000000000000000000000000000000000000000000000000000A1 -:20272000000000000000000000000000000000000000000000000000000000000000000099 -:20272800000000000000000000000000000000000000000000000000000000000000000091 -:20273000000000000000000000000000000000000000000000000000000000000000000089 -:20273800000000000000000000000000000000000000000000000000000000000000000081 -:20274000000000000000000000000000000000000000000000000000000000000000000079 -:20274800000000000000000000000000000000000000000000000000000000000000000071 -:20275000000000000000000000000000000000000000000000000000000000000000000069 -:20275800000000000000000000000000000000000000000000000000000000000000000061 -:20276000000000000000000000000000000000000000000000000000000000000000000059 -:20276800000000000000000000000000000000000000000000000000000000000000000051 -:20277000000000000000000000000000000000000000000000000000000000000000000049 -:20277800000000000000000000000000000000000000000000000000000000000000000041 -:20278000000000000000000000000000000000000000000000000000000000000000000039 -:20278800000000000000000000000000000000000000000000000000000000000000000031 -:20279000000000000000000000000000000000000000000000000000000000000000000029 -:20279800000000000000000000000000000000000000000000000000000000000000000021 -:2027A000000000000000000000000000000000000000000000000000000000000000000019 -:2027A800000000000000000000000000000000000000000000000000000000000000000011 -:2027B000000000000000000000000000000000000000000000000000000000000000000009 -:2027B800000000000000000000000000000000000000000000000000000000000000000001 -:2027C0000000000000000000000000000000000000000000000000000000000000000000F9 -:2027C8000000000000000000000000000000000000000000000000000000000000000000F1 -:2027D0000000000000000000000000000000000000000000000000000000000000000000E9 -:2027D8000000000000000000000000000000000000000000000000000000000000000000E1 -:2027E0000000000000000000000000000000000000000000000000000000000000000000D9 -:2027E8000000000000000000000000000000000000000000000000000000000000000000D1 -:2027F0000000000000000000000000000000000000000000000000000000000000000000C9 -:2027F8000000000000000000000000000000000000000000000000000000000000000000C1 +:0400000000000013E9 +:0400010000000013E8 +:0400020000000013E7 +:0400030000000013E6 +:0400040000000013E5 +:0400050000000013E4 +:0400060000000013E3 +:0400070000000013E2 +:0400080000000013E1 +:0400090000000013E0 +:04000A0000000013DF +:04000B0000000013DE +:04000C0000000013DD +:04000D0000000013DC +:04000E0000000013DB +:04000F0000000013DA +:0400100000000013D9 +:0400110000000013D8 +:0400120000000013D7 +:0400130000000013D6 +:0400140000000013D5 +:0400150000000013D4 +:0400160000000013D3 +:0400170000000013D2 +:0400180000000013D1 +:0400190000000013D0 +:04001A0000000013CF +:04001B0000000013CE +:04001C0000000013CD +:04001D0000000013CC +:04001E0000000013CB +:04001F000100006F6D +:040020000100006F6C +:040021000080006FEC +:040022000040006F2B +:040023000000006F6A +:040024000000009345 +:040025008186810649 +:040026008286820646 +:040027008386830643 +:040028008486840640 +:04002900858685063D +:04002A00868686063A +:04002B008786870637 +:04002C008886880634 +:04002D008986890631 +:04002E008A868A062E +:04002F008B868B062B +:040030008C868C0628 +:040031008D868D0625 +:040032008E868E0622 +:040033008F868F061F +:040034000000911720 +:04003500F3010113BF +:0400360000007D1732 +:0400370023CD0D13B5 +:0400380000007D97B0 +:040039006B8D8D93AB +:04003A0001BD57634A +:04003B00000D202371 +:04003C00DDE30D11E2 +:04003D0000EFFFAD24 +:04003E00114100402C +:04003F00C6064501AB +:040040002005200572 +:04004100A60367DDCE +:0400420067DD3147FE +:040043003187A583D9 +:0400440040B267DD82 +:0400450031C7A50317 +:04004600106F0141F5 +:04004700A941753026 +:0400480067DD80826E +:040049000002073773 +:04004A0032E7A223D4 +:04004B0007B7655D31 +:04004C00675D019C4F +:04004D00CC078793C2 +:04004E00A48505136D +:04004F0032F7202341 +:04005000675DACE953 +:040051003207270348 +:04005200A78367DD3C +:04005300557D32475E +:040054004721CB1164 +:040055006741C3D864 +:04005600C798177DB3 +:040057004711C7D8AE +:040058004501C3D8C3 +:0400590067DD80825D +:04005A003207A7833F +:04005B00CF91557D6F +:04005C00A70367DDB2 +:04005D0028233247DB +:04005E004B1C000730 +:04005F0007C24B4841 +:0400600083C1054211 +:0400610045138D5D59 +:040062008082FFF5A4 +:04006300A50367DDAD +:04006400808232075D +:040065002703C9396B +:0400660011410C0533 +:0400670000859793E6 +:04006800C226C422C6 +:04006900E793C6064D +:04006A0084AE003729 +:04006B00C75C842AC0 +:04006C000C04270356 +:04006D008B85431C20 +:04006E00431CE795B3 +:04006F00D71345015D +:04007000D6934027BC +:040071008B1D40376C +:0400720087858AA153 +:040073008BC18F5559 +:0400740084638FD939 +:04007500051300F47B +:0400760040B2FD2077 +:040077004492442249 +:040078008082014140 +:0400790026E1450532 +:04007A005529B7E16C +:04007B0057A980827F +:04007C00C991C91944 +:04007D005918CA093B +:04007E00C21857ED60 +:04007F000513C70995 +:04008000C1880345EB +:04008100853E4781F0 +:0400820057A9808278 +:04008300C263C1395A +:040084002703040545 +:04008500FE630C45C5 +:04008600268302E5E6 +:0400870087130D05C9 +:040088008F6DFFF683 +:04008900D5B3E71DE7 +:04008A0007B702D5DD +:04008B008793010056 +:04008C0005A2F007D2 +:04008D0027838DFD3B +:04008E00E5930C05E5 +:04008F00C7CC0025B5 +:040090000C052683B2 +:040091004A984781C1 +:04009200C7018B0512 +:04009300CA9C478537 +:04009400853E57ED61 +:04009500C86380823A +:0400960071390C05AB +:04009700D84ADC2245 +:04009800DA26DE0680 +:04009900D452D64E19 +:04009A00D05AD25610 +:04009B008432892AF8 +:04009C004E63552931 +:04009D000C630806E2 +:04009E00CAD10809B2 +:04009F000C4927835E +:0400A00008F6776384 +:0400A10008F5F56306 +:0400A2000D0927839A +:0400A30086338E0D05 +:0400A4006E6340C780 +:0400A5004C6306E6BC +:0400A60017FD060735 +:0400A700E9A58DFD3D +:0400A8005A7D4981B3 +:0400A9004B114A8D20 +:0400AA004501E31910 +:0400AB00CE52A08D04 +:0400AC000034751394 +:0400AD0007B3CD09BF +:0400AE0084BA40AB25 +:0400AF0000E7F36310 +:0400B0008C0984BE75 +:0400B100003477930D +:0400B2005529C7996C +:0400B3004491A0894B +:0400B40000EAE36318 +:0400B500087C84BA85 +:0400B600013685B3D7 +:0400B700953E8626C6 +:0400B800C436C63A4A +:0400B90052C040EF02 +:0400BA000B89278304 +:0400BB004732467210 +:0400BC00C39097A2B4 +:0400BD000C09278380 +:0400BE008F0599A66B +:0400BF00010786139C +:0400C00046A24B9C6D +:0400C100CF918B89C7 +:0400C200C21C47898C +:0400C30050F2556D35 +:0400C40054D254625C +:0400C50059B2594291 +:0400C6005A925A22CE +:0400C70061215B0256 +:0400C800041180821D +:0400C9005529B751AD +:0400CA00C945808222 +:0400CB00D422717951 +:0400CC00D606C85A32 +:0400CD00D04AD2261D +:0400CE00CC52CE4EF4 +:0400CF00C65ECA56E9 +:0400D000842AC46258 +:0400D10055298B32F0 +:0400D2002783C61D9D +:0400D30027030B8470 +:0400D4008A360BC499 +:0400D50084AE97AEB0 +:0400D600FE6396BE71 +:0400D700FC6300E7DF +:0400D8002A8300E691 +:0400D9004B810D0446 +:0400DA000355DAB33D +:0400DB000CC42783A7 +:0400DC0000FAEF63D4 +:0400DD0050B24501D7 +:0400DE0054925422C2 +:0400DF0049F2590287 +:0400E0004AD24A6254 +:0400E1004BB24B4291 +:0400E20061454C2206 +:0400E30003E3808231 +:0400E4002983FE0A64 +:0400E5004C010D04B9 +:0400E600413009B3E9 +:0400E7000099F9B3D0 +:0400E80001348463F8 +:0400E90041348C33DF +:0400EA00852285CE18 +:0400EB00F5613DB9C5 +:0400EC000D042903D3 +:0400ED004189093309 +:0400EE00012A73630D +:0400EF00874A895261 +:0400F000017B06B3D7 +:0400F10085CE86260C +:0400F20035718522BD +:0400F3000A33F54D8A +:0400F4009BCA412A38 +:0400F5000A8594CA1A +:0400F6005529BF5178 +:0400F700C91D80821D +:0400F80057A987324B +:0400F9002783CA0D82 +:0400FA0026030B8549 +:0400FB0095BE0BC5DE +:0400FC0000B688338F +:0400FD00F06357A9AC +:0400FE007E6302C556 +:0400FF00114100C8E3 +:04010000853A863680 +:0401010040EFC606FF +:0401020040B240A027 +:04010300853E47816D +:0401040080820141B3 +:04010500853E57A933 +:04010600CD4D8082D9 +:040107000C052703B9 +:04010800CB5557B5C7 +:040109000C852783B7 +:04010A004718EBA9FE +:04010B00771346B16F +:04010C0007930FF74F +:04010D00E963FEB7ED +:04010E0066D902F6B6 +:04010F008693078A42 +:0401100097B699C63F +:040111008782439C02 +:04011200200007932F +:040113000D0526832D +:040114000C4526036D +:0401150002F685B3B6 +:0401160000B61663B6 +:040117000CC525836B +:0401180004F5866301 +:040119000205282390 +:04011A00A0B557B580 +:04011B004000079306 +:04011C006785BFF143 +:04011D00800787933D +:04011E004358BFD1B2 +:04011F00771347D932 +:040120000F630FF763 +:0401210047E100F7BB +:0401220000F70F6370 +:0401230057B546D1B5 +:0401240004D7136386 +:04012500020007933A +:040126000793BF5527 +:04012700B77D04009C +:040128000800079331 +:040129000793B7651C +:04012A00B74D1000BD +:04012B00C6061141B2 +:04012C000CE52C238F +:04012D00470565DD40 +:04012E00D918DD5CA3 +:04012F0002052A2378 +:04013000C134DD10E9 +:040131002645859347 +:0401320040B22201B4 +:04013300853E47813D +:040134008082014183 +:04013500853E57A903 +:0401360047958082E7 +:0401370002F6063393 +:040138000005242377 +:04013900C91C478511 +:04013A0002C5D5B372 +:04013B00F79315FD24 +:04013C0081A10FF599 +:04013D00F593C11C59 +:04013E00C14C0FF5AC +:04013F00080007931A +:040140008082C51CD8 +:040141008A050586A0 +:04014200C54C95B261 +:040143000900079315 +:040144000105071397 +:04014500431CC91C72 +:04014600FFF58B89AD +:04014700811D4908C5 +:040148008082890523 +:040149000105071392 +:04014A000680079391 +:04014B000793E1999C +:04014C00C91C0200C8 +:04014D008B89431C3B +:04014E004548FFF52C +:04014F00C54C808299 +:04015000010507138B +:04015100050007930B +:0401520047C1E211AE +:04015300431CC91C64 +:04015400FFF58B899F +:04015500811D4908B7 +:040156008082890515 +:040157000693478143 +:04015800C36302C0BB +:04015900808200C7D9 +:04015A000105081380 +:04015B002703C91499 +:04015C008B09000803 +:04015D00C599FF6DD4 +:04015E0000C52803AD +:04015F0000F58733ED +:040160000107002370 +:04016100BFF107855E +:0401620046F147819A +:0401630000C7C363AB +:0401640087338082DB +:04016500470300F557 +:040166000813000773 +:04016700C558010571 +:040168002703C9148C +:040169008B090008F6 +:04016A000785FF6D99 +:04016B0047EDB7C5E0 +:04016C0002F5053360 +:04016D005533479D22 +:04016E00E46302F54F +:04016F00450100A79F +:040170000001808288 +:04017100BFD507856A +:04017200451CC1194E +:0401730067DDEF91C4 +:040174002607A78330 +:040175001141C395DC +:040176009782C606A0 +:0401770047D940B272 +:040178005529C11C28 +:04017900808201413E +:04017A00C14C419C97 +:04017B00419CC11CC6 +:04017C00C188C3C8AB +:04017D008082450136 +:04017E000513655DA3 +:04017F0047D979459E +:040180005529C11C20 +:040181000000808278 +:0401820000B567332A +:04018300FFF00393F3 +:0401840000377713B6 +:0401850010071063EC +:040186007F7F87B739 +:04018700F7F787936C +:040188000005260345 +:040189000005A68344 +:04018A0000F672B356 +:04018B0000F66333E4 +:04018C0000F282B348 +:04018D000062E2B377 +:04018E0010729263F6 +:04018F0008D6166315 +:0401900000452603FD +:040191000045A683FC +:0401920000F672B34E +:0401930000F66333DC +:0401940000F282B340 +:040195000062E2B36F +:040196000C729E63E6 +:0401970006D616630F +:0401980000852603B5 +:040199000085A683B4 +:04019A0000F672B346 +:04019B0000F66333D4 +:04019C0000F282B338 +:04019D000062E2B367 +:04019E000C729863E4 +:04019F0004D6166309 +:0401A00000C526036D +:0401A10000C5A6836C +:0401A20000F672B33E +:0401A30000F66333CC +:0401A40000F282B330 +:0401A5000062E2B35F +:0401A6000C729263E2 +:0401A70002D6166303 +:0401A8000105260324 +:0401A9000105A68323 +:0401AA0000F672B336 +:0401AB0000F66333C4 +:0401AC0000F282B328 +:0401AD000062E2B357 +:0401AE000A729C63D2 +:0401AF0001450513EE +:0401B00001458593ED +:0401B100F4D60EE38F +:0401B2000106171318 +:0401B3000106979317 +:0401B40000F71E63CF +:0401B50001065713D5 +:0401B6000106D793D4 +:0401B70040F70533D5 +:0401B8000FF5759337 +:0401B9000205906348 +:0401BA00000080675A +:0401BB0001075713CE +:0401BC000107D793CD +:0401BD0040F70533CF +:0401BE000FF5759331 +:0401BF000005946340 +:0401C0000000806754 +:0401C1000FF77713AA +:0401C2000FF7F793A9 +:0401C30040F70533C9 +:0401C4000000806750 +:0401C50000054603E8 +:0401C6000005C683E7 +:0401C7000015051307 +:0401C8000015859306 +:0401C90000D61463E5 +:0401CA00FE0616E334 +:0401CB0040D60533E2 +:0401CC000000806748 +:0401CD0000450513D1 +:0401CE0000458593D0 +:0401CF00FCD61CE35B +:0401D0000000051313 +:0401D1000000806743 +:0401D200008505138C +:0401D300008585938B +:0401D400FCD612E360 +:0401D500000005130E +:0401D600000080673E +:0401D70000C5051347 +:0401D80000C5859346 +:0401D900FAD618E357 +:0401DA000000051309 +:0401DB000000806739 +:0401DC000105051301 +:0401DD000105859300 +:0401DE00F8D61EE34E +:0401DF000000051304 +:0401E0000000806734 +:0401E100120D07B73D +:0401E20087931101ED +:0401E300C03E2827CB +:0401E4000793CE06A9 +:0401E500E219F80023 +:0401E6000400079377 +:0401E7000323069E4A +:0401E800E79300A1F8 +:0401E900E693008712 +:0401EA008B0D0086F3 +:0401EB004505858AB7 +:0401EC0000F10223F9 +:0401ED0000D102A398 +:0401EE0000E103A386 +:0401EF00C602C4027E +:0401F00040F226C1F2 +:0401F10080826105A2 +:0401F20047021101AE +:0401F300080007B742 +:0401F4008FF9CE06AB +:0401F500110A0737AD +:0401F6001047071394 +:0401F700C03E8FD99E +:0401F80000414783F8 +:0401F9000037E79351 +:0401FA0000F10223EB +:0401FB00C111479552 +:0401FC009713478D81 +:0401FD00478300270D +:0401FE00858A0041AD +:0401FF009B8D45058A +:0402000002238FD96D +:04020100578300F12E +:04020200F79300610D +:04020300132370074A +:04020400219900F14B +:04020500610540F25D +:0402060011418082A0 +:040207001437C422C2 +:04020800C226000208 +:0402090084AA46017C +:04020A0004C0059394 +:04020B0004040513CF +:04020C0039C9C60620 +:04020D000FF4F59362 +:04020E00051346018D +:04020F0033010404AF +:0402100004C005938E +:0402110004040513C9 +:04021200396D4605F7 +:0402130004040513C7 +:0402140040B244228E +:040215004585449245 +:04021600B1E9014108 +:04021700C4221141AB +:040218000002143795 +:04021900C04AC226EF +:04021A00892A84AEFB +:04021B000593460100 +:04021C00051304C002 +:04021D00C606040409 +:04021E007593317132 +:04021F0046010FF98C +:0402200004040513BA +:040221000513396D1B +:04022200442204046A +:04022300490240B29A +:04022400449285A6D5 +:040225000141460548 +:040226001141B15D74 +:040227003FB5C60613 +:04022800751340B258 +:0402290001410FF58B +:04022A00114180827C +:04022B00377DC6064F +:04022C00450140B296 +:04022D008082014189 +:04022E00CC221101CC +:04022F00C84ACA26C9 +:0402300084AACE06C8 +:040231004401892ECD +:0402320000C4496358 +:04023300446240F2EF +:04023400494244D225 +:040235006105450119 +:0402360007B3808208 +:04023700C5830089F2 +:040238008533000703 +:0402390075130084B5 +:04023A00C6320FF5C4 +:04023B0004053F85F2 +:04023C00BFD94632AE +:04023D003E80079365 +:04023E0002F505338D +:04023F00823FF06F9B +:0402400045811141A2 +:04024100C606453D6B +:0402420040B2374D42 +:0402430005134581D9 +:04024400014106105E +:040245001141BF594B +:04024600645DC4220D +:0402470033840413E5 +:0402480000244583C6 +:04024900C60645158B +:04024A004783374966 +:04024B0005930024F3 +:04024C00451103D085 +:04024D009713839DE3 +:04024E0047830027BB +:04024F009BED00C45F +:0402500006238FD919 +:04025100379500F4E9 +:04025200376D4505BA +:04025300451145F517 +:0402540005933FA926 +:04025500051303008A +:040256003F810610CE +:040257000B200593E0 +:0402580037A1452560 +:040259000F8005937A +:04025A00378145297A +:04025B000370059394 +:04025C003F25452DC8 +:04025D00453D458155 +:04025E0045813F0D8A +:04025F000C900513E7 +:040260004581372D70 +:040261000CA00513D5 +:040262004581370D8E +:040263000CB00513C3 +:0402640045813F2968 +:040265000CC00513B1 +:0402660045813F0986 +:040267000CD005139F +:04026800458137296C +:040269000CE005138D +:04026A00458137098A +:04026B000CF005137B +:04026C0045813DED9E +:04026D000D00051368 +:04026E0045833DCDBA +:04026F0044220044E1 +:04027000051340B280 +:0402710001410E1029 +:040272007179B5CD1C +:04027300D226D42299 +:04027400CE4ED04A50 +:040275003E800413B0 +:040276004785D606DC +:04027700892E89AA99 +:040278000285543374 +:040279009563648D98 +:04027A00648900F59E +:04027B0080048493E4 +:04027C00453D458532 +:04027D003D55C632F3 +:04027E00051345819E +:04027F00357503309E +:040280000084D5938E +:04028100034005131E +:040282004581354D30 +:04028300035005130C +:0402840075933D69C8 +:0402850005130FF45A +:040286003D410300F3 +:040287000084559307 +:040288000FF5F593E6 +:040289000310051346 +:04028A00559335490A +:04028B00F5930104E2 +:04028C0005130FF552 +:04028D003D95032078 +:04028E00453D458124 +:04028F00458135BDB3 +:040290000C500513F6 +:0402910064DD359D56 +:040292003384879397 +:040293000137A42368 +:040294008493478583 +:040295004409338461 +:040296000363463286 +:04029700442900F9FD +:0402980082234785F1 +:0402990083A300F447 +:04029A004705008490 +:04029B00036347BDF5 +:04029C00478100E6B0 +:04029D0000F482A344 +:04029E000C000593B8 +:04029F000E00051335 +:0402A0004785352D2C +:0402A10005900513AC +:0402A2000AF91B63D7 +:0402A30075933539E1 +:0402A400E5930FC50A +:0402A5000513001528 +:0402A6003D01059081 +:0402A7003BF54511CD +:0402A8000EB5759387 +:0402A90035114511B5 +:0402AA000044C583C4 +:0402AB000E10051319 +:0402AC0005933BED8E +:0402AD0005130E40E7 +:0402AE003BC50E201E +:0402AF000054C583AF +:0402B0000E300513F4 +:0402B10033D589BDFB +:0402B2000E80059322 +:0402B3000E400513E1 +:0402B40045853BE958 +:0402B5003BD1453DB7 +:0402B6000513458166 +:0402B70033F1091006 +:0402B8000513458164 +:0402B90033D1092014 +:0402BA00051345855E +:0402BB003B75093056 +:0402BC000513458160 +:0402BD003B55094064 +:0402BE000C04659334 +:0402BF00098005139A +:0402C0004593336DC2 +:0402C10005920FF49F +:0402C20000B5E5930B +:0402C3000FB5F593EB +:0402C4000990051385 +:0402C50045813B59DB +:0402C6003B41453D36 +:0402C7000C1005937F +:0402C8000E0005130C +:0402C900079333590B +:0402CA008323FC107E +:0402CB0050B200F439 +:0402CC0054925422D2 +:0402CD0049F2590297 +:0402CE00614545053C +:0402CF003BB180823D +:0402D0000FC575934E +:0402D1001141BF898F +:0402D200C606451106 +:0402D300659333B943 +:0402D400F593008519 +:0402D50045110FF5CB +:0402D60040B23B896E +:0402D7000300059388 +:0402D80006100513F4 +:0402D900B39101419B +:0402DA004511114178 +:0402DB003335C606EB +:0402DC00659340B234 +:0402DD00F593004550 +:0402DE0045110FF5C2 +:0402DF00B3350141F1 +:0402E000C6061141FC +:0402E100E911C42239 +:0402E200051345813A +:0402E3003B310CD0CF +:0402E40040B24505DA +:0402E500014144226D +:0402E600842E808260 +:0402E700D9F54501FF +:0402E800453D4585C6 +:0402E90045833319FD +:0402EA0005130034C4 +:0402EB0039F505805C +:0402EC000044458302 +:0402ED000590051360 +:0402EE00458339CD3E +:0402EF00051300549F +:0402F00031E505A04F +:0402F10000644583DD +:0402F20005B005133B +:0402F300458339F90D +:0402F400051300747A +:0402F50039D105C036 +:0402F60000844583B8 +:0402F70005E0051306 +:0402F800458331E920 +:0402F9000513009455 +:0402FA0031C105F019 +:0402FB0000A4458393 +:0402FC0006000513E0 +:0402FD004583395D9F +:0402FE00051300B430 +:0402FF00317506103F +:0403000000C445836D +:0403010006200513BA +:040302004583314DB1 +:04030300051300D40A +:040304003961063025 +:0403050000E4458348 +:040306000640051395 +:040307004583317980 +:04030800051300F4E5 +:040309003151065018 +:04030A000034079321 +:04030B0004414581E3 +:04030C000007C7031C +:04030D008D9907853A +:04030E000FF5F5935F +:04030F00FEF41AE3FB +:0403100006F58593D6 +:040311000FF5F5935C +:0403120005D00513FA +:04031300458139B92E +:0403140039A1453D89 +:04031500BF15458D3E +:04031600C6061141C5 +:04031700E911C42202 +:040318000513458103 +:0403190031910CE032 +:04031A0040B24505A3 +:04031B000141442236 +:04031C00842E808229 +:04031D00D9F54501C8 +:04031E00453D45858F +:04031F004583313DA4 +:04032000051300348D +:04032100311506800C +:0403220000444583CB +:040323000690051328 +:0403240045833929AB +:040325000513006458 +:04032600390106B0E3 +:040327000074458396 +:0403280006C00513F3 +:0403290045833119BE +:04032A0047830034D1 +:04032B000513004472 +:04032C0097AE06D0B2 +:04032D00071005931D +:04032E0047838D9DD7 +:04032F008D9D00544C +:04033000006447839B +:0403310047838D9DD4 +:040332008D9D007429 +:040333000FF5F5933A +:0403340045813EE9D8 +:040335003ED1453D33 +:04033600B761458DD9 +:04033700C42211418A +:04033800842A45814D +:04033900C606453D72 +:04033A00051336C9A8 +:04033B0036750C10F7 +:04033C0000803433D6 +:04033D000FE57593C0 +:04033E0005138DC155 +:04033F0036750C10F3 +:0403400040B2442261 +:040341000513458DCE +:0403420001410C6009 +:040343007179BE7995 +:04034400D04AD226A3 +:04034500892A84AECF +:04034600451145F523 +:04034700CC52CE4E78 +:04034800D606C636D9 +:04034900CA56D4229A +:04034A0036418A327C +:04034B0069DD46B270 +:04034C003389871357 +:04034D0097938A8573 +:04034E0046830016CC +:04034F00899300C7C7 +:040350009AF533895E +:0403510006238EDD14 +:040352008A8900D7BD +:040353004505C29901 +:0403540005133771E5 +:04035500C4030700D6 +:0403560036810039B3 +:040357000034FA93E1 +:0403580075934785CD +:040359008663003582 +:04035A00478908FACD +:04035B0008FA8663B3 +:04035C00008477930F +:04035D00E593C399C8 +:04035E00779302058A +:04035F00C39900142A +:040360000105E5931B +:04036100002477936A +:04036200E593C399C3 +:040363008811008578 +:04036400E593C01944 +:040365000513004537 +:040366003E0107004D +:040367001A0A9B6370 +:04036800003A7A13CA +:040369000C63478555 +:04036A00478904FAC1 +:04036B001AFA136304 +:04036C0001849793DE +:04036D00440187E1DF +:04036E000007D4634D +:04036F000A00041369 +:040370000304F493FB +:0403710002000793EC +:0403720014F48C6390 +:0403730003000793E9 +:0403740004F48363A7 +:0403750065DD47C13A +:0403760016F4916385 +:040377008593460D17 +:04037800051326C57E +:040379003CC9073044 +:04037A00464965DDAE +:04037B00B4C58593ED +:04037C00E593A83528 +:04037D00BFAD040507 +:04037E000805E593F6 +:04037F009793BF95FC +:0403800087E101848C +:040381000404F41369 +:04038200FA07DCE3B7 +:04038300FA04641301 +:040384000FF47413EB +:0403850065DDB77506 +:040386008593460D08 +:04038700051327052E +:040388003C590730A5 +:04038900464965DD9F +:04038A00B60585939C +:04038B0007600513EF +:04038C00A89FF0EF47 +:04038D000513448987 +:04038E00F0EF072065 +:04038F007593A61F9D +:040390008C4501C5D2 +:0403910005138DC102 +:04039200F0EF072061 +:04039300C783A61F57 +:04039400458500C9D2 +:04039500E3918B89DC +:040396000513458185 +:04039700F0EF0C0077 +:0403980045D5A4DFC4 +:04039900F0EF45112B +:04039A0045C1A45F56 +:04039B000610051330 +:04039C00A3BFF0EF1C +:04039D001163478918 +:04039E0005930EF9BC +:04039F0005130880BA +:0403A000F0EF062054 +:0403A10045C1A29F11 +:0403A2000630051309 +:0403A300A1FFF0EFD7 +:0403A4000840059375 +:0403A50006400513F6 +:0403A600A13FF0EF94 +:0403A700F0EF450529 +:0403A8004511A57FD7 +:0403A9009F7FF0EF53 +:0403AA0001D5759371 +:0403AB00F0EF451119 +:0403AC0005139FDFB7 +:0403AD00F0EF064027 +:0403AE004511A3FF53 +:0403AF009DFFF0EFCF +:0403B00001557593EB +:0403B100F0EF451113 +:0403B20004139E5F33 +:0403B3004539064082 +:0403B4009CBFF0EF0B +:0403B500E901894190 +:0403B6000442147D6C +:0403B7008041450537 +:0403B800A15FF0EF62 +:0403B9004581F46D19 +:0403BA00F0EF4531EA +:0403BB0005939C1FEB +:0403BC0045350400BF +:0403BD009B7FF0EF43 +:0403BE0045394585F3 +:0403BF009AFFF0EFC2 +:0403C00045394581F5 +:0403C1009A7FF0EF40 +:0403C2009F9FF0EF1A +:0403C300542250B2BE +:0403C40059025492F4 +:0403C5004A6249F24D +:0403C60045054AD2CD +:0403C700808261458A +:0403C800460D65DD9C +:0403C90027058593EC +:0403CA0007300513E0 +:0403CB0098DFF0EFD8 +:0403CC00464965DD5C +:0403CD00B3858593DC +:0403CE00460DBDD546 +:0403CF0026C5859327 +:0403D00007300513DA +:0403D100975FF0EF53 +:0403D200464965DD56 +:0403D300B245859317 +:0403D4004401BDF132 +:0403D500B5C54481E5 +:0403D600051345E1E5 +:0403D700F0EF06201D +:0403D80045C194DFA8 +:0403D90006300513D2 +:0403DA00943FF0EF6D +:0403DB00B71D45B154 +:0403DC00C4221141E5 +:0403DD00842AC22686 +:0403DE00453D84AE67 +:0403DF00C606458188 +:0403E00092BFF0EFE9 +:0403E100059005136B +:0403E200913FF0EF68 +:0403E30002F5759317 +:0403E400041AE4997A +:0403E500F5938DC13E +:0403E600E5930FF597 +:0403E70044220105A6 +:0403E800449240B249 +:0403E9000590051363 +:0403EA00F06F01416E +:0403EB0067DD901F1B +:0403EC00A4878793C8 +:0403ED002423675D01 +:0403EE00A70334F736 +:0403EF0005130C875F +:0403F000CB01F380CA +:0403F1000D47A7030A +:0403F200100007935D +:0403F30000F7136399 +:0403F40080824501BD +:0403F50067DD11416E +:0403F60086AEC422E9 +:0403F70085AA842E21 +:0403F8003487A5039E +:0403F900C606C2264C +:0403FA00F0EF84B2EA +:0403FB004781BF4F28 +:0403FC000513C90913 +:0403FD00A801F370F0 +:0403FE0000F487334D +:0403FF0000070023D0 +:040400001BE307856E +:0404010040B2FEF413 +:0404020044924422BA +:0404030080820141B1 +:04040400CC221101F4 +:04040500CA2684324D +:040406007793CE0614 +:0404070086AA0FF4BE +:04040800062284AE96 +:040409004701C38D57 +:04040A0004971263DE +:04040B00A50367DD01 +:04040C007593348729 +:04040D0005A2F00450 +:04040E00A1EFF0EF7B +:04040F00C10D87AAEA +:04041000F35007930B +:0404110067DDA831CA +:04041200A503C62A4E +:0404130085B23487F3 +:04041400F0EFC4320F +:0404150007939B8F1F +:040416004622F36027 +:04041700D56146B2B3 +:04041800446240F208 +:04041900853E44D206 +:04041A008082610576 +:04041B0000E687B3BD +:04041C000007802332 +:04041D00BF4D0705C3 +:04041E00D4227179FA +:04041F00D04AD226C7 +:04042000D606CE4EE0 +:04042100893284AEEA +:0404220009934401F5 +:040423006E631000F4 +:0404240045010094FA +:040425000009046363 +:04042600F340051387 +:04042700542250B259 +:04042800590254928F +:04042900614549F2EE +:04042A0085B3808294 +:04042B00F4634084B2 +:04042C00059300B97B +:04042D0086361000FF +:04042E00C636852227 +:04042F00FD793F21F3 +:04043000100404139D +:04043100B7E146B237 +:04043200CC221101C6 +:04043300C62A645D14 +:0404340034C40513B4 +:0404350030EFCE06D0 +:0404360045B2444047 +:040437000713E909B5 +:0404380046812000D9 +:040439000513460160 +:04043A0030EF34C4A7 +:04043B0040F25780B4 +:04043C0061054462B0 +:04043D0065DD808277 +:04043E008593655DE0 +:04043F0005133A0562 +:04044000206F3605EE +:0404410065DD658090 +:040442008593655DDC +:040443000513400558 +:04044400206F3745A9 +:04044500114164807D +:04044600C606C42200 +:04044700F0EF842A24 +:040448004581A4BF87 +:04044900F0EF45018A +:04044A00E435B33FA3 +:04044B000713675DCF +:04044C0043483B479F +:04044D00019C07B750 +:04044E00CC078793BD +:04044F0002A7D7B376 +:0404500000A70603F8 +:04045100450345E931 +:0404520066DD01075B +:0404530049C686937D +:040454000413645DCC +:04045500063341C465 +:04045600458302B622 +:0404570087B3026401 +:0404580096B202A7AF +:0404590000E6D503E1 +:04045A0002744603DF +:04045B0002A787B3BA +:04045C0000C745038D +:04045D0087B3050557 +:04045E00450302A7A9 +:04045F00050500E7A8 +:0404600002F5053369 +:04046100847FF0EFB5 +:0404620002644503E8 +:0404630040B244223D +:04046400F06F0141F3 +:0404650040B2E36F4F +:0404660001414422EA +:04046700114180823D +:04046800892AC04AD3 +:04046900C606450579 +:04046A00C226C422C0 +:04046B00B31FF0EFDC +:04046C00997FF0EF95 +:04046D00450145817F +:04046E009C9FF0EF70 +:04046F0000193693A7 +:04047000458146017B +:04047100F0EF45055E +:040472001563B49FBB +:04047300645D0209B9 +:04047400041364DD2C +:0404750084933B44ED +:04047600470341C433 +:04047700C68300F444 +:0404780046010164D4 +:040479004501458173 +:04047A00D9CFF0EFF7 +:04047B000164C783CE +:04047C0002F406A3DD +:04047D003705854A70 +:04047E0040B2442222 +:04047F004902449258 +:0404800001414501F0 +:04048100AD9FF06FCC +:04048200C606114158 +:04048300ED2DC42275 +:04048400C78367DDE6 +:04048500472138577C +:0404860004E78C6398 +:0404870089634741FD +:04048800471100E731 +:0404890004E79E6383 +:04048A0034F020EF3B +:04048B00A0A14505E2 +:04048C000713675D8E +:04048D0043503B4756 +:04048E00019C07B70F +:04048F00CC0787937C +:0404900002C7D7B315 +:0404910000A70603B7 +:04049200675D45E974 +:0404930049C707133B +:04049400063386AAFB +:04049500973202B6E2 +:0404960000E7570321 +:0404970002E787B33E +:0404980001C9C73798 +:0404990037F7071317 +:04049A0000F76363A1 +:04049B00853646BD9F +:04049C00307020EFAD +:04049D004422450DA3 +:04049E00014140B226 +:04049F006C00206F5E +:0404A0002F7020EFAA +:0404A100BFC545018D +:0404A200871367DD78 +:0404A300468341C784 +:0404A40047090207FB +:0404A50041C78413B4 +:0404A6008363453DEA +:0404A700450100E625 +:0404A8002D7020EFA4 +:0404A900020447837F +:0404AA00450D4709AC +:0404AB00FCF775E302 +:0404AC008D1D451548 +:0404AD000FF57513BF +:0404AE007179BF7D24 +:0404AF00D60667897D +:0404B000D226D4225A +:0404B100CE4ED04A11 +:0404B200CA56CC5208 +:0404B300C65EC85AFF +:0404B400C266C462F6 +:0404B50071078793B1 +:0404B60000021737F2 +:0404B7000C07268385 +:0404B8000006D46303 +:0404B900FBFD17FD33 +:0404BA0053F020EFEC +:0404BB0087936BDDDB +:0404BC00C4833B4B6F +:0404BD008A2A00B7D0 +:0404BE003B4B84131D +:0404BF0017B7C4990E +:0404C000A48300020F +:0404C10080C10C07E3 +:0404C2000513888D09 +:0404C30020EF0370B3 +:0404C4008AAA6AC0D6 +:0404C5000380051398 +:0404C6006A2020EF99 +:0404C7001993678599 +:0404C8008793008591 +:0404C900F9B3F0078C +:0404CA00579300F94B +:0404CB008B850065B8 +:0404CC000513892A61 +:0404CD0004A30390F1 +:0404CE0020EF00F427 +:0404CF008CAA68008B +:0404D00003A005136D +:0404D100676020EF51 +:0404D200000217B756 +:0404D3000C07A783E8 +:0404D400E9B3470938 +:0404D5008B630159DB +:0404D600470302E4F2 +:0404D700E70900B47D +:0404D80018F00713FE +:0404D900033774630E +:0404DA009D634705D2 +:0404DB00D99300E4CD +:0404DC00F993012768 +:0404DD0009857FF915 +:0404DE001909B7132E +:0404DF0000174713A8 +:0404E000A8114C0112 +:0404E1000209791380 +:0404E200FE0908E324 +:0404E3000C89B713B6 +:0404E40000174713A3 +:0404E50046834C05F9 +:0404E60067DD00B41A +:0404E70087936ADDB0 +:0404E8008493328740 +:0404E9006B5D41CA3C +:0404EA0018069F63EE +:0404EB00380A006368 +:0404EC0036070E635E +:0404ED00000787037A +:0404EE00D163468907 +:0404EF00802318E668 +:0404F0004785000735 +:0404F10000F405A36B +:0404F200A783491182 +:0404F3001A133B4B52 +:0404F4006505008515 +:0404F500F0050513F6 +:0404F60000AA7A33AB +:0404F70000178693D1 +:0404F800019A6A33C8 +:0404F900ED63675DEB +:0404FA0086930136AE +:0404FB00E963001998 +:0404FC00405C00F66A +:0404FD0001479663BA +:0404FE0000844783AC +:0404FF0033878B6351 +:040500003E070EA301 +:0405010000C4C70368 +:0405020002344783F5 +:0405030008F71A6378 +:0405040000D4C70355 +:0405050002444783E2 +:0405060008F714637B +:0405070000E4C70342 +:0405080002544783CF +:0405090006F71E6370 +:04050A0000F4C7032F +:04050B0002644783BC +:04050C0006F7186373 +:04050D000104C7031B +:04050E0002744783A9 +:04050F0006F7126376 +:040510000074C703A9 +:0405110001E4478337 +:0405120004F71C636B +:040513000084C70396 +:0405140001F4478324 +:0405150004F716636E +:040516000094C70383 +:040517000204478310 +:0405180004F7106371 +:0405190000A4C70370 +:04051A0002144783FD +:04051B0002F71A6366 +:04051C0000B4C7035D +:04051D0002244783EA +:04051E0002F7146369 +:04051F000194C70379 +:040520000304478306 +:0405210000F71E635E +:0405220001A4C70366 +:0405230003144783F3 +:0405240000F7186361 +:040525000244C703C2 +:0405260003B4478350 +:0405270000F709636D +:0405280087CA47092E +:0405290000E973630F +:04052A00F9134789F1 +:04052B00C7030FF7FC +:04052C00478301748C +:04052D00086302E479 +:04052E00078302F746 +:04052F00476900A474 +:0405300002E787B3A4 +:040531000713675DE8 +:0405320097BA49C764 +:040533000107D703E2 +:0405340020D0079339 +:0405350000F719634F +:0405360087CA470920 +:0405370000E9736301 +:04053800F9134789E3 +:0405390047830FF7EE +:04053A00C3B1415BAD +:04053B0000A407030E +:04053C0067DD46E948 +:04053D0002D70733A7 +:04053E0049C787938F +:04053F00010445036B +:04054000010A159304 +:04054100460181C12D +:04054200D70397BA8A +:04054300053300E795 +:04054400470302E582 +:040545000542030464 +:04054600C70981411F +:040547000187D6034F +:040548008A05820599 +:04054900650020EF3A +:04054A00000913632E +:04054B00AA23490591 +:04054C0022233B3BF0 +:04054D00042301443E +:04054E00A03501844F +:04054F0080230705F9 +:04055000490100E776 +:040551000463B55931 +:040552001163000A27 +:0405530086831E0776 +:0405540056710007D5 +:040555001CC6D76386 +:0405560000078023F7 +:04055700000405A3F4 +:0405580014E349114E +:04055900C703E607E7 +:04055A00478341CAC8 +:04055B001E630174A6 +:04055C00C70306F7D4 +:04055D0047830014BC +:04055E001863018499 +:04055F00C70306F7D1 +:0405600047830024A9 +:04056100126301948C +:04056200C70306F7CE +:040563004783003496 +:040564001C6301A46F +:04056500C70304F7CD +:040566004783004483 +:04056700166301B462 +:04056800C70304F7CA +:040569004783005470 +:04056A00106301C455 +:04056B00C70304F7C7 +:04056C00478301249C +:04056D001A63029477 +:04056E00C70302F7C6 +:04056F004783013489 +:04057000146302A46A +:04057100C70302F7C3 +:040572004783014476 +:040573001E6302B44D +:04057400C70300F7C2 +:0405750047830114A3 +:040576001863028480 +:04057700C70300F7BF +:04057800478302545F +:04057900056303C44F +:04057A00136300F710 +:04057B004905000925 +:04057C000184C5032E +:04057D0002F44783BA +:04057E0000A78B63E4 +:04057F0001044583AB +:0405800001B020EFB7 +:0405810000A408A327 +:0405820000091363F6 +:04058300C50349055E +:04058400478301C4E4 +:040585008463033454 +:0405860020EF00A7BB +:04058700C503039015 +:04058800478301D4D0 +:040589008463034440 +:04058A0020EF00A7B7 +:04058B00C5034D0057 +:04058C00478301E4BC +:04058D00846303542C +:04058E0020EF00A7B3 +:04058F00C5034CA0B4 +:040590004783021487 +:04059100C583038497 +:040592009663022446 +:04059300478300A7F3 +:0405940084630394E5 +:0405950020EF00B79C +:04059600C5034860F1 +:04059700478301B4E1 +:040598008B6303244A +:0405990047E100A78F +:04059A0002F505332E +:04059B00879367D902 +:04059C00953E6A8797 +:04059D0060A020EF4B +:04059E000204C5038B +:04059F000374478317 +:0405A00000A78463C9 +:0405A100B85FF0EF60 +:0405A20001F4C50398 +:0405A3000364478323 +:0405A40000A78463C5 +:0405A500706020EF73 +:0405A60069DD65DDC9 +:0405A7008593461DD5 +:0405A80085133F4533 +:0405A90030EF445992 +:0405AA00C50913A0CC +:0405AB004459851317 +:0405AC0045C020EF37 +:0405AD000264C7031A +:0405AE0003D44783A8 +:0405AF0000F71863D6 +:0405B0000274C70307 +:0405B10003E4478395 +:0405B20000F70663E5 +:0405B3000154C50327 +:0405B400A47FF0EF41 +:0405B500C78367DDB4 +:0405B600CF81416749 +:0405B7000284C503F2 +:0405B80003F447837E +:0405B90000A78763AD +:0405BA000562155170 +:0405BB0010EF856157 +:0405BC0085937210A1 +:0405BD00051341CA17 +:0405BE0006130174AB +:0405BF0030EF031006 +:0405C00050B2112004 +:0405C1000AA3542213 +:0405C200854A400B1B +:0405C30059025492F3 +:0405C4004A6249F24C +:0405C5004B424AD289 +:0405C6004C224BB2C6 +:0405C70061454C92AC +:0405C80016FD80821A +:0405C90000D78023B4 +:0405CA00BD25490101 +:0405CB000007802382 +:0405CC004783BFE5BD +:0405CD0046853FD749 +:0405CE00CCD786E31D +:0405CF00F793078512 +:0405D0000EA30FF770 +:0405D1009FE33EF76F +:0405D2004709CAD734 +:0405D300736387CAFD +:0405D400478900E96A +:0405D5000FF7F91310 +:0405D600675DB17537 +:0405D700C6221141E6 +:0405D8003B47069304 +:0405D90000A68E03E7 +:0405DA0068DD45E9AA +:0405DB0002BE05B3A4 +:0405DC000176C503DC +:0405DD0049C88793EF +:0405DE000106C60349 +:0405DF00071346892F +:0405E00088933B477A +:0405E10097AE49C8C0 +:0405E2000147C30307 +:0405E30000C7D80372 +:0405E4000137C58393 +:0405E50018D51463AE +:0405E60001874683C0 +:0405E700F6930685FC +:0405E80055030FF6B2 +:0405E9004F830147F4 +:0405EA00479102270C +:0405EB0080050E93E6 +:0405EC00020E826316 +:0405ED008E936785FD +:0405EE00E56380073A +:0405EF0007931AAEA6 +:0405F0000963020099 +:0405F100E1631CF5B1 +:0405F2004EC118A737 +:0405F30003634791C6 +:0405F40087B201D5F4 +:0405F5004E83655D6F +:0405F600452138550E +:0405F70000AE966359 +:0405F80003420306B1 +:0405F9000103531394 +:0405FA00012745038D +:0405FB0078634F05CD +:0405FC000333006560 +:0405FD001F1340A3E5 +:0405FE005F13010383 +:0405FF004569010F3A +:0406000002AE05330E +:0406010040F602B30A +:0406020044054E0954 +:040603005E83954637 +:04060400450300A505 +:040605008333012515 +:0406060003B303D265 +:04060700433300AFCA +:040608004E0303C3D7 +:0406090082B302971F +:04060A000342025352 +:04060B000103531381 +:04060C00200003B710 +:04060D0003C78E335E +:04060E0093169E1A87 +:04060F0000D742834B +:0406100003420E4251 +:04061100010E5E1365 +:04061200010353137A +:04061300936302FAF1 +:040614004381008F8F +:0406150002A6053301 +:0406160000100FB70A +:04061700800F8F932E +:040618000E4E07C2B9 +:0406190005AE06EE36 +:04061A000EB34432A5 +:04061B00052E03D6CF +:04061C0001F575333C +:04061D0003E60633B7 +:04061E007FFEFE93CA +:04061F00005EEEB3D8 +:0406200001D5653368 +:040621000FF00EB711 +:0406220076330652D3 +:040623008E4901D625 +:0406240000766633C3 +:040625000002153783 +:040626000AC52823B6 +:04062700011746036E +:0406280001074E83F5 +:040629000EAA0636D9 +:04062A0001D666335C +:04062B00E7B38FD1D1 +:04062C00E7B301C768 +:04062D00202300671F +:04062E0047830AF5FF +:04062F00460300C7B7 +:04063000436901C752 +:04063100066A07F658 +:0406320046038FD11B +:04063300065202A7C2 +:0406340006038FD159 +:04063500063300A7E1 +:0406360098B202660E +:040637000158C6039D +:040638008FD1064612 +:04063900E6B38EDDB9 +:04063A008ECD01065A +:04063B0008D5282393 +:04063C0003C7478326 +:04063D0002B7468337 +:04063E0006AA07BA47 +:04063F0046838FD58A +:040640008FD501B79A +:0406410001A7468344 +:0406420001974703D2 +:040643008FD506A6A3 +:040644008FD9071231 +:0406450008F5202371 +:04064600808201416C +:0406470046814E8515 +:04064800E9D511E3FC +:040649000187D5034D +:04064A0000C746831C +:04064B00C50989054F +:04064C00E60689E352 +:04064D00B5B5468D6C +:04064E00E60685E354 +:04064F000177C683E6 +:0406500036B316F1B6 +:04065100BDB100D067 +:0406520010000E93F3 +:0406530003E3478DE9 +:040654000793E9D54A +:040655001EE3200080 +:040656004503E6F57D +:04065700479102873E +:04065800A8358F89A9 +:040659000F636E8934 +:04065A00E86303D579 +:04065B0012E300AEF8 +:04065C004503E6F577 +:04065D004795028734 +:04065E006791B7E504 +:04065F0002F50F632E +:0406600018E367A193 +:040661004503E4F574 +:04066200479902872B +:04066300F7938F89F1 +:04066400A8110FF7D3 +:0406650002874503C0 +:040666008F89478DA4 +:04066700F793078678 +:04066800BD0D0FF7BE +:0406690097E387B2DA +:04066A001821E20F62 +:04066B00084205B18B +:04066C00581305C258 +:04066D0081C101083E +:04066E004795BD31BE +:04066F001101B7EDD1 +:04067000C64E67DD2E +:0406710069DD4705F3 +:040672003EE78EA32E +:040673003B498793E5 +:0406740043C4CA268B +:04067500CE06CC22BF +:04067600C452C84A58 +:040677008413C256D0 +:040678008A633B490D +:04067900A783180437 +:04067A0086633B490F +:04067B00C83718075D +:04067C000813A0EED1 +:04067D005833B00836 +:04067E00478302F8B4 +:04067F000A370084B2 +:040680000A13019CBC +:040681005A33CC0A12 +:040682008463029AF1 +:0406830054B316074F +:0406840005130298C0 +:0406850010EF03B0BF +:04068600892A7A50F3 +:0406870003C0051394 +:0406880079B010EF46 +:04068900016447833E +:04068A00008446039F +:04068B00002797139A +:04068C00879367D910 +:04068D0097BA9F4732 +:04068E00A7034394E7 +:04068F0007933B4949 +:04069000E219070064 +:040691000690079335 +:0406920000016637C6 +:040693000613655D88 +:0406940045C5A98629 +:0406950037450513CD +:04069600691020EFD8 +:040697003E80069308 +:0406980006400813FD +:04069900655D47292B +:04069A00051345C53A +:04069B00763340056D +:04069C00DAB302DAF1 +:04069D0057330304C8 +:04069E00663702E6D3 +:04069F0087D60001F9 +:0406A000AA0606138D +:0406A1000304F83323 +:0406A20002DA56B36F +:0406A30065D020EF0F +:0406A400C78367DDC4 +:0406A500E3994997F5 +:0406A600E6EFF0EF9C +:0406A700C68364DDC5 +:0406A8004583414401 +:0406A900A503008421 +:0406AA0086563B49EC +:0406AB005C4020EFA0 +:0406AC00096357FD8A +:0406AD0005230CF520 +:0406AE00070300A49A +:0406AF0067DD00A45F +:0406B0008D2346E967 +:0406B100073334E7F0 +:0406B20067DD02D727 +:0406B30049C7879319 +:0406B4000104468374 +:0406B5004803405066 +:0406B60097BA0304E8 +:0406B7000167C5030F +:0406B8004144C703EF +:0406B900675D8D7973 +:0406BA0038A702A3B8 +:0406BB0000E7D583FC +:0406BC000FF97713A8 +:0406BD0002D585B32A +:0406BE000733468137 +:0406BF0005C202B7B7 +:0406C000573381C16A +:0406C100064202C724 +:0406C2000663824108 +:0406C300D6830008D2 +:0406C40082850187A3 +:0406C50077138A8598 +:0406C60020EF0FF71B +:0406C70045033AC0ED +:0406C800F0EF0374D8 +:0406C9004583EE6F08 +:0406CA0045030104DF +:0406CB0020EF02F426 +:0406CC0008A32EC091 +:0406CD00F0EF00A4A6 +:0406CE004783C25F3D +:0406CF00450302C419 +:0406D000458100E47C +:0406D1004583E791E5 +:0406D2008D8900F41A +:0406D3000015B593C6 +:0406D400C20FF0EF72 +:0406D50003A44783B0 +:0406D60002C4450312 +:0406D7004462CB8529 +:0406D80044D240F2D6 +:0406D90049B2494297 +:0406DA004A924A22D4 +:0406DB00F06F610556 +:0406DC000806E30F1A +:0406DD006485BD690A +:0406DE0084936A1186 +:0406DF000A1377047F +:0406E000BD41D54AF9 +:0406E1000523479115 +:0406E200BF0500F45C +:0406E3004703E10DDB +:0406E400468300F455 +:0406E500446202D495 +:0406E60044D240F2C8 +:0406E70049B2494289 +:0406E8004A924A22C6 +:0406E9004581460100 +:0406EA00E06F610557 +:0406EB0040F2BDBF5D +:0406EC0044D244624E +:0406ED0049B2494283 +:0406EE004A924A22C0 +:0406EF00808261059F +:0406F000C2261141CC +:0406F100C50364DDFC +:0406F200C42235D415 +:0406F30010EFC60638 +:0406F400842A7AE0FA +:0406F500C683E121B6 +:0406F60067DD35D4B3 +:0406F70088A3472964 +:0406F80067DD3AD7A9 +:0406F90044C7C783A8 +:0406FA0000E785632D +:0406FB000F23675D05 +:0406FC0067DD34F78B +:0406FD003B27C703CD +:0406FE00C701478168 +:0406FF00C78367DD69 +:04070000675D35E715 +:04070100390707139A +:04070200453D97BA20 +:0407030000D7802378 +:040704005E8010EF14 +:0407050040B2852257 +:0407060044924422B3 +:0407070080820141AA +:04070800C2261141B3 +:04070900C50364DDE3 +:04070A00C42235D4FC +:04070B0010EFC6061F +:04070C00842A5CA03F +:04070D00C683E51D9D +:04070E0067DD35D49A +:04070F003AD788A3AA +:04071000C70367DDD7 +:0407110047813B27BA +:0407120067DDC701D7 +:040713003CA7C783B5 +:040714000713675D03 +:0407150097BA39074F +:040716008023453DBA +:0407170010EF00D708 +:04071800852259A03D +:04071900442240B284 +:04071A0001414492C3 +:04071B0067DD808294 +:04071C0035A7C70333 +:04071D0067DD46E965 +:04071E003EE78E2301 +:04071F0002D70733C3 +:04072000879367DD77 +:0407210097BA49C773 +:0407220000E7D68393 +:040723001E23675DCD +:04072400C68338D779 +:04072500675D0147C4 +:0407260040D71C2379 +:040727000127C6835D +:040728001C23675DCA +:04072900D68334D768 +:04072A00675D00A760 +:04072B0038D7162382 +:04072C000157C68328 +:04072D001323675DCE +:04072E00C68338D76F +:04072F00675D0137CA +:0407300040D7192372 +:0407310000C7D70323 +:04073200952367DDC7 +:04073300808238E7A1 +:04073400C60367DDB4 +:0407350067DD3FC776 +:0407360039C7DF03DD +:04073700DE8367DD19 +:0407380067DD4187B1 +:040739003587CE032F +:04073A0067DD675DB3 +:04073B0038C7D303E5 +:04073C003B4707131D +:04073D0086AA67DD44 +:04073E003867D883BD +:04073F0000B74503B7 +:04074000C80367DDA6 +:0407410067DD412708 +:0407420038A7D5837C +:04074300879367DD54 +:04074400C92949C7AF +:0407450000A70703FF +:0407460004C716636B +:0407470007334769C4 +:04074800973E02E6F0 +:0407490000E755036D +:04074A0003E51A6346 +:04074B00014745031A +:04074C0003D5166358 +:04074D000127450338 +:04074E0003C512636A +:04074F0000A75503A7 +:0407500000651E63BF +:040751000157450304 +:0407520001151A6310 +:040753000137450322 +:040754000105166322 +:0407550000C757037F +:0407560000B706637F +:040757004505675D90 +:0407580040A70AA309 +:0407590006334769B3 +:04075A00655D02E6F1 +:04075B003A05051343 +:04075C00663797B2B3 +:04075D0096230001DE +:04075E00972300B726 +:04075F008A2301E701 +:04076000892301D711 +:04076100952301C714 +:040762008AA30067FF +:0407630089A301174E +:040764000613010770 +:0407650045C5690617 +:040766003510206FBB +:04076700C606114170 +:0407680047C5C4229B +:04076900000214373F +:04076A000EF4202346 +:04076B000E04202335 +:04076C000A04282330 +:04076D0028236509CF +:04076E000513080463 +:04076F00E0EF710541 +:040770000713B60FA6 +:0407710067DD1D1013 +:0407720038E79423AD +:040773000003153733 +:040774001D100793BA +:040775000EF420233B +:04077600D40505138E +:04077700B42FE0EFCC +:04077800000626371A +:04077900019C05B723 +:04077A00040405135B +:04077B00A8060613B3 +:04077C00CC05859390 +:04077D00EE6FE0EF4C +:04077E000C3010EF3C +:04077F002FD010EF78 +:04078000C94154799E +:0407810010EF45012F +:0407820007933B504E +:0407830054750FF0AA +:0407840008F5016310 +:040785007AF010EF07 +:04078600E0EF450952 +:0407870047CDA81F93 +:04078800186354712D +:04078900E0EF06F5A2 +:04078A0010EFAF1F9E +:04078B00C50900702C +:04078C00470567DDD9 +:04078D0040E78B2393 +:04078E00976FF0EF82 +:04078F00ED39842A92 +:0407900065D923956F +:040791000613655D89 +:040792008593031038 +:040793000513B40591 +:0407940020EF3CB561 +:0407950065D91BF017 +:040796000613655D84 +:0407970085930360E3 +:040798000513CB0575 +:0407990020EF450503 +:04079A00453D1AB00F +:04079B00510010EF0A +:04079C00C50367DD4D +:04079D0010EF3B1707 +:04079E0017B75060D9 +:04079F00A78300022A +:0407A00097130D0797 +:0407A100436300E7C7 +:0407A2002B99000788 +:0407A300F0EF45012D +:0407A4008522B10FEA +:0407A500442240B2F8 +:0407A600808201410B +:0407A700BFD5547DE9 +:0407A80067DD71395F +:0407A900C483DA2605 +:0407AA00DC2235B761 +:0407AB000485645D00 +:0407AC000FF4F493BF +:0407AD0038845783B2 +:0407AE0004C204B2CB +:0407AF00672180C17D +:0407B0008FD98FC589 +:0407B10038F41423E1 +:0407B200D84ADE063D +:0407B300D05AD452F2 +:0407B400CC62CE5EE7 +:0407B500C86ACA66DE +:0407B60000021737EF +:0407B700D256D64EF2 +:0407B8006637C66E6C +:0407B900695D000175 +:0407BA000EF72023F3 +:0407BB00A206061379 +:0407BC00051345C517 +:0407BD0020EF3A09E6 +:0407BE00F0EF1F3009 +:0407BF006C419FCF1B +:0407C0007B716A5D82 +:0407C10047857BF5F8 +:0407C200FFFC0C9399 +:0407C300450A0A13C6 +:0407C4001BFD1B7D81 +:0407C50000016D378B +:0407C60000021DB759 +:0407C7000D0DA983E8 +:0407C8000199F9B3E7 +:0407C9008263E3DD87 +:0407CA0057030A09BE +:0407CB005783016AE5 +:0407CC0016633884F4 +:0407CD00F7B30F3738 +:0407CE001423017778 +:0407CF00A02338F437 +:0407D00000230EFDF7 +:0407D100F0EF3A0902 +:0407D20025379B0F1D +:0407D3000513000604 +:0407D400E0EFA805A5 +:0407D50057839CCFDB +:0407D60067113884EB +:0407D7003E80051348 +:0407D8008FD98FC561 +:0407D90038F41423B9 +:0407DA000EFDA0234D +:0407DB00029020EF79 +:0407DC0020EF4D8538 +:0407DD0017B704D076 +:0407DE00166300029C +:0407DF00AA8301B533 +:0407E000D8E307074C +:0407E10020EFFE0AFD +:0407E2009713051054 +:0407E3008341010A43 +:0407E400FFF7079381 +:0407E50083C107C203 +:0407E600FFDC06939B +:0407E70002F6FE63B5 +:0407E800000166376F +:0407E900A2C606138B +:0407EA00051345C5E9 +:0407EB0020EF3A09B8 +:0407EC00F0EF13B067 +:0407ED005783944F4B +:0407EE0017373884FD +:0407EF0077B30002DA +:0407F000142300FBD3 +:0407F100202338F495 +:0407F20065090EF790 +:0407F3007105051374 +:0407F40094EFE0EFAF +:0407F500B78987CE6B +:0407F600D7936585AB +:0407F70015FD010AE1 +:0407F800064006139E +:0407F90056B38FED77 +:0407FA00773302C788 +:0407FB009C6302C732 +:0407FC00663700B7A5 +:0407FD0006130001DE +:0407FE0045C5A34604 +:0407FF003A0905139B +:040800000E9020EF47 +:04080100F833B77D94 +:0408020045C502C71F +:040803003A09051396 +:0408040002C7D7B39D +:04080500A40D061325 +:040806000D1020EFC2 +:040807005703BF597B +:040808001AE3018A64 +:0408090007D2F937E2 +:04080A00142383D15F +:04080B0050F238F47B +:04080C00A02354626F +:04080D0054D20EFDB6 +:04080E0059B2594240 +:04080F005A925A227D +:040810004BF25B024A +:040811004CD24C6217 +:040812004DB24D4254 +:040813006121450119 +:04081400114180828C +:04081500F0EFC60634 +:0408160010EF969FAA +:0408170067DD24D0A5 +:04081800C50340B222 +:04081900014143173F +:04081A00936FF06F79 +:04081B00DC52715DDD +:04081C00C686DA565C +:04081D00C2A6C4A209 +:04081E00DE4EC0CA20 +:04081F00D65ED85A6F +:04082000D266D46266 +:04082100CE6ED06A5D +:04082200D15FF0EFC3 +:040823006ADD6A5DC3 +:040824001005426316 +:040825000001663731 +:04082600052007138F +:0408270006134681ED +:0408280045C5A546D7 +:04082900374A051332 +:04082A00041020EFA7 +:04082B00000165B7AC +:04082C008593464525 +:04082D008513A84542 +:04082E0020EF400A6D +:04082F00F0EF756011 +:04083000A537848FD5 +:0408310005130007A4 +:04083200645D1205EA +:04083300E0EF64DDB1 +:040834004D01850FDE +:040835006B5D4901AD +:040836003B44041328 +:040837004C3D6BDDEC +:0408380041C48493A0 +:04083900000217B7EB +:04083A000D07A7837C +:04083B00000306B7F9 +:04083C00971365DDCC +:04083D0083410107EB +:04083E0048EB282338 +:04083F00FFF7C713E5 +:040840008F7583E14C +:040841000FF7F613A4 +:040842008C2366DDC0 +:04084300AA2348C5D7 +:0408440065DD48E640 +:040845000793CF81C5 +:04084600F793FFE63F +:04084700450D0FF755 +:0408480000F57663DE +:0408490048C5C78354 +:04084A0000C79463EC +:04084B00480B28230B +:04084C00862367DDBB +:04084D00A60348C5F1 +:04084E00A423488710 +:04084F00E24948E74B +:0408500069DD2EC56B +:040851004999C78377 +:04085200C7818CAA24 +:0408530000EF45016C +:0408540067DD5F50AD +:0408550035E7C703B9 +:040856000164468370 +:040857008D6385BE6A +:040858008A2312E6F7 +:04085900478D418BFB +:04085A0004E7FF634D +:04085B00F06347956A +:04085C004D8506E7D9 +:04085D004909468976 +:04085E00FFF7079306 +:04085F000FF7F79305 +:040860006A63462160 +:04086100665904F6DA +:040862000613078AE8 +:0408630097B29D06A5 +:040864008782439CA8 +:0408650000016637F1 +:04086600061386AA45 +:0408670045C5A68657 +:04086800374A0513F3 +:04086900744020EFC8 +:04086A00000165B76D +:04086B0085934645E6 +:04086C008513FEC52D +:04086D0020EF400A2E +:04086E00E0EF023085 +:04086F00A001F4DF11 +:040870004806AA2369 +:040871004D8DBFB535 +:04087200490146856D +:040873004D89B7757F +:04087400BFE5468115 +:0408750067DD4D09E5 +:040876003B27C783D2 +:0408770067DDC39DD9 +:040878003907879322 +:0408790067DD973E62 +:04087A00000745032B +:04087B003B17C7035D +:04087C0000A7096365 +:04087D0088A3C63650 +:04087E0010EF3AA796 +:04087F0046B2182045 +:04088000C78365DDE8 +:0408810005A335E5B1 +:040882004705000422 +:0408830000F40B234F +:04088400037447832F +:040885007663458DC4 +:04088600459500F79D +:04088700F5938D9DBB +:0408880085360FF5AD +:0408890074E010EF18 +:04088A00021010EF59 +:04088B0093DFE0EF28 +:04088C00C78367DDDA +:04088D00C781416777 +:04088E0010EF856E74 +:04088F0085EA3AE0DC +:0408900010EF854A96 +:0408910047835190B8 +:040892004645016472 +:04089300374A0513C8 +:04089400002797138F +:04089500879367D905 +:0408960097BA9F4727 +:040897002223438C49 +:0408980020EF000449 +:0408990065B7776068 +:04089A0046450001CE +:04089B00A785859315 +:04089C00400A851376 +:04089D00764020EF92 +:04089E004999C7832A +:04089F00E0EFE3990A +:0408A00067DDE89F89 +:0408A10035C7C7038D +:0408A200176347A9E8 +:0408A300856300F772 +:0408A400453D000CC2 +:0408A500765000EF9A +:0408A6000154C7032F +:0408A70002C44783BD +:0408A80002F70363ED +:0408A900468147013C +:0408AA00458146013D +:0408AB00E0EF450134 +:0408AC00C503CD6F44 +:0408AD00E0EF015423 +:0408AE00C783EE9F6F +:0408AF0022230154AB +:0408B0000623000417 +:0408B100C78302F403 +:0408B200E395015475 +:0408B3000164C68393 +:0408B40002D44783A0 +:0408B50000D78D6378 +:0408B60000F4470300 +:0408B7004581460130 +:0408B800E0EF450127 +:0408B900C783CA2FF8 +:0408BA0006A301642C +:0408BB00478302F479 +:0408BC00CFA901645B +:0408BD00854A85EAF9 +:0408BE00FC3FE0EF2C +:0408BF000D634789F5 +:0408C000479108F55F +:0408C10002F50463D5 +:0408C20011634785F2 +:0408C300478304F56E +:0408C400CF8D00B420 +:0408C500C46FF0EF1D +:0408C6004D0DA81517 +:0408C70047C1BD6DFB +:0408C80040FB8A2344 +:0408C900BD454D05D7 +:0408CA00B5754D01B2 +:0408CB0000B44783AB +:0408CC00675DC39D04 +:0408CD00388757838E +:0408CE000027E79385 +:0408CF0038F71423BF +:0408D00000021737D4 +:0408D1000EF72023DB +:0408D200D0BFF0EFB4 +:0408D30012C0051337 +:0408D400DCFFD0EF86 +:0408D5002223BB41DE +:0408D60010EF00041B +:0408D70047836EE005 +:0408D800464501642C +:0408D900374A051382 +:0408DA000027971349 +:0408DB00879367D9BF +:0408DC0097BA9F47E1 +:0408DD0020EF438C39 +:0408DE0065B7662074 +:0408DF004645000189 +:0408E000A7858593D0 +:0408E100400A851331 +:0408E200650020EF9E +:0408E3004999C783E5 +:0408E400E0EFFFD56D +:0408E500BF5DD75FBD +:0408E60000B4478390 +:0408E700F0EFDBC58E +:0408E800B76DE20FF7 +:0408E900655D65D90B +:0408EA00061311419F +:0408EB0085930310DE +:0408EC000513B40537 +:0408ED00C60641C535 +:0408EE00458020EF32 +:0408EF00655D65D905 +:0408F0002F2006139C +:0408F1006F05859377 +:0408F20049C50513DC +:0408F300444020EF6E +:0408F40067DD40B2CA +:0408F5008AA3470586 +:0408F600450140E791 +:0408F70080820141B9 +:0408F800C4A2715DC8 +:0408F900DE4EC2A667 +:0408FA0069DD64D977 +:0408FB00C0CA645DAE +:0408FC00D266DC5292 +:0408FD00DA56C6867B +:0408FE00D65ED85A90 +:0408FF00D06AD46285 +:040900004901CE6E6D +:0409010084934C810E +:040902008A4EC44411 +:040903004504041390 +:04090400000165B7D2 +:04090500859346454B +:040906008513CE8502 +:0409070020EF36099E +:0409080097135BA046 +:040909009726002C01 +:04090A00655D430CD8 +:04090B000513464545 +:04090C0020EF3A0599 +:04090D00E0EF5A605D +:04090E006AC1CC1FCF +:04090F008D134701FC +:040910006B5DFFFA22 +:040911006DB76BDD76 +:040912006C5D000117 +:04091300000216B711 +:040914000D06A583A4 +:04091500000305379F +:0409160001A5F6330E +:0409170048CB28237E +:040918000D06A6839F +:04091900FFF6C6938C +:04091A00AA238EE995 +:04091B00C21D48DBD6 +:04091C000326026349 +:04091D00001C969391 +:04091E00E32D96A28D +:04091F0000B690236B +:040920008593464530 +:040921000513CF0DDE +:0409220020EF360A82 +:04092300E0EF54E0CD +:040924004705C69F1E +:04092500488C2603D1 +:04092600494BA68310 +:040927009063E20DEA +:040928009F63035670 +:0409290065D9040C7C +:04092A00036006134D +:04092B00CB058593E0 +:04092C00C636852224 +:04092D0035C020EFC2 +:04092E00470946B27D +:04092F0024234CED44 +:04093000C63A48DC9F +:0409310029034689C7 +:040932000E63490BFC +:04093300650902D779 +:040934007105051331 +:04093500C4BFD0EF7C +:04093600BF8D4732F8 +:040937000006D6835D +:0409380009E347097F +:0409390067B7FAD6CC +:04093A00464500012D +:04093B00CF8785934A +:04093C00360A05135F +:04093D004E4020EF19 +:04093E00BFFFE0EF28 +:04093F00BF59470154 +:0409400047091CF94E +:040941000C85BF6DF5 +:0409420053E34769CB +:040943004426F197BE +:04094400449640B6DF +:0409450059F2490614 +:040946005AD25A62C5 +:040947005BB25B4202 +:040948005C925C223F +:040949004DF25D020C +:04094A006161453D65 +:04094B004CD0006F1D +:04094C000793711D7F +:04094D00CA3E00B1ED +:04094E00879367DD47 +:04094F00CC3E4287D1 +:04095000879367DD45 +:04095100CE3E4297BD +:04095200879367DD43 +:04095300D03E42A7A9 +:04095400879367DD41 +:04095500D23E42B795 +:0409560067DDD43E47 +:0409570042C7879379 +:0409580027B7D63EA9 +:0409590087930F036E +:04095A00C63EF0178E +:04095B00303007939E +:04095C0000F118236B +:04095D00675D478DFE +:04095E0000F1092378 +:04095F00CCA267DDE2 +:040960004907A6039A +:04096100450704132F +:04096200CAA6CE86CD +:04096300C6CEC8CA6A +:04096400C2D6C4D261 +:04096500DE5EC0DAB8 +:04096600000105A3E4 +:040967004507071326 +:0409680045E9478195 +:0409690000075683AA +:04096A0000D61C6334 +:04096B006363476912 +:04096C00675910F7C0 +:04096D000713078ADB +:04096E0097BAB74736 +:04096F008782439C9C +:04097000846307098C +:0409710007853AB705 +:040972004789BFF101 +:04097300D70366DD63 +:040974004713388667 +:0409750094231007B0 +:04097600675D38E69B +:040977004947268343 +:0409780000F69713DB +:0409790000075D63B3 +:04097A00C70367DD6B +:04097B0046253CA72A +:04097C000663478542 +:04097D00079300C715 +:04097E00F7930017D4 +:04097F0097130FF7C4 +:040980005E6300E6CC +:04098100675D0007A7 +:0409820041C74683A0 +:04098300460145855F +:0409840000D5E56352 +:04098500F6130685DA +:040986000E230FF637 +:04098700472940C7F5 +:04098800866345013C +:04098900675D00E7BF +:04098A0034F70F230C +:04098B0067DD4505DA +:04098C003887D703CE +:04098D00C68366DDDA +:04098E009B4D49969E +:04098F008341074257 +:0409900038E794238D +:0409910066DDEA81B4 +:0409920039A6C68339 +:040993008F55068AEC +:0409940038E7942389 +:040995003887D703C5 +:04099600446640F67D +:04099700000217B78C +:040998000EE7A023A3 +:04099900494644D6B1 +:04099A004A2649B6EA +:04099B004B064A9627 +:04099C0061255BF284 +:04099D00478D808280 +:04099E004791BF912D +:04099F004795BF8138 +:0409A0004799B7B10B +:0409A100479DB7A116 +:0409A20047A1B79121 +:0409A30047A5B7812C +:0409A400675DBF3597 +:0409A50049974783A4 +:0409A6000017B693ED +:0409A70048D70CA37E +:0409A8004683675DBE +:0409A900675D3B1734 +:0409AA0034D70EA38D +:0409AB004505E79186 +:0409AC00093000EF1F +:0409AD00BF1947A97E +:0409AE00A4FFE0EFD3 +:0409AF0064DDBFE55F +:0409B000000217B773 +:0409B1003B448493AC +:0409B2000C07A40387 +:0409B30000A4878392 +:0409B40066374769F2 +:0409B50087B3000103 +:0409B600675D02E790 +:0409B70049C7071312 +:0409B8000613655D60 +:0409B90045C5BE066C +:0409BA0036050513E6 +:0409BB0067DD973E1F +:0409BC003B17C6839C +:0409BD001F4020EFC8 +:0409BE0000B4C78337 +:0409BF006785C7B9C8 +:0409C0008007879392 +:0409C10076938FE1B9 +:0409C20037337FF454 +:0409C300068500F0B5 +:0409C40000E696B300 +:0409C500071396BAC4 +:0409C600C3990700CA +:0409C700069007137C +:0409C800880D8041D5 +:0409C90002A00793EE +:0409CA000793E01996 +:0409CB0016370200D9 +:0409CC0028030002FA +:0409CD00655D060658 +:0409CE000001663787 +:0409CF00BEC6061387 +:0409D000051345C501 +:0409D10020EF3A05D4 +:0409D200E0EF1A2018 +:0409D300B79D9ADF53 +:0409D4005783675D81 +:0409D500C793388705 +:0409D60014230107DE +:0409D700BF9938F795 +:0409D800C70367DD0D +:0409D900460541C7C7 +:0409DA00656346818A +:0409DB00070500E626 +:0409DC000FF7769308 +:0409DD0040D78E234E +:0409DE0067DDBF35DD +:0409DF0041C7871372 +:0409E00000174703B2 +:0409E10087934605AD +:0409E200468141C742 +:0409E30000E6656362 +:0409E40076930705FA +:0409E50080A30FF7E5 +:0409E600BF2900D74E +:0409E7000793675DAE +:0409E800C78341C7B9 +:0409E90007130047A9 +:0409EA00C78141C7B9 +:0409EB00F79317FD6A +:0409EC0002230FF7DC +:0409ED00BDFD00F755 +:0409EE000713675D27 +:0409EF00478341C732 +:0409F00046B90047BD +:0409F10000F6F363B6 +:0409F200078547B975 +:0409F30065B7B7DD50 +:0409F400655D00013C +:0409F500859346455B +:0409F6000513BFC561 +:0409F70020EF3605B2 +:0409F80065B71FA020 +:0409F9006ADD0001B2 +:0409FA008593464556 +:0409FB008513C0C5DB +:0409FC0020EF3A0AA4 +:0409FD0069411E60CE +:0409FE006A5D69DDE8 +:0409FF00E0EF648938 +:040A00001B378F9F72 +:040A0100197D000259 +:040A020089934BED9C +:040A03000A133B494E +:040A0400849349CAC4 +:040A0500270371044E +:040A060087A20D0BAB +:040A0700773346817A +:040A0800D6030127E9 +:040A09000663000779 +:040A0A00068500E677 +:040A0B009AE30789DA +:040A0C008783FF7667 +:040A0D00476900A98C +:040A0E0002E787B3C1 +:040A0F0097D247151E +:040A10000177C78320 +:040A110002F7646321 +:040A12004A634711DB +:040A1300181804D7D4 +:040A14004703973EBF +:040A15005733FDC78F +:040A16008B0540D735 +:040A1700078ACF116A +:040A180097BA181859 +:040A1900FE47A7836A +:040A1A0000D780235E +:040A1B0089BFE0EFC0 +:040A1C008CA367DD63 +:040A1D00BD3D48078C +:040A1E000001663736 +:040A1F00061306852F +:040A200045C5C18681 +:040A21003A0A8513F5 +:040A2200060020EFBB +:040A230086BFE0EFBB +:040A24000007A537EB +:040A2500120505139E +:040A2600887FD0EF06 +:040A270047B1BFC153 +:040A2800FCF686E36F +:040A2900D0EF85265F +:040A2A00B7B5879F36 +:040A2B00871367DDE9 +:040A2C00470341C774 +:040A2D00467901877E +:040A2E0041C78793A2 +:040A2F006563468134 +:040A3000070500E6D0 +:040A31000FF77693B2 +:040A320000D78C233A +:040A330067DDB3E5E3 +:040A340041C787131C +:040A350001874703EB +:040A360041C787939A +:040A3700D76D46FD34 +:040A3800B7CD177DA2 +:040A3900000165B79C +:040A3A004645655D6B +:040A3B00C285859358 +:040A3C003605051363 +:040A3D000E4020EF58 +:040A3E00000165B797 +:040A3F004645655D66 +:040A4000C385859352 +:040A41003A0505135A +:040A42000D0020EF94 +:040A43006489694118 +:040A4400FE6FE0EF72 +:040A4500000219B7DB +:040A46004A6D197D5F +:040A47004B314AA540 +:040A4800710484931E +:040A49000D09A6836A +:040A4A004781872237 +:040A4B000126F6B3D7 +:040A4C000007560346 +:040A4D0000D60A6362 +:040A4E000709078508 +:040A4F00FF479AE3E0 +:040A5000D0EF852638 +:040A5100BFF9FDCF1D +:040A520000FACC6377 +:040A530007854729A3 +:040A540002E7E7B31B +:040A55000EA3675D28 +:040A5600F0EF34F792 +:040A5700B739A66F96 +:040A5800FF6790E3C1 +:040A59004785B721F5 +:040A5A0047A9B19562 +:040A5B000113B1BD15 +:040A5C001808DA019B +:040A5D0024112E230F +:040A5E0024812C23A0 +:040A5F0024912A2391 +:040A60002521282301 +:040A610025312623F2 +:040A620025412423E3 +:040A630025512223D4 +:040A640025612023C5 +:040A650023712E23A8 +:040A660023812C2399 +:040A670023912A238A +:040A680023A128237B +:040A6900F24FE0EF79 +:040A6A0064DD892A94 +:040A6B005B1010EF1D +:040A6C001863440DBA +:040A6D004611140911 +:040A6E000808180C50 +:040A6F0001C020EFB3 +:040A7000000165B765 +:040A71008593461112 +:040A72000808E90582 +:040A73007D1010EFF3 +:040A7400064009131C +:040A750012051763EC +:040A7600034157835E +:040A7700059346217C +:040A780005130361FE +:040A79001A230161DA +:040A7A0010EF00F188 +:040A7B0057837EF02F +:040A7C00570303E138 +:040A7D000EA304219F +:040A7E00162300013A +:040A7F00578300F1A8 +:040A800006930401D4 +:040A810017231E20F9 +:040A820047B200F186 +:040A830000E1162355 +:040A840004415703CF +:040A85001799D03EAF +:040A860000E1172351 +:040A8700D23A4732E6 +:040A880004615703AB +:040A890000E116234F +:040A8A000481570389 +:040A8B0000E117234C +:040A8C00D43A4732DF +:040A8D0022C1270358 +:040A8E00D63AC63A54 +:040A8F000CF6E1631D +:040A900006600913E0 +:040A91006637EF5D78 +:040A9200645D00019E +:040A9300E9860613D7 +:040A9400051345C53C +:040A950010EF360424 +:040A960056926930DB +:040A970000016637BD +:040A9800EA860613D1 +:040A9900851345C5B7 +:040A9A0010EF3A041B +:040A9B00E0EF67F031 +:040A9C005A12E88F73 +:040A9D0049815AA28F +:040A9E0020000B1316 +:040A9F00E4636BDDC4 +:040AA00093630F4904 +:040AA1004783240A59 +:040AA2004683016125 +:040AA30047030141C3 +:040AA4008163015118 +:040AA50067B7100718 +:040AA6008793000131 +:040AA7006637DA874D +:040AA800081300012E +:040AA90006130161CE +:040AAA0045C5EB460D +:040AAB0036040513F5 +:040AAC00639010EF54 +:040AAD00000165B728 +:040AAE0085934645A1 +:040AAF008513F0C5F6 +:040AB00010EF3A0405 +:040AB10069C154F0D3 +:040AB20069096ADD87 +:040AB300E2AFE0EFDF +:040AB40000021A37EB +:040AB5008B1319FD89 +:040AB6000913450AD1 +:040AB7002783710917 +:040AB800D7030D0A49 +:040AB900F7B3450A40 +:040ABA000A63013793 +:040ABB0057030AF7DC +:040ABC000F63002B99 +:040ABD00854A1CF753 +:040ABE00E26FD0EF24 +:040ABF000913B7CD93 +:040AC00010EF0650DD +:040AC100478545B070 +:040AC20022F90563AD +:040AC3001F27C363C3 +:040AC400F350079351 +:040AC50022F90463AB +:040AC6001D27C063C5 +:040AC700F34007935E +:040AC80022F90363A9 +:040AC900000165B70C +:040ACA00DDC585936E +:040ACB008513464504 +:040ACC0010EF3A04E9 +:040ACD00E0EF6A707C +:040ACE004537DC0FBD +:040ACF000513000FFC +:040AD000D0EF24053A +:040AD1005963DDCFB9 +:040AD200576322093B +:040AD30066372280E0 +:040AD400655D00015B +:040AD500EFC606134F +:040AD600051345C5FA +:040AD70010EF3605E1 +:040AD800147D58B081 +:040AD9000733A0BD82 +:040ADA007463413AC6 +:040ADB00071300EB12 +:040ADC0089932000DA +:040ADD0007422009A3 +:040ADE004681834189 +:040ADF000099D61391 +:040AE0008513180C56 +:040AE10010EF34CB13 +:040AE200892A2DD060 +:040AE300EE0509E330 +:040AE400BF85440D79 +:040AE500000167B7EE +:040AE600FEC787932D +:040AE70000EFB7095C +:040AE800675D6AB02C +:040AE9003887578370 +:040AEA000513650982 +:040AEB00E793710517 +:040AEC0014230027A8 +:040AED00202338F793 +:040AEE00D0EF0EFA3D +:040AEF0065B7D64FC2 +:040AF00005130001E9 +:040AF100464536043C +:040AF200EC058593F7 +:040AF30060D010EFD0 +:040AF4006B37440D0B +:040AF5004645000171 +:040AF600ECCB0593AD +:040AF7003A04851325 +:040AF8005F9010EF0C +:040AF900D12FE0EF2A +:040AFA000C1349810F +:040AFB006CDD20008E +:040AFC0010000B9348 +:040AFD00E5635A1241 +:040AFE0065B7074988 +:040AFF0069DD0001AC +:040B0000859346454E +:040B01008513EDC5A6 +:040B020010EF3609B1 +:040B030046455CF017 +:040B0400ECCB05939E +:040B05003A04851316 +:040B06005C1010EF80 +:040B0700CDAFE0EF9F +:040B0800559256228A +:040B09004501181476 +:040B0A00C50FE0EF44 +:040B0B001AE3892A36 +:040B0C0010EFEC05F5 +:040B0D0065B732B0E6 +:040B0E004645000157 +:040B0F00F2058593D3 +:040B1000360985130A +:040B11003CD010EFD5 +:040B1200000165B7C2 +:040B1300859346453B +:040B14008513EEC592 +:040B150010EF3A049F +:040B1600E0EF583084 +:040B1700A001C9CFA1 +:040B1800413A0A3321 +:040B190074638AD2A5 +:040B1A000A93014CED +:040B1B008D13200016 +:040B1C009713200902 +:040B1D008341010A05 +:040B1E0056134681A3 +:040B1F00180C409DD1 +:040B200034CC851339 +:040B21001DF010EFC4 +:040B22000933C501CD +:040B2300BD9540A09C +:040B24004089D99398 +:040B2500F46385D61A +:040B26000593015BD7 +:040B2700864E1000E6 +:040B2800E0EF1808DA +:040B2900892AB6EF70 +:040B2A00E4051DE3DE +:040B2B00014BE46333 +:040B2C00B78989EA12 +:040B2D000019861312 +:040B2E00F00A8593B1 +:040B2F00E0EF1A08D1 +:040B3000892AB52F2A +:040B3100BD35D57584 +:040B32000913440D52 +:040B3300BD15067076 +:040B34000913440D50 +:040B3500B53506804C +:040B3600F3600793CE +:040B370006F90A634E +:040B3800F3700793BC +:040B3900E4F910E3E8 +:040B3A00000165B79A +:040B3B00E3C58593F6 +:040B3C000793BD3529 +:040B3D0002630660E9 +:040B3E00CF6306F982 +:040B3F0007930127F0 +:040B40000163064007 +:040B4100079306F917 +:040B42001DE3065059 +:040B430065B7E0F9B9 +:040B44008593000194 +:040B4500BD19DF45B2 +:040B4600067007939B +:040B470004F9096341 +:040B48000680079389 +:040B4900E0F910E3DC +:040B4A00000165B78A +:040B4B00E285859327 +:040B4C0065B7BBF5D9 +:040B4D00859300018B +:040B4E00BBCDDE45F8 +:040B4F00000165B785 +:040B5000E5C58593DF +:040B510065B7B3E5EC +:040B52008593000186 +:040B5300BBF9E6C53F +:040B5400000165B780 +:040B5500E4C58593DB +:040B560065B7BBD1F3 +:040B57008593000181 +:040B5800B3E9E045D8 +:040B5900000165B77B +:040B5A00E805859392 +:040B5B0065B7B3C106 +:040B5C00859300017C +:040B5D00BB5DE14556 +:040B5E0025C120830A +:040B5F0025812403C5 +:040B60002541248384 +:040B6100250129033E +:040B620024C12983FE +:040B630024812A03BC +:040B640024412A837B +:040B650024012B0339 +:040B660023C12B83F9 +:040B670023812C03B7 +:040B680023412C8376 +:040B690023012D0334 +:040B6A000113557DA1 +:040B6B00808226015D +:040B6C00663786AAB8 +:040B6D00655D0001C1 +:040B6E0068C606133C +:040B6F00051345C560 +:040B7000106F3A05C3 +:040B71000693327045 +:040B720006B3465030 +:040B7300079302D50D +:040B7400663706409A +:040B7500655D0001B9 +:040B7600FE0606135E +:040B7700051345C558 +:040B7800C6B33A05C1 +:040B7900106F02F601 +:040B7A0086AA3030E7 +:040B7B0000016637D8 +:040B7C000613655D9A +:040B7D0045C5F9C6AB +:040B7E003A0505131C +:040B7F002ED0106FF5 +:040B8000000F46B765 +:040B8100240686932D +:040B820002D5053360 +:040B83008793678964 +:040B84000713964776 +:040B850036B73E80C1 +:040B860086930063EF +:040B87006637EA06DD +:040B8800061300014F +:040B890045C5F9065F +:040B8A0002F557B366 +:040B8B0002E7F7B3D3 +:040B8C0056B34729EC +:040B8D00655D02D5CB +:040B8E003A0505130C +:040B8F0002E7D7336F +:040B90002A90106F28 +:040B91004670069311 +:040B920002D506B3CF +:040B9300064007937E +:040B940000016637BF +:040B95000613655D81 +:040B960045C56846A3 +:040B97003A05051303 +:040B980002F6C6B3E8 +:040B99002850106F61 +:040B9A00663786AA8A +:040B9B00655D000193 +:040B9C00FC860613BA +:040B9D00051345C532 +:040B9E00106F3A0595 +:040B9F00069326F0A3 +:040BA00006B3271061 +:040BA100079302D5DF +:040BA200663706406C +:040BA300655D00018B +:040BA400FE860613B0 +:040BA500051345C52A +:040BA600C6B33A0593 +:040BA700106F02F6D3 +:040BA800069324B0DC +:040BA9000505271007 +:040BAA0002D5053338 +:040BAB000640069367 +:040BAC0000016637A7 +:040BAD00FE860613A7 +:040BAE0046B345C540 +:040BAF00655D02D5A9 +:040BB0003A050513EA +:040BB1002250106F4F +:040BB2000185169310 +:040BB300663786E13A +:040BB400655D00017A +:040BB500061316D13C +:040BB60045C5F746F4 +:040BB7003A050513E3 +:040BB8002090106F0A +:040BB900053345E9D2 +:040BBA0065DD02B53E +:040BBB0049C5859310 +:040BBC0095AA46456B +:040BBD000513655D5A +:040BBE00106F3A0575 +:040BBF0017932DF06B +:040BC000655900254E +:040BC100FBC5051358 +:040BC200410C953E0F +:040BC3004645655DE1 +:040BC4003A050513D6 +:040BC5002C50106F31 +:040BC6000F6347A9C9 +:040BC700179300F58B +:040BC800655D002542 +:040BC900B745051314 +:040BCA00410C953E07 +:040BCB004645655DD9 +:040BCC003A050513CE +:040BCD002A50106F2B +:040BCE00000165B706 +:040BCF00FA8585938B +:040BD00067DDB7F531 +:040BD1004907A70326 +:040BD200879367DDC1 +:040BD30046AD4507DF +:040BD400D58346453A +:040BD50094630167BD +:040BD600F69306E5A7 +:040BD700E1190FF61B +:040BD80028070B637C +:040BD900C64E1101F2 +:040BDA00C80369DD06 +:040BDB00C84A329939 +:040BDC000793695DB5 +:040BDD00151317894C +:040BDE0097AA00389A +:040BDF00CC22CE0650 +:040BE000A883CA26F6 +:040BE100C7830007BF +:040BE2004751004730 +:040BE3000048A6031D +:040BE40002E787336A +:040BE500FF5685939F +:040BE6000FF5F5937F +:040BE7008993431596 +:040BE8000913329922 +:040BE900973217899F +:040BEA000047460377 +:040BEB0002B3686386 +:040BEC00058A6359BA +:040BED00F3430313B8 +:040BEE00418C959A07 +:040BEF000685858270 +:040BF00098E30789F6 +:040BF1004681F8C67B +:040BF200E399BF596B +:040BF3000008C783AC +:040BF400F79317FD5F +:040BF500954A0FF717 +:040BF60000F50223E1 +:040BF70044814401F0 +:040BF800C703A099F6 +:040BF9000785000864 +:040BFA00E7B3954A7E +:040BFB00B7ED02E769 +:040BFC000008066384 +:040BFD008023187DBC +:040BFE00B7CD010965 +:040BFF0040F244621A +:040C0000494244D24F +:040C010067DD49B2B0 +:040C020048078CA370 +:040C0300E06F610538 +:040C0400478D8F8FFA +:040C050004F60D6381 +:040C060011E347911E +:040C0700471CFCF694 +:040C08009782448506 +:040C0900C783842AEF +:040C0A00655D00091B +:040C0B00078E4645C5 +:040C0C004783993E43 +:040C0D0029830049EE +:040C0E00495100093F +:040C0F0003278933FB +:040C10000049A7836D +:040C1100360505138C +:040C1200438C97CAAE +:040C130018D010EFF6 +:040C14000049A78369 +:040C150097CA4691A3 +:040C16000047C703C9 +:040C170012E6EA6394 +:040C1800070A66D988 +:040C1900F4C6869304 +:040C1A0043189736AE +:040C1B004B1C8702E5 +:040C1C009782C39167 +:040C1D000009C78380 +:040C1E008593485121 +:040C1F00078E001725 +:040C200000F907339D +:040C2100470343142E +:040C220042D0004775 +:040C23000307073389 +:040C2400003596936E +:040C250000D90533BA +:040C2600000528039A +:040C270047189732A1 +:040C280000E8046379 +:040C2900000502239D +:040C2A00C78397CA1B +:040C2B0047510047E6 +:040C2C0087B396CA2A +:040C2D00802302E737 +:040C2E00963E00B935 +:040C2F00C29C461C01 +:040C30004791BF31F8 +:040C3100F0C7ECE339 +:040C3200879367D964 +:040C3300060AF607B0 +:040C3400421C963E8A +:040C3500470C87825F +:040C36004503483DED +:040C3700460300C7A9 +:040C3800C78300D797 +:040C39004703000568 +:040C3A009D6300E7CF +:040C3B0078630106D3 +:040C3C0017FD00F6AA +:040C3D000FF7F713A3 +:040C3E0000E580232A +:040C3F00FD6DB5C5CD +:040C4000BFDD87325B +:040C410000E7F8636D +:040C4200F613078519 +:040C430080230FF704 +:040C4400B5E900C549 +:040C4500863AFD6D81 +:040C46004710BFDDB7 +:040C4700578345BDCD +:040C48009C630006A3 +:040C4900570300B697 +:040C4A00756300C707 +:040C4B0017FD00F79A +:040C4C0083C107C297 +:040C4D0000F610237A +:040C4E005703B5553E +:040C4F00FBE300E7DC +:040C50000785FEE72F +:040C51004750B7F55C +:040C5200421845BD42 +:040C530000074783CC +:040C540000B69963EA +:040C550017FDC7813F +:040C56000FF7F7930A +:040C570000F700237F +:040C58004683BDB55D +:040C5900F5630046F9 +:040C5A00078500D733 +:040C5B000FF7F69306 +:040C5C0000D700239A +:040C5D004798B5A55A +:040C5E00655D4B9CE9 +:040C5F000007470340 +:040C600005134645ED +:040C6100070A3A053F +:040C6200438C97BA6E +:040C630004D010EFBA +:040C640040F24462B4 +:040C6500494244D2EA +:040C6600610549B229 +:040C6700F5BFD06F96 +:040C68004B9C4798C2 +:040C69000007450338 +:040C6A00B7DD9782D9 +:040C6B004B9C4798BF +:040C6C000007550325 +:040C6D00BFE99782C2 +:040C6E00802367DD9B +:040C6F00BFC93A07B8 +:040C7000C005C49562 +:040C7100000166B761 +:040C7200F846869327 +:040C730000016637DF +:040C74000613655DA1 +:040C750045C5F8C6B3 +:040C76003A05051323 +:040C770070C010EF4A +:040C780066B7BF4557 +:040C7900869300015D +:040C7A00B7CDF7C635 +:040C7B00D7E947DC92 +:040C7C00479C4398B6 +:040C7D008082BF456D +:040C7E00EF6347BD1C +:040C7F0057B716A7A6 +:040C8000712D44523C +:040C810035578793C9 +:040C820057B7C03E62 +:040C83008793004112 +:040C8400C23E441711 +:040C85008793679555 +:040C8600142320070C +:040C8700379300F1AE +:040C8800242300A57C +:040C890005231141ED +:040C8A000A1300F158 +:040C8B002E2301050E +:040C8C002C231011F4 +:040C8D002A23108185 +:040C8E002823109176 +:040C8F0026231121E6 +:040C900022231131D9 +:040C910020231151BA +:040C920047A5116100 +:040C9300F9630A22D5 +:040C940065DD08A76B +:040C9500044007937D +:040C960085934629D3 +:040C97000513390503 +:040C980005A300D1DF +:040C9900062300F13D +:040C9A0010EF000156 +:040C9B0067DD5A6057 +:040C9C0035E7C783EE +:040C9D00061365DDF8 +:040C9E000C230360C0 +:040C9F0067DD00F11C +:040CA00035C7C7830A +:040CA10045058593ED +:040CA20001B1051384 +:040CA30000F10CA3AD +:040CA400C78367DDBE +:040CA5000BA33B273B +:040CA60067DD00F115 +:040CA70039A7C7831F +:040CA80000F10D2327 +:040CA90056C010EF32 +:040CAA0005938652D6 +:040CAB00850A0510A1 +:040CAC00D61FD0EF90 +:040CAD0000A035333B +:040CAE0040A005332A +:040CAF0011C12083CC +:040CB0001181240387 +:040CB1001141248346 +:040CB2001101290300 +:040CB30010C12983C0 +:040CB40010812A037E +:040CB50010412A833D +:040CB60010012B03FB +:040CB70080826115C1 +:040CB800031007938B +:040CB90000F105A39E +:040CBA0057C965DDD4 +:040CBB0000F106A39B +:040CBC000310061308 +:040CBD00859347894B +:040CBE00051341C514 +:040CBF00072300F116 +:040CC0006ADD00F1F8 +:040CC1000001062305 +:040CC200508010EF5F +:040CC30049CA859302 +:040CC4000C00061307 +:040CC50010EF0088A4 +:040CC60086524FA063 +:040CC7001000059381 +:040CC800D0EF850ADA +:040CC9004905CEFF0C +:040CCA000C0009937E +:040CCB0023200413CB +:040CCC0010000B13F6 +:040CCD0049CA8A93F3 +:040CCE00746384A225 +:040CCF000493008BFF +:040CD00004C210004A +:040CD10085B380C1A6 +:040CD2008626013A37 +:040CD3008C05850AFD +:040CD4004C0010EFD1 +:040CD500044299A696 +:040CD6000149063397 +:040CD700850A85A65F +:040CD800090509C23F +:040CD900D0EF804197 +:040CDA00D993CABF21 +:040CDB00791301097F +:040CDC00F0790FF9A3 +:040CDD00B79945017D +:040CDE008082557D3E +:040CDF0026237169EE +:040CE00024231211A6 +:040CE1002223128137 +:040CE2002023129128 +:040CE3002E23132188 +:040CE4002C2311317B +:040CE5002A2311416C +:040CE600282311515D +:040CE700262311614E +:040CE800242311713F +:040CE90047BD118171 +:040CEA0002A7FA6300 +:040CEB00208359FD0C +:040CEC00240312C10A +:040CED00854E12819D +:040CEE001241248308 +:040CEF0012012903C2 +:040CF00011C1298382 +:040CF10011812A0340 +:040CF20011412A83FF +:040CF30011012B03BD +:040CF40010C12B837D +:040CF50010812C033B +:040CF6008082615542 +:040CF700010504935C +:040CF800860A04C2A2 +:040CF900100005934F +:040CFA00D0EF85268C +:040CFB00FD5DBEBF1E +:040CFC00000165B7D7 +:040CFD006A0585936C +:040CFE00D0EF850AA4 +:040CFF0089AAA0EF2F +:040D000014051B6358 +:040D010000814783A3 +:040D020014079963D6 +:040D03000091470311 +:040D0400052007932C +:040D050014F7136369 +:040D060000A147837E +:040D07004705CFC904 +:040D0800F8E797E38E +:040D09004703493221 +:040D0A00779300B12A +:040D0B0007A20FF933 +:040D0C0007138FD961 +:040D0D009CE3031050 +:040D0E005913F6E798 +:040D0F00094200890C +:040D10000109591369 +:040D11002F200793F5 +:040D1200F6F913E3F8 +:040D13000613655D01 +:040D14000593031030 +:040D1500051300F1D1 +:040D16006ADD41C58C +:040D17003B4010EF5E +:040D180004134A0175 +:040D19000B93040034 +:040D1A008A931000A8 +:040D1B000C1349CAA2 +:040D1C000B130F2086 +:040D1D008633100009 +:040D1E000533408BCE +:040D1F0005B3015ABD +:040D20008493008137 +:040D21000F63100448 +:040D220004330B8902 +:040D230010EF408B02 +:040D2400945238208D +:040D2500F0090913B5 +:040D260001041A1397 +:040D2700860A0942ED +:040D2800100005931F +:040D29005A138526AE +:040D2A005913010A4E +:040D2B00D0EF0109FB +:040D2C004401B27F4D +:040D2D004783B7C978 +:040D2E00470300C1B6 +:040D2F0007A200B166 +:040D300007138FD93D +:040D310094E3044003 +:040D320066DDEEE7A5 +:040D33004781870A63 +:040D34008693452538 +:040D350045A939068D +:040D360000D7460399 +:040D370000C566632A +:040D380000D7883325 +:040D390000C80023CB +:040D3A00070507851D +:040D3B00FEB796E386 +:040D3C000191478357 +:040D3D000E23675DBD +:040D3E00472534F71A +:040D3F0000F76663F0 +:040D40000F23675DB9 +:040D4100A02934F7BA +:040D42000181478361 +:040D4300FEF77AE35A +:040D440001714703EF +:040D4500655D67DDA4 +:040D46003AE78923DC +:040D4700C70367DD9A +:040D480067DD390723 +:040D4900036006132A +:040D4A003AE788A359 +:040D4B0001A14703B8 +:040D4C00059367DDC7 +:040D4D00051301B1D8 +:040D4E008D234505A7 +:040D4F0010EF38E782 +:040D5000B5B52D20E8 +:040D51000F20061356 +:040D52002C8010EFF2 +:040D5300470567DD0C +:040D540040E78AA347 +:040D55004985BDA966 +:040D56004989BD9971 +:040D57001141BD8900 +:040D58001437C42266 +:040D5900C2260002AC +:040D5A0084AA460120 +:040D5B0004A0059358 +:040D5C000404051373 +:040D5D00C0EFC60617 +:040D5E0085A6F8FF6F +:040D5F000513460131 +:040D6000C0EF0404D8 +:040D61000593FBDF1C +:040D6200051304A0D1 +:040D63004605040439 +:040D6400F75FC0EF86 +:040D6500040405136A +:040D660040B2442231 +:040D670045854492E8 +:040D6800C06F014116 +:040D69001141F83FFD +:040D6A001437C42254 +:040D6B00C22600029A +:040D6C0084AEC04A47 +:040D6D004601892A88 +:040D6E0004A0059345 +:040D6F000404051360 +:040D7000C0EFC60604 +:040D710085CAF43FFC +:040D7200051346011E +:040D7300C0EF0404C5 +:040D74000513F71F4D +:040D7500442204040C +:040D7600490240B23C +:040D7700449285A677 +:040D780001414605EA +:040D7900F5BFC06F93 +:040D7A00C42211413D +:040D7B0014334405E4 +:040D7C00741300A448 +:040D7D0085A20FF448 +:040D7E00C606451947 +:040D7F00FABFF0EFD8 +:040D8000442285A2E2 +:040D8100451D40B21A +:040D8200F06F0141CC +:040D83001141F9DF42 +:040D8400C42205067A +:040D85000FF57413DF +:040D8600450585A2F8 +:040D8700F0EFC606BD +:040D880085A2F89FA9 +:040D890040B244220E +:040D8A0001414509D5 +:040D8B00F7BFF06F4F +:040D8C0045151141B7 +:040D8D00F0EFC606B7 +:040D8E000713F29FB6 +:040D8F004781086030 +:040D900004E5136300 +:040D910009000593BD +:040D92000200051343 +:040D9300F5BFF0EFC9 +:040D9400051345817D +:040D9500F0EF021069 +:040D96004581F51F7F +:040D9700022005131E +:040D9800F47FF0EF05 +:040D99000513458D6C +:040D9A00F0EF023044 +:040D9B004581F3DFBC +:040D9C0002800513B9 +:040D9D00F33FF0EF41 +:040D9E0003000593B6 +:040D9F000710051321 +:040DA000F27FF0EFFF +:040DA10040B2478590 +:040DA2000141853E48 +:040DA3001101808238 +:040DA40000A107A300 +:040DA50000021537FC +:040DA6004605CC2210 +:040DA7000513842E7E +:040DA80005930405A6 +:040DA900CE0600F181 +:040DAA00EE1FC0EF89 +:040DAB00C0EF8522EE +:040DAC0040F2A71F4B +:040DAD006105446236 +:040DAE0011418082ED +:040DAF00645DC42299 +:040DB00038845783A9 +:040DB100C226C6068A +:040DB200F9F7F793C3 +:040DB30083C107C22F +:040DB40038F41423D8 +:040DB500000214B76D +:040DB6000EF4A02374 +:040DB700C0EF4551F3 +:040DB80045D1A41F5E +:040DB900038005139B +:040DBA00FA7FF0EFDD +:040DBB00051345D106 +:040DBC00F0EF0390C1 +:040DBD0045D1F9DF44 +:040DBE00F0EF4551BC +:040DBF0045D1F95FC2 +:040DC0000710051300 +:040DC100F8BFF0EF98 +:040DC200051345D1FF +:040DC300F0EF05E068 +:040DC40045D1F81FFE +:040DC50006D005133C +:040DC600F77FF0EFD4 +:040DC700453145D19C +:040DC800F6FFF0EF53 +:040DC900320005935C +:040DCA00F0EF4505FC +:040DCB0045D1F65FB9 +:040DCC00F0EF4519E6 +:040DCD000593F5DFB6 +:040DCE0045093200A1 +:040DCF00F53FF0EF0D +:040DD0003884578389 +:040DD100E79340B2B2 +:040DD20014230407DB +:040DD300442238F48A +:040DD4000EF4A02356 +:040DD5000141449202 +:040DD6001101808205 +:040DD700645DCC2269 +:040DD8003884578381 +:040DD900CA26CE0652 +:040DDA00F9F7F7939B +:040DDB0083C107C207 +:040DDC00C64EC84AED +:040DDD001423C452C5 +:040DDE0014B738F41A +:040DDF008A2A00025A +:040DE0000EF4A0234A +:040DE100892E45050D +:040DE2003200059343 +:040DE300F03FF0EFFE +:040DE4003884578375 +:040DE500855245C12D +:040DE6000207E79386 +:040DE70038F41423A5 +:040DE8000EF4A02342 +:040DE90026C010EF21 +:040DEA000FF57993F5 +:040DEB0000099B63FD +:040DEC00000175B7D6 +:040DED00859346455F +:040DEE008552A08505 +:040DEF0021C010EF20 +:040DF000448149856C +:040DF100009A07B3AA +:040DF2000007C5032E +:040DF300048545D15D +:040DF400EBFFF0EF32 +:040DF5000FF4F7936D +:040DF600FF37E6E3FA +:040DF7003884578362 +:040DF800000214B72A +:040DF900F79345D156 +:040DFA0007C2FDF738 +:040DFB00142383C179 +:040DFC00A02338F404 +:040DFD0005130EF4D8 +:040DFE00F0EF0C0006 +:040DFF005783E95FCE +:040E000045C138842C +:040E0100E793854AA4 +:040E020014230207AC +:040E0300A02338F4FC +:040E040010EF0EF4E9 +:040E050079931FE0DE +:040E06009B630FF5E6 +:040E070075B70009B2 +:040E0800464500015A +:040E0900A0858593A8 +:040E0A0010EF854A16 +:040E0B0049851AE01B +:040E0C0007B3448163 +:040E0D00C503009980 +:040E0E0045D10007C3 +:040E0F00F0EF048577 +:040E1000F793E51F50 +:040E1100E6E30FF411 +:040E12005783FF37CC +:040E130040F23884ED +:040E1400000217378A +:040E15000407E79354 +:040E160038F4142375 +:040E170020234462EE +:040E180044D20EF7BB +:040E190049B249424F +:040E1A0061054A2202 +:040E1B00114180827F +:040E1C001437C422A1 +:040E1D00C2260002E7 +:040E1E0084AA46015B +:040E1F0002C0059375 +:040E200004040513AE +:040E2100C0EFC60652 +:040E220085A6C7FFDB +:040E23000513460568 +:040E2400C0EF040413 +:040E25000593CADF88 +:040E2600051302C0EE +:040E27004605040474 +:040E2800C65FC0EFF2 +:040E290004040513A5 +:040E2A0040B244226C +:040E2B004585449223 +:040E2C00C06F014151 +:040E2D001141C73F69 +:040E2E001437C4228F +:040E2F00C2260002D5 +:040E300084AEC04A82 +:040E31004601892AC3 +:040E320002C0059362 +:040E3300040405139B +:040E3400C0EFC6063F +:040E350085CAC33F68 +:040E36000513460159 +:040E3700C0EF040400 +:040E38000513C61FB9 +:040E39004422040447 +:040E3A00490240B277 +:040E3B00449285A6B2 +:040E3C000141460525 +:040E3D00C4BFC06FFF +:040E3E000002153762 +:040E3F004601114116 +:040E400005134581D0 +:040E4100C6060405D8 +:040E4200C37FC0EFBB +:040E4300C0EF45298E +:040E440045E1811FE4 +:040E4500F0EF450580 +:040E460045E1FA1F69 +:040E4700F0EF45097A +:040E480045E1F99FE8 +:040E4900F0EF450D74 +:040E4A004505F91F42 +:040E4B00F43FF0EF91 +:040E4C00152140B27A +:040E4D000015351344 +:040E4E00808201415C +:040E4F00C422114167 +:040E50004505842AA6 +:040E5100F0EFC606F2 +:040E5200991DF29F55 +:040E53008C49040EB4 +:040E54000FF4741310 +:040E5500450585A228 +:040E5600F5FFF0EFC5 +:040E5700450985A222 +:040E5800F57FF0EF43 +:040E5900442285A208 +:040E5A00450D40B250 +:040E5B00F06F0141F2 +:040E5C001141F49FAD +:040E5D00842AC422FD +:040E5E00C60645057A +:040E5F00EF3FF0EF82 +:040E60007513478936 +:040E610013630D8585 +:040E6200641302F41F +:040E630085A200154F +:040E6400F0EF450561 +:040E650085A2F25F11 +:040E6600F0EF45095B +:040E670085A2F1DF90 +:040E680040B244222E +:040E69000141450DF1 +:040E6A00F0FFF06F36 +:040E6B008C49041694 +:040E6C000FF47413F8 +:040E6D0000446413C6 +:040E6E001141BFD996 +:040E6F001437C4224E +:040E7000C226000294 +:040E710084AA460108 +:040E720005C005931F +:040E7300040405135B +:040E7400C0EFC606FF +:040E7500F593B33FFF +:040E760046050FF42A +:040E77000404051357 +:040E7800B5FFC0EF13 +:040E790005C0059318 +:040E7A000404051354 +:040E7B00C0EF460579 +:040E7C000513B17F2A +:040E7D004422040403 +:040E7E00449240B2A8 +:040E7F000141458563 +:040E8000B25FC06F2E +:040E8100C422114135 +:040E8200000214371F +:040E8300C04AC22679 +:040E8400892A84AE85 +:040E8500059346018A +:040E8600051305C08B +:040E8700C606040493 +:040E8800AE5FC0EFAA +:040E89000FF9759355 +:040E8A000513460105 +:040E8B00C0EF0404AC +:040E8C000513B11F7A +:040E8D0044220404F3 +:040E8E00490240B223 +:040E8F00449285A65E +:040E900001414605D1 +:040E9100AFBFC06FC0 +:040E920005131141F2 +:040E9300C60602206D +:040E9400C226C4228C +:040E9500F67FF0EF05 +:040E96000FF57413CD +:040E9700455945CDA7 +:040E9800FA5FF0EF1E +:040E990085136489D0 +:040E9A00C0EF710430 +:040E9B00458DEB4F47 +:040E9C00F0EF455DD1 +:040E9D008513F93F81 +:040E9E00C0EF71042C +:040E9F006593EA4F1E +:040EA000051308042A +:040EA100F0EF02204C +:040EA2000513F7FF3E +:040EA300C0EF3E80DE +:040EA4007593E90F4A +:040EA500442207F4E8 +:040EA600449240B280 +:040EA700022005130D +:040EA800F06F0141A5 +:040EA9001141F63FBE +:040EAA006409C422F1 +:040EAB0071040513B6 +:040EAC00C0EFC606C7 +:040EAD0045C5E6CF82 +:040EAE00F0EF4559C3 +:040EAF000513F4BF74 +:040EB000C0EF71041A +:040EB100455DE5CFE7 +:040EB200F0EF45898F +:040EB3000513F3BF71 +:040EB400442271045F +:040EB500014140B205 +:040EB600E46FC06FB6 +:040EB700C4221141FF +:040EB80085AA842E55 +:040EB900C6064549DB +:040EBA00F1DFF0EF85 +:040EBB00442285A2A6 +:040EBC00454D40B2AE +:040EBD00F06F014190 +:040EBE0085AAF0FF12 +:040EBF0003D0051344 +:040EC000F05FF06F80 +:040EC100454585AA74 +:040EC200EFDFF06FFF +:040EC300C60611410D +:040EC4004783C4227A +:040EC500842A006516 +:040EC6009593456D4E +:040EC7008DDD004776 +:040EC8000FF5F5939A +:040EC900EE1FF0EF39 +:040ECA0000644583F8 +:040ECB00F0EF45718E +:040ECC004583ED7FEE +:040ECD00452900347F +:040ECE00ECDFF0EF76 +:040ECF000044458313 +:040ED000F0EF4525D5 +:040ED1004583EC3F2A +:040ED2004521005462 +:040ED300EB9FF0EFB2 +:040ED400000445834E +:040ED500F0EF4535C0 +:040ED6004583EAFF67 +:040ED700453100148D +:040ED800EA5FF0EFEE +:040ED9000024458329 +:040EDA0040B24422BC +:040EDB000141452D5F +:040EDC00E95FF06F6B +:040EDD00CC22110111 +:040EDE004511842A0C +:040EDF00C632CA2627 +:040EE000CE0684AE08 +:040EE100E37FF0EFCC +:040EE200759346328C +:040EE300CE4D0F855C +:040EE4007FF0079301 +:040EE5000A87EA632B +:040EE600E59345113A +:040EE700F0EF001513 +:040EE8001513E67F79 +:040EE90014130014CA +:040EEA00804101053D +:040EEB0000445593D7 +:040EEC000FF5F59376 +:040EED00F0EF4505D8 +:040EEE001593E4FF75 +:040EEF00F593004433 +:040EF00045090F059C +:040EF100E41FF0EF1B +:040EF200019C05B7A3 +:040EF300CC05859312 +:040EF4000295D5B3DB +:040EF5000225573744 +:040EF6000FF70713D8 +:040EF70085B34781F7 +:040EF800706302859C +:040EF900273702B7DE +:040EFA000713042CAA +:040EFB004785D7F759 +:040EFC0000B779635F +:040EFD00080BF7B730 +:040EFE00FBF78793E4 +:040EFF0000B7B7B3CE +:040F0000675D078999 +:040F0100310707139A +:040F02004503973ECE +:040F030007130007C9 +:040F0400053302802F +:040F0500571302E597 +:040F0600953A001404 +:040F070002854533E7 +:040F08007513471DF9 +:040F090075930FF5D8 +:040F0A0073630FF509 +:040F0B00459D00A759 +:040F0C0040F2446209 +:040F0D00058E44D237 +:040F0E008DDD079AD4 +:040F0F000F85F593C2 +:040F10006105450D25 +:040F1100DC1FF06F82 +:040F1200F0EF4511A6 +:040F1300BFB9DBBFC8 +:040F1400C4221141A1 +:040F15004569842A7C +:040F1600F0EFC6062C +:040F17004785D61F15 +:040F18000FA5759319 +:040F190000F41A6363 +:040F1A000025E59336 +:040F1B0040B244227A +:040F1C0001414569E1 +:040F1D00D91FF06F79 +:040F1E0000A5E593B2 +:040F1F005583BFC572 +:040F2000114100A5D6 +:040F210081A1C422C4 +:040F22000513842A05 +:040F2300C60604B04A +:040F2400D75FF0EFB4 +:040F250000A445835C +:040F260004A005130B +:040F2700D69FF0EF72 +:040F280000C4558329 +:040F290004D00513D8 +:040F2A00F0EF81A1C2 +:040F2B004583D5BF66 +:040F2C00051300C4E5 +:040F2D00F0EF04C01D +:040F2E005583D4FF14 +:040F2F00051300E4C2 +:040F300081A104F0A7 +:040F3100D41FF0EFEA +:040F320000E445830F +:040F330004E00513BE +:040F3400D35FF0EFA8 +:040F3500004455839C +:040F3600051005138A +:040F3700F0EF81A1B5 +:040F38004583D27F9C +:040F39000513004458 +:040F3A00F0EF0500CF +:040F3B005583D1BF4A +:040F3C000513006435 +:040F3D0081A1053059 +:040F3E00D0DFF0EF21 +:040F3F000064458382 +:040F40000520051370 +:040F4100D01FF0EFDE +:040F4200008455834F +:040F4300055005133D +:040F4400F0EF81A1A8 +:040F45004583CF3FD2 +:040F4600051300840B +:040F4700F0EF054082 +:040F48005583CE7F80 +:040F49000513010487 +:040F4A0081A105700C +:040F4B00CD9FF0EF57 +:040F4C0001044583D4 +:040F4D000560051323 +:040F4E00CCDFF0EF15 +:040F4F0001245583A1 +:040F500005900513F0 +:040F5100F0EF81A19B +:040F52004583CBFF09 +:040F5300051301245D +:040F5400F0EF058035 +:040F55005583CB3FB6 +:040F5600051301443A +:040F570081A105B0BF +:040F5800CA5FF0EF8D +:040F59000144458387 +:040F5A0040B244223B +:040F5B0005A00513D5 +:040F5C00F06F0141F0 +:040F5D001141C93F36 +:040F5E00842AC422FB +:040F5F0003F0051383 +:040F6000F0EFC606E2 +:040F61007593C39F22 +:040F62008DC10F0529 +:040F630040B2442232 +:040F640003F005137E +:040F6500F06F0141E7 +:040F66001141C6FF70 +:040F6700842AC422F2 +:040F6800C60645690B +:040F6900C17FF0EF65 +:040F6A000064159377 +:040F6B007513442294 +:040F6C0040B203F597 +:040F6D00F5938DC9A2 +:040F6E0045690FF5CD +:040F6F00F06F0141DD +:040F70001101C47F28 +:040F7100461D65DDD7 +:040F7200A4058593BA +:040F7300CE0600287E +:040F7400241000EF56 +:040F7500C75FF0EF73 +:040F7600F0EF450152 +:040F77004585E77F46 +:040F7800035005130A +:040F7900C21FF0EFB4 +:040F7A00051365599D +:040F7B00F0EF6A85A4 +:040F7C004501E91F23 +:040F7D00FA7FF0EF18 +:040F7E00F0EF451932 +:040F7F000513D01F67 +:040F8000F0EF04404A +:040F81004581D03F97 +:040F8200F0EF450542 +:040F83000028CD3F36 +:040F8400CFDFF0EFDC +:040F8500610540F2D0 +:040F86001101808253 +:040F8700842ACC22CA +:040F8800CE0645113B +:040F8900F0EFC62E91 +:040F8A0045B2B95F54 +:040F8B0002B4043375 +:040F8C0000757593E4 +:040F8D007793451100 +:040F8E00078E01F4D5 +:040F8F00F0EF8DDD15 +:040F90005513BC7FBA +:040F910040F2405496 +:040F9200751344622D +:040F930061050FF5F0 +:040F94001141808205 +:040F9500842AC422C4 +:040F9600C606454105 +:040F9700B5FFF0EFC3 +:040F98000034159379 +:040F9900891D442248 +:040F9A008DC940B20B +:040F9B000FF5F593C6 +:040F9C000141454189 +:040F9D00B91FF06F19 +:040F9E001141C13903 +:040F9F000513C2264E +:040FA00084AE0260B9 +:040FA10008000593AC +:040FA200C606C42299 +:040FA300F0EF8432B5 +:040FA4004785B77F47 +:040FA500009405931C +:040FA60000F4896367 +:040FA700059347A1C6 +:040FA800846305A4B5 +:040FA900059300F4B8 +:040FAA004422018458 +:040FAB00449240B27A +:040FAC000FF5F593B5 +:040FAD000310051315 +:040FAE00F06F01419E +:040FAF004581B4BF05 +:040FB00002600513C3 +:040FB1001101BFDD8E +:040FB200CA26CC225D +:040FB300C64EC84A14 +:040FB400CE06C4524F +:040FB500842A4785BE +:040FB60089B2892E45 +:040FB70084BA8A3638 +:040FB80000F50E63CF +:040FB900006347A1E9 +:040FBA00059306F5A0 +:040FBB00F593006743 +:040FBC0045150FF5D3 +:040FBD00B11FF0EF81 +:040FBE00A80945C178 +:040FBF00002705936F +:040FC0000FF5F593A1 +:040FC100F0EF4515F3 +:040FC2004599AFFF9F +:040FC300F0EF4519ED +:040FC40085A2AF7FD4 +:040FC5004505862632 +:040FC600F61FF0EF33 +:040FC70045B147C128 +:040FC80000F40363CB +:040FC900051345A126 +:040FCA00F0EF022022 +:040FCB004462ADBF10 +:040FCC0044D240F2D9 +:040FCD0085CE8652F5 +:040FCE0049B24A22B8 +:040FCF004942854AC4 +:040FD000F06F610558 +:040FD1000593C33F82 +:040FD200F593032769 +:040FD30045150FF5BC +:040FD400AB5FF0EF30 +:040FD500020005937E +:040FD6001141BF55B1 +:040FD700FFE5879318 +:040FD800C226C42247 +:040FD900F793C606BE +:040FDA0047050FF7C1 +:040FDB00842E84AA32 +:040FDC0006F7696348 +:040FDD0047814709F8 +:040FDE0000E51363B4 +:040FDF009713478994 +:040FE00095930024C1 +:040FE1008DD9004462 +:040FE2008DC5079A18 +:040FE300F5938DDD18 +:040FE40045650FF55B +:040FE500A71FF0EF63 +:040FE600F0EF4541A2 +:040FE700478DA21F71 +:040FE8000F85759369 +:040FE90000F4146399 +:040FEA000055E59336 +:040FEB00F0EF45419D +:040FEC004789A57F0D +:040FED0002F49D630A +:040FEE00EA634785E6 +:040FEF0005930287DD +:040FF0000463052071 +:040FF100059300F470 +:040FF2004539053048 +:040FF300A39FF0EFD9 +:040FF4003E80051323 +:040FF50094AFC0EF06 +:040FF600F0EF455182 +:040FF70045819E1F73 +:040FF8004789A03D48 +:040FF90037B3FD49C4 +:040FFA00078500B0B7 +:040FFB000593BF4952 +:040FFC00453905B0BE +:040FFD00A11FF0EF51 +:040FFE003E80051319 +:040FFF00922FC0EF7E +:04100000F0EF455177 +:04100100478D9B9FDD +:041002001AE345C1E7 +:041003004561FCF453 +:041004009F5FF0EF0B +:0410050040B244228F +:04100600458144924A +:04100700036005136A +:04100800F06F014143 +:0410090011019E3FF4 +:04100A00842ACC2246 +:04100B00C62E455157 +:04100C00F0EFCE062D +:04100D004709989F58 +:04100E000FF57793D0 +:04100F00156345B26E +:04101000470502E4AA +:0410110000E59C63F7 +:041012000905751344 +:04101300F7050513C5 +:04101400001535137B +:04101500446240F2FF +:04101600808261056E +:041017007513E591D7 +:04101800051308852F +:04101900B7EDF785B3 +:04101A000017D513D3 +:04101B00B7DD8905AF +:04101C00479171592E +:04101D0000F11E239D +:04101E00871367DDF0 +:04101F00D6A23B47D3 +:04102000D2CAD4A6B6 +:04102100CED2D0CE8D +:04102200CADACCD684 +:04102300C6E2C8DE7B +:04102400C2EAC4E672 +:04102500842AC0EE6B +:0410260001E7450396 +:041027004E0346210D +:04102800163302E792 +:04102900450300A6D5 +:04102A00661301F751 +:04102B001F230086F9 +:04102C00061300C1E6 +:04102D001633040072 +:04102E00450300A6D0 +:04102F001023020781 +:04103000061302C1E0 +:041031001633400032 +:04103200450300A6CC +:04103300112302176C +:04103400660902C186 +:0410350000A61633C8 +:0410360002C11223BE +:0410370001B10613EA +:04103800665DD432EB +:041039003D760613E7 +:04103A00665DD632E7 +:04103B003D860613D5 +:04103C00665DD832E3 +:04103D003D960613C3 +:04103E00665DDA32DF +:04103F003DA60613B1 +:041040006759DC32DE +:041041000613665DCF +:04104200635D3DB6F7 +:041043006D8707139B +:0410440004000F375E +:041045000DA3DE32E7 +:04104600061300018C +:04104700488149C3D0 +:041048003B47879308 +:0410490049C3031381 +:04104A000F93C63A00 +:04104B0062851000AA +:04104C0040000493C9 +:04104D002000091363 +:04104E00099343C1FE +:04104F000A1304007C +:041050000A930800F7 +:041051004B1102003D +:041052000C134BA18F +:041053004C8D20D0D0 +:041054000F134D0524 +:041055009513040FDC +:041056004775018851 +:0410570094638561B8 +:04105800557D00E8DA +:041059004703A27136 +:04105A004E95017638 +:04105B0001664803DF +:04105C000EEEE5634C +:04105D001E934DB2DF +:04105E009DF60027D4 +:04105F00000DAD8350 +:04106000D07A8D8233 +:0410610000D8783308 +:041062000C0809630A +:0410630004010D93E4 +:04106400AE839EEECB +:041065005803FE8EA0 +:04106600CE830186AE +:041067000E86000EE3 +:04106800DE839EEE97 +:041069007EB3FDCE87 +:04106A00886301D8BE +:04106B0048130A0E0E +:04106C007813FFF8FE +:04106D0012630018F2 +:04106E0058030AB861 +:04106F0008790106F5 +:0410700008886D631C +:041071009723480574 +:0410720088230007C8 +:0410730089230107C5 +:041074009A230007B4 +:041075008E6301D7AE +:04107600E96319FE13 +:0410770084630BDFA4 +:04107800E763167E96 +:04107900856309D3AF +:04107A0098630F6EFA +:04107B004685077E21 +:04107C0000D78623F0 +:04107D0003B7C6836C +:04107E0010069E6357 +:04107F0086B346E905 +:04108000969A02D862 +:0410810000E6D603AC +:04108200577006930A +:0410830010C6E4634C +:04108400FFF7061359 +:041085000FF67613D9 +:04108600ED63468947 +:0410870086A30EC668 +:04108800882300D7E2 +:04108900156300D714 +:04108A00C7030CD7B5 +:04108B0018630317CC +:04108C0047051007FD +:04108D0000E78723CE +:04108E005D83A86571 +:04108F0093E30106E0 +:041090004D83F58D0A +:041091009D63FFD686 +:041092001563019D44 +:041093007813000EC0 +:04109400BF0D0EF886 +:0410950017E34D8987 +:041096000885F3BE18 +:04109700BDE5066944 +:04109800000E1563CE +:041099000FB8781301 +:04109A001DE3BF3162 +:04109B00B7F5F1AE06 +:04109C000F3E81631F +:04109D000F4E8A6305 +:04109E00FF5E91E37D +:04109F002010071303 +:0410A00000E79623AC +:0410A1008823471940 +:0410A200A09D00E726 +:0410A3000E5E8B63EF +:0410A40001D2EF6323 +:0410A5000E9E8263B6 +:0410A600800E87131E +:0410A7009EE3C3659C +:0410A8000713FB2E01 +:0410A900962320204A +:0410AA00471500E7FF +:0410AB006711BFE921 +:0410AC000CEE8C6357 +:0410AD0082636721D2 +:0410AE0067090EEED2 +:0410AF00F8EE9FE3D5 +:0410B000962347112B +:0410B100071300E73A +:0410B2008923078007 +:0410B300A00D00E7A5 +:0410B4000007962378 +:0410B5000FD77713C7 +:0410B6001B634685ED +:0410B700C70300D794 +:0410B800C70D031746 +:0410B9008823470938 +:0410BA00470500E7FF +:0410BB0000E787A320 +:0410BC0054A65436AC +:0410BD0059865916E1 +:0410BE004AE64A763E +:0410BF004BC64B567B +:0410C0004CA64C36B8 +:0410C1004D864D16F5 +:0410C2008082616562 +:0410C3008723470533 +:0410C400BFE100E7A1 +:0410C500FFE70693A8 +:0410C600000786A3F6 +:0410C7000FD6F693B7 +:0410C8004695D689EA +:0410C900FCD716E357 +:0410CA0088B3476937 +:0410CB00071302E81D +:0410CC0093464AF00D +:0410CD0000E3568363 +:0410CE00EED779E3FD +:0410CF0086A3BF55E0 +:0410D00047090007C5 +:0410D1000713B789C1 +:0410D2009623201031 +:0410D300471100E7DA +:0410D4004689BF1D6D +:0410D50000D7962387 +:0410D6001BE3468D45 +:0410D700C703F8D77C +:0410D80008E303170F +:0410D900BFF1EC0770 +:0410DA0010200713C8 +:0410DB0000E7962371 +:0410DC000713B741FE +:0410DD00BFD120203F +:0410DE00BFE9468D93 +:0410DF0020300713A3 +:0410E0000713B7E952 +:0410E100B7052030FF +:0410E2002040071390 +:0410E30000E7962369 +:0410E4008823471501 +:0410E500476100E778 +:0410E6000713BF0D20 +:0410E70096232040EC +:0410E800471900E7BD +:0410E90000E7882371 +:0410EA00B7054751AE +:0410EB001793110145 +:0410EC00CC2201858C +:0410ED00CE06CA263B +:0410EE00842A87E1E8 +:0410EF00DD6384AE8B +:0410F000458100072F +:0410F100077005136C +:0410F20001A337D54A +:0410F300478500A18C +:0410F40006A7EE63FA +:0410F50007F4741375 +:0410F60045912651A9 +:0410F7002E81450100 +:0410F800D7932685DF +:0410F90002A30184C9 +:0410FA00D79300F197 +:0410FB0003230104C6 +:0410FC00D79300F195 +:0410FD0003A30084C5 +:0410FE00022300F1D8 +:0410FF000423008145 +:041100000713009140 +:04110100079304004C +:041102000963095024 +:04110300071300E4EA +:041104004785048097 +:0411050000E414638B +:0411060008700793D3 +:0411070000484599BE +:0411080000F104A34B +:0411090045152CED6F +:04110A00842A26B558 +:04110B0005134585FE +:04110C002CF500318D +:04110D000031078323 +:04110E000007D6639D +:04110F0047852649A1 +:04111000FEF506E3FF +:041111002E41E0117A +:041112000031450360 +:04111300446240F200 +:04111400610544D25B +:04111500713980822A +:041116004581DA260F +:04111700051384AA8E +:04111800DE0604905B +:04111900D84ADC22B2 +:04111A00F0EFD64ECE +:04111B00C909F43FCB +:04111C0050F2450147 +:04111D0054D25462F2 +:04111E0059B2594227 +:04111F008082612148 +:041120004515842AC3 +:04112100892A2E01E8 +:041122000FF009932E +:0411230005134585E6 +:041124002C7100B179 +:0411250000B147834B +:041126000137966394 +:041127004785260DC5 +:04112800FEF506E3E7 +:041129000009136343 +:04112A004703263D14 +:04112B00079300B175 +:04112C001FE30FE0CE +:04112D0045C9FAF7BF +:04112E002C95006894 +:04112F000044C7832E +:041130000027F7138A +:041131004503CF158E +:0411320047830121CD +:04113300470301313C +:04113400890D0161BF +:041135008D5D0522A5 +:0411360001414783A9 +:041137004403050A5E +:041138008399011185 +:0411390047838D5DFE +:04113A00831D0151BF +:04113B000786883D5E +:04113C008FD98B9923 +:04113D000505943ED2 +:04113E0015331465EC +:04113F00BF950085D3 +:04114000CB898B913B +:041141000141450320 +:04114200015147838D +:041143008D5D052297 +:04114400B7CD47C517 +:041145004501478198 +:041146007179BFF10B +:04114700D04A65D94C +:04114800892A462981 +:0411490099058593EC +:04114A00D226004861 +:04114B00CA56CE4E64 +:04114C00D422D606CD +:04114D00498DCC52AA +:04114E0044852CE1C7 +:04114F000AA00A9355 +:041150002C2922C95B +:0411510045A924B1D7 +:041152002AD1004856 +:04115300202345818F +:041154000513000976 +:04115500F0EF0400B3 +:04115600842AE57F83 +:041157000E9514637A +:041158001AA0059341 +:0411590004800513F6 +:04115A00E45FF0EF6F +:04115B00089513637D +:04115C00850A45912A +:04115D0047832A6D2D +:04115E009563002174 +:04115F0047830C971F +:041160009163003166 +:0411610005130D570E +:0411620024293E807E +:0411630019632C0DD3 +:0411640005B7009536 +:04116500051340002E +:04116600F0EF0E9008 +:04116700F57DE13FF2 +:0411680007632C39B4 +:0411690044010295A6 +:04116A0019FD243D0A +:04116B000FF9F993EC +:04116C0008098C637F +:04116D004785D4518D +:04116E0000890223CF +:04116F0000F9202340 +:04117000F0EF854ACD +:04117100157DE95FA0 +:0411720000A9242389 +:04117300A8B5226594 +:041174000513458199 +:04117500F0EF07A0F0 +:04117600F571DD7FB3 +:04117700850A45910F +:04117800478322B9CE +:0411790044310001FC +:04117A000407F793DC +:04117B004411FFD547 +:04117C004581BF6585 +:04117D000E900513B8 +:04117E00DB5FF0EF54 +:04117F0004100A133B +:0411800000A4E5637F +:041181000A13440900 +:0411820005130E90B3 +:0411830022590FA03E +:041184001763227D4E +:04118500458100950B +:04118600F0EF8552AF +:04118700F96DD93FE6 +:04118800E1112A79CE +:041189002A454401AE +:04118A000513458183 +:04118B00F0EF07B0CA +:04118C00C111D7FFB7 +:04118D000593440181 +:04118E000513200025 +:04118F00F0EF050078 +:04119000D525D6FF8C +:04119100B7954401C9 +:0411920028D5F43D2B +:0411930000143513FC +:04119400542250B2DF +:041195005902549215 +:041196004A6249F26E +:0411970061454AD292 +:04119800451C8082F0 +:04119900D422717972 +:04119A00D226D6067D +:04119B00CE4ED04A1A +:04119C00E463440DB7 +:04119D0084BA02C747 +:04119E00C305440D34 +:04119F00004547833D +:0411A000893689AE55 +:0411A100E3918BA1AA +:0411A20085B20626E6 +:0411A300051005131B +:0411A400D1DFF0EFB8 +:0411A5004409C91917 +:0411A6008522205D21 +:0411A700542250B2CC +:0411A8005902549202 +:0411A900614549F261 +:0411AA000513808227 +:0411AB0020DD0640FD +:0411AC000FF0041329 +:0411AD00051345855C +:0411AE00289500F18F +:0411AF0000F1478381 +:0411B00000879663BB +:0411B100478528ED59 +:0411B200FEF506E35D +:0411B30047032229A3 +:0411B400079300F1AC +:0411B50010E30FE054 +:0411B6000413FCF72B +:0411B70004332020BD +:0411B8008C0541243D +:0411B900804104422B +:0411BA0000090563C0 +:0411BB00450185CA9B +:0411BC0085A6283D9F +:0411BD002825854E0E +:0411BE00450185A2C0 +:0411BF004401280DB2 +:0411C0002637BF61AE +:0411C10005B7000668 +:0411C2001537019C40 +:0411C300061300020D +:0411C4008593A80661 +:0411C5000513CC053D +:0411C600B06F0205FF +:0411C700862EDC1F75 +:0411C800153785AAA8 +:0411C9000513000208 +:0411CA00B06F0205FB +:0411CB00862EE5FF88 +:0411CC00153785AAA4 +:0411CD000513000204 +:0411CE00B06F0205F7 +:0411CF008082E23FF9 +:0411D0005783675D7D +:0411D100F7933887D1 +:0411D20007C2F7F762 +:0411D300142383C19D +:0411D400173738F79A +:0411D50020230002D1 +:0411D60080820EF70E +:0411D7005783675D76 +:0411D800E7933887DA +:0411D90014230807CC +:0411DA00173738F794 +:0411DB0020230002CB +:0411DC0080820EF708 +:0411DD000029363778 +:0411DE00019C05B7B4 +:0411DF0000021537BE +:0411E0002E060613BE +:0411E100CC05859321 +:0411E20002050513EA +:0411E300D4FFB06F16 +:0411E400F73FF06F72 +:0411E5002783675D98 +:0411E600E38579071D +:0411E7008793679DE6 +:0411E80005339787AD +:0411E900114102F5B9 +:0411EA002823C606EA +:0411EB00B0EF78A742 +:0411EC0040B2995F15 +:0411ED000141450176 +:0411EE0045058082B1 +:0411EF0011418082A8 +:0411F000B0EFC60690 +:0411F10067DD9A5FBD +:0411F2007907A7834F +:0411F300353340B29E +:0411F400014100F5C0 +:0411F50067DD8082B0 +:0411F6007807A823AB +:0411F70000008082F2 +:0411F80000000713D9 +:0411F90000E6166393 +:0411FA0000000513D9 +:0411FB000000806709 +:0411FC0000E507B350 +:0411FD0000170713BD +:0411FE0000E586B3CF +:0411FF000007C7839B +:04120000FFF6C683AC +:04120100FED780E3B1 +:0412020040D7853319 +:041203000000806700 +:0412040000A5C7B3C7 +:041205000037F79324 +:0412060000C50733E5 +:0412070000079663E3 +:041208000030079318 +:0412090002C7E263D3 +:04120A000005079341 +:04120B000AE57C6311 +:04120C000005C68390 +:04120D0000178793AC +:04120E0000158593AF +:04120F00FED78FA3D4 +:04121000FEE7E8E32A +:0412110000008067F2 +:04121200003576939A +:041213000005079338 +:0412140000068E63DF +:041215000005C68387 +:0412160000178793A3 +:0412170000158593A6 +:04121800FED78FA3CB +:041219000037F69311 +:04121A00FE9FF06FD4 +:04121B00FFC7769300 +:04121C00FE06861331 +:04121D0006C7F463A9 +:04121E000005A383A1 +:04121F000045A28361 +:041220000085AF8313 +:0412210000C5AF0352 +:041222000105AE8391 +:041223000145AE03D0 +:041224000185A3039A +:0412250001C5A883D4 +:041226000245859365 +:041227000077A02389 +:04122800FFC5A80353 +:041229000057A223A5 +:04122A0001F7A42301 +:04122B0001E7A6230E +:04122C0001D7A8231B +:04122D0001C7AA2328 +:04122E000067AC2386 +:04122F000117AE23D2 +:041230000247879357 +:04123100FF07AE23E2 +:04123200FADFF06F80 +:041233000005A60309 +:041234000047879355 +:041235000045859358 +:04123600FEC7AE231E +:04123700FED7E8E313 +:04123800F4E7E8E30C +:0412390000008067CA +:04123A00F6010113A5 +:04123B0006912A23CB +:04123C0008F12A2368 +:04123D0006112E2345 +:04123E00000177B77D +:04123F0006812C23D5 +:0412400008D1262388 +:0412410008E1282375 +:0412420009012C234F +:0412430009112E233C +:041244002BC7A4838D +:041245000205D26369 +:0412460008B0079352 +:0412470000F4A023EC +:04124800FFF005139B +:0412490007C1208336 +:04124A0007812403F1 +:04124B0007412483B0 +:04124C000A0101137F +:04124D0000008067B6 +:04124E002080079362 +:04124F0000F11A236D +:0412500000A12423B2 +:0412510000A12C23A9 +:0412520000000793FE +:0412530000058463AB +:04125400FFF5879388 +:0412550000F1282359 +:0412560000F12E2352 +:0412570008C1069331 +:04125800FFF0079309 +:0412590000058413F5 +:04125A0000048513F4 +:04125B000081059376 +:04125C0000F11B235F +:04125D0000D1222377 +:04125E00484000EF15 +:04125F00FFF0079302 +:0412600000F55663DC +:0412610008B0079337 +:0412620000F4A023D1 +:04126300F8040CE39C +:04126400008127835B +:0412650000078023DB +:04126600F8DFF06F4E +:0412670002060A630E +:04126800FFF6061374 +:041269000000071367 +:04126A0000E507B3E1 +:04126B0000E586B361 +:04126C000007C7832D +:04126D000006C6832E +:04126E0000D79863AA +:04126F0000C706634B +:041270000017071349 +:04127100FE0792E3FF +:0412720040D78533A9 +:041273000000806790 +:04127400000005135E +:04127500000080678E +:0412760000050793D5 +:0412770000060E63FC +:041278000015859345 +:04127900FFF5C703B3 +:04127A00001787933F +:04127B00FFF6061361 +:04127C00FEE78FA357 +:04127D00FE0714E371 +:04127E0000C78633EC +:04127F0000C79463AD +:041280000000806783 +:041281000017879338 +:04128200FE078FA331 +:04128300FF1FF06FEA +:0412840000B505B3F9 +:0412850000050793C6 +:0412860000B78663C4 +:041287000007C70392 +:0412880000071663E2 +:0412890040A78533C2 +:04128A000000806779 +:04128B00001787932E +:04128C00FE9FF06F62 +:04128D001005846361 +:04128E00FFC5A7836E +:04128F00FF01011347 +:041290000081242392 +:0412910000112623FF +:041292000091222382 +:04129300FFC58413FC +:041294000007D46318 +:0412950000F404332A +:0412960000050493B8 +:0412970058D000EF3C +:0412980000017737A3 +:0412990032C72783AE +:04129A000007061330 +:04129B000207926351 +:04129C000004222305 +:04129D00328726234B +:04129E0000812403A4 +:04129F0000C12083E7 +:0412A00000048513AE +:0412A1000041248361 +:0412A2000101011332 +:0412A3005610006F72 +:0412A40002F4786375 +:0412A5000004268398 +:0412A60000D4073336 +:0412A70000E79A635F +:0412A8000007A70391 +:0412A9000047A783D0 +:0412AA0000D707332F +:0412AB0000E4202318 +:0412AC0000F4222305 +:0412AD00328626233C +:0412AE00FC1FF06FC2 +:0412AF00000707939A +:0412B0000047A70349 +:0412B10000070463CB +:0412B200FEE47AE3F9 +:0412B3000007A68307 +:0412B40000D78633A6 +:0412B5000286186332 +:0412B6000004260307 +:0412B70000C686B334 +:0412B80000D7A02398 +:0412B90000D78633A1 +:0412BA00F8C718E376 +:0412BB0000072603FF +:0412BC0000472703BD +:0412BD0000D606B39E +:0412BE0000D7A02392 +:0412BF0000E7A2237F +:0412C000F79FF06F35 +:0412C10000C478638A +:0412C20000C00793CE +:0412C30000F4A02370 +:0412C400F69FF06F32 +:0412C50000042603F8 +:0412C60000C406B3A7 +:0412C70000D71A63CF +:0412C8000007268372 +:0412C90000472703B0 +:0412CA0000C686B321 +:0412CB0000D4202308 +:0412CC0000E42223F5 +:0412CD000087A223D1 +:0412CE00F41FF06FAA +:0412CF000000806734 +:0412D000FE01011307 +:0412D10000912A233B +:0412D20000358493CC +:0412D300FFC4F493CD +:0412D40000112E23B4 +:0412D50000812C2345 +:0412D60001212823A7 +:0412D7000131262398 +:0412D8000084849377 +:0412D90000C00793B7 +:0412DA0006F4F863BB +:0412DB0000C00493B8 +:0412DC0006B4E6630B +:0412DD0000050913EC +:0412DE00471000EFC6 +:0412DF00000177B7DC +:0412E00032C7A70367 +:0412E10032C78693F7 +:0412E20000070413EA +:0412E30006041C637E +:0412E400000174375A +:0412E50033040413B7 +:0412E6000004278356 +:0412E70000079A63FF +:0412E800000005936A +:0412E90000090513E0 +:0412EA00375000EF8A +:0412EB0000A4202318 +:0412EC0000048593E2 +:0412ED0000090513DC +:0412EE00365000EF87 +:0412EF00FFF0099370 +:0412F0000B351A633D +:0412F10000C007939F +:0412F20000F92023BC +:0412F30000090513D6 +:0412F40041D000EFF6 +:0412F5000100006F85 +:0412F600F804DCE339 +:0412F70000C0079399 +:0412F80000F52023BA +:0412F90000000513D9 +:0412FA0001C120838B +:0412FB000181240346 +:0412FC000141248305 +:0412FD0001012903BF +:0412FE0000C129837F +:0412FF0002010113D4 +:041300000000806702 +:04130100000427833A +:04130200409787B3D6 +:041303000407CE63AA +:0413040000B006131C +:0413050000F67A6311 +:0413060000F42023AC +:0413070000F40433B7 +:04130800009420230A +:041309000100006F70 +:04130A0000442783F1 +:04130B0002871A63D8 +:04130C0000F6A02324 +:04130D0000090513BB +:04130E003B5000EF61 +:04130F0000B405130E +:0413100000440793FB +:04131100FF857513CC +:0413120040F5073368 +:04131300F8070EE3E6 +:0413140000E40433BA +:0413150040A787B3B3 +:0413160000F420239C +:04131700F8DFF06F9C +:0413180000F7222395 +:04131900FD1FF06F55 +:04131A0000040713B1 +:04131B000044240363 +:04131C00F1DFF06F9E +:04131D000035041380 +:04131E00FFC4741381 +:04131F00FA8502E366 +:0413200040A405B32D +:0413210000090513A7 +:04132200295000EF5F +:04132300F9351AE39B +:04132400F35FF06F14 +:04132500FE010113B1 +:041326000121282356 +:041327000085A90391 +:0413280000812C23F1 +:041329000141242337 +:04132A00016120231A +:04132B0000112E235C +:04132C0000912A23DF +:04132D000131262341 +:04132E000151222324 +:04132F00000584131E +:0413300000060B1395 +:0413310000068A1315 +:041332000D26EE6333 +:0413330000C5D78397 +:041334004807F7135C +:041335000C07066338 +:041336000004248308 +:041337000105A58384 +:041338000144270342 +:0413390000050A930E +:04133A0040B489B37F +:04133B0000300493E7 +:04133C0002E484B390 +:04133D000020071372 +:04133E0002E4C4B34E +:04133F0000168713FA +:041340000137073337 +:0413410000E4F4636D +:041342000007049309 +:041343004007F793D5 +:041344000C078463AB +:041345000004859388 +:04134600000A851301 +:04134700E25FF0EF82 +:041348000005091380 +:041349000405126322 +:04134A0000C0079345 +:04134B0000FAA023E1 +:04134C0000C45783FF +:04134D00FFF0051395 +:04134E000407E79316 +:04134F0000F416236D +:0413500001C1208334 +:0413510001812403EF +:0413520001412483AE +:041353000101290368 +:0413540000C1298328 +:0413550000812A03E6 +:0413560000412A83A5 +:0413570000012B0363 +:04135800020101137A +:0413590000008067A9 +:04135A0001042583E2 +:04135B0000098613EC +:04135C00AA1FF0EFE5 +:04135D0000C45783EE +:04135E00B7F7F79353 +:04135F000807E79301 +:0413600000F416235C +:041361000124282318 +:0413620000942A23A6 +:041363000139093310 +:04136400413484B3D9 +:04136500012420231C +:0413660000942423A8 +:04136700000A09135C +:04136800012A74637F +:04136900000A09135A +:04136A000004250353 +:04136B00000906135C +:04136C00000B0593DA +:04136D001D9000EFE0 +:04136E00008427834D +:04136F000000051362 +:04137000412787B3D7 +:0413710000F424233D +:0413720000042783C9 +:041373000127893392 +:04137400012420230D +:04137500F6DFF06F40 +:0413760000048613D6 +:04137700000A8513D0 +:04137800211000EF51 +:04137900000509134F +:04137A00F8051EE371 +:04137B0001042583C1 +:04137C00000A8513CB +:04137D00C41FF0EFAA +:04137E00F31FF06FFA +:04137F0000C5D7834B +:04138000F401011360 +:041381000A912A2380 +:041382000B212823F0 +:041383000B312623E1 +:041384000A112E23F9 +:041385000A812C238A +:041386000B412423D0 +:041387000B512223C1 +:041388000B612023B2 +:0413890009712E2395 +:04138A0009812C2386 +:04138B0009912A2377 +:04138C0009A1282368 +:04138D0009B1262359 +:04138E000807F793C2 +:04138F0000050993B9 +:0413900000058913B8 +:0413910000060493BB +:0413920006078C635B +:041393000105A78326 +:04139400060798634D +:0413950004000593B8 +:0413960000D1262339 +:04139700CE5FF0EF46 +:0413980000A9202365 +:0413990000A928235C +:04139A0000C12683E5 +:04139B0004051663CC +:04139C0000C00793F3 +:04139D0000F9A02390 +:04139E00FFF0051344 +:04139F000BC12083DB +:0413A0000B81240396 +:0413A1000B41248355 +:0413A2000B0129030F +:0413A3000AC12983CF +:0413A4000A812A038D +:0413A5000A412A834C +:0413A6000A012B030A +:0413A70009C12B83CA +:0413A80009812C0388 +:0413A90009412C8347 +:0413AA0009012D0305 +:0413AB0008C12D83C5 +:0413AC000C0101131C +:0413AD000000806755 +:0413AE00040007939D +:0413AF0000F92A23F4 +:0413B000020007939D +:0413B10002F10CA396 +:0413B20000000AB776 +:0413B3000300079399 +:0413B40002012A23E5 +:0413B50002F10D2311 +:0413B60000D12E2311 +:0413B70002500C9341 +:0413B80000017B377E +:0413B90000017BB7FD +:0413BA0000017D377A +:0413BB0000015C379A +:0413BC00000A8A9306 +:0413BD000004841391 +:0413BE00000447835D +:0413BF00000784633C +:0413C0000D979C6386 +:0413C10040940DB394 +:0413C200020D86632F +:0413C300000D869300 +:0413C4000004861388 +:0413C5000009059383 +:0413C6000009851382 +:0413C700D79FF0EFCD +:0413C800FFF0079398 +:0413C90024F506639E +:0413CA000341268332 +:0413CB0001B686B32E +:0413CC0002D12A23FD +:0413CD00000447834E +:0413CE0022078C6303 +:0413CF00FFF0079391 +:0413D000001404936E +:0413D10002012023D2 +:0413D20002012623CB +:0413D30002F12223DE +:0413D40002012423CB +:0413D500060101A369 +:0413D60006012C23BD +:0413D70000100D9362 +:0413D8000004C583C5 +:0413D90000500613A7 +:0413DA00A04B05130C +:0413DB007FC000EFE0 +:0413DC000014841362 +:0413DD00020127835F +:0413DE000605146389 +:0413DF000107F713F8 +:0413E0000007066399 +:0413E10002000713EC +:0413E20006E101A37C +:0413E3000087F71375 +:0413E4000007066395 +:0413E50002B0071338 +:0413E60006E101A378 +:0413E7000004C683B5 +:0413E80002A0071345 +:0413E90004E68C6327 +:0413EA0002C1278392 +:0413EB000004841363 +:0413EC000000069364 +:0413ED000090061353 +:0413EE0000A0051343 +:0413EF0000044703AC +:0413F000001405934D +:0413F100FD070713DA +:0413F20008E67C632A +:0413F3000406846305 +:0413F40002F12623B9 +:0413F5000400006F81 +:0413F60000140413C8 +:0413F700F1DFF06FC3 +:0413F800A04B0713EC +:0413F90040E5053393 +:0413FA0000AD95337A +:0413FB0000A7E7B3AD +:0413FC0002F12023B7 +:0413FD000004049351 +:0413FE00F69FF06FF7 +:0413FF0001C12703FE +:041400000047069308 +:0414010000072703B6 +:0414020000D12E23C4 +:041403000407406337 +:0414040002E12623B8 +:041405000004470395 +:0414060002E0079366 +:0414070008F7166369 +:041408000014470382 +:0414090002A00793A3 +:04140A0004F71A6366 +:04140B0001C1278371 +:04140C0000240413A1 +:04140D0000478713FA +:04140E000007A783A9 +:04140F0000E12E23A7 +:041410000207CA63A2 +:0414110002F122239F +:041412000600006F61 +:0414130040E007337B +:041414000027E79333 +:0414150002E12623A7 +:0414160002F120239C +:04141700FB9FF06FD8 +:0414180002A787B3ED +:041419000010069326 +:04141A000005841332 +:04141B0000E787B3AC +:04141C00F4DFF06F9A +:04141D00FFF0079342 +:04141E00FCDFF06F90 +:04141F00001404139E +:041420000201222380 +:04142100000006932E +:04142200000007932C +:04142300009006131C +:0414240000A005130C +:041425000004470375 +:041426000014059316 +:04142700FD070713A3 +:0414280008E67263FD +:04142900FA0690E34C +:04142A0000044583F2 +:04142B000030061374 +:04142C00A0CB8513B9 +:04142D006B4000EF21 +:04142E00020502634E +:04142F00A0CB879334 +:0414300040F505334B +:041431000400079319 +:0414320000A797B3C5 +:04143300020125038A +:041434000014041389 +:0414350000F5653326 +:0414360002A12023CC +:0414370000044583E5 +:041438000060061337 +:04143900A10D0513E9 +:04143A000014049303 +:04143B0002B10C23CB +:04143C00678000EFD6 +:04143D0008050A6331 +:04143E00040A9863A1 +:04143F00020127037C +:0414400001C127833C +:041441001007771306 +:041442000207086332 +:041443000047879344 +:0414440000F12E2362 +:0414450003412783B5 +:04144600014787B320 +:0414470002F12A2361 +:04144800DD5FF06F05 +:0414490002A787B3BC +:04144A0000100693F5 +:04144B000005841301 +:04144C0000E787B37B +:04144D00F61FF06F27 +:04144E000077879309 +:04144F00FF87F79389 +:0414500000878793F7 +:04145100FCDFF06F5D +:0414520001C10713BA +:04145300C94C0693E7 +:041454000009061372 +:0414550002010593F8 +:0414560000098513F1 +:04145700FFFEB0974D +:04145800EA4080E7FF +:04145900FFF0079306 +:04145A0000050A136C +:04145B00FAF514E3A7 +:04145C0000C95783E9 +:04145D00FFF0051384 +:04145E000407F793F5 +:04145F00D00790E33F +:04146000034125031C +:04146100CF9FF06FBA +:0414620001C10713AA +:04146300C94C0693D7 +:041464000009061362 +:0414650002010593E8 +:0414660000098513E1 +:041467001B8000EFF7 +:04146800FC5FF06FC6 +:04146900FD0101136D +:04146A0001512A23DF +:04146B000105A7834D +:04146C0000070A93D8 +:04146D000085A7034C +:04146E0002812423B0 +:04146F0002912223A1 +:0414700001312E23F5 +:0414710001412C23E6 +:04147200021126231A +:04147300032120230E +:0414740001612823C7 +:0414750001712623B8 +:0414760000050993D1 +:0414770000058413D5 +:0414780000060493D3 +:0414790000068A13CC +:04147A0000E7D46350 +:04147B0000070793CC +:04147C0000F4A023B5 +:04147D0004344703E9 +:04147E0000070663FA +:04147F000017879338 +:0414800000F4A023B1 +:0414810000042783B9 +:041482000207F793D3 +:041483000007886373 +:041484000004A78336 +:041485000027879322 +:0414860000F4A023AB +:041487000004290331 +:04148800006979136B +:0414890000091E63D5 +:04148A0001940B13AB +:04148B00FFF00B93D0 +:04148C0000C42783EE +:04148D000004A703AD +:04148E0040E787B3F9 +:04148F0008F94263B3 +:0414900000042783AA +:041491000434468356 +:041492000207F793C3 +:0414930000D036B39C +:041494000C0790634E +:041495000434061302 +:04149600000A0593B0 +:0414970000098513B0 +:04149800000A80E7DF +:04149900FFF00793C6 +:04149A0006F50863E8 +:04149B00000427839F +:04149C0000400613F3 +:04149D000004A7039D +:04149E000067F79359 +:04149F0000C42683DC +:0414A00000000493B1 +:0414A10000C7986385 +:0414A20040E684B3E9 +:0414A3000004D4630A +:0414A40000000493AD +:0414A5000084278315 +:0414A6000104270313 +:0414A70000F7566391 +:0414A80040E787B3DF +:0414A90000F484B314 +:0414AA000000091322 +:0414AB0001A4041381 +:0414AC00FFF00B132F +:0414AD00092490631B +:0414AE000000051322 +:0414AF000200006FC8 +:0414B000001006938F +:0414B100000B061313 +:0414B200000A059394 +:0414B3000009851394 +:0414B400000A80E7C3 +:0414B50003751A633E +:0414B600FFF005132B +:0414B70002C12083CB +:0414B8000281240386 +:0414B9000241248345 +:0414BA0002012903FF +:0414BB0001C12983BF +:0414BC0001812A037D +:0414BD0001412A833C +:0414BE0001012B03FA +:0414BF0000C12B83BA +:0414C0000301011310 +:0414C1000000806740 +:0414C20000190913F1 +:0414C300F25FF06F75 +:0414C40000D4073316 +:0414C5000300061307 +:0414C60004C701A3B3 +:0414C700045447037F +:0414C80000168793F0 +:0414C90000F407B371 +:0414CA0000268693DF +:0414CB0004E781A30E +:0414CC00F25FF06F6C +:0414CD000010069372 +:0414CE0000040613FD +:0414CF00000A059377 +:0414D0000009851377 +:0414D100000A80E7A6 +:0414D200F96508E3CD +:0414D30000190913E0 +:0414D400F65FF06F60 +:0414D500FD01011301 +:0414D6000281242348 +:0414D7000291222339 +:0414D80003212023A9 +:0414D90001312E238C +:0414DA0002112623B2 +:0414DB0001412C237C +:0414DC0001512A236D +:0414DD00016128235E +:0414DE0000068993E8 +:0414DF000185C6833A +:0414E00006E0079388 +:0414E10000060913E5 +:0414E200000504936A +:0414E3000005841369 +:0414E4000435861332 +:0414E50028F68663FC +:0414E60006D7EA63D8 +:0414E7000630079331 +:0414E8000AF68E630F +:0414E90000D7EE63D7 +:0414EA002A068A63E1 +:0414EB0005800793DE +:0414EC001EF68A63FB +:0414ED0004240A9336 +:0414EE0004D40123FE +:0414EF000B80006FFF +:0414F0000640079318 +:0414F10000F6866318 +:0414F20006900793C6 +:0414F300FEF694E38A +:0414F4000004278346 +:0414F50000072503C4 +:0414F6000807F6935A +:0414F7000045059314 +:0414F80008068E63F1 +:0414F9000005278340 +:0414FA0000B72023F4 +:0414FB00000176B7BF +:0414FC000007D863AA +:0414FD0002D00713FF +:0414FE0040F007B300 +:0414FF0004E401A35D +:04150000A1868693A7 +:0415010000A007132C +:041502000D80006FE9 +:041503000730079313 +:0415040024F68C63DA +:0415050002D7E263C4 +:0415060006F0079351 +:0415070006F68E63F3 +:04150800070007933E +:04150900F8F698E375 +:04150A000005A783AE +:04150B000207E79359 +:04150C0000F5A02323 +:04150D000140006F2A +:04150E0007500793E8 +:04150F0004F68E63ED +:0415100007800793B6 +:04151100F6F698E36F +:0415120007800793B4 +:04151300000176B7A6 +:0415140004F402A336 +:04151500A2C6869351 +:041516001580006FCD +:04151700000727831F +:0415180004258A9389 +:04151900004786936E +:04151A000007A7839C +:04151B0000D72023B2 +:04151C0004F581232E +:04151D000010079320 +:04151E002200006F38 +:04151F000407F69334 +:041520000005278318 +:0415210000B72023CC +:04152200F60682E364 +:041523000107979392 +:041524004107D79311 +:04152500F59FF06FCF +:041526000004258315 +:04152700000727830F +:041528000805F813A7 +:0415290000478513DF +:04152A00000808634A +:04152B0000A72023D2 +:04152C000007A7838A +:04152D000140006F0A +:04152E000405F59328 +:04152F0000A72023CE +:04153000FE0588E349 +:041531000007D78355 +:0415320006F0059327 +:041533000001773705 +:0415340012B68E63FA +:04153500A1870693F1 +:0415360000A00713F7 +:04153700040401A304 +:0415380000442583C3 +:0415390000B42423B3 +:04153A000005C8637D +:04153B000004250380 +:04153C00FFB575136F +:04153D0000A42023C3 +:04153E0000079663A9 +:04153F0000060A9305 +:0415400002058263BB +:0415410000060A9303 +:0415420002E7F5B314 +:04154300FFFA8A938E +:0415440000B685B3B5 +:041545000005C58355 +:0415460000BA802344 +:0415470002E7D5B32F +:041548000EE7FC634B +:041549000080079384 +:04154A0002F714632D +:04154B0000042783EE +:04154C000017F793FA +:04154D0000078E63A2 +:04154E00004427032B +:04154F0001042783E9 +:0415500000E7C86385 +:0415510003000793F9 +:04155200FEFA8FA36B +:04155300FFFA8A937E +:0415540041560633C3 +:0415550000C4282383 +:0415560000098713EE +:0415570000090693EE +:0415580000C10613B5 +:0415590000040593F2 +:04155A0000048513F1 +:04155B00C39FF0EF4B +:04155C00FFF00A137F +:04155D0013451863B7 +:04155E00FFF0051382 +:04155F0002C1208322 +:0415600002812403DD +:04156100024124839C +:041562000201290356 +:0415630001C1298316 +:0415640001812A03D4 +:0415650001412A8393 +:0415660001012B0351 +:041567000301011368 +:041568000000806798 +:0415690004D582A380 +:04156A00000176B74F +:04156B00A18686933C +:04156C0000042583CF +:04156D00000725034B +:04156E000805F81361 +:04156F0000052783C9 +:04157000004505131A +:041571000208086301 +:0415720000A720238B +:041573000015F71355 +:041574000007066303 +:041575000205E593F3 +:0415760000B420237A +:041577000100071355 +:04157800EE079EE3F9 +:0415790000042583C2 +:04157A00FDF5F593F3 +:04157B0000B4202375 +:04157C00EEDFF06F3F +:04157D000405F81356 +:04157E0000A720237F +:04157F00FC0808E379 +:041580000107979335 +:041581000107D793F4 +:04158200FC5FF06FAB +:04158300A1870693A3 +:0415840000800713C9 +:04158500EC9FF06F78 +:041586000005879342 +:04158700EEDFF06F34 +:041588000005A68331 +:0415890000072783AD +:04158A000145A583EF +:04158B000806F81343 +:04158C00004785137C +:04158D0000080A63E5 +:04158E0000A720236F +:04158F000007A78327 +:0415900000B7A023DD +:041591000180006F66 +:0415920000A720236B +:041593000406F693C1 +:041594000007A78322 +:04159500FE0686E3E5 +:0415960000B79023E7 +:041597000004282301 +:0415980000060A93AC +:04159900EF5FF06FA1 +:04159A00000727839C +:04159B000045A6035E +:04159C0000000593B3 +:04159D0000478693EA +:04159E0000D720232F +:04159F000007AA8314 +:0415A000000A8513A5 +:0415A1000E4000EF09 +:0415A20000050663D7 +:0415A3004155053376 +:0415A40000A422235A +:0415A5000044278354 +:0415A60000F4282302 +:0415A700040401A394 +:0415A800EB9FF06F56 +:0415A9000104268390 +:0415AA00000A86139A +:0415AB00000905939B +:0415AC00000485139F +:0415AD00000980E7CA +:0415AE00ED4500E324 +:0415AF00000427838A +:0415B0000027F79386 +:0415B1000407946334 +:0415B20000C12783CA +:0415B30000C4250348 +:0415B400EAF556E31B +:0415B5000007851393 +:0415B600EA5FF06F89 +:0415B7000010069387 +:0415B800000A86138C +:0415B900000905938D +:0415BA000004851391 +:0415BB00000980E7BC +:0415BC00E96504E3F6 +:0415BD00001A0A13F3 +:0415BE0000C42783BB +:0415BF0000C127033D +:0415C00040E787B3C6 +:0415C100FCFA4CE301 +:0415C200FC1FF06FAB +:0415C30000000A1307 +:0415C40001940A93F1 +:0415C500FFF00B1315 +:0415C600FE1FF06FA5 +:0415C700FF0101130C +:0415C8000081242357 +:0415C9000091222348 +:0415CA000001743771 +:0415CB000005049380 +:0415CC00000585137E +:0415CD0000112623C0 +:0415CE0078042A2350 +:0415CF00168000EF93 +:0415D000FFF007938E +:0415D10000F51863A6 +:0415D20079442783AE +:0415D3000007846326 +:0415D40000F4A0235C +:0415D50000C12083AE +:0415D6000081240369 +:0415D7000041248328 +:0415D80001010113F9 +:0415D9000000806727 +:0415DA000FF5F59381 +:0415DB0000C506330E +:0415DC0000C51663CD +:0415DD0000000513F2 +:0415DE000000806722 +:0415DF000005478339 +:0415E000FEB78CE3E3 +:0415E10000150513D9 +:0415E200FE9FF06F09 +:0415E30004A5FA63FE +:0415E40000C586B305 +:0415E50004D5766350 +:0415E600FFF6459334 +:0415E7000000079366 +:0415E800FFF78793EF +:0415E90000F5946312 +:0415EA000000806716 +:0415EB0000F687334C +:0415EC0000074803A9 +:0415ED0000C7873379 +:0415EE0000E50733DA +:0415EF0001070023CD +:0415F000FE1FF06F7B +:0415F10000F5873347 +:0415F2000007468325 +:0415F30000F50733C5 +:0415F40000178793C2 +:0415F50000D70023F8 +:0415F600FEF616E304 +:0415F7000000806709 +:0415F8000000079355 +:0415F900FF5FF06F31 +:0415FA000000806706 +:0415FB000000806705 +:0415FC0000059663ED +:0415FD00000605934C +:0415FE00B48FF06F47 +:0415FF00FE010113D5 +:0416000000812C2316 +:0416010000112E2383 +:0416020000912A2306 +:041603000121282376 +:041604000131262367 +:0416050000060413C4 +:04160600020616635F +:04160700A18FF0EFD0 +:0416080000000913C2 +:0416090001C1208378 +:04160A000181240333 +:04160B0000090513BA +:04160C0001412483F1 +:04160D0001012903AB +:04160E0000C129836B +:04160F0002010113C0 +:0416100000008067EF +:0416110000058493B9 +:041612000005099333 +:0416130003C000EF21 +:041614000004891332 +:04161500FC8578E3F5 +:041616000004059334 +:04161700000985132E +:04161800AE0FF0EF32 +:0416190000050913AC +:04161A00FA050EE3DC +:04161B0000048593AF +:04161C0000040613AD +:04161D00F9DFE0EF22 +:04161E0000048593AC +:04161F000009851326 +:041620009B4FF0EFFD +:04162100FA1FF06F4D +:04162200FFC5A783D6 +:04162300FFC7851365 +:041624000007D86380 +:0416250000A585B3E4 +:041626000005A78391 +:0416270000F5053392 +:0416280000008067D7 +:04162900FF010113A9 +:04162A0000812423F4 +:04162B00000174370F +:04162C003344278399 +:04162D000121202354 +:04162E00001126235E +:04162F0000912223E1 +:041630000005091395 +:041631003344041327 +:0416320004079A63AC +:04163300000005139B +:04163400000005931A +:041635000000061398 +:041636000000069317 +:041637000000071395 +:041638000D600893A6 +:04163900000000733A +:04163A000005049310 +:04163B0002055663EB +:04163C00084000EF73 +:04163D00409004B322 +:04163E0000952023D0 +:04163F00FFF00513A0 +:0416400000C1208342 +:0416410000812403FD +:0416420000412483BC +:041643000001290376 +:04164400010101138C +:0416450000008067BA +:0416460000A42023B9 +:041647000004250373 +:041648000000059306 +:041649000000061384 +:04164A0000A90533BB +:04164B000000069302 +:04164C000000071380 +:04164D0000000793FF +:04164E000D60089390 +:04164F000000007324 +:0416500000050493FA +:0416510000055A63D3 +:04165200409004B30D +:04165300028000EF22 +:0416540000952023BA +:04165500FFF004930B +:0416560000042783E2 +:04165700FFF0051388 +:0416580000F9093359 +:04165900F9249EE3EF +:04165A0000942023B5 +:04165B0000078513EC +:04165C00F91FF06F13 +:04165D00000177B75A +:04165E002BC7A503EE +:04165F0000008067A0 +:041660000000000086 +:041661000000000085 +:041662000000000084 +:041663000000000083 +:04166400FFFFFFFF86 +:04166500FFFFFFFF85 +:041666000000FFFF82 +:0416670000010494E6 +:041668000001049ADF +:04166900000104A0D8 +:04166A00000104A6D1 +:04166B00000104482E +:04166C00000104680D +:04166D00000104680C +:04166E00000104680B +:04166F00000104680A +:041670000001046809 +:041671000001046808 +:041672000001046C03 +:0416730000010472FC +:041674000001232826 +:04167500000121D47B +:041676000001231A32 +:041677000001231A31 +:04167800000121D478 +:041679000001231E2B +:04167A000001232820 +:04167B00000121D475 +:04167C000001231A2C +:04167D0000015AB856 +:04167E0000015AC845 +:04167F0000015AD438 +:0416800000015AE02B +:0416810000015AEC1E +:0416820000015AF811 +:0416830000015B0403 +:0416840000015B10F6 +:0416850000015B1CE9 +:0416860000015B28DC +:0416870000015B34CF +:0416880074204B4F30 +:041689006E69206FF7 +:04168A00000074697F +:04168B00656D6954CC +:04168C000074756F02 +:04168D00252E75256C +:04168E006D75322E16 +:04168F0000000073E4 +:04169000252E752569 +:041691006D75322E13 +:0416920075252F7318 +:04169300322E252EA0 +:0416940000736D75FD +:041695004353534F19 +:041696007766202033 +:041697007525202E67 +:04169800322E252E9B +:041699000000617577 +:04169A0074696E49B8 +:04169B0072726520E2 +:04169C002020726F29 +:04169D0000006425C0 +:04169E0020202020C8 +:04169F0053204F4E37 +:0416A00000434E595C +:0416A100343130327E +:0416A2003130322D84 +:0416A3006D2020385E +:0416A400737172618B +:0416A5000000000041 +:0416A6002520732563 +:0416A7000063257542 +:0416A800252E752551 +:0416A9006B75322EFD +:0416AA0025207A4835 +:0416AB002E252E7545 +:0416AC007A487532D1 +:0416AD000000000039 +:0416AE007473655498 +:0416AF0074617020D2 +:0416B0006E7265747D +:0416B1000000000035 +:0416B2003A31564132 +:0416B3004247522038 +:0416B40000000053DF +:0416B5003A3156412F +:0416B6007347522004 +:0416B70000000042ED +:0416B8003A3156412C +:0416B9006250592002 +:0416BA00000072506A +:0416BB003A32564128 +:0416BC0062505920FF +:0416BD000000725067 +:0416BE003A32564125 +:0416BF0073475220FB +:0416C00000000042E4 +:0416C1003A33564121 +:0416C2004247522029 +:0416C3000000564885 +:0416C4003A3356411E +:0416C5004247522026 +:0416C60000000053CD +:0416C7003A3356411B +:0416C80073475220F2 +:0416C90000000042DB +:0416CA003A33564118 +:0416CB0062505920F0 +:0416CC000000725058 +:0416CD007473614C85 +:0416CE0065737520AB +:0416CF0000000064B3 +:0416D0000000000016 +:0416D1000000000015 +:0416D2000000000113 +:0416D3000001010110 +:0416D4000000000111 +:0416D5000000000011 +:0416D60000000110FF +:0416D7000044060BBA +:0416D800000001000D +:0416D900000100000C +:0416DA008080800C80 +:0416DB00081A1A1AB5 +:0416DC000000000A00 +:0416DD000001296679 +:0416DE000001267A67 +:0416DF00000126825E +:0416E000000125CA16 +:0416E1000001267E60 +:0416E2000001268657 +:0416E3000001267666 +:0416E400000126B427 +:0416E5000001268A50 +:0416E6000001268E4B +:0416E7000001269246 +:0416E800000126B423 +:0416E900000126B422 +:0416EA00000126B421 +:0416EB00000126B420 +:0416EC00000126B41F +:0416ED00000126B41E +:0416EE00000126BE13 +:0416EF00000127507F +:0416F000000127606E +:0416F1000001277A53 +:0416F200000127B814 +:0416F3000001279C2F +:0416F400000127CEFC +:0416F500000128AC1C +:0416F600000128CEF9 +:0416F700000128E4E2 +:0416F800666F725057 +:0416F9002075252E05 +:0416FA00007339251B +:0416FB00756C3425B1 +:0416FC0063256325DA +:0416FD006C25202018 +:0416FE000000007573 +:0416FF00656E694C5F +:04170000746C756D23 +:04170100646F6D2084 +:0417020000003A6544 +:041703007365727028 +:041704002D312073F0 +:0417050000000035AB +:0417060020787525AD +:0417070075736E7513 +:04170800726F70701C +:04170900006465749F +:04170A00666F725044 +:04170B0020656C6980 +:04170C0064616F6C39 +:04170D000000003A9E +:04170E00736572701D +:04170F002D302073E6 +:04171000000000399C +:0417110000015D086E +:0417120000015D0C69 +:0417130000015D1064 +:0417140000015D145F +:0417150000015C145F +:0417160000015D1859 +:0417170000015D1C54 +:0417180000015D204F +:0417190000015C402F +:04171A000001659CC9 +:04171B0000015D2448 +:04171C0000015D2C3F +:04171D0000015D303A +:04171E0000015D3831 +:04171F0000015D3C2C +:0417200000015D4423 +:0417210000015D4C1A +:0417220000015D5411 +:0417230000015D5C08 +:0417240000015D6CF7 +:0417250000015D7CE6 +:0417260000015D8CD5 +:0417270000015D9CC4 +:0417280000015DACB3 +:0417290000015DBCA2 +:04172A0000015DC499 +:04172B0000015DCC90 +:04172C003EA93E296B +:04172D003EE93E69EA +:04172E003E993E1989 +:04172F003ED93E5908 +:041730003EC93E3937 +:041731003E1D3E4DCE +:041732003E2D3EED1D +:041733003EAD3ECDBC +:041734003E653E6D63 +:041735001C483E010D +:041736001C501C180F +:041737001CC81CD0DE +:041738005ED85E58C1 +:0417390000003EB9B5 +:04173A007365725011 +:04173B000000007337 +:04173C00666E6F4323 +:04173D00006D726960 +:04173E006D73694D11 +:04173F006863746106 +:041740006572202C82 +:041741000079727445 +:041742000000003172 +:041743000000003270 +:04174400000000336E +:04174500000000346C +:041746000000003669 +:041747000000003767 +:041748000000003865 +:04174900554E454D67 +:04174A00000000009B +:04174B0000004B4F00 +:04174C004B43414288 +:04174D000000000098 +:04174E0000005055F2 +:04174F004E574F445E +:041750000000000095 +:041751005446454C69 +:041752000000000093 +:041753004847495268 +:04175400000000543D +:041755004F464E4964 +:04175600000000008F +:041757005F44434C5C +:041758004B4341427C +:041759004847494C68 +:04175A000000005437 +:04175B004E41435365 +:04175C00454E494C61 +:04175D00444F4D5F49 +:04175E000000004542 +:04175F004E41435361 +:04176000454E494C5D +:041761005059545F28 +:04176200000000453E +:041763004E4143535D +:04176400454E494C59 +:04176500544E495F36 +:041766000000002B54 +:041767004E41435359 +:04176800454E494C55 +:04176900544E495F32 +:04176A000000002D4E +:04176B00454E494C52 +:04176C00544C554D37 +:04176D00444F4D5F39 +:04176E000000004532 +:04176F00534148504A +:0417700000002B4505 +:041771005341485048 +:0417720000002D4501 +:04177300464F52503B +:041774005F454C4938 +:041775004B544F483A +:0417760000005945D1 +:041777006F727245D6 +:0417780000000072FB +:0417790053206F4E3C +:04177A006163204443 +:04177B006420647210 +:04177C00002E746562 +:04177D0061766E49DA +:04177E002064696C0E +:04177F0064616568D4 +:04178000000072658E +:0417810061766E49D6 +:041782002064696C0A +:041783002072646804 +:041784000043524389 +:0417850061766E49D2 +:041786002064696C06 +:0417870061746164C4 +:041788004352432065 +:04178900000000005C +:04178A0061647055D1 +:04178B0063206574FE +:04178C0065636E61C2 +:04178D0064656C6CB7 +:04178E000000000057 +:04178F0073616C46D0 +:0417900065722068F6 +:04179100652064610A +:04179200000072726F +:0417930073616C46CC +:0417940072652068F2 +:0417950020657361F7 +:041796000072726506 +:0417970073616C46C8 +:0417980072772068DC +:0417990020657469EA +:04179A000072726502 +:04179B0073616C46C4 +:04179C0065762068E6 +:04179D0020666972E7 +:04179E006C696166AB +:04179F000000000046 +:0417A00061766E49B7 +:0417A1002064696CEB +:0417A20067616D69A5 +:0417A30000000065DD +:0417A4004353534F09 +:0417A5000000000040 +:0417A600696C6156B3 +:0417A700697461649C +:0417A8006420676EE4 +:0417A9000061746106 +:0417AA00622075251F +:0417AB007365747975 +:0417AC000000000039 +:0417AD00252E75254B +:0417AE002575322E3D +:0417AF00007325732B +:0417B00061647055AB +:0417B100676E697482 +:0417B2000057462076 +:0417B30061656C7090 +:0417B40077206573C2 +:0417B5002E746961C4 +:0417B60000002E2ED3 +:0417B7006972655698 +:0417B8006E69796677 +:0417B9006C662067D3 +:0417BA0000687361EF +:0417BB0061656C7088 +:0417BC0072206573BF +:0417BD00617473657B +:0417BE000000747241 +:0417BF007274655289 +:0417C000676E69796E +:0417C10064707520BB +:0417C20000657461E9 +:0417C3006164705598 +:0417C400203F6574E9 +:0417C5002C593D312D +:0417C6004E3D322042 +:0417C700000000001E +:0417C8006D7269468F +:0417C900657261776D +:0417CA0064707520B2 +:0417CB00646574617C +:0417CC000000000019 +:0417CD0000013012D5 +:0417CE0000012FF0F7 +:0417CF0000012FCA1C +:0417D00000012FE203 +:0417D100000130C221 +:0417D200000130C220 +:0417D300000131766A +:0417D400000131A03F +:0417D500000131AC32 +:0417D600000131ECF1 +:0417D700000131C01C +:0417D800000130D606 +:0417D900000130D605 +:0417DA000001311ABF +:0417DB000001314692 +:0417DC000001314691 +:0417DD0064206425FB +:0417DE0000000042C5 +:0417DF00656E6F4480 +:0417E0000000000005 +:0417E1006C69614688 +:0417E200000064653A +:0417E300000073256A +:0417E400252E752514 +:0417E5002075322E0B +:0417E6000000737517 +:0417E7006C207525D8 +:0417E80073656E694E +:0417E90000000000FC +:0417EA006C206F4EB2 +:0417EB00006B6E69B8 +:0417EC000001735B2A +:0417ED0000000002F6 +:0417EE0000012EFECA +:0417EF00000164741D +:0417F0000001648010 +:0417F1000001648807 +:0417F20070207525C9 +:0417F3006C65786940 +:0417F400000000737E +:0417F5000001735D1F +:0417F60000000009E6 +:0417F70000012DB010 +:0417F80064206425E0 +:0417F9000000676520 +:0417FA002525752507 +:0417FB0000000000EA +:0417FC006564695661 +:0417FD006E69206F82 +:0417FE006F72702076 +:0417FF003E20206305 +:0418000000000000E4 +:04180100706D615352 +:04180200676E696C38 +:0418030074706F206E +:041804003E20202E34 +:0418050000000000DF +:04180600636E795341 +:0418070074706F206A +:041808002020202E4E +:041809003E2020203D +:04180A0000000000DA +:04180B007074754F31 +:04180C006F20747560 +:04180D00202E7470A5 +:04180E003E20202038 +:04180F0000000000D5 +:0418100074736F502E +:041811006F72702D55 +:0418120020202E6301 +:041813003E20202033 +:0418140000000000D0 +:04181500706D6F4340 +:04181600626974612E +:0418170074696C691B +:041818003E202079D5 +:0418190000000000CB +:04181A006964754147 +:04181B00706F206F5B +:04181C006E6F69740E +:04181D003E202073D6 +:04181E0000000000C6 +:04181F007474655325 +:0418200073676E6913 +:0418210074706F2050 +:041822003E20202024 +:0418230000000000C1 +:04182400616F4C3C68 +:041825007270206459 +:041826006C69666F14 +:04182700003E2065FA +:041828007661533C56 +:041829007270206554 +:04182A006C69666F10 +:04182B00003E2065F6 +:04182C007365523C52 +:04182D00732074654B +:04182E006974746500 +:04182F003E73676E2F +:0418300000000000B4 +:041831006B6E694C25 +:041832006F72702041 +:04183300693E2D6677 +:041834007475706EE9 +:0418350000000000AF +:041836006B6E694C20 +:04183700706E692046 +:041838003E2D747558 +:04183900666F7270F4 +:04183A0000000000AA +:04183B0074696E4915 +:04183C00206C616952 +:04183D0075706E69EB +:04183E000000007432 +:04183F002044434CB2 +:0418400074204C4282 +:041841006F656D69F9 +:0418420000007475B9 +:041843002E77463C7A +:041844006470752037 +:041845002065746145 +:041846003E20202000 +:04184700000000009D +:041848006E776F4404 +:041849006D61732D2D +:04184A006E696C70E7 +:04184B000000006732 +:04184C0070617753FD +:04184D0066656C2040 +:04184E0069722F7418 +:04184F000074686752 +:041850002D65725040 +:0418510020434441AB +:041852006E696167F3 +:041853000000000091 +:041854006C6C7546FD +:0418550020585420A3 +:0418560075746573CD +:04185700000000701D +:0418580020335641A2 +:0418590065746E69DB +:04185A0063616C72E8 +:04185B0078696665DD +:04185C000000000088 +:04185D006E61635302 +:04185E00656E696CDE +:04185F000000007312 +:041860006E616353FF +:04186100656E696CDB +:041862007274732009 +:041863000000002E53 +:04186400202E6C5373 +:0418650072627968CA +:04186600732064691E +:04186700002E727469 +:041868006E616353F7 +:04186900656E696CD3 +:04186A0074656D2014 +:04186B0000646F683E +:04186C006E616353F3 +:04186D00656E696CCF +:04186E0070797420F9 +:04186F000000006510 +:041870006E616353EF +:04187100656E696CCB +:04187200696C61201C +:041873002E6D6E6701 +:041874000000000070 +:0418750069726F48DD +:04187600746E6F7AA3 +:041877006D206C6113 +:04187800006B73612D +:0418790074726556CA +:04187A006C616369D1 +:04187B0073616D2008 +:04187C000000006BFD +:04187D006B73614DDB +:04187E006972622009 +:04187F006E746867B4 +:041880000073736519 +:0418810065766552D1 +:0418820020657372F8 +:041883000046504C7F +:041884005949443C3E +:0418850074616C20FE +:041886006574202E37 +:04188700003E747338 +:041888007030343256 +:041889003838322F8A +:04188A0072702070E8 +:04188B000000636F87 +:04188C007034383349 +:04188D006F727020E6 +:04188E0000000063F3 +:04188F006930383450 +:041890003637352F83 +:0418910072702069E8 +:041892000000636F80 +:041893007030383445 +:041894003637352F7F +:0418950072702070DD +:041896000000636F7C +:041897006930363945 +:041898003830312F84 +:041899007020693022 +:04189A0000636F7206 +:04189B00656E694CC1 +:04189C006D20783211 +:04189D000065646F0F +:04189E00656E694CBE +:04189F006D2078330D +:0418A0000065646F0C +:0418A100656E694CBB +:0418A2006D20783409 +:0418A3000065646F09 +:0418A400656E694CB8 +:0418A5006D20783505 +:0418A6000065646F06 +:0418A700656E694CB5 +:0418A8006620783509 +:0418A900616D726F8C +:0418AA0000000074C6 +:0418AB007836353224 +:0418AC002030343282 +:0418AD00657073618E +:0418AE00000074635F +:0418AF006D205854FC +:0418B0000065646FFC +:0418B100494D444811 +:0418B2004354492032 +:0418B3000000000031 +:0418B4006C616E41B4 +:0418B5007320676FC6 +:0418B60020636E79C4 +:0418B7000046504C4B +:0418B8006C616E41B0 +:0418B9007320676FC2 +:0418BA0020636E79C0 +:0418BB0000687456F7 +:0418BC006E79734886 +:0418BD006F742063C1 +:0418BE006172656C82 +:0418BF000065636EEF +:0418C0006E79735674 +:0418C10068742063C4 +:0418C2006873657270 +:0418C30000646C6FE2 +:0418C4004C502D480F +:0418C5007250204CF1 +:0418C6006F432D65DA +:0418C70000747361D5 +:0418C8004C502D480B +:0418C9006F50204CF0 +:0418CA00432D7473C3 +:0418CB007473616F62 +:0418CC000000000018 +:0418CD00706D615386 +:0418CE00676E696C6C +:0418CF0061687020BC +:0418D000000065733C +:0418D1007030383407 +:0418D200206E6920FB +:0418D300706D617360 +:0418D4000072656CCD +:0418D5006F6C6C4187 +:0418D60056542077CD +:0418D7005048205005 +:0418D80078324C4CCA +:0418D900000000000B +:0418DA006F6C6C4182 +:0418DB00707520778D +:0418DC00706D617357 +:0418DD007832656C8C +:0418DE000000000006 +:0418DF007664413CAE +:0418E0006974202ED9 +:0418E100676E696D58 +:0418E2003E20202064 +:0418E3000000000001 +:0418E4006564695678 +:0418E500504C206FD4 +:0418E60000000046B8 +:0418E70050625059A2 +:0418E8006E69207293 +:0418E9006C6F4320BD +:0418EA0000617053D6 +:0418EB0072502F52B6 +:0418EC0066666F209D +:0418ED0000746573AB +:0418EE0020592F4707 +:0418EF007366666F47 +:0418F000000074651B +:0418F10062502F42D0 +:0418F20066666F2097 +:0418F30000746573A5 +:0418F40072502F52AD +:0418F500696167209E +:0418F6000000006E80 +:0418F70020592F47FE +:0418F8006E6961674D +:0418F90000000000EB +:0418FA0062502F42C7 +:0418FB006961672098 +:0418FC000000006E7A +:0418FD002D65725093 +:0418FE0020434441FE +:0418FF006E69614766 +:0419000000000000E3 +:0419010073202E48D9 +:041902006C706D6137 +:041903007461726534 +:04190400000000657A +:0419050073202E48D5 +:041906006C636E7927 +:0419070000006E6509 +:0419080062202E48E3 +:04190900706B63613B +:04190A006863726F2D +:04190B0000000000D8 +:04190C0061202E48E0 +:04190D007669746320 +:04190E000000006570 +:04190F0073202E56BD +:041910006C636E791D +:0419110000006E65FF +:0419120062202E56CB +:04191300706B636131 +:041914006863726F23 +:0419150000000000CE +:0419160061202E56C8 +:041917007669746316 +:041918000000006566 +:041919000066664FAF +:04191A000000733323 +:04191B0000733031F4 +:04191C0000733033F1 +:04191D002D706F5466 +:04191E007466656C1A +:04191F0000000000C4 +:04192000746E654339 +:0419210000007265EB +:0419220074746F4228 +:04192300722D6D6F45 +:041924007468676913 +:0419250000000000BE +:041926002066664F82 +:04192700207366289B +:041928003639203DEF +:04192900297A486B64 +:04192A0000000000B9 +:04192B0020207832CE +:04192C002073662896 +:04192D003834203DED +:04192E00297A486B5F +:04192F0000000000B4 +:0419300000706F5480 +:0419310074746F4219 +:0419320000006D6FD5 +:0419330069726F481E +:04193400746E6F7AE4 +:0419350000006C61E1 +:04193600747265560C +:041937006C61636913 +:0419380000000000AB +:0419390065746C4124 +:04193A0074616E72F4 +:04193B0000676E696A +:04193C00746C754D05 +:04193D00696C7069F8 +:04193E006974616304 +:04193F0000006E6FC7 +:041940007462755305 +:0419410074636172F8 +:04194200006E6F695B +:041943006F74754107 +:04194400000000009F +:04194500756E614D0D +:0419460000006C61D0 +:04194700494D44487A +:04194800000000009B +:0419490000495644B7 +:04194A0000373A38F0 +:04194B007373615001 +:04194C0075726874D4 +:04194D000000000096 +:04194E00656E694C0D +:04194F0028207832A2 +:0419500029626F6237 +:041951000000000092 +:04195200656E694C09 +:0419530000007832E6 +:04195400656E694C07 +:04195500282078339B +:041956006563616CF8 +:0419570000002964FF +:04195800656E694C03 +:041959002820783496 +:04195A0029626F622D +:04195B000000000088 +:04195C00656E694CFF +:04195D0000007833DB +:04195E00656E694CFD +:04195F0000007834D8 +:04196000656E694CFB +:0419610000007835D5 +:0419620030323931B5 +:04196300383031786F +:04196400000000304F +:0419650030303631B7 +:041966003032317872 +:04196700000000304C +:0419680030323931AF +:04196900303231786F +:04196A000000003049 +:04196B00656E6547F9 +:04196C002063697219 +:04196D0000333A34D5 +:04196E007830323368 +:04196F0020303432BE +:041970006974706FB7 +:0419710000002E6DD7 +:04197200783635325C +:0419730020303432BA +:041974006974706FB3 +:0419750000002E6DD3 +:04197600656E6547EE +:04197700206369720E +:04197800393A363191 +:04197900000000006A +:04197A004D352E3287 +:04197B0028207A485E +:04197C002978616DF8 +:04197D000000000066 +:04197E00484D30316F +:04197F006D28207A35 +:041980000029646571 +:04198100484D333367 +:041982006D28207A32 +:0419830000296E6960 +:041984002056544451 +:041985007030383452 +:04198600000000005D +:04198700415345562D +:0419880030343620A1 +:041989003038347846 +:04198A0000303640B3 +:04198B002E63655210 +:04198C0031303620A0 +:04198D000000000056 +:04198E002E6365520D +:04198F003930372094 +:041990000000000053 +:04199100484D35394F +:041992004828207A47 +:041993002056544442 +:041994000029494994 +:04199500484D353351 +:041996004828207A43 +:04199700205654443E +:0419980000002949D9 +:04199900484D36314E +:04199A004528207A42 +:04199B002956544431 +:04199C000000000047 +:04199D007A484D39FE +:04199E004453282066 +:04199F000029565471 +:0419A00000006E4F86 +:0419A1006D2064252C +:0419A20000000056EB +:0419A30020202020C0 +:0419A40000007525A5 +:0419A5000001735A70 +:0419A6000000001C21 +:0419A70000012EE429 +:0419A80044525355FD +:0419A9000041544164 +:0419AA000001662CA6 +:0419AB000000200018 +:0419AC0020002CE506 +:0419AD00E926F4FD36 +:0419AE0038BC200021 +:0419AF000000000034 +:0419B0000001663894 +:0419B1000000200012 +:0419B2002000323EA1 +:0419B300F113FA042E +:0419B4003B61200073 +:0419B500000000002E +:0419B6000001418467 +:0419B7000001418466 +:0419B8000001418465 +:0419B9000001418266 +:0419BA000001423AAC +:0419BB000001418462 +:0419BC003633353158 +:0419BD003034327818 +:0419BE00060000001F +:0419BF0007FE00F02F +:0419C0000FEA010623 +:0419C1000112039676 +:0419C200323120029C +:0419C300327830380E +:0419C40000003034BB +:0419C50000F0050029 +:0419C60001060618F8 +:0419C70003480FAA18 +:0419C80004420112C2 +:0419C9007830363903 +:0419CA000030343283 +:0419CB0003C0000055 +:0419CC00049200F091 +:0419CD000F80010680 +:0419CE0001120336C9 +:0419CF00323300822D +:0419D0003432783005 +:0419D10000000030E2 +:0419D20000F00140E0 +:0419D300010601AA5E +:0419D400031F0E31AE +:0419D50049100112A2 +:0419D60078363532F8 +:0419D7000030343276 +:0419D800010000000A +:0419D900015500F0C4 +:0419DA000E270106CD +:0419DB0001120319D9 +:0419DC0034329220EF +:0419DD000000703066 +:0419DE000000000005 +:0419DF0000F002D042 +:0419E0000106035A9F +:0419E100033E0F3979 +:0419E200000E0112E0 +:0419E3003633353131 +:0419E40030343278F1 +:0419E5000600004CAC +:0419E60007FE00F008 +:0419E70029EA0138B0 +:0419E800011203964F +:0419E9003231200275 +:0419EA0032783038E7 +:0419EB000000383888 +:0419EC0001200500D1 +:0419ED00013806189F +:0419EE0003480FAAF1 +:0419EF00044201129B +:0419F00078303639DC +:0419F1000038383250 +:0419F20003C000002E +:0419F3000492012039 +:0419F4000F80013827 +:0419F50001120336A2 +:0419F6003233008206 +:0419F70034327830DE +:0419F80000424C302D +:0419F90000F00140B9 +:0419FA00013801AA05 +:0419FB00031F29316C +:0419FC00491001127B +:0419FD0078363532D1 +:0419FE004C30343203 +:0419FF0001000042A1 +:041A0000015500F09C +:041A01002927013858 +:041A020001120319B1 +:041A030038329220C3 +:041A04000000703836 +:041A050000000000DD +:041A0600012002D0E9 +:041A0700013803603F +:041A0800033F134540 +:041A0900000E0112B8 +:041A0A0070343833C9 +:041A0B0000000000D7 +:041A0C0001F00000E5 +:041A0D0002800180D2 +:041A0E001D3201A7DD +:041A0F000204033E8C +:041A10003436000E5A +:041A110030347830C5 +:041A120000000030A0 +:041A130001900280BC +:041A140001C10320E9 +:041A15000260243017 +:041A1600000C0210AE +:041A170078303436B9 +:041A1800003438332B +:041A19000280000047 +:041A1A000320018024 +:041A1B003F3001EC6B +:041A1C000210026052 +:041A1D003834000E4B +:041A1E00000069302B +:041A1F0000000000C3 +:041A200000F002D000 +:041A2100020D035A55 +:041A2200033E0F3937 +:041A2300044F031257 +:041A240070303834B2 +:041A250000000000BD +:041A260002D00000EA +:041A2700035A01E07D +:041A28001E3C020D51 +:041A29000414063E5D +:041A2A003436000C42 +:041A2B0038347830A3 +:041A2C000000003086 +:041A2D0001E0028052 +:041A2E00020D032082 +:041A2F000260213000 +:041A3000000C04148E +:041A3100783034369F +:041A32000032313518 +:041A3300028000002D +:041A34000320020089 +:041A35001C30023827 +:041A36000414026032 +:041A37003735000C33 +:041A3800000069360B +:041A390000000000A9 +:041A3A00012002D0B5 +:041A3B0002710360D1 +:041A3C00033F13450C +:041A3D00044F03123D +:041A3E007036373592 +:041A3F0000000000A3 +:041A400002D00000D0 +:041A410003600240FC +:041A420027440271C2 +:041A43000404054052 +:041A44003038000C2A +:041A4500303678308F +:041A4600000000306C +:041A4700025803201E +:041A48000274042000 +:041A490004801758A6 +:041A4A000004001084 +:041A4B00703032378E +:041A4C000000000096 +:041A4D000500000090 +:041A4E00067202D04A +:041A4F0014DC02EEB3 +:041A5000001805284D +:041A5100303100042C +:041A5200377834327B +:041A53000000383621 +:041A54000300040087 +:041A5500032605401F +:041A560006881DA041 +:041A57000004001077 +:041A580030383231BF +:041A5900323031787E +:041A5A00050000344F +:041A5B0006980400E5 +:041A5C0026F8042A3A +:041A5D000010037002 +:041A5E003436000416 +:041A5F00363978306C +:041A600000006930E9 +:041A610001E002801E +:041A6200041A03203F +:041A630002602130CC +:041A6400000D051458 +:041A650030383031B4 +:041A66000000006913 +:041A670007800000F4 +:041A68000898021CBC +:041A6900109404656C +:041A6A000518052C2A +:041A6B003031000D09 +:041A6C00007030389E +:041A6D000000000075 +:041A6E0004380780B1 +:041A6F00046508986A +:041A7000052C249489 +:041A71000004001855 +:041A720030303631A9 +:041A73003032317864 +:041A740006400030F8 +:041A7500087004B041 +:041A76002EFF04E259 +:041A7700001003F167 +:041A78000000000466 +:041A79007665642FFB +:041A7A006370652F01 +:041A7B006F635F71C5 +:041A7C006F72746EA3 +:041A7D0072656C6CB6 +:041A7E00615F305F15 +:041A7F006D5F6C76B5 +:041A800000006D6590 +:041A81002B302D23B6 +:041A82000000002040 +:041A8300004C6C683F +:041A840045676665E7 +:041A850000004746D0 +:041A86003332313096 +:041A87003736353485 +:041A88004241393866 +:041A89004645444347 +:041A8A000000000058 +:041A8B003332313091 +:041A8C003736353480 +:041A8D006261393821 +:041A8E0066656463C2 +:041A8F000000000053 +:041A90001A808080B8 +:041A910000081A1A15 +:041A92000000000050 +:041A9300000000004F +:041A9400000169E400 +:041A9500000000004D +:041A9600000000004C +:041A97000001032A1D +:041A9800000103DE68 +:041A9900000101EE59 +:041A9A000001020A3B +:041A9B0000010256EE +:041A9C0000800000C6 +:041A9D0000800000C5 +:041A9E000000000044 +:041A9F000000000043 +:041AA0000000000042 +:041AA1000000000041 +:041AA2000000000040 +:041AA300000000003F +:041AA400000000003E +:041AA500000000003D +:041AA600000000003C +:041AA700000000003B +:041AA800000000003A +:041AA9000000000039 +:041AAA000000000038 +:041AAB000000000037 +:041AAC000000000036 +:041AAD000000000035 +:041AAE000000000034 +:041AAF000000000033 +:041AB0000000000032 +:041AB1000000000031 +:041AB2000000000030 +:041AB300000000002F +:041AB400000000002E +:041AB500000000002D +:041AB600000000002C +:041AB700000000002B +:041AB800000000002A +:041AB9000000000029 +:041ABA000000000028 +:041ABB000000000027 +:041ABC000000000026 +:041ABD000000000025 +:041ABE000000000024 +:041ABF00000101948D +:041AC00000800000A2 +:041AC1000100000020 +:041AC200000201001D +:041AC300008000009F +:041AC400000000011D +:041AC500000000809D +:041AC600000100001B +:041AC700000001001A +:041AC800000000001A +:041AC900020E0409FC +:041ACA003D0E00C805 +:041ACB003F6E0383E4 +:041ACC003ED03DAC1F +:041ACD00000003838F +:041ACE00026404B2F8 +:041ACF003C9300E95B +:041AD0003F56041663 +:041AD1003E9F3D49AE +:041AD20000000416F6 +:041AD300017804E5AD +:041AD4003CCE008183 +:041AD5003FAE03839A +:041AD6003F333D4914 +:041AD7000000038385 +:041AD80001B405B898 +:041AD9003C490093F1 +:041ADA003F9F041610 +:041ADB003F103CD9A3 +:041ADC0000000416EC +:041ADD0000015AB8F2 +:041ADE0000015AC8E1 +:041ADF0000015AD4D4 +:041AE00000015AE0C7 +:041AE10000015AECBA +:041AE20000015AF8AD +:041AE30000015B049F +:041AE40000015B1092 +:041AE50000015B1C85 +:041AE60000015B2878 +:041AE70000015B346B +:041AE800000165ACE8 +:041AE900000165B8DB +:041AEA00000165C8CA +:041AEB00000165D8B9 +:041AEC00000165ACE4 +:041AED00000165B8D7 +:041AEE00000165C8C6 +:041AEF000001658805 +:041AF00000016594F8 +:041AF100000165A0EB +:041AF2000001646427 +:041AF3000001646822 +:041AF4000001646C1D +:041AF5000001647018 +:041AF6000001640483 +:041AF70000000002E9 +:041AF8000001739CDA +:041AF90008FC012CB8 +:041AFA0000011CD0FB +:041AFB00000164146E +:041AFC0000000002E4 +:041AFD000001741858 +:041AFE0000FF000ADB +:041AFF0000011CD0F6 +:041B0000000164205C +:041B010000000002DE +:041B02000001735813 +:041B030000FF0001DE +:041B040000011CD0F0 +:041B05000001643047 +:041B060000000002D9 +:041B07000001738CDA +:041B0800078000C88A +:041B090000011CD0EB +:041B0A000001643C36 +:041B0B0000000002D4 +:041B0C0000017386DB +:041B0D0000070001CC +:041B0E0000011CD0E6 +:041B0F000001644825 +:041B100000000002CF +:041B11000001741249 +:041B1200003F00018F +:041B130000011CD0E1 +:041B14000001645810 +:041B150000000002CA +:041B16000001738ACD +:041B170004B000C84E +:041B180000011CD0DC +:041B19000001612046 +:041B1A0000000000C7 +:041B1B00000174420F +:041B1C0000010001C3 +:041B1D000001727CD5 +:041B1E000001613031 +:041B1F0000000000C2 +:041B20000001744309 +:041B210000010001BE +:041B220000017284C8 +:041B2300000161401C +:041B240000000001BC +:041B25000001744403 +:041B260000180000A3 +:041B270000012EC8C3 +:041B28000001615007 +:041B290000000000B8 +:041B2A000001743F03 +:041B2B0000010001B4 +:041B2C0000017284BE +:041B2D0000016160F2 +:041B2E0000000000B3 +:041B2F0000017440FD +:041B300000010001AF +:041B310000017284B9 +:041B320000015FF05F +:041B330000000003AB +:041B34000001730831 +:041B350000000000AC +:041B360000000000AB +:041B37000001600445 +:041B380000000003A6 +:041B3900000172F045 +:041B3A0000000000A7 +:041B3B0000000000A6 +:041B3C00000160182C +:041B3D0000000003A1 +:041B3E00000173002F +:041B3F0000000000A2 +:041B400000000000A1 +:041B41000001602C13 +:041B4200000000039C +:041B4300000172E04B +:041B4400000000009D +:041B4500000000009C +:041B460000016040FA +:041B47000000000397 +:041B4800000172E83E +:041B49000000000098 +:041B4A000000000097 +:041B4B0000016054E1 +:041B4C000000000392 +:041B4D00000172D051 +:041B4E000000000093 +:041B4F000000000092 +:041B500000016068C8 +:041B5100000000038D +:041B5200000172C854 +:041B5300000000008E +:041B5400000000008D +:041B55000001607CAF +:041B56000000000388 +:041B5700000172F81F +:041B58000000000089 +:041B59000000000088 +:041B5A000001622004 +:041B5B000000000086 +:041B5C0000017428E8 +:041B5D00000400017F +:041B5E000001719081 +:041B5F0000016230EF +:041B60000000000081 +:041B610000017429E2 +:041B6200000100017D +:041B63000001729477 +:041B64000001623CDE +:041B6500000000007C +:041B66000001742ADC +:041B67000003000176 +:041B6800000171A463 +:041B69000001624CC9 +:041B6A000000000077 +:041B6B000001742BD6 +:041B6C000001000173 +:041B6D00000172946D +:041B6E000001625CB4 +:041B6F000000000072 +:041B70000001742CD0 +:041B7100000100016E +:041B72000001728C70 +:041B73000001626C9F +:041B7400000000006D +:041B750000017423D4 +:041B76000002000168 +:041B770000016BA05E +:041B7800000162788E +:041B79000000000068 +:041B7A0000017424CE +:041B7B000003000162 +:041B7C0000016BAC4D +:041B7D00000162847D +:041B7E000000000063 +:041B7F0000017425C8 +:041B8000000200015E +:041B810000016BA054 +:041B8200000162906C +:041B8300000000005E +:041B840000017426C2 +:041B85000002000159 +:041B860000016BA04F +:041B87000001629C5B +:041B88000000000059 +:041B890000017427BC +:041B8A000002000154 +:041B8B0000016BBC2E +:041B8C00000162AC46 +:041B8D000000000054 +:041B8E000001742DB1 +:041B8F000001000150 +:041B9000000172746A +:041B9100000162BC31 +:041B9200000000004F +:041B930000017431A8 +:041B9400000100014B +:041B9500000172AC2D +:041B9600000162C424 +:041B9700000000004A +:041B980000017432A2 +:041B99000001000146 +:041B9A000001728450 +:041B9B000001617470 +:041B9C000000000045 +:041B9D000001741CB3 +:041B9E000002000140 +:041B9F00000171C010 +:041BA000000161805F +:041BA100000000013F +:041BA20000017420AA +:041BA300000F00002F +:041BA40000012EA26C +:041BA500000161904A +:041BA600000000013A +:041BA7000001741EA7 +:041BA800001C00001D +:041BA90000012E7E8B +:041BAA00000161A035 +:041BAB000000000036 +:041BAC000001741FA1 +:041BAD000001000132 +:041BAE00000172A41C +:041BAF00000161B020 +:041BB0000000000031 +:041BB1000001741D9E +:041BB200000200012C +:041BB300000171CCF0 +:041BB400000161C00B +:041BB500000000002C +:041BB6000001742195 +:041BB7000001000128 +:041BB8000001729C1A +:041BB900000161D4F2 +:041BBA000000000126 +:041BBB000001742E83 +:041BBC0000FF000026 +:041BBD0000012E688D +:041BBE00000161E4DD +:041BBF000000000121 +:041BC0000001742F7D +:041BC100003F0000E1 +:041BC20000012E6888 +:041BC300000161F4C8 +:041BC400000000011C +:041BC5000001743077 +:041BC600000F00000C +:041BC70000012DB03C +:041BC80000016204B2 +:041BC9000000000117 +:041BCA000001744161 +:041BCB00001F0000F7 +:041BCC0000012DB037 +:041BCD0000016210A1 +:041BCE00000000040F +:041BCF0000011EA053 +:041BD00000015FB001 +:041BD1000000000010 +:041BD2000001633477 +:041BD300000000010D +:041BD4000001743464 +:041BD500001F0001EC +:041BD60000012DC617 +:041BD7000001634462 +:041BD8000000000009 +:041BD9000001743360 +:041BDA000002000104 +:041BDB00000171B4E0 +:041BDC00000163544D +:041BDD000000000004 +:041BDE000001743559 +:041BDF000001000100 +:041BE000000172840A +:041BE1000001636834 +:041BE20000000000FF +:041BE3000001743653 +:041BE40000010001FB +:041BE5000001728405 +:041BE6000001637C1B +:041BE70000000003F7 +:041BE800000172C0C6 +:041BE90000016694FD +:041BEA0000011C6E6C +:041BEB000001609005 +:041BEC0000000004F1 +:041BED0000011BC018 +:041BEE0000015FD4BF +:041BEF0000000000F2 +:041BF000000160A0F0 +:041BF10000000004EC +:041BF20000011C20B2 +:041BF30000015FD4BA +:041BF40000000000ED +:041BF500000160B0DB +:041BF60000000004E7 +:041BF700000123A422 +:041BF80000000000E9 +:041BF90000000000E8 +:041BFA00000160C4C2 +:041BFB0000000001E5 +:041BFC000001744C24 +:041BFD00000A0101D8 +:041BFE0000012F189B +:041BFF00000160D8A9 +:041C000000000000E0 +:041C0100000173B2B9 +:041C020000010001DC +:041C030000017284E6 +:041C0400000160EC8F +:041C050000000000DB +:041C06000001735C0A +:041C0700000A0001CE +:041C080000016B74F8 +:041C0900000160FC7A +:041C0A0000000000D6 +:041C0B000001739AC7 +:041C0C0000030001D0 +:041C0D0000016BC89F +:041C0E000001610C64 +:041C0F0000000004CD +:041C10000001296E38 +:041C110000000000CF +:041C120000000000CE +:041C1300000162D09A +:041C140000000000CC +:041C15000001743B1B +:041C160000030001C6 +:041C1700000171D87F +:041C1800000162E085 +:041C190000000001C6 +:041C1A000001743819 +:041C1B00001F0000A6 +:041C1C0000012E4451 +:041C1D00000162F070 +:041C1E0000000001C1 +:041C1F000001743913 +:041C200000FF0000C1 +:041C210000012E0090 +:041C2200000163005A +:041C230000000001BC +:041C24000001743A0D +:041C250000C80A00E9 +:041C260000012E008B +:041C27000001631045 +:041C280000000001B7 +:041C29000001743D05 +:041C2A0000050000B1 +:041C2B0000012DEA9D +:041C2C000001632030 +:041C2D0000000001B2 +:041C2E000001743EFF +:041C2F0000050000AC +:041C300000012DEA98 +:041C310000016390BB +:041C320000000000AE +:041C33000001743CFC +:041C340000050001A6 +:041C3500000171E851 +:041C36000001639CAA +:041C370000000000A9 +:041C380000017437FC +:041C390000010001A5 +:041C3A00000172B47F +:041C3B00000163AC95 +:041C3C0000000001A3 +:041C3D0000017445E9 +:041C3E0000FF0000A3 +:041C3F0000012DB0C3 +:041C4000000163B884 +:041C4100000000019E +:041C420000017446E3 +:041C430000FF00009E +:041C440000012DB0BE +:041C4500000163C473 +:041C46000000000199 +:041C470000017447DD +:041C480000FF000099 +:041C490000012DB0B9 +:041C4A00000163D062 +:041C4B000000000194 +:041C4C0000017448D7 +:041C4D0000FF000094 +:041C4E0000012DB0B4 +:041C4F00000163DC51 +:041C5000000000018F +:041C510000017449D1 +:041C520000FF00008F +:041C530000012DB0AF +:041C5400000163E840 +:041C5500000000018A +:041C56000001744ACB +:041C570000FF00008A +:041C580000012DB0AA +:041C5900000163F42F +:041C5A000000000185 +:041C5B000001744BC5 +:041C5C00000F000075 +:041C5D0000012DB0A5 +:041C5E00000172D837 +:041C5F000000000081 +:041C60000000000080 +:041C6100000000007F +:041C6200000000007E +:041C6300000000007D +:041C64000001652CEA +:041C650000016548CD +:041C660000016570A4 +:041C6700000165789B +:041C68000001658092 +:041C69000001652CE5 +:041C6A0000016538D8 +:041C6B0000016550BF +:041C6C0000016560AE +:041C6D000001650C01 +:041C6E0000016610FB +:041C6F000001661CEE +:041C700000016464A7 +:041C71000001650CFD +:041C720000016514F4 +:041C7300000164CC3C +:041C7400000164D82F +:041C7500000164E422 +:041C7600000165E81C +:041C7700000165F80B +:041C780000016604FD +:041C7900000164649E +:041C7A000001650CF4 +:041C7B00000164649C +:041C7C0000016644B9 +:041C7D0000016654A8 +:041C7E000001666497 +:041C7F000001667486 +:041C80000000000060 +:041C8100000000005F +:041C8200000000005E +:041C8300000000005D +:041C8400000000005C +:041C8500000000005B +:041C8600000000005A +:041C87000000000059 +:041C88000000000058 +:041C89000000000057 +:041C8A000000000056 +:041C8B000000000055 +:041C8C000000000054 +:041C8D000000000053 +:041C8E000000000052 +:041C8F000000000051 +:041C90000000000050 +:041C9100000000004F +:041C9200000000004E +:041C9300000000004D +:041C9400000000004C +:041C9500000000004B +:041C9600000000004A +:041C97000000000049 +:041C9800000159747A +:041C99000001726470 +:041C9A00000172646F +:041C9B0000108010A5 +:041C9C0000008000C4 +:041C9D00000165B429 +:041C9E0000016528B4 +:041C9F000001649844 +:041CA000000164AC2F +:041CA1000001646476 +:041CA2000001668057 +:041CA3000001652CAB +:041CA400000165389E +:041CA5000001652CA9 +:041CA600000165488C +:041CA700000164C014 +:041CA800000164C40F +:041CA900000164F0E2 +:041CAA0000016500D0 +:041CAB000001651CB3 +:041CAC0000016524AA +:041CAD000001662CA0 +:041CAE000001663893 +:041CAF0000017200BE +:041CB0000000000729 +:041CB10000016BD8EB +:041CB200000000032B +:041CB30000016C645C +:041CB400000000022A +:041CB50000016CA01E +:041CB6000000000822 +:041CB70000016CC8F4 +:041CB8000000000D1B +:041CB90000016D6851 +:041CBA000000000B1B +:041CBB0000016E6C4A +:041CBC00000000051F +:041CBD0000016F486B +:041CBE00000000081A +:041CBF0000016FAC05 +:041CC000000000061A +:041CC1000001704C62 +:041CC2000000000915 +:041CC300000170C4E8 +:041CC400C896554B1E :00000001FF diff --git a/software/sys_controller/ossc/controls.c b/software/sys_controller/ossc/controls.c index 35b49df..65db3c1 100644 --- a/software/sys_controller/ossc/controls.c +++ b/software/sys_controller/ossc/controls.c @@ -163,7 +163,7 @@ int parse_control() ((fpga_status >> 16) & 0x3) ? '*' : ' ', fpga_v_hz_x100/100, fpga_v_hz_x100%100);*/ - sniprintf(menu_row2, LCD_ROW_LEN+1, "%4lu%c%c %u", (((fpga_status & 0x7ff)+1)<> 16) & 0x3) ? '*' : ' ', IORD_ALTERA_AVALON_PIO_DATA(PIO_8_BASE)); diff --git a/software/sys_controller/ossc/firmware.h b/software/sys_controller/ossc/firmware.h index d3307af..a7e2e76 100644 --- a/software/sys_controller/ossc/firmware.h +++ b/software/sys_controller/ossc/firmware.h @@ -24,7 +24,7 @@ #include "sysconfig.h" #define FW_VER_MAJOR 0 -#define FW_VER_MINOR 81 +#define FW_VER_MINOR 82 #ifdef ENABLE_AUDIO #define FW_SUFFIX1 "a" diff --git a/software/sys_controller/pulpino.h b/software/sys_controller/pulpino.h new file mode 100644 index 0000000..7ca19ef --- /dev/null +++ b/software/sys_controller/pulpino.h @@ -0,0 +1,91 @@ +// Copyright 2017 ETH Zurich and University of Bologna. +// Copyright and related rights are licensed under the Solderpad Hardware +// License, Version 0.51 (the “License”); you may not use this file except in +// compliance with the License. You may obtain a copy of the License at +// http://solderpad.org/licenses/SHL-0.51. Unless required by applicable law +// or agreed to in writing, software, hardware and materials distributed under +// this License is distributed on an “AS IS” BASIS, WITHOUT WARRANTIES OR +// CONDITIONS OF ANY KIND, either express or implied. See the License for the +// specific language governing permissions and limitations under the License. + +/** + * @file + * @brief Register mapping for PULPino peripherals. + * + * Contains event register mappings for the PULPino SOC as + * well as some general definitions for the overall system. + * + * @author Florian Zaruba + * + * @version 1.0 + * + * @date 2/10/2015 + * + */ +#ifndef PULPINO_H +#define PULPINO_H + +#define PULPINO_BASE_ADDR 0x10000000 + +/** SOC PERIPHERALS */ +#define SOC_PERIPHERALS_BASE_ADDR ( PULPINO_BASE_ADDR + 0xA100000 ) + +#define UART_BASE_ADDR ( SOC_PERIPHERALS_BASE_ADDR + 0x0000 ) +#define GPIO_BASE_ADDR ( SOC_PERIPHERALS_BASE_ADDR + 0x1000 ) +#define SPI_BASE_ADDR ( SOC_PERIPHERALS_BASE_ADDR + 0x2000 ) +#define TIMER_BASE_ADDR ( SOC_PERIPHERALS_BASE_ADDR + 0x3000 ) +#define EVENT_UNIT_BASE_ADDR ( SOC_PERIPHERALS_BASE_ADDR + 0x4000 ) +#define I2C_BASE_ADDR ( SOC_PERIPHERALS_BASE_ADDR + 0x5000 ) +#define FLL_BASE_ADDR ( SOC_PERIPHERALS_BASE_ADDR + 0x6000 ) +#define SOC_CTRL_BASE_ADDR ( SOC_PERIPHERALS_BASE_ADDR + 0x7000 ) + +/** STDOUT */ +#define STDOUT_BASE_ADDR ( SOC_PERIPHERALS_BASE_ADDR + 0x10000 ) +#define FPUTCHAR_BASE_ADDR ( STDOUT_BASE_ADDR + 0x1000 ) +#define FILE_CMD_BASE_ADDR ( STDOUT_BASE_ADDR + 0x2000 ) +#define STREAM_BASE_ADDR ( STDOUT_BASE_ADDR + 0x3000 ) + +/** Instruction RAM */ +#define INSTR_RAM_BASE_ADDR ( 0x00 ) +#define INSTR_RAM_START_ADDR ( 0x80 ) + +/** ROM */ +#define ROM_BASE_ADDR ( 0x10000 ) + +/** Data RAM */ +#define DATA_RAM_BASE_ADDR ( 0x00100000 ) + +/** Registers and pointers */ +#define REGP(x) ((volatile unsigned int*)(x)) +#define REG(x) (*((volatile unsigned int*)(x))) +#define REGP_8(x) (((volatile uint8_t*)(x))) + +/* pointer to mem of apb pulpino unit - PointerSocCtrl */ +#define __PSC__(a) *(unsigned volatile int*) (SOC_CTRL_BASE_ADDR + a) + +/** Peripheral Clock gating */ +#define CGREG __PSC__(0x04) + +/** Clock gate SPI */ +#define CGSPI 0x00 +/** Clock gate UART */ +#define CGUART 0x01 +/** Clock gate GPIO */ +#define CGGPIO 0x02 +/** Clock gate SPI Master */ +#define CGGSPIM 0x03 +/** Clock gate Timer */ +#define CGTIM 0x04 +/** Clock gate Event Unit */ +#define CGEVENT 0x05 +/** Clock gate I2C */ +#define CGGI2C 0x06 +/** Clock gate FLL */ +#define CGFLL 0x07 + +/** Boot address register */ +#define BOOTREG __PSC__(0x08) + +#define RES_STATUS __PSC__(0x14) + +#endif diff --git a/software/sys_controller_bsp/HAL/src/alt_busy_sleep.c b/software/sys_controller_bsp/HAL/src/alt_busy_sleep.c index 561c0be..4efd28e 100644 --- a/software/sys_controller_bsp/HAL/src/alt_busy_sleep.c +++ b/software/sys_controller_bsp/HAL/src/alt_busy_sleep.c @@ -57,77 +57,13 @@ unsigned int alt_busy_sleep (unsigned int us) * skipped to speed up simulation. */ #ifndef ALT_SIM_OPTIMIZE - int i; - int big_loops; - alt_u32 cycles_per_loop; - - if (!strcmp(NIOS2_CPU_IMPLEMENTATION,"tiny")) - { - cycles_per_loop = 9; - } - else - { - cycles_per_loop = 3; - } - + unsigned long i, loops; - big_loops = us / (INT_MAX/ - (ALT_CPU_FREQ/(cycles_per_loop * 1000000))); + // 1 loop >= 7 cyc + loops = ((ALT_CPU_FREQ/1000000)*us)/7; - if (big_loops) - { - for(i=0;i= ALT_PUTBUF_SIZE) + alt_putbufflush(); + return c; +} + +/* + * ALT putbufflush + * used only for smehosting + * Not thread safe! + * Dumps all the chars in the buffer to STDOUT + */ +int +alt_putbufflush() +{ + int results; + results = write(STDOUT_FILENO,buf,fill_index); + fill_index = 0; + return results; +} +#endif diff --git a/software/sys_controller_bsp/Makefile b/software/sys_controller_bsp/Makefile index 37e1e44..d40fbf4 100644 --- a/software/sys_controller_bsp/Makefile +++ b/software/sys_controller_bsp/Makefile @@ -256,6 +256,7 @@ hal_C_LIB_SRCS := \ $(hal_SRCS_ROOT)/src/alt_dev_llist_insert.c \ $(hal_SRCS_ROOT)/src/alt_errno.c \ $(hal_SRCS_ROOT)/src/alt_flash_dev.c \ + $(hal_SRCS_ROOT)/src/alt_main.c # i2c_opencores_driver sources root i2c_opencores_driver_SRCS_ROOT := drivers diff --git a/software/sys_controller_bsp/alt_sys_init.c b/software/sys_controller_bsp/alt_sys_init.c index ea8e0b6..a500582 100644 --- a/software/sys_controller_bsp/alt_sys_init.c +++ b/software/sys_controller_bsp/alt_sys_init.c @@ -83,11 +83,11 @@ I2C_OPENCORES_INSTANCE ( I2C_OPENCORES_1, i2c_opencores_1); * present for backwards-compatibility. */ -/*void alt_irq_init ( const void* base ) +void alt_irq_init ( const void* base ) { - ALTERA_NIOS2_GEN2_IRQ_INIT ( NIOS2_QSYS_0, nios2_qsys_0); - alt_irq_cpu_enable_interrupts(); -}*/ + /*ALTERA_NIOS2_GEN2_IRQ_INIT ( NIOS2_QSYS_0, nios2_qsys_0); + alt_irq_cpu_enable_interrupts();*/ +} /* * Initialize the non-interrupt controller devices. diff --git a/software/sys_controller_bsp/drivers/inc/Altera_UP_SD_Card_Avalon_Interface_mod.h b/software/sys_controller_bsp/drivers/inc/Altera_UP_SD_Card_Avalon_Interface_mod.h deleted file mode 100644 index 4e1dbef..0000000 --- a/software/sys_controller_bsp/drivers/inc/Altera_UP_SD_Card_Avalon_Interface_mod.h +++ /dev/null @@ -1,166 +0,0 @@ -#ifndef __ALTERA_UP_SD_CARD_AVALON_INTERFACE_H__ -#define __ALTERA_UP_SD_CARD_AVALON_INTERFACE_H__ - -#include -#include -#include - -#ifdef __cplusplus -extern "C" -{ -#endif /* __cplusplus */ - -#define SD_RAW_IFACE - -/* - * Device structure definition. Each instance of the driver uses one - * of these structures to hold its associated state. - */ -typedef struct alt_up_sd_card_dev { - /// @brief character mode device structure - /// @sa Developing Device Drivers for the HAL in Nios II Software Developer's Handbook - alt_dev dev; - /// @brief the base address of the device - unsigned int base; - -} alt_up_sd_card_dev; - -#ifndef bool - typedef enum e_bool { false = 0, true = 1 } bool; -#endif - -////////////////////////////////////////////////////////////////////////// -// HAL system functions - -alt_up_sd_card_dev* alt_up_sd_card_open_dev(const char *name); -/* Open an SD Card Interface if it is connected to the system. */ - - -bool alt_up_sd_card_is_Present(void); -/* Check if there is an SD Card insterted into the SD Card socket. - */ - -#ifndef SD_RAW_IFACE -bool alt_up_sd_card_is_FAT16(void); -/* This function reads the SD card data in an effort to determine if the card is formated as a FAT16 - * volume. Please note that FAT12 has a similar format, but will not be supported by this driver. - */ - - -short int alt_up_sd_card_fopen(char *name, bool create); -/* This function reads the SD card data in an effort to determine if the card is formated as a FAT16 - * volume. Please note that FAT12 has a similar format, but will not be supported by this driver. - * - * Inputs: - * name - a file name including a directory, relative to the root directory - * create - a flag set to true to create a file if it does not already exist - * Output: - * An index to the file record assigned to the specified file. -1 is returned if the file could not be opened. - */ - - -short int alt_up_sd_card_find_first(char *directory_to_search_through, char *file_name); -/* This function sets up a search algorithm to go through a given directory looking for files. - * If the search directory is valid, then the function searches for the first file it finds. - * Inputs: - * directory_to_search_through - name of the directory to search through - * file_name - an array to store a name of the file found. Must be 13 bytes long (12 bytes for file name and 1 byte of NULL termination). - * Outputs: - * 0 - success - * 1 - invalid directory - * 2 - No card or incorrect card format. - * - * To specify a directory give the name in a format consistent with the following regular expression: - * [{[valid_chars]+}/]*. - * - * In other words, give a path name starting at the root directory, where each directory name is followed by a '/'. - * Then, append a '.' to the directory name. Examples: - * "." - look through the root directory - * "first/." - look through a directory named "first" that is located in the root directory. - * "first/sub/." - look through a directory named "sub", that is located within the subdirectory named "first". "first" is located in the root directory. - * Invalid examples include: - * "/.", "/////." - this is not the root directory. - * "/first/." - the first character may not be a '/'. - */ - - - -short int alt_up_sd_card_find_next(char *file_name); -/* This function searches for the next file in a given directory, as specified by the find_first function. - * Inputs: - * file_name - an array to store a name of the file found. Must be 13 bytes long (12 bytes for file name and 1 byte of NULL termination). - * Outputs: - * -1 - end of directory. - * 0 - success - * 2 - No card or incorrect card format. - * 4 - find_first has not been called successfully. - */ - -void alt_up_sd_card_set_attributes(short int file_handle, short int attributes); -/* Set file attributes as needed. - */ - -short int alt_up_sd_card_get_attributes(short int file_handle); -/* Return file attributes, or -1 if the file_handle is invalid. - */ - - -short int alt_up_sd_card_read(short int file_handle); -/* Read a single character from the given file. Return -1 if at the end of a file. Any other negative number - * means that the file could not be read. A number between 0 and 255 is an ASCII character read from the SD Card. */ - - -bool alt_up_sd_card_write(short int file_handle, char byte_of_data); -/* Write a single character to a given file. Return true if successful, and false otherwise. */ - - -bool alt_up_sd_card_fclose(short int file_handle); -// This function closes an opened file and saves data to SD Card if necessary. - -#else -bool Write_Sector_Data(int sector_index, int partition_offset); -bool Save_Modified_Sector(); -bool Read_Sector_Data(int sector_index, int partition_offset); -#endif //SD_RAW_IFACE - -////////////////////////////////////////////////////////////////////////// -// file-like operation functions - -////////////////////////////////////////////////////////////////////////// -// direct operation functions - - -/* - * Macros used by alt_sys_init - */ -#define ALTERA_UP_SD_CARD_AVALON_INTERFACE_MOD_INSTANCE(name, device) \ - static alt_up_sd_card_dev device = \ - { \ - { \ - ALT_LLIST_ENTRY, \ - name##_NAME, \ - NULL , /* open */ \ - NULL , /* close */ \ - NULL, /* read */ \ - NULL, /* write */ \ - NULL , /* lseek */ \ - NULL , /* fstat */ \ - NULL , /* ioctl */ \ - }, \ - name##_BASE, \ - } - -#define ALTERA_UP_SD_CARD_AVALON_INTERFACE_MOD_INIT(name, device) \ -{ \ - alt_dev_reg(&device.dev); \ -} - - - -#ifdef __cplusplus -} -#endif /* __cplusplus */ - -#endif /* __ALTERA_UP_SD_CARD_AVALON_INTERFACE_H__ */ - - diff --git a/software/sys_controller_bsp/drivers/inc/altera_avalon_timer.h b/software/sys_controller_bsp/drivers/inc/altera_avalon_timer.h new file mode 100644 index 0000000..dae4193 --- /dev/null +++ b/software/sys_controller_bsp/drivers/inc/altera_avalon_timer.h @@ -0,0 +1,193 @@ +#ifndef __ALT_AVALON_TIMER_H__ +#define __ALT_AVALON_TIMER_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include + +#include "alt_types.h" +#include "sys/alt_dev.h" +#include "sys/alt_warning.h" + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + + +#define __ALT_COUNTER_SIZE(name) name##_COUNTER_SIZE +#define _ALT_COUNTER_SIZE(name) __ALT_COUNTER_SIZE(name) + +#define ALT_SYS_CLK_COUNTER_SIZE _ALT_COUNTER_SIZE(ALT_SYS_CLK) +#define ALT_TIMESTAMP_COUNTER_SIZE _ALT_COUNTER_SIZE(ALT_TIMESTAMP_CLK) + +#if (ALT_SYS_CLK_COUNTER_SIZE == 64) +#define alt_sysclk_type alt_u64 +#else +#define alt_sysclk_type alt_u32 +#endif + +#if (ALT_TIMESTAMP_COUNTER_SIZE == 64) +#define alt_timestamp_type alt_u64 +#else +#define alt_timestamp_type alt_u32 +#endif + +/* + * The function alt_avalon_timer_sc_init() is the initialisation function for + * the system clock. It registers the timers interrupt handler, and then calls + * the system clock regestration function, alt_sysclk_init(). + */ + +extern void alt_avalon_timer_sc_init (void* base, alt_u32 irq_controller_id, + alt_u32 irq, alt_u32 freq); + +/* + * Variables used to store the timestamp parameters, when the device is to be + * accessed using the high resolution timestamp driver. + */ + +extern void* altera_avalon_timer_ts_base; +extern alt_u32 altera_avalon_timer_ts_freq; + +/* + * ALTERA_AVALON_TIMER_INSTANCE is the macro used by alt_sys_init() to + * allocate any per device memory that may be required. In this case no + * allocation is necessary. + */ + +#define ALTERA_AVALON_TIMER_INSTANCE(name, dev) extern int alt_no_storage + +/* + * Macro used to calculate the timer interrupt frequency. Although this is + * somewhat fearsome, when compiled with -O2 it will be resolved at compile + * time to a constant value. + */ + +#define ALTERA_AVALON_TIMER_FREQ(freq, period, units) \ + strcmp (units, "us") ? \ + (strcmp (units, "ms") ? \ + (strcmp (units, "s") ? \ + ((freq + (period - 1))/period) \ + : 1) \ + : (1000 + (period - 1))/period) \ + : ((1000000 + (period - 1))/period) + +/* + * Construct macros which contain the base address of the system clock and the + * timestamp device. These are used below to determine which driver to use for + * a given timer. + */ + +#define __ALT_CLK_BASE(name) name##_BASE +#define _ALT_CLK_BASE(name) __ALT_CLK_BASE(name) + +#define ALT_SYS_CLK_BASE _ALT_CLK_BASE(ALT_SYS_CLK) +#define ALT_TIMESTAMP_CLK_BASE _ALT_CLK_BASE(ALT_TIMESTAMP_CLK) + +/* + * If there is no system clock, then the above macro will result in + * ALT_SYS_CLK_BASE being set to none_BASE. We therefore need to provide an + * invalid value for this, so that no timer is wrongly identified as the system + * clock. + */ + +#define none_BASE 0xffffffff + +/* + * ALTERA_AVALON_TIMER_INIT is the macro used by alt_sys_init() to provide + * the run time initialisation of the device. In this case this translates to + * a call to alt_avalon_timer_sc_init() if the device is the system clock, i.e. + * if it has the name "sysclk". + * + * If the device is not the system clock, then it is used to provide the + * timestamp facility. + * + * To ensure as much as possible is evaluated at compile time, rather than + * compare the name of the device to "/dev/sysclk" using strcmp(), the base + * address of the device is compared to SYSCLK_BASE to determine whether it's + * the system clock. Since the base address of a device must be unique, these + * two aproaches are equivalent. + * + * This macro performs a sanity check to ensure that the interrupt has been + * connected for this device. If not, then an apropriate error message is + * generated at build time. + */ + + +#define ALTERA_AVALON_TIMER_INIT(name, dev) \ + if (name##_BASE == ALT_SYS_CLK_BASE) \ + { \ + if (name##_IRQ == ALT_IRQ_NOT_CONNECTED) \ + { \ + ALT_LINK_ERROR ("Error: Interrupt not connected for " #dev ". " \ + "The system clock driver requires an interrupt to be " \ + "connected. Please select an IRQ for this device in " \ + "SOPC builder."); \ + } \ + else \ + { \ + alt_avalon_timer_sc_init((void*) name##_BASE, \ + name##_IRQ_INTERRUPT_CONTROLLER_ID, \ + name##_IRQ, \ + ALTERA_AVALON_TIMER_FREQ(name##_FREQ, \ + name##_PERIOD, \ + name##_PERIOD_UNITS));\ + } \ + } \ + else if (name##_BASE == ALT_TIMESTAMP_CLK_BASE) \ + { \ + if (name##_SNAPSHOT) \ + { \ + altera_avalon_timer_ts_base = (void*) name##_BASE; \ + altera_avalon_timer_ts_freq = name##_FREQ; \ + } \ + else \ + { \ + ALT_LINK_ERROR ("Error: Snapshot register not available for " \ + #dev ". " \ + "The timestamp driver requires the snapshot register " \ + "to be readable. Please enable this register for this " \ + "device in SOPC builder."); \ + } \ + } + +/* + * + */ + +#ifdef __cplusplus +} +#endif /* __cplusplus */ + +#endif /* __ALT_AVALON_TIMER_H__ */ diff --git a/software/sys_controller_bsp/drivers/inc/altera_avalon_timer_regs.h b/software/sys_controller_bsp/drivers/inc/altera_avalon_timer_regs.h new file mode 100644 index 0000000..37bb44d --- /dev/null +++ b/software/sys_controller_bsp/drivers/inc/altera_avalon_timer_regs.h @@ -0,0 +1,202 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#ifndef __ALTERA_AVALON_TIMER_REGS_H__ +#define __ALTERA_AVALON_TIMER_REGS_H__ + +#include + +/* STATUS register */ +#define ALTERA_AVALON_TIMER_STATUS_REG 0 +#define IOADDR_ALTERA_AVALON_TIMER_STATUS(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_STATUS_REG) +#define IORD_ALTERA_AVALON_TIMER_STATUS(base) \ + IORD(base, ALTERA_AVALON_TIMER_STATUS_REG) +#define IOWR_ALTERA_AVALON_TIMER_STATUS(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_STATUS_REG, data) +#define ALTERA_AVALON_TIMER_STATUS_TO_MSK (0x1) +#define ALTERA_AVALON_TIMER_STATUS_TO_OFST (0) +#define ALTERA_AVALON_TIMER_STATUS_RUN_MSK (0x2) +#define ALTERA_AVALON_TIMER_STATUS_RUN_OFST (1) + +/* CONTROL register */ +#define ALTERA_AVALON_TIMER_CONTROL_REG 1 +#define IOADDR_ALTERA_AVALON_TIMER_CONTROL(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_CONTROL_REG) +#define IORD_ALTERA_AVALON_TIMER_CONTROL(base) \ + IORD(base, ALTERA_AVALON_TIMER_CONTROL_REG) +#define IOWR_ALTERA_AVALON_TIMER_CONTROL(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_CONTROL_REG, data) +#define ALTERA_AVALON_TIMER_CONTROL_ITO_MSK (0x1) +#define ALTERA_AVALON_TIMER_CONTROL_ITO_OFST (0) +#define ALTERA_AVALON_TIMER_CONTROL_CONT_MSK (0x2) +#define ALTERA_AVALON_TIMER_CONTROL_CONT_OFST (1) +#define ALTERA_AVALON_TIMER_CONTROL_START_MSK (0x4) +#define ALTERA_AVALON_TIMER_CONTROL_START_OFST (2) +#define ALTERA_AVALON_TIMER_CONTROL_STOP_MSK (0x8) +#define ALTERA_AVALON_TIMER_CONTROL_STOP_OFST (3) + +/* Period and SnapShot Register for COUNTER_SIZE = 32 */ +/*----------------------------------------------------*/ +/* PERIODL register */ +#define ALTERA_AVALON_TIMER_PERIODL_REG 2 +#define IOADDR_ALTERA_AVALON_TIMER_PERIODL(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_PERIODL_REG) +#define IORD_ALTERA_AVALON_TIMER_PERIODL(base) \ + IORD(base, ALTERA_AVALON_TIMER_PERIODL_REG) +#define IOWR_ALTERA_AVALON_TIMER_PERIODL(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_PERIODL_REG, data) +#define ALTERA_AVALON_TIMER_PERIODL_MSK (0xFFFF) +#define ALTERA_AVALON_TIMER_PERIODL_OFST (0) + +/* PERIODH register */ +#define ALTERA_AVALON_TIMER_PERIODH_REG 3 +#define IOADDR_ALTERA_AVALON_TIMER_PERIODH(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_PERIODH_REG) +#define IORD_ALTERA_AVALON_TIMER_PERIODH(base) \ + IORD(base, ALTERA_AVALON_TIMER_PERIODH_REG) +#define IOWR_ALTERA_AVALON_TIMER_PERIODH(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_PERIODH_REG, data) +#define ALTERA_AVALON_TIMER_PERIODH_MSK (0xFFFF) +#define ALTERA_AVALON_TIMER_PERIODH_OFST (0) + +/* SNAPL register */ +#define ALTERA_AVALON_TIMER_SNAPL_REG 4 +#define IOADDR_ALTERA_AVALON_TIMER_SNAPL(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_SNAPL_REG) +#define IORD_ALTERA_AVALON_TIMER_SNAPL(base) \ + IORD(base, ALTERA_AVALON_TIMER_SNAPL_REG) +#define IOWR_ALTERA_AVALON_TIMER_SNAPL(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_SNAPL_REG, data) +#define ALTERA_AVALON_TIMER_SNAPL_MSK (0xFFFF) +#define ALTERA_AVALON_TIMER_SNAPL_OFST (0) + +/* SNAPH register */ +#define ALTERA_AVALON_TIMER_SNAPH_REG 5 +#define IOADDR_ALTERA_AVALON_TIMER_SNAPH(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_SNAPH_REG) +#define IORD_ALTERA_AVALON_TIMER_SNAPH(base) \ + IORD(base, ALTERA_AVALON_TIMER_SNAPH_REG) +#define IOWR_ALTERA_AVALON_TIMER_SNAPH(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_SNAPH_REG, data) +#define ALTERA_AVALON_TIMER_SNAPH_MSK (0xFFFF) +#define ALTERA_AVALON_TIMER_SNAPH_OFST (0) + +/* Period and SnapShot Register for COUNTER_SIZE = 64 */ +/*----------------------------------------------------*/ +/* PERIOD_0 register */ +#define ALTERA_AVALON_TIMER_PERIOD_0_REG 2 +#define IOADDR_ALTERA_AVALON_TIMER_PERIOD_0(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_PERIOD_0_REG) +#define IORD_ALTERA_AVALON_TIMER_PERIOD_0(base) \ + IORD(base, ALTERA_AVALON_TIMER_PERIOD_0_REG) +#define IOWR_ALTERA_AVALON_TIMER_PERIOD_0(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_PERIOD_0_REG, data) +#define ALTERA_AVALON_TIMER_PERIOD_0_MSK (0xFFFF) +#define ALTERA_AVALON_TIMER_PERIOD_0_OFST (0) + +/* PERIOD_1 register */ +#define ALTERA_AVALON_TIMER_PERIOD_1_REG 3 +#define IOADDR_ALTERA_AVALON_TIMER_PERIOD_1(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_PERIOD_1_REG) +#define IORD_ALTERA_AVALON_TIMER_PERIOD_1(base) \ + IORD(base, ALTERA_AVALON_TIMER_PERIOD_1_REG) +#define IOWR_ALTERA_AVALON_TIMER_PERIOD_1(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_PERIOD_1_REG, data) +#define ALTERA_AVALON_TIMER_PERIOD_1_MSK (0xFFFF) +#define ALTERA_AVALON_TIMER_PERIOD_1_OFST (0) + +/* PERIOD_2 register */ +#define ALTERA_AVALON_TIMER_PERIOD_2_REG 4 +#define IOADDR_ALTERA_AVALON_TIMER_PERIOD_2(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_PERIOD_2_REG) +#define IORD_ALTERA_AVALON_TIMER_PERIOD_2(base) \ + IORD(base, ALTERA_AVALON_TIMER_PERIOD_2_REG) +#define IOWR_ALTERA_AVALON_TIMER_PERIOD_2(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_PERIOD_2_REG, data) +#define ALTERA_AVALON_TIMER_PERIOD_2_MSK (0xFFFF) +#define ALTERA_AVALON_TIMER_PERIOD_2_OFST (0) + +/* PERIOD_3 register */ +#define ALTERA_AVALON_TIMER_PERIOD_3_REG 5 +#define IOADDR_ALTERA_AVALON_TIMER_PERIOD_3(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_PERIOD_3_REG) +#define IORD_ALTERA_AVALON_TIMER_PERIOD_3(base) \ + IORD(base, ALTERA_AVALON_TIMER_PERIOD_3_REG) +#define IOWR_ALTERA_AVALON_TIMER_PERIOD_3(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_PERIOD_3_REG, data) +#define ALTERA_AVALON_TIMER_PERIOD_3_MSK (0xFFFF) +#define ALTERA_AVALON_TIMER_PERIOD_3_OFST (0) + +/* SNAP_0 register */ +#define ALTERA_AVALON_TIMER_SNAP_0_REG 6 +#define IOADDR_ALTERA_AVALON_TIMER_SNAP_0(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_SNAP_0_REG) +#define IORD_ALTERA_AVALON_TIMER_SNAP_0(base) \ + IORD(base, ALTERA_AVALON_TIMER_SNAP_0_REG) +#define IOWR_ALTERA_AVALON_TIMER_SNAP_0(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_SNAP_0_REG, data) +#define ALTERA_AVALON_TIMER_SNAP_0_MSK (0xFFFF) +#define ALTERA_AVALON_TIMER_SNAP_0_OFST (0) + +/* SNAP_1 register */ +#define ALTERA_AVALON_TIMER_SNAP_1_REG 7 +#define IOADDR_ALTERA_AVALON_TIMER_SNAP_1(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_SNAP_1_REG) +#define IORD_ALTERA_AVALON_TIMER_SNAP_1(base) \ + IORD(base, ALTERA_AVALON_TIMER_SNAP_1_REG) +#define IOWR_ALTERA_AVALON_TIMER_SNAP_1(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_SNAP_1_REG, data) +#define ALTERA_AVALON_TIMER_SNAP_1_MSK (0xFFFF) +#define ALTERA_AVALON_TIMER_SNAP_1_OFST (0) + +/* SNAP_2 register */ +#define ALTERA_AVALON_TIMER_SNAP_2_REG 8 +#define IOADDR_ALTERA_AVALON_TIMER_SNAP_2(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_SNAP_2_REG) +#define IORD_ALTERA_AVALON_TIMER_SNAP_2(base) \ + IORD(base, ALTERA_AVALON_TIMER_SNAP_2_REG) +#define IOWR_ALTERA_AVALON_TIMER_SNAP_2(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_SNAP_2_REG, data) +#define ALTERA_AVALON_TIMER_SNAP_2_MSK (0xFFFF) +#define ALTERA_AVALON_TIMER_SNAP_2_OFST (0) + +/* SNAP_3 register */ +#define ALTERA_AVALON_TIMER_SNAP_3_REG 9 +#define IOADDR_ALTERA_AVALON_TIMER_SNAP_3(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_SNAP_3_REG) +#define IORD_ALTERA_AVALON_TIMER_SNAP_3(base) \ + IORD(base, ALTERA_AVALON_TIMER_SNAP_3_REG) +#define IOWR_ALTERA_AVALON_TIMER_SNAP_3(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_SNAP_3_REG, data) +#define ALTERA_AVALON_TIMER_SNAP_3_MSK (0xFFFF) +#define ALTERA_AVALON_TIMER_SNAP_3_OFST (0) + +#endif /* __ALTERA_AVALON_TIMER_REGS_H__ */ diff --git a/software/sys_controller_bsp/drivers/src/Altera_UP_SD_Card_Avalon_Interface_mod.c b/software/sys_controller_bsp/drivers/src/Altera_UP_SD_Card_Avalon_Interface_mod.c deleted file mode 100644 index b98e077..0000000 --- a/software/sys_controller_bsp/drivers/src/Altera_UP_SD_Card_Avalon_Interface_mod.c +++ /dev/null @@ -1,1908 +0,0 @@ -/****************************************************************************** -* * -* License Agreement * -* * -* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * -* All rights reserved. * -* * -* Permission is hereby granted, free of charge, to any person obtaining a * -* copy of this software and associated documentation files (the "Software"), * -* to deal in the Software without restriction, including without limitation * -* the rights to use, copy, modify, merge, publish, distribute, sublicense, * -* and/or sell copies of the Software, and to permit persons to whom the * -* Software is furnished to do so, subject to the following conditions: * -* * -* The above copyright notice and this permission notice shall be included in * -* all copies or substantial portions of the Software. * -* * -* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * -* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * -* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * -* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * -* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * -* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * -* DEALINGS IN THE SOFTWARE. * -* * -* This agreement shall be governed in all respects by the laws of the State * -* of California and by the laws of the United States of America. * -* * -******************************************************************************/ - -#include -#include -#include -#include -#include -#include "Altera_UP_SD_Card_Avalon_Interface_mod.h" - -/////////////////////////////////////////////////////////////////////////// -// Local Define Statements -/////////////////////////////////////////////////////////////////////////// - -#define CHAR_TO_UPPER(ch) ((char) (((ch >= 'a') && (ch <= 'z')) ? ((ch-'a')+'A'): ch)) - -// Data Buffer Address -#define SD_CARD_BUFFER(base, x) (base + x) -// 128-bit Card Identification Number -#define SD_CARD_CID(base, x) (base + 0x0200 + x) -// 128-bit Card Specific Data Register -#define SD_CARD_CSD(base, x) (base + 0x0210 + x) -// 32-bit Operating Conditions Register -#define SD_CARD_OCR(base) (base + 0x0220) -// 32-bit Card Status Register -#define SD_CARD_STATUS(base) (base + 0x0224) -// 16-bit Relative Card Address Register -#define SD_CARD_RCA(base) (base + 0x0228) -// 32-bit Card Argument Register -#define SD_CARD_ARGUMENT(base) (base + 0x022C) -// 16-bit Card Command Register -#define SD_CARD_COMMAND(base) (base + 0x0230) -// 16-bit Card Auxiliary Status Register -#define SD_CARD_AUX_STATUS(base) (base + 0x0234) -// 32-bit R1 Response Register -#define SD_CARD_R1_RESPONSE(base) (base + 0x0238) - -#define CMD_READ_BLOCK 17 -#define CMD_WRITE_BLOCK 24 - -// FAT 12/16 related stuff -//#define BOOT_SECTOR_DATA_SIZE 0x005A -#define MAX_FILES_OPENED 2 - -/******************************************************************************/ -/****** LOCAL DATA STRUCTURES ***********************************************/ -/******************************************************************************/ - - -typedef struct s_FAT_12_16_boot_sector { - unsigned char jump_instruction[3]; - char OEM_name[8]; - unsigned short int sector_size_in_bytes; - unsigned char sectors_per_cluster; - unsigned short int reserved_sectors; - unsigned char number_of_FATs; - unsigned short int max_number_of_dir_entires; - unsigned short int number_of_sectors_in_partition; - unsigned char media_descriptor; - unsigned short int number_of_sectors_per_table; - unsigned short int number_of_sectors_per_track; - unsigned short int number_of_heads; - unsigned int number_of_hidden_sectors; - unsigned int total_sector_count_if_above_32MB; - unsigned char drive_number; - unsigned char current_head; - unsigned char boot_signature; - unsigned char volume_id[4]; - char volume_label[11]; - unsigned char file_system_type[8]; - unsigned char bits_for_cluster_index; - unsigned int first_fat_sector_offset; - unsigned int second_fat_sector_offset; - unsigned int root_directory_sector_offset; - unsigned int data_sector_offset; -} t_FAT_12_16_boot_sector; - - -typedef struct s_file_record { - unsigned char name[8]; - unsigned char extension[3]; - unsigned char attributes; - unsigned short int create_time; - unsigned short int create_date; - unsigned short int last_access_date; - unsigned short int last_modified_time; - unsigned short int last_modified_date; - unsigned short int start_cluster_index; - unsigned int file_size_in_bytes; - /* The following fields are only used when a file has been created or opened. */ - unsigned int current_cluster_index; - unsigned int current_sector_in_cluster; - unsigned int current_byte_position; - // Absolute location of the file record on the SD Card. - unsigned int file_record_cluster; - unsigned int file_record_sector_in_cluster; - short int file_record_offset; - // Is this record in use and has the file been modified. - unsigned int home_directory_cluster; - bool modified; - bool in_use; -} t_file_record; - - -typedef struct s_find_data { - unsigned int directory_root_cluster; // 0 means root directory. - unsigned int current_cluster_index; - unsigned int current_sector_in_cluster; - short int file_index_in_sector; - bool valid; -} t_find_data; - - -/////////////////////////////////////////////////////////////////////////// -// Local Variables -/////////////////////////////////////////////////////////////////////////// - - -bool initialized = false; -bool is_sd_card_formated_as_FAT16 = false; -volatile short int *aux_status_register = NULL; -volatile int *status_register = NULL; -volatile short int *CSD_register_w0 = NULL; -volatile short int *command_register = NULL; -volatile int *command_argument_register = NULL; -volatile char *buffer_memory = NULL; -int fat_partition_offset_in_512_byte_sectors = 0; -int fat_partition_size_in_512_byte_sectors = 0; - -#ifndef SD_RAW_IFACE -t_FAT_12_16_boot_sector boot_sector_data; -#endif - -alt_up_sd_card_dev *device_pointer = NULL; - -#ifndef SD_RAW_IFACE -// Pointers to currently opened files. -t_file_record active_files[MAX_FILES_OPENED]; -#endif -bool current_sector_modified = false; -unsigned int current_sector_index = 0; - -#ifndef SD_RAW_IFACE -t_find_data search_data; -#endif - - -/////////////////////////////////////////////////////////////////////////// -// Local Functions -/////////////////////////////////////////////////////////////////////////// - -#ifndef SD_RAW_IFACE -static bool Write_Sector_Data(int sector_index, int partition_offset) -#else -bool Write_Sector_Data(int sector_index, int partition_offset) -#endif -// This function writes a sector at the specified address on the SD Card. -{ - bool result = false; - - if (alt_up_sd_card_is_Present()) - { - short int reg_state = 0xff; - - /* Multiply sector offset by sector size to get the address. Sector size is 512. Also, - * the SD card reads data in 512 byte chunks, so the address must be a multiple of 512. */ - IOWR_32DIRECT(command_argument_register, 0, (sector_index + partition_offset)*512); - IOWR_16DIRECT(command_register, 0, CMD_WRITE_BLOCK); - do { - reg_state = (short int) IORD_16DIRECT(aux_status_register,0); - } while ((reg_state & 0x04)!=0); - // Make sure the request did not time out. - if ((reg_state & 0x10) == 0) - { - result = true; - current_sector_modified = false; - current_sector_index = sector_index+partition_offset; - } - } - return result; -} - -#ifndef SD_RAW_IFACE -static bool Save_Modified_Sector() -#else -bool Save_Modified_Sector() -#endif -// If the sector has been modified, then save it to the SD Card. -{ - bool result = true; - if (current_sector_modified) - { - result = Write_Sector_Data(current_sector_index, 0); - } - return result; -} - -#ifndef SD_RAW_IFACE -static bool Read_Sector_Data(int sector_index, int partition_offset) -#else -bool Read_Sector_Data(int sector_index, int partition_offset) -#endif -// This function reads a sector at the specified address on the SD Card. -{ - bool result = false; - - if (alt_up_sd_card_is_Present()) - { - short int reg_state = 0xff; - - /* Write data to the SD card if the current buffer is out of date. */ - if (current_sector_modified) - { - if (Write_Sector_Data(current_sector_index, 0) == false) - { - return false; - } - } - /* Multiply sector offset by sector size to get the address. Sector size is 512. Also, - * the SD card reads data in 512 byte chunks, so the address must be a multiple of 512. */ - IOWR_32DIRECT(command_argument_register, 0, (sector_index + partition_offset)*512); - IOWR_16DIRECT(command_register, 0, CMD_READ_BLOCK); - do { - reg_state = (short int) IORD_16DIRECT(aux_status_register,0); - } while ((reg_state & 0x04)!=0); - // Make sure the request did not time out. - if ((reg_state & 0x10) == 0) - { - result = true; - current_sector_modified = false; - current_sector_index = sector_index+partition_offset; - } - } - return result; -} - -#ifndef SD_RAW_IFACE -static bool get_cluster_flag(unsigned int cluster_index, unsigned short int *flag) -// Read a cluster flag. -{ - unsigned int sector_index = (cluster_index / 256) + fat_partition_offset_in_512_byte_sectors; - - sector_index = sector_index + boot_sector_data.first_fat_sector_offset; - - if (sector_index != current_sector_index) - { - if (Read_Sector_Data(sector_index, 0) == false) - { - return false; - } - } - *flag = (unsigned short int) IORD_16DIRECT(device_pointer->base, 2*(cluster_index % 256)); - return true; -} - - -static bool mark_cluster(unsigned int cluster_index, short int flag, bool first_fat) -// Place a marker on the specified cluster in a given FAT. -{ - unsigned int sector_index = (cluster_index / 256) + fat_partition_offset_in_512_byte_sectors; - - if (first_fat) - { - sector_index = sector_index + boot_sector_data.first_fat_sector_offset; - } - else - { - sector_index = sector_index + boot_sector_data.second_fat_sector_offset; - } - - if (sector_index != current_sector_index) - { - if (Read_Sector_Data(sector_index, 0) == false) - { - return false; - } - } - IOWR_16DIRECT(device_pointer->base, 2*(cluster_index % 256), flag); - current_sector_modified = true; - return true; -} - - -static bool Check_for_Master_Boot_Record(void) -// This function reads the first 512 bytes on the SD Card. This data should -// contain the Master Boot Record. If it does, then print -// relevant information and return true. Otherwise, return false. -{ - bool result = false; - int index; - int end, offset, partition_size; - - /* Load the first 512 bytes of data from SD card. */ - if (Read_Sector_Data(0, 0)) - { - end = (short int) IORD_16DIRECT(device_pointer->base,0x1fe); - - // Check if the end of the sector contains an end string 0xaa55. - if ((end & 0x0000ffff) == 0x0000aa55) - { - // Check four partition entries and see if any are valid - for (index = 0; index < 4; index++) - { - int partition_data_offset = (index * 16) + 0x01be; - char type; - - // Read Partition type - type = (unsigned char) IORD_8DIRECT(device_pointer->base,partition_data_offset + 0x04); - - // Check if this is an FAT parition - if ((type == 1) || (type == 4) || (type == 6) || (type == 14)) - { - // Get partition offset and size. - offset = (((unsigned short int) IORD_16DIRECT(device_pointer->base,partition_data_offset + 0x0A)) << 16) | ((unsigned short int) IORD_16DIRECT(device_pointer->base,partition_data_offset + 0x08)); - partition_size = (((unsigned short int) IORD_16DIRECT(device_pointer->base,partition_data_offset + 0x0E)) << 16) | ((unsigned short int) IORD_16DIRECT(device_pointer->base,partition_data_offset + 0x0C)); - - // Check if the partition is valid - if (partition_size > 0) - { - result = true; - fat_partition_size_in_512_byte_sectors = partition_size; - fat_partition_offset_in_512_byte_sectors = offset; - break; - } - } - } - } - } - - return result; -} - - -static bool Read_File_Record_At_Offset(int offset, t_file_record *record, unsigned int cluster_index, unsigned int sector_in_cluster) -// This function reads a file record -{ - bool result = false; - if (((offset & 0x01f) == 0) && (alt_up_sd_card_is_Present()) && (is_sd_card_formated_as_FAT16)) - { - int counter; - - for (counter = 0; counter < 8; counter++) - { - record->name[counter] = (char) IORD_8DIRECT(device_pointer->base, offset+counter); - } - for (counter = 0; counter < 3; counter++) - { - record->extension[counter] = (char) IORD_8DIRECT(device_pointer->base, offset+counter+8); - } - record->attributes = (char) IORD_8DIRECT(device_pointer->base, offset+11); - /* Ignore reserved bytes at locations 12 and 13. */ - record->create_time = (unsigned short int) IORD_16DIRECT(device_pointer->base, offset+14); - record->create_date = (unsigned short int) IORD_16DIRECT(device_pointer->base, offset+16); - record->last_access_date = (unsigned short int) IORD_16DIRECT(device_pointer->base, offset+18); - /* Ignore reserved bytes at locations 20 and 21. */ - record->last_modified_time = (unsigned short int) IORD_16DIRECT(device_pointer->base, offset+22); - record->last_modified_date = (unsigned short int) IORD_16DIRECT(device_pointer->base, offset+24); - record->start_cluster_index = (unsigned short int) IORD_16DIRECT(device_pointer->base, offset+26); - record->file_size_in_bytes = (unsigned int) IORD_32DIRECT(device_pointer->base, offset+28); - record->file_record_cluster = cluster_index; - record->file_record_sector_in_cluster = sector_in_cluster; - record->file_record_offset = offset; - result = true; - } - return result; -} - - -static bool Write_File_Record_At_Offset(int offset, t_file_record *record) -// This function writes a file record at a given offset. The offset is given in bytes. -{ - bool result = false; - if (((offset & 0x01f) == 0) && (alt_up_sd_card_is_Present()) && (is_sd_card_formated_as_FAT16)) - { - int counter; - - for (counter = 0; counter < 8; counter=counter+2) - { - short int two_chars = (short int) record->name[counter+1]; - two_chars = two_chars << 8; - two_chars = two_chars | record->name[counter]; - IOWR_16DIRECT(device_pointer->base, offset+counter, two_chars); - } - for (counter = 0; counter < 3; counter++) - { - IOWR_8DIRECT(device_pointer->base, offset+counter+8, record->extension[counter]); - } - IOWR_8DIRECT(device_pointer->base, offset+11, record->attributes); - /* Ignore reserved bytes at locations 12 and 13. */ - IOWR_16DIRECT(device_pointer->base, offset+14, record->create_time); - IOWR_16DIRECT(device_pointer->base, offset+16, record->create_date); - IOWR_16DIRECT(device_pointer->base, offset+18, record->last_access_date); - /* Ignore reserved bytes at locations 20 and 21. */ - IOWR_16DIRECT(device_pointer->base, offset+22, record->last_modified_time); - IOWR_16DIRECT(device_pointer->base, offset+24, record->last_modified_date); - IOWR_16DIRECT(device_pointer->base, offset+26, record->start_cluster_index); - IOWR_32DIRECT(device_pointer->base, offset+28, record->file_size_in_bytes); - current_sector_modified = true; - result = true; - } - return result; -} - - -static bool Check_for_DOS_FAT(int FAT_partition_start_sector) -// This function reads the boot sector for the FAT file system on the SD Card. -// The offset_address should point to the sector on the card where the boot sector is located. -// The sector number is specified either in the master Boot Record, or is 0 by default for a purely FAT -// based file system. If the specified sector contains a FAT boot sector, then this function prints the -// relevant information and returns 1. Otherwise, it returns 0. -{ - bool result = false; - int counter = 0; - short int end; - - result = Read_Sector_Data(0, FAT_partition_start_sector); - end = (short int) IORD_16DIRECT(device_pointer->base, 0x1fe); - if (((end & 0x0000ffff) == 0x0000aa55) && (result)) - { - int num_clusters = 0; - - boot_sector_data.jump_instruction[0] = (char) IORD_8DIRECT(device_pointer->base, 0); - boot_sector_data.jump_instruction[1] = (char) IORD_8DIRECT(device_pointer->base, 1); - boot_sector_data.jump_instruction[2] = (char) IORD_8DIRECT(device_pointer->base, 2); - for (counter = 0; counter < 8; counter++) - { - boot_sector_data.OEM_name[counter] = (char) IORD_8DIRECT(device_pointer->base, 3+counter); - } - boot_sector_data.sector_size_in_bytes = (((unsigned char) IORD_8DIRECT(device_pointer->base, 12)) << 8 ) | ((char) IORD_8DIRECT(device_pointer->base, 11)); - boot_sector_data.sectors_per_cluster = ((unsigned char) IORD_8DIRECT(device_pointer->base, 13)); - boot_sector_data.reserved_sectors = ((unsigned short int) IORD_16DIRECT(device_pointer->base, 14)); - boot_sector_data.number_of_FATs = ((unsigned char) IORD_8DIRECT(device_pointer->base, 16)); - boot_sector_data.max_number_of_dir_entires = (((unsigned short int)(((unsigned char) IORD_8DIRECT(device_pointer->base, 18)))) << 8 ) | ((unsigned char) IORD_8DIRECT(device_pointer->base, 17)); - boot_sector_data.number_of_sectors_in_partition = (((unsigned short int)(((unsigned char) IORD_8DIRECT(device_pointer->base, 20)))) << 8 ) | ((unsigned char) IORD_8DIRECT(device_pointer->base, 19)); - boot_sector_data.media_descriptor = ((unsigned char) IORD_8DIRECT(device_pointer->base, 21)); - boot_sector_data.number_of_sectors_per_table = ((unsigned short int) IORD_16DIRECT(device_pointer->base, 22)); - boot_sector_data.number_of_sectors_per_track = ((unsigned short int) IORD_16DIRECT(device_pointer->base, 24)); - boot_sector_data.number_of_heads = ((unsigned short int) IORD_16DIRECT(device_pointer->base, 26)); - boot_sector_data.number_of_hidden_sectors = ((unsigned int) IORD_32DIRECT(device_pointer->base, 28)); - boot_sector_data.total_sector_count_if_above_32MB = ((unsigned int) IORD_32DIRECT(device_pointer->base, 32)); - boot_sector_data.drive_number = ((unsigned char) IORD_8DIRECT(device_pointer->base, 36)); - boot_sector_data.current_head = ((unsigned char) IORD_8DIRECT(device_pointer->base, 37)); - boot_sector_data.boot_signature = ((unsigned char) IORD_8DIRECT(device_pointer->base, 38)); - boot_sector_data.first_fat_sector_offset = boot_sector_data.reserved_sectors; - boot_sector_data.second_fat_sector_offset = boot_sector_data.first_fat_sector_offset + boot_sector_data.number_of_sectors_per_table; - boot_sector_data.root_directory_sector_offset = boot_sector_data.second_fat_sector_offset + boot_sector_data.number_of_sectors_per_table; - boot_sector_data.data_sector_offset = boot_sector_data.root_directory_sector_offset + (32*boot_sector_data.max_number_of_dir_entires / boot_sector_data.sector_size_in_bytes); - - if (boot_sector_data.number_of_sectors_in_partition > 0) - { - num_clusters = (boot_sector_data.number_of_sectors_in_partition / boot_sector_data.sectors_per_cluster); - } - else - { - num_clusters = (boot_sector_data.total_sector_count_if_above_32MB / boot_sector_data.sectors_per_cluster); - } - if (num_clusters < 4087) - { - boot_sector_data.bits_for_cluster_index = 12; - } - else if (num_clusters <= 65517) - { - boot_sector_data.bits_for_cluster_index = 16; - } - else - { - boot_sector_data.bits_for_cluster_index = 32; - } - - for (counter = 0; counter < 4; counter++) - { - boot_sector_data.volume_id[counter] = ((char) IORD_8DIRECT(device_pointer->base, 39+counter)); - } - for (counter = 0; counter < 11; counter++) - { - boot_sector_data.volume_label[counter] = ((char) IORD_8DIRECT(device_pointer->base, 43+counter)); - } - for (counter = 0; counter < 8; counter++) - { - boot_sector_data.file_system_type[counter] = ((char) IORD_8DIRECT(device_pointer->base, 54+counter)); - } - // Clear file records - for (counter = 0; counter < MAX_FILES_OPENED; counter++) - { - active_files[counter].in_use = false; - } - result = true; - } - else - { - result = false; - } - return result; -} - - -static bool Look_for_FAT16(void) -// Read the SD card to determine if it contains a FAT16 partition. -{ - bool result = false; - - if (alt_up_sd_card_is_Present()) - { - short int csd_file_format = *CSD_register_w0; - - fat_partition_offset_in_512_byte_sectors = 0; - fat_partition_size_in_512_byte_sectors = 0; - - if (((csd_file_format & 0x8000) == 0) && ((csd_file_format & 0x0c00) != 0x0c00)) - { - if ((csd_file_format & 0x0c00) == 0x0400) - { - /* SD Card contains files stored in a DOS FAT (floppy like) file format, without a partition table */ - result = Check_for_DOS_FAT(0); - } - if ((csd_file_format & 0x0c00) == 0x0000) - { - /* SD Card contains files stored in a Hard disk-like file format that contains a partition table */ - if (Check_for_Master_Boot_Record()) - { - result = Check_for_DOS_FAT(fat_partition_offset_in_512_byte_sectors); - } - } - if (result == true) - { - // Accept only FAT16, not FAT12. - if (boot_sector_data.bits_for_cluster_index != 16) - { - result = false; - } - else - { - fat_partition_size_in_512_byte_sectors = boot_sector_data.number_of_sectors_in_partition; - } - } - } - } - return result; -} - - -static void filename_to_upper_case(char *file_name) -// Change file name to upper case. -{ - int index; - int length = strlen(file_name); - - for (index = 0; index < length; index++) - { - if ((file_name[index] >= 'a') && (file_name[index] <= 'z')) - { - file_name[index] = (file_name[index] - 'a') + 'A'; - } - } -} - - -static bool check_file_name_for_FAT16_compliance(char *file_name) -// Check if the file complies with FAT16 naming convention. -{ - int length = strlen(file_name); - int index; - int last_dir_break_position = -1; - int last_period = -1; - bool result = true; - - for(index = 0; index < length; index++) - { - if ((file_name[index] == ' ') || - ((last_dir_break_position == (index - 1)) && ((file_name[index] == '\\') || (file_name[index] == '/'))) || - ((index - last_period == 9) && (file_name[index] != '.')) || - ((last_dir_break_position != last_period) && (index - last_period > 3) && - (file_name[index] != '\\') && (file_name[index] != '/')) - ) - { - result = false; - break; - } - if ((file_name[index] == '\\') || (file_name[index] == '/')) - { - last_period = index; - last_dir_break_position = index; - } - if (file_name[index] == '.') - { - last_period = index; - } - } - if ((file_name[length-1] == '\\') || (file_name[length-1] == '/')) - { - result = false; - } - return result; -} - - -static int get_dir_divider_location(char *name) -// Find a directory divider location. -{ - int index = 0; - int length = strlen(name); - - for(index = 0; index < length; index++) - { - if ((name[index] == '\\') || (name[index] == '/')) - { - break; - } - } - - if (index == length) - { - index = -1; - } - - return index; -} - - -static bool match_file_record_to_name_ext(t_file_record *file_record, char *name, char *extension) -/* See if the given name and extension match the file record. Return true if this is so, false otherwise. */ -{ - bool match = true; - int index; - - for (index = 0; index < 8; index++) - { - if (CHAR_TO_UPPER(file_record->name[index]) != CHAR_TO_UPPER(name[index])) - { - match = false; - break; - } - } - for (index = 0; index < 3; index++) - { - if (CHAR_TO_UPPER(file_record->extension[index]) != CHAR_TO_UPPER(extension[index])) - { - match = false; - break; - } - } - return match; -} - - -static bool get_home_directory_cluster_for_file(char *file_name, int *home_directory_cluster, t_file_record *file_record) -// Scan the directories in given in the file name and find the root directory for the file. -{ - bool result = false; - int home_dir_cluster = 0; - int location, index; - int start_location = 0; - - /* Get Next Directory. */ - location = get_dir_divider_location( file_name ); - while (location > 0) - { - char name[8] = { ' ', ' ', ' ', ' ', ' ', ' ', ' ', ' ' }; - char extension[3] = { ' ', ' ', ' ' }; - int ext_index = -1; - int new_cluster = home_dir_cluster; - - // Get the name of the directory in name/extension format. - for (index = 0; index < location; index++) - { - if (file_name[index+start_location] == '.') - { - ext_index = index; - } - else if (ext_index < 0) - { - name[index] = file_name[index+start_location]; - } - else - { - extension[index-ext_index] = file_name[index+start_location]; - } - } - - if (home_dir_cluster == 0) - { - /* We are in the root directory. Scan the directory (of predefined size) and see if you can find the specified file. */ - int max_root_dir_sectors = ((32*boot_sector_data.max_number_of_dir_entires) / boot_sector_data.sector_size_in_bytes); - int sector_index; - - for (sector_index = 0; sector_index < max_root_dir_sectors; sector_index++) - { - if (Read_Sector_Data(sector_index+boot_sector_data.root_directory_sector_offset, fat_partition_offset_in_512_byte_sectors)) - { - int file_counter; - - for (file_counter = 0; file_counter < 16; file_counter++) - { - - // Read file record. - Read_File_Record_At_Offset(file_counter*32, file_record, 0, sector_index); - if ((file_record->name[0] != 0xe5) && (file_record->name[0] != 0x00)) - { - bool match = match_file_record_to_name_ext(file_record, name, extension); - if (match) - { - new_cluster = file_record->start_cluster_index; - file_record->file_record_cluster = 1; // Home directory is a subdirectory in the root directory. - break; - } - } - } - } - else - { - break; - } - if (new_cluster != home_dir_cluster) - { - break; - } - } - if (new_cluster != home_dir_cluster) - { - // A valid directory is found, so go to it. - home_dir_cluster = new_cluster; - start_location = start_location+location+1; - } - else - { - // Directory path is invalid. - return false; - } - } else { - // This is a subdirectory that can have any number of elements. So scan through it as though it was a file - // and see if you can find the directory of interest. - int cluster = home_dir_cluster; - - do { - int start_sector = ( cluster - 2 ) * ( boot_sector_data.sectors_per_cluster ) + boot_sector_data.data_sector_offset; - int sector_index; - - for (sector_index = 0; sector_index < boot_sector_data.sectors_per_cluster; sector_index++) - { - if (Read_Sector_Data(sector_index + start_sector, fat_partition_offset_in_512_byte_sectors)) - { - int file_counter; - - for (file_counter = 0; file_counter < 16; file_counter++) - { - // Read file record. - Read_File_Record_At_Offset(file_counter*32, file_record, cluster, sector_index); - if ((file_record->name[0] != 0xe5) && (file_record->name[0] != 0x00)) - { - bool match = match_file_record_to_name_ext(file_record, name, extension); - if (match) - { - new_cluster = file_record->start_cluster_index; - break; - } - } - } - } - else - { - break; - } - if (new_cluster != home_dir_cluster) - { - break; - } - } - // If this is the end of the cluster and the directory has not been found, then see if there is another cluster - // that holds data for the current directory. - if (new_cluster == home_dir_cluster) - { - unsigned short int next_cluster; - - if (get_cluster_flag(new_cluster, &next_cluster)) - { - // The directory needs to be expanded to store more files. - if ((next_cluster & 0x0000fff8) == 0x0000fff8) - { - return false; - } - new_cluster = (next_cluster & 0x0000fff8); - } - else - { - // Directory path is invalid. - return false; - } - } - } while ((cluster < 0x0000fff8) && (new_cluster == home_dir_cluster)); - if (new_cluster != home_dir_cluster) - { - // A valid directory is found, so go to it. - home_dir_cluster = new_cluster; - start_location = start_location+location+1; - } - else - { - // Directory path is invalid. - return false; - } - } - location = get_dir_divider_location(&(file_name[start_location])); - if (location < 0) - { - // Directory has been located. - result = true; - } - } - - *home_directory_cluster = home_dir_cluster; - if (home_dir_cluster == 0) - { - file_record->file_record_cluster = 0; // Home directory is the root directory. - result = true; - } - return result; -} - - -static bool find_file_in_directory(int directory_start_cluster, char *file_name, t_file_record *file_record) -// Given a cluster and a file name, check if the file already exists. Return the file record if the file is found. -{ - int location = get_dir_divider_location( file_name ); - int last_dir_separator = 0; - char name[8] = { ' ', ' ', ' ', ' ', ' ', ' ', ' ', ' ' }; - char extension[3] = { ' ', ' ', ' ' }; - int ext_index = -1; - int cluster = directory_start_cluster; - int index; - int length = strlen(file_name); - bool result = false; - - // Skip through all directory separators. - while (location > 0) - { - last_dir_separator = last_dir_separator+location+1; - location = get_dir_divider_location( &(file_name[last_dir_separator]) ); - } - - // Get the name of the file in name/extension format. - for (index = last_dir_separator; index < length; index++) - { - if (file_name[index] == '.') - { - ext_index = index; - } - else if (ext_index < 0) - { - name[index-last_dir_separator] = file_name[index]; - } - else - { - extension[index-ext_index-1] = file_name[index]; - } - } - - // Look for the file. - if (directory_start_cluster == 0) - { - /* We are in the root directory. Scan the directory (of predefined size) and see if you can find the specified file. */ - int max_root_dir_sectors = ((32*boot_sector_data.max_number_of_dir_entires) / boot_sector_data.sector_size_in_bytes); - int sector_index; - - for (sector_index = 0; sector_index < max_root_dir_sectors; sector_index++) - { - if (Read_Sector_Data( sector_index + boot_sector_data.root_directory_sector_offset, - fat_partition_offset_in_512_byte_sectors)) - { - int file_counter; - - for (file_counter = 0; file_counter < 16; file_counter++) - { - // Read file record. - Read_File_Record_At_Offset(file_counter*32, file_record, 0, sector_index); - if ((file_record->name[0] != 0xe5) && (file_record->name[0] != 0x00)) - { - bool match = match_file_record_to_name_ext(file_record, name, extension); - - if (match) - { - result = true; - break; - } - } - } - } - else - { - break; - } - if (result) - { - break; - } - } - } - else - { - do { - int start_sector = ( cluster - 2 ) * ( boot_sector_data.sectors_per_cluster ) + boot_sector_data.data_sector_offset; - int sector_index; - - for (sector_index = 0; sector_index < boot_sector_data.sectors_per_cluster; sector_index++) - { - if (Read_Sector_Data(sector_index + start_sector, fat_partition_offset_in_512_byte_sectors)) - { - int file_counter; - - for (file_counter = 0; file_counter < 16; file_counter++) - { - // Read file record. - Read_File_Record_At_Offset(file_counter*32, file_record, cluster, sector_index); - if ((file_record->name[0] != 0xe5) && (file_record->name[0] != 0x00)) - { - bool match = match_file_record_to_name_ext(file_record, name, extension); - - if (match) - { - result = true; - break; - } - } - } - } - else - { - break; - } - if (result) - { - break; - } - } - // If this is the end of the cluster and the file has not been found, then see if there is another cluster - // that holds data for the current directory. - if (result == false) - { - unsigned short int new_cluster; - - if (get_cluster_flag(cluster, &new_cluster)) - { - // The directory needs to be expanded to store more files. - if ((new_cluster & 0x0000fff8) == 0x0000fff8) - { - return false; - } - cluster = (new_cluster & 0x0000fff8); - } - else - { - // Directory path is invalid. - return false; - } - } - } while ((cluster < 0x0000fff8) && (result == false)); - } - - return result; -} - - -static bool find_first_empty_cluster(unsigned int *cluster_number) -// Find the first empty cluster. It will be marked by a 0 entry in the File Allocation Table. -{ - unsigned int sector = boot_sector_data.first_fat_sector_offset; - unsigned int cluster_index = 2; - short int cluster = -1; - bool result = false; - unsigned max_cluster_index = 0; - unsigned int non_data_sectors = boot_sector_data.data_sector_offset; - unsigned int less_than_32 = boot_sector_data.number_of_sectors_in_partition; - unsigned int greater_than_32 = boot_sector_data.total_sector_count_if_above_32MB; - - if (less_than_32 > greater_than_32) - { - max_cluster_index = ((less_than_32 - non_data_sectors) / boot_sector_data.sectors_per_cluster) + 1; - } - else - { - max_cluster_index = ((greater_than_32 - non_data_sectors) / boot_sector_data.sectors_per_cluster) + 1; - } - // Find an empty cluster for the file. - while (sector != boot_sector_data.second_fat_sector_offset) - { - if (Read_Sector_Data( sector, fat_partition_offset_in_512_byte_sectors)) - { - do { - cluster = ((unsigned short int) IORD_16DIRECT(device_pointer->base, 2*(cluster_index % 256))); - if (cluster == 0) - { - // Free cluster found. - break; - } - else - { - cluster_index++; - } - } while ((cluster_index % 256) != 0); - } - if (cluster == 0) - { - break; - } - sector++; - } - if ((cluster == 0) && (cluster <= max_cluster_index)) - { - *cluster_number = cluster_index; - result = true; - } - return result; -} - - -static int find_first_empty_record_in_a_subdirectory(int start_cluster_index) -// Search for a free spot in a subdirectory. Return an encoded location for the file record. -{ - int result = -1; - int cluster = start_cluster_index; - do { - int start_sector = ( cluster - 2 ) * ( boot_sector_data.sectors_per_cluster ) + boot_sector_data.data_sector_offset; - int sector_index; - - for (sector_index = 0; sector_index < boot_sector_data.sectors_per_cluster; sector_index++) - { - if (Read_Sector_Data(sector_index + start_sector, fat_partition_offset_in_512_byte_sectors)) - { - int file_counter; - - for (file_counter = 0; file_counter < 16; file_counter++) - { - unsigned short int leading_char; - - // Read file record. - leading_char = ((unsigned char) IORD_8DIRECT(device_pointer->base, file_counter*32)); - if ((leading_char == 0x00e5) || (leading_char == 0)) - { - result = (cluster) | ((sector_index*16 + file_counter) << 16); - return result; - } - } - } - else - { - break; - } - } - // If this is the end of the cluster and the file has not been found, then see if there is another cluster - // that holds data for the current directory. - if (result < 0) - { - unsigned short int new_cluster; - if (get_cluster_flag(cluster, &new_cluster)) - { - // The directory needs to be expanded to store more files. - if ((new_cluster & 0x0000fff8) == 0x0000fff8) - { - unsigned int new_dir_cluster; - if (find_first_empty_cluster(&new_dir_cluster)) - { - // Add the new cluster to the linked list of the given directory. - if (mark_cluster(cluster, ((short int) (new_dir_cluster)), true) && - mark_cluster(new_dir_cluster, ((short int) (0xffff)), true) && - mark_cluster(cluster, ((short int) (new_dir_cluster)), false) && - mark_cluster(new_dir_cluster, ((short int) (0xffff)), false)) - { - Save_Modified_Sector(); - // The new file will begin at the first entry of the directory. - result = new_dir_cluster; - } - } - cluster = (new_cluster & 0x0000fff8); - } - } - else - { - // Error encountered. - result = -1; - } - } - } while ((cluster < 0x0000fff8) && (result == -1)); - return result; -} - - -static int find_first_empty_record_in_root_directory() -// Find a first unused record location to use. Return -1 if none is found. -{ - int max_root_dir_sectors = ((32*boot_sector_data.max_number_of_dir_entires) / boot_sector_data.sector_size_in_bytes); - int sector_index; - int result = -1; - - for (sector_index = 0; sector_index < max_root_dir_sectors; sector_index++) - { - if (Read_Sector_Data( sector_index + boot_sector_data.root_directory_sector_offset, - fat_partition_offset_in_512_byte_sectors)) - { - int file_counter; - - for (file_counter = 0; file_counter < 16; file_counter++) - { - unsigned short int leading_char; - - // Read first character of the file record. - leading_char = ((unsigned char) IORD_8DIRECT(device_pointer->base, file_counter*32)); - if ((leading_char == 0x00e5) || (leading_char == 0)) - { - result = (sector_index*16 + file_counter) << 16; - return result; - } - } - } - else - { - break; - } - } - return result; -} - -static void convert_filename_to_name_extension(char *filename, char *name, char *extension) -// This function converts the file name into a name . extension format. -{ - int counter; - int local = 0; - - for(counter = 0; counter < 8; counter++) - { - if (filename[local] != '.') - { - name[counter] = filename[local]; - if (filename[local] != 0) local++; - } - else - { - name[counter] = ' '; - } - } - if (filename[local] == '.') local++; - for(counter = 0; counter < 3; counter++) - { - if (filename[local] != 0) - { - extension[counter] = filename[local]; - local++; - } - else - { - extension[counter] = ' '; - } - } - -} - -static bool create_file(char *name, t_file_record *file_record, t_file_record *home_dir) -// Create a file in a given directory. Expand the directory if needed. -{ - unsigned int cluster_number; - bool result = false; - - if (find_first_empty_cluster(&cluster_number)) - { - int record_index; - - if (home_dir->file_record_cluster == 0) - { - // Put a file in the root directory. - record_index = find_first_empty_record_in_root_directory(); - } - else - { - // Put a file in a subdirectory. - record_index = find_first_empty_record_in_a_subdirectory(home_dir->start_cluster_index); - } - if (record_index >= 0) - { - unsigned int file_record_sector; - int location = get_dir_divider_location( name ); - int last_dir_separator = 0; - - // Skip through all directory separators. - while (location > 0) - { - last_dir_separator = last_dir_separator+location+1; - location = get_dir_divider_location( &(name[last_dir_separator]) ); - } - - convert_filename_to_name_extension(&(name[last_dir_separator]), (char *)file_record->name, (char *)file_record->extension); - - file_record->attributes = 0; - file_record->create_time = 0; - file_record->create_date = 0; - file_record->last_access_date = 0; - file_record->last_modified_time = 0; - file_record->last_modified_date = 0; - file_record->start_cluster_index = cluster_number; - file_record->file_size_in_bytes = 0; - file_record->current_cluster_index = cluster_number; - file_record->current_sector_in_cluster = 0; - file_record->current_byte_position = 0; - file_record->file_record_cluster = record_index & 0x0000ffff; - file_record->file_record_sector_in_cluster = ((record_index >> 16) & 0x0000ffff) / 16; - file_record->file_record_offset = (((record_index >> 16) & 0x0000ffff) % 16)*32; - file_record->home_directory_cluster = home_dir->start_cluster_index; - file_record->in_use = true; - file_record->modified = true; - // Now write the record at the specified location. - file_record_sector = (file_record->file_record_cluster == 0) ? - (boot_sector_data.root_directory_sector_offset + file_record->file_record_sector_in_cluster): - (boot_sector_data.data_sector_offset + (file_record->file_record_cluster-2)*boot_sector_data.sectors_per_cluster + - file_record->file_record_sector_in_cluster); - - if (Read_Sector_Data(file_record_sector, fat_partition_offset_in_512_byte_sectors)) - { - if (Write_File_Record_At_Offset(file_record->file_record_offset, file_record)) - { - Save_Modified_Sector(); - // Mark the first cluster of the file as the last cluster at first. - mark_cluster(cluster_number, ((short int) (0xffff)), true); - if (mark_cluster(cluster_number, ((short int) (0xffff)), false)) - { - result = true; - } - } - } - } - - } - return result; -} - - -static void copy_file_record_name_to_string(t_file_record *file_record, char *file_name) -/* Copy a file name from the file record to a given string */ -{ - int index; - int flength = 0; - - /* Copy file name.*/ - for (index = 0; index < 8; index++) - { - if (file_record->name[index] != ' ') - { - file_name[flength] = file_record->name[index]; - flength = flength + 1; - } - } - if (file_record->extension[0] != ' ') - { - file_name[flength] = '.'; - flength = flength + 1; - for (index = 0; index < 3; index++) - { - if (file_record->extension[index] != ' ') - { - file_name[flength] = file_record->extension[index]; - flength = flength + 1; - } - } - } - file_name[flength] = 0; -} -#endif //SD_RAW_IFACE - -/////////////////////////////////////////////////////////////////////////// -// Direct functions -/////////////////////////////////////////////////////////////////////////// - - -alt_up_sd_card_dev* alt_up_sd_card_open_dev(const char* name) -{ - // find the device from the device list - // (see altera_hal/HAL/inc/priv/alt_file.h - // and altera_hal/HAL/src/alt_find_dev.c - // for details) - alt_up_sd_card_dev *dev = (alt_up_sd_card_dev *) alt_find_dev(name, &alt_dev_list); - - if (dev != NULL) - { - aux_status_register = ((short int *) SD_CARD_AUX_STATUS(dev->base)); - status_register = ((int *) SD_CARD_STATUS(dev->base)); - CSD_register_w0 = ((short int *) SD_CARD_CSD(dev->base, 0)); - command_register = ((short int *) SD_CARD_COMMAND(dev->base)); - command_argument_register = ((int *) SD_CARD_ARGUMENT(dev->base)); - buffer_memory = (char *) SD_CARD_BUFFER(dev->base, 0); - device_pointer = dev; - initialized = false; -#ifndef SD_RAW_IFACE - is_sd_card_formated_as_FAT16 = false; - search_data.valid = false; -#endif - } - return dev; -} - - -bool alt_up_sd_card_is_Present(void) -// Check if there is an SD Card insterted into the SD Card socket. -{ - bool result = false; - - if ((device_pointer != NULL) && ((IORD_16DIRECT(aux_status_register,0) & 0x02) != 0)) - { - result = true; - } - else if (initialized == true) - { - int index; - - initialized = false; -#ifndef SD_RAW_IFACE - search_data.valid = false; - is_sd_card_formated_as_FAT16 = false; - - for(index = 0; index < MAX_FILES_OPENED; index++) - { - active_files[index].in_use = false; - active_files[index].modified = false; - } -#endif - } - return result; -} - -#ifndef SD_RAW_IFACE -bool alt_up_sd_card_is_FAT16(void) -/* This function reads the SD card data in an effort to determine if the card is formated as a FAT16 - * volume. Please note that FAT12 has a similar format, but will not be supported by this driver. - * If the card contains a FAT16 volume, the local data structures will be initialized to allow reading and writing - * to the SD card as though it was a hard drive. - */ -{ - bool result = false; - - if (alt_up_sd_card_is_Present()) - { - // Check if an SD Card is in the SD Card slot. - if (initialized == false) - { - // Now determine if the card is formatted as FAT 16. - is_sd_card_formated_as_FAT16 = Look_for_FAT16(); - initialized = is_sd_card_formated_as_FAT16; - search_data.valid = false; - } - result = is_sd_card_formated_as_FAT16; - } - else - { - // If not then you may as well not open the device. - initialized = false; - is_sd_card_formated_as_FAT16 = false; - } - - return result; -} - - -short int alt_up_sd_card_find_first(char *directory_to_search_through, char *file_name) -/* This function sets up a search algorithm to go through a given directory looking for files. - * If the search directory is valid, then the function searches for the first file it finds. - * Inputs: - * directory_to_search_through - name of the directory to search through - * file_name - an array to store a name of the file found. Must be 13 bytes long (12 bytes for file name and 1 byte of NULL termination). - * Outputs: - * 0 - success - * 1 - invalid directory - * 2 - No card or incorrect card format. - * - * To specify a directory give the name in a format consistent with the following regular expression: - * [{[valid_chars]+}/]*. - * - * In other words, give a path name starting at the root directory, where each directory name is followed by a '/'. - * Then, append a '.' to the directory name. Examples: - * "." - look through the root directory - * "first/." - look through a directory named "first" that is located in the root directory. - * "first/sub/." - look through a directory named "sub", that is located within the subdirectory named "first". "first" is located in the root directory. - * Invalid examples include: - * "/.", "/////." - this is not the root directory. - * "/first/." - the first character may not be a '/'. - */ -{ - short int result = 2; - if ((alt_up_sd_card_is_Present()) && (is_sd_card_formated_as_FAT16)) - { - int home_directory_cluster; - t_file_record file_record; - - if (get_home_directory_cluster_for_file(directory_to_search_through, &home_directory_cluster, &file_record)) - { - search_data.directory_root_cluster = home_directory_cluster; - search_data.current_cluster_index = home_directory_cluster; - search_data.current_sector_in_cluster = 0; - search_data.file_index_in_sector = -1; - search_data.valid = true; - result = alt_up_sd_card_find_next(file_name); - } - else - { - result = 1; - } - } - return result; -} - - -short int alt_up_sd_card_find_next(char *file_name) -/* This function searches for the next file in a given directory, as specified by the find_first function. - * Inputs: - * file_name - an array to store a name of the file found. Must be 13 bytes long (12 bytes for file name and 1 byte of NULL termination). - * Outputs: - * -1 - end of directory. - * 0 - success - * 2 - No card or incorrect card format. - * 3 - find_first has not been called successfully. - */ -{ - short int result = 2; - if ((alt_up_sd_card_is_Present()) && (is_sd_card_formated_as_FAT16)) - { - if (search_data.valid) - { - t_file_record file_record; - int cluster = search_data.current_cluster_index; - - if (cluster == 0) - { - // Searching through the root directory - int max_root_dir_sectors = ((32*boot_sector_data.max_number_of_dir_entires) / boot_sector_data.sector_size_in_bytes); - int sector_index = search_data.current_sector_in_cluster; - int file_counter = search_data.file_index_in_sector+1; - - for (; sector_index < max_root_dir_sectors; sector_index++) - { - if (Read_Sector_Data( sector_index + boot_sector_data.root_directory_sector_offset, - fat_partition_offset_in_512_byte_sectors)) - { - for (; file_counter < 16; file_counter++) - { - if (Read_File_Record_At_Offset(file_counter*32, &file_record, 0, sector_index)) - { - if ((file_record.name[0] != 0) && (file_record.name[0] != 0xe5)) - { - /* Update search structure. */ - search_data.file_index_in_sector = file_counter; - search_data.current_sector_in_cluster = sector_index; - - /* Copy file name.*/ - copy_file_record_name_to_string(&file_record, file_name); - return 0; - } - } - } - file_counter = 0; - } - else - { - break; - } - } - result = -1; - } - else - { - int file_counter = search_data.file_index_in_sector+1; - do - { - int start_sector = ( cluster - 2 ) * ( boot_sector_data.sectors_per_cluster ) + boot_sector_data.data_sector_offset; - int sector_index = search_data.current_sector_in_cluster; - - for (; sector_index < boot_sector_data.sectors_per_cluster; sector_index++) - { - if (Read_Sector_Data(sector_index + start_sector, fat_partition_offset_in_512_byte_sectors)) - { - for (; file_counter < 16; file_counter++) - { - if (Read_File_Record_At_Offset(file_counter*32, &file_record, cluster, sector_index)) - { - if ((file_record.name[0] != 0) && (file_record.name[0] != 0xe5)) - { - /* Update search structure. */ - search_data.current_cluster_index = cluster; - search_data.file_index_in_sector = file_counter; - search_data.current_sector_in_cluster = sector_index; - - /* Copy file name.*/ - copy_file_record_name_to_string(&file_record, file_name); - return 0; - } - } - } - file_counter = 0; - } - else - { - break; - } - } - // If this is the end of the cluster and the file has not been found, then see if there is another cluster - // that holds data for the current directory. - if (sector_index >= boot_sector_data.sectors_per_cluster) - { - unsigned short int new_cluster; - - if (get_cluster_flag(cluster, &new_cluster)) - { - if ((new_cluster & 0x0000fff8) == 0x0000fff8) - { - result = -1; - search_data.valid = false; - } - cluster = ((new_cluster) & 0x0000fff8); - } - else - { - // Error encountered. - result = -1; - } - } - } while (cluster < 0x0000fff8); - } - } - else - { - // Call Find_First first. - result = 3; - } - } - return result; -} - - -short int alt_up_sd_card_fopen(char *name, bool create) -/* This function reads the SD card data in an effort to determine if the card is formated as a FAT16 - * volume. Please note that FAT12 has a similar format, but will not be supported by this driver. - * - * Inputs: - * name - a file name including a directory, relative to the root directory - * create - a flag set to true to create a file if it does not already exist - * Output: - * An index to the file record assigned to the specified file. -1 is returned if the file could not be opened. - * Return -2 if the specified file has already been opened previously. - */ -{ - short int file_record_index = -1; - - if ((alt_up_sd_card_is_Present()) && (is_sd_card_formated_as_FAT16)) - { - unsigned int home_directory_cluster = 0; - t_file_record home_dir; - - /* First check the file name format. It should not be longer than 12 characters, including a period and the extension. - * Rules: - * - no spaces - * - at most 12 chatacters per name, with a period in 9th position. - * - a / or a \ every at most 12 characters. - */ - filename_to_upper_case(name); - if (check_file_name_for_FAT16_compliance(name)) - { - int index; - - /* Get home directory cluster location for the specified file. 0 means root directory. */ - if (!get_home_directory_cluster_for_file(name, (int *) &home_directory_cluster, &home_dir)) - { - return file_record_index; - } - - /* Find a free file slot to store file specs in. */ - for (index = 0; index < MAX_FILES_OPENED; index++) - { - if (active_files[index].in_use == false) - { - file_record_index = index; - break; - } - } - if (file_record_index >= 0) - { - /* If file record is found, then look for the specified file. If the create flag is set to true - * and the file is not found, then it should be created in the current directory. - */ - - if (find_file_in_directory(home_directory_cluster, name, &(active_files[file_record_index]))) - { - if (create) - { - /* Do not allow overwriting existing files for now. */ - return -1; - } - active_files[file_record_index].current_cluster_index = active_files[file_record_index].start_cluster_index; - active_files[file_record_index].current_sector_in_cluster = 0; - active_files[file_record_index].current_byte_position = 0; - active_files[file_record_index].in_use = true; - active_files[file_record_index].modified = false; - - /* Check if the file has already been opened. */ - for (index = 0; index < MAX_FILES_OPENED; index++) - { - if ((file_record_index != index) && (active_files[index].in_use == true)) - { - if ((active_files[file_record_index].file_record_cluster == active_files[index].file_record_cluster) && - (active_files[file_record_index].file_record_sector_in_cluster == active_files[index].file_record_sector_in_cluster) && - (active_files[file_record_index].file_record_offset == active_files[index].file_record_offset)) - { - // file already in use. - file_record_index = -2; - break; - } - } - } - - } - else if (create) - { - /* Create file if needed. */ - if (create_file(name, &(active_files[file_record_index]), &home_dir)) - { - active_files[file_record_index].in_use = true; - active_files[file_record_index].modified = true; - } - else - { - /* If file creation fails then return an invalid file handle. */ - file_record_index = -1; - } - } - else - { - /* Otherwise the file could not be opened.*/ - file_record_index = -1; - } - } - } - } - - return file_record_index; -} - - -void alt_up_sd_card_set_attributes(short int file_handle, short int attributes) -/* Return file attributes, or -1 if the file_handle is invalid. - */ -{ - if ((file_handle >= 0) && (file_handle < MAX_FILES_OPENED)) - { - if (active_files[file_handle].in_use) - { - active_files[file_handle].attributes = ((char)(attributes & 0x00ff)); - } - } -} - - -short int alt_up_sd_card_get_attributes(short int file_handle) -/* Return file attributes, or -1 if the file_handle is invalid. - */ -{ - short int result = -1; - if ((file_handle >= 0) && (file_handle < MAX_FILES_OPENED)) - { - if (active_files[file_handle].in_use) - { - result = ((active_files[file_handle].attributes) & 0x00ff); - } - } - return result; -} - -short int alt_up_sd_card_read(short int file_handle) -/* Read a single character from a given file. Return -1 if at the end of a file. Any other negative number - * means that the file could not be read. A number between 0 and 255 is an ASCII character read from the SD Card. */ -{ - short int ch = -1; - - if ((file_handle >= 0) && (file_handle < MAX_FILES_OPENED)) - { - if (active_files[file_handle].in_use) - { - if (active_files[file_handle].current_byte_position < active_files[file_handle].file_size_in_bytes) - { - int data_sector = boot_sector_data.data_sector_offset + (active_files[file_handle].current_cluster_index - 2)*boot_sector_data.sectors_per_cluster + - active_files[file_handle].current_sector_in_cluster; - - if ((active_files[file_handle].current_byte_position > 0) && ((active_files[file_handle].current_byte_position % 512) == 0)) - { - // Read in a new sector of data. - if (active_files[file_handle].current_sector_in_cluster == boot_sector_data.sectors_per_cluster - 1) - { - // Go to the next cluster. - unsigned short int next_cluster; - if (get_cluster_flag(active_files[file_handle].current_cluster_index, &next_cluster)) - { - if ((next_cluster & 0x0000fff8) == 0x0000fff8) - { - /* End of file */ - return -1; - } - else - { - active_files[file_handle].current_cluster_index = next_cluster; - active_files[file_handle].current_sector_in_cluster = 0; - data_sector = boot_sector_data.data_sector_offset + (active_files[file_handle].current_cluster_index - 2)*boot_sector_data.sectors_per_cluster + - active_files[file_handle].current_sector_in_cluster; - } - } - else - { - return -2; - } - } - else - { - active_files[file_handle].current_sector_in_cluster = active_files[file_handle].current_sector_in_cluster + 1; - data_sector = data_sector + 1; - } - } - // Reading te first byte of the file. - if (current_sector_index != (data_sector + fat_partition_offset_in_512_byte_sectors)) - { - if (!Read_Sector_Data(data_sector, fat_partition_offset_in_512_byte_sectors)) - { - return -2; - } - } - - ch = (unsigned char) IORD_8DIRECT(buffer_memory, (active_files[file_handle].current_byte_position % 512)); - active_files[file_handle].current_byte_position = active_files[file_handle].current_byte_position + 1; - } - } - } - - return ch; -} - - -bool alt_up_sd_card_write(short int file_handle, char byte_of_data) -/* Write a single character to a given file. Return true if successful, and false otherwise. */ -{ - bool result = false; - - if ((file_handle >= 0) && (file_handle < MAX_FILES_OPENED)) - { - if (active_files[file_handle].in_use) - { - int data_sector = boot_sector_data.data_sector_offset + (active_files[file_handle].current_cluster_index - 2)*boot_sector_data.sectors_per_cluster + - active_files[file_handle].current_sector_in_cluster; - short int buffer_offset = active_files[file_handle].current_byte_position % boot_sector_data.sector_size_in_bytes; - - if (active_files[file_handle].current_byte_position < active_files[file_handle].file_size_in_bytes) - { - if ((active_files[file_handle].current_byte_position > 0) && (buffer_offset == 0)) - { - // Read in a new sector of data. - if (active_files[file_handle].current_sector_in_cluster == boot_sector_data.sectors_per_cluster - 1) - { - // Go to the next cluster. - unsigned short int next_cluster; - if (get_cluster_flag(active_files[file_handle].current_cluster_index, &next_cluster)) - { - if (next_cluster < 0x0000fff8) - { - active_files[file_handle].current_cluster_index = next_cluster; - active_files[file_handle].current_sector_in_cluster = 0; - data_sector = boot_sector_data.data_sector_offset + (active_files[file_handle].current_cluster_index - 2)*boot_sector_data.sectors_per_cluster + - active_files[file_handle].current_sector_in_cluster; - } - } - else - { - return false; - } - } - else - { - active_files[file_handle].current_sector_in_cluster = active_files[file_handle].current_sector_in_cluster + 1; - data_sector = data_sector + 1; - } - } - } - else - { - /* You are adding data to the end of the file, so increment its size and look for an additional data cluster if needed. */ - if ((active_files[file_handle].current_byte_position > 0) && (buffer_offset == 0)) - { - if (active_files[file_handle].current_sector_in_cluster == boot_sector_data.sectors_per_cluster - 1) - { - /* Find a new cluster if possible. */ - unsigned int cluster_number; - - if (find_first_empty_cluster(&cluster_number)) - { - // mark clusters in both File Allocation Tables. - mark_cluster(active_files[file_handle].current_cluster_index, ((unsigned short int) (cluster_number & 0x0000ffff)), true); - mark_cluster(cluster_number, 0xffff, true); - mark_cluster(active_files[file_handle].current_cluster_index, ((unsigned short int) (cluster_number & 0x0000ffff)), false); - mark_cluster(cluster_number, 0xffff, false); - // Change cluster index and sector index to compute a new data sector. - active_files[file_handle].current_cluster_index = cluster_number; - active_files[file_handle].current_sector_in_cluster = 0; - } - else - { - return false; - } - } - else - { - /* Read the next sector in the cluster and modify it. We only need to change the data_sector value. The actual read happens a few lines below. */ - active_files[file_handle].current_sector_in_cluster = active_files[file_handle].current_byte_position / boot_sector_data.sector_size_in_bytes; - } - data_sector = boot_sector_data.data_sector_offset + (active_files[file_handle].current_cluster_index - 2)*boot_sector_data.sectors_per_cluster + - active_files[file_handle].current_sector_in_cluster; - } - } - // Reading a data sector into the buffer. Note that changes to the most recently modified sector will be saved before - // a new sector is read from the SD Card. - if (current_sector_index != data_sector + fat_partition_offset_in_512_byte_sectors) - { - if (!Read_Sector_Data(data_sector, fat_partition_offset_in_512_byte_sectors)) - { - return false; - } - } - // Write a byte of data to the buffer. - IOWR_8DIRECT(buffer_memory, buffer_offset, byte_of_data); - active_files[file_handle].current_byte_position = active_files[file_handle].current_byte_position + 1; - - // Modify the file record only when necessary. - if (active_files[file_handle].current_byte_position >= active_files[file_handle].file_size_in_bytes) - { - active_files[file_handle].file_size_in_bytes = active_files[file_handle].file_size_in_bytes + 1; - active_files[file_handle].modified = true; - } - // Invaldiate the buffer to ensure that the buffer contents are written to the SD card whe nthe file is closed. - current_sector_modified = true; - result = true; - } - } - - return result; -} - - -bool alt_up_sd_card_fclose(short int file_handle) -// This function closes an opened file and saves data to SD Card if necessary. -{ - bool result = false; - if ((alt_up_sd_card_is_Present()) && (is_sd_card_formated_as_FAT16)) - { - if (active_files[file_handle].in_use) - { - if (active_files[file_handle].modified) - { - unsigned int record_sector = active_files[file_handle].file_record_sector_in_cluster; - if (active_files[file_handle].file_record_cluster == 0) - { - record_sector = record_sector + boot_sector_data.root_directory_sector_offset; - } - else - { - record_sector = record_sector + boot_sector_data.data_sector_offset + - (active_files[file_handle].file_record_cluster - 2)*boot_sector_data.sectors_per_cluster; - } - if (Read_Sector_Data(record_sector, fat_partition_offset_in_512_byte_sectors)) - { - if (Write_File_Record_At_Offset(active_files[file_handle].file_record_offset, &(active_files[file_handle]))) - { - // Make sure that the Data has been saved to the SD Card. - result = Save_Modified_Sector(); - } - } - } - active_files[file_handle].in_use = false; - result = true; - } - } - - return result; -} - -#endif //SD_RAW_IFACE diff --git a/software/sys_controller_bsp/drivers/src/altera_avalon_timer_sc.c b/software/sys_controller_bsp/drivers/src/altera_avalon_timer_sc.c new file mode 100644 index 0000000..d9a7b85 --- /dev/null +++ b/software/sys_controller_bsp/drivers/src/altera_avalon_timer_sc.c @@ -0,0 +1,110 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include + +#include "sys/alt_alarm.h" +#include "sys/alt_irq.h" + +#include "altera_avalon_timer.h" +#include "altera_avalon_timer_regs.h" + +#include "alt_types.h" +#include "sys/alt_log_printf.h" + +/* + * alt_avalon_timer_sc_irq() is the interrupt handler used for the system + * clock. This is called periodically when a timer interrupt occurs. The + * function first clears the interrupt condition, and then calls the + * alt_tick() function to notify the system that a timer tick has occurred. + * + * alt_tick() increments the system tick count, and updates any registered + * alarms, see alt_tick.c for further details. + */ +#ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT +static void alt_avalon_timer_sc_irq (void* base) +#else +static void alt_avalon_timer_sc_irq (void* base, alt_u32 id) +#endif +{ + alt_irq_context cpu_sr; + + /* clear the interrupt */ + IOWR_ALTERA_AVALON_TIMER_STATUS (base, 0); + + /* + * Dummy read to ensure IRQ is negated before the ISR returns. + * The control register is read because reading the status + * register has side-effects per the register map documentation. + */ + IORD_ALTERA_AVALON_TIMER_CONTROL (base); + + /* ALT_LOG - see altera_hal/HAL/inc/sys/alt_log_printf.h */ + ALT_LOG_SYS_CLK_HEARTBEAT(); + + /* + * Notify the system of a clock tick. disable interrupts + * during this time to safely support ISR preemption + */ + cpu_sr = alt_irq_disable_all(); + alt_tick (); + alt_irq_enable_all(cpu_sr); +} + +/* + * alt_avalon_timer_sc_init() is called to initialise the timer that will be + * used to provide the periodic system clock. This is called from the + * auto-generated alt_sys_init() function. + */ + +void alt_avalon_timer_sc_init (void* base, alt_u32 irq_controller_id, + alt_u32 irq, alt_u32 freq) +{ + /* set the system clock frequency */ + + alt_sysclk_init (freq); + + /* set to free running mode */ + + IOWR_ALTERA_AVALON_TIMER_CONTROL (base, + ALTERA_AVALON_TIMER_CONTROL_ITO_MSK | + ALTERA_AVALON_TIMER_CONTROL_CONT_MSK | + ALTERA_AVALON_TIMER_CONTROL_START_MSK); + + /* register the interrupt handler, and enable the interrupt */ +#ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT + alt_ic_isr_register(irq_controller_id, irq, alt_avalon_timer_sc_irq, + base, NULL); +#else + alt_irq_register (irq, base, alt_avalon_timer_sc_irq); +#endif +} diff --git a/software/sys_controller_bsp/drivers/src/altera_avalon_timer_ts.c b/software/sys_controller_bsp/drivers/src/altera_avalon_timer_ts.c new file mode 100644 index 0000000..53988f6 --- /dev/null +++ b/software/sys_controller_bsp/drivers/src/altera_avalon_timer_ts.c @@ -0,0 +1,143 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include + +#include "system.h" +#include "sys/alt_timestamp.h" + +#include "altera_avalon_timer.h" +#include "altera_avalon_timer_regs.h" + +#include "alt_types.h" + +/* + * These functions are only available if a timestamp device has been selected + * for this system. + */ + +#if (ALT_TIMESTAMP_CLK_BASE != none_BASE) + +/* + * The function alt_timestamp_start() can be called at application level to + * initialise the timestamp facility. In this case the period register is + * set to full scale, i.e. 0xffffffff, and then started running. Note that + * the period register may not be writable, depending on the hardware + * configuration, in which case this function does not reset the period. + * + * The timer is not run in continuous mode, so that the user can detect timer + * roll-over, i.e. alt_timestamp() returns 0. + * + * The return value of this function is 0 upon sucess and -1 if in timestamp + * device has not been registered. + */ + +int alt_timestamp_start(void) +{ + void* base = altera_avalon_timer_ts_base; + + if (!altera_avalon_timer_ts_freq) + { + return -1; + } + else + { + if(ALT_TIMESTAMP_COUNTER_SIZE == 64) { + IOWR_ALTERA_AVALON_TIMER_CONTROL (base,ALTERA_AVALON_TIMER_CONTROL_STOP_MSK); + IOWR_ALTERA_AVALON_TIMER_PERIOD_0 (base, 0xFFFF); + IOWR_ALTERA_AVALON_TIMER_PERIOD_1 (base, 0xFFFF);; + IOWR_ALTERA_AVALON_TIMER_PERIOD_2 (base, 0xFFFF); + IOWR_ALTERA_AVALON_TIMER_PERIOD_3 (base, 0xFFFF); + IOWR_ALTERA_AVALON_TIMER_CONTROL (base, ALTERA_AVALON_TIMER_CONTROL_START_MSK); + } else { + IOWR_ALTERA_AVALON_TIMER_CONTROL (base,ALTERA_AVALON_TIMER_CONTROL_STOP_MSK); + IOWR_ALTERA_AVALON_TIMER_PERIODL (base, 0xFFFF); + IOWR_ALTERA_AVALON_TIMER_PERIODH (base, 0xFFFF); + IOWR_ALTERA_AVALON_TIMER_CONTROL (base, ALTERA_AVALON_TIMER_CONTROL_START_MSK); + } + } + return 0; +} + +/* + * alt_timestamp() returns the current timestamp count. In the event that + * the timer has run full period, or there is no timestamp available, this + * function return -1. + * + * The returned timestamp counts up from the last time the period register + * was reset. + */ + +alt_timestamp_type alt_timestamp(void) +{ + + void* base = altera_avalon_timer_ts_base; + + if (!altera_avalon_timer_ts_freq) + { +#if (ALT_TIMESTAMP_COUNTER_SIZE == 64) + return 0xFFFFFFFFFFFFFFFFULL; +#else + return 0xFFFFFFFF; +#endif + } + else + { +#if (ALT_TIMESTAMP_COUNTER_SIZE == 64) + IOWR_ALTERA_AVALON_TIMER_SNAP_0 (base, 0); + alt_timestamp_type snap_0 = IORD_ALTERA_AVALON_TIMER_SNAP_0(base) & ALTERA_AVALON_TIMER_SNAP_0_MSK; + alt_timestamp_type snap_1 = IORD_ALTERA_AVALON_TIMER_SNAP_1(base) & ALTERA_AVALON_TIMER_SNAP_1_MSK; + alt_timestamp_type snap_2 = IORD_ALTERA_AVALON_TIMER_SNAP_2(base) & ALTERA_AVALON_TIMER_SNAP_2_MSK; + alt_timestamp_type snap_3 = IORD_ALTERA_AVALON_TIMER_SNAP_3(base) & ALTERA_AVALON_TIMER_SNAP_3_MSK; + + return (0xFFFFFFFFFFFFFFFFULL - ( (snap_3 << 48) | (snap_2 << 32) | (snap_1 << 16) | (snap_0) )); +#else + IOWR_ALTERA_AVALON_TIMER_SNAPL (base, 0); + alt_timestamp_type lower = IORD_ALTERA_AVALON_TIMER_SNAPL(base) & ALTERA_AVALON_TIMER_SNAPL_MSK; + alt_timestamp_type upper = IORD_ALTERA_AVALON_TIMER_SNAPH(base) & ALTERA_AVALON_TIMER_SNAPH_MSK; + + return (0xFFFFFFFF - ((upper << 16) | lower)); +#endif + } +} + +/* + * Return the number of timestamp ticks per second. This will be 0 if no + * timestamp device has been registered. + */ + +alt_u32 alt_timestamp_freq(void) +{ + return altera_avalon_timer_ts_freq; +} + +#endif /* timestamp available */ diff --git a/ip/nios2_hw_crc/HAL/inc/ci_crc.h b/software/sys_controller_bsp/drivers/src/altera_avalon_timer_vars.c similarity index 82% rename from ip/nios2_hw_crc/HAL/inc/ci_crc.h rename to software/sys_controller_bsp/drivers/src/altera_avalon_timer_vars.c index ba4d983..cb8b197 100644 --- a/ip/nios2_hw_crc/HAL/inc/ci_crc.h +++ b/software/sys_controller_bsp/drivers/src/altera_avalon_timer_vars.c @@ -1,38 +1,45 @@ -/****************************************************************************** -* * -* License Agreement * -* * -* Copyright (c) 2008 Altera Corporation, San Jose, California, USA. * -* All rights reserved. * -* * -* Permission is hereby granted, free of charge, to any person obtaining a * -* copy of this software and associated documentation files (the "Software"), * -* to deal in the Software without restriction, including without limitation * -* the rights to use, copy, modify, merge, publish, distribute, sublicense, * -* and/or sell copies of the Software, and to permit persons to whom the * -* Software is furnished to do so, subject to the following conditions: * -* * -* The above copyright notice and this permission notice shall be included in * -* all copies or substantial portions of the Software. * -* * -* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * -* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * -* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * -* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * -* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * -* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * -* DEALINGS IN THE SOFTWARE. * -* * -* This agreement shall be governed in all respects by the laws of the State * -* of California and by the laws of the United States of America. * -* Altera does not recommend, suggest or require that this reference design * -* file be used in conjunction or combination with any other product. * -******************************************************************************/ - - -#ifndef _CRCCI_H_ -#define _CRCCI_H_ - -unsigned long crcCI(unsigned char * input_data, unsigned long input_data_length, int do_initialize); - -#endif //_CRCCI_H_ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include + +#include "altera_avalon_timer.h" +#include "alt_types.h" + +/* + * Variables used to store the timestamp parameters. These are initialised + * from alt_sys_init() using the ALTERA_AVALON_TIMER_INIT macro + * defined in altera_avalon_timer.h. + */ + +void* altera_avalon_timer_ts_base = (void*) 0; +alt_u32 altera_avalon_timer_ts_freq = 0; diff --git a/software/sys_controller_bsp/libhal_bsp.a b/software/sys_controller_bsp/libhal_bsp.a index b07326c..e773605 100644 Binary files a/software/sys_controller_bsp/libhal_bsp.a and b/software/sys_controller_bsp/libhal_bsp.a differ diff --git a/software/sys_controller_bsp/linker.h b/software/sys_controller_bsp/linker.h index c045a5f..ca0486b 100644 --- a/software/sys_controller_bsp/linker.h +++ b/software/sys_controller_bsp/linker.h @@ -4,7 +4,7 @@ * Machine generated for CPU 'nios2_qsys_0' in SOPC Builder design 'sys' * SOPC Builder design path: ../../sys.sopcinfo * - * Generated: Sun Oct 16 12:18:06 EEST 2016 + * Generated: Fri Oct 05 19:59:02 EEST 2018 */ /* @@ -65,11 +65,11 @@ * */ -#define EPCQ_CONTROLLER_0_AVL_MEM_REGION_BASE 0x0 +#define EPCQ_CONTROLLER_0_AVL_MEM_REGION_BASE 0x800000 #define EPCQ_CONTROLLER_0_AVL_MEM_REGION_SPAN 8388608 -#define ONCHIP_MEMORY2_0_REGION_BASE 0x810020 -#define ONCHIP_MEMORY2_0_REGION_SPAN 40928 -#define RESET_REGION_BASE 0x810000 +#define ONCHIP_MEMORY2_0_REGION_BASE 0x10020 +#define ONCHIP_MEMORY2_0_REGION_SPAN 36832 +#define RESET_REGION_BASE 0x10000 #define RESET_REGION_SPAN 32 diff --git a/software/sys_controller_bsp/linker.x b/software/sys_controller_bsp/linker.x index bb7d4db..7e01d5e 100644 --- a/software/sys_controller_bsp/linker.x +++ b/software/sys_controller_bsp/linker.x @@ -4,7 +4,7 @@ * Machine generated for CPU 'nios2_qsys_0' in SOPC Builder design 'sys' * SOPC Builder design path: ../../sys.sopcinfo * - * Generated: Sun Oct 16 12:18:06 EEST 2016 + * Generated: Fri Oct 05 19:59:02 EEST 2018 */ /* @@ -50,14 +50,14 @@ MEMORY { - epcq_controller_0_avl_mem : ORIGIN = 0x0, LENGTH = 8388608 - reset : ORIGIN = 0x810000, LENGTH = 32 - onchip_memory2_0 : ORIGIN = 0x810020, LENGTH = 40928 + reset : ORIGIN = 0x10000, LENGTH = 32 + onchip_memory2_0 : ORIGIN = 0x10020, LENGTH = 36832 + epcq_controller_0_avl_mem : ORIGIN = 0x800000, LENGTH = 8388608 } /* Define symbols for each memory base-address */ -__alt_mem_epcq_controller_0_avl_mem = 0x0; -__alt_mem_onchip_memory2_0 = 0x810000; +__alt_mem_onchip_memory2_0 = 0x10000; +__alt_mem_epcq_controller_0_avl_mem = 0x800000; OUTPUT_FORMAT( "elf32-littlenios2", "elf32-littlenios2", @@ -309,24 +309,7 @@ SECTIONS * */ - .epcq_controller_0_avl_mem : AT ( LOADADDR (.bss) + SIZEOF (.bss) ) - { - PROVIDE (_alt_partition_epcq_controller_0_avl_mem_start = ABSOLUTE(.)); - *(.epcq_controller_0_avl_mem .epcq_controller_0_avl_mem. epcq_controller_0_avl_mem.*) - . = ALIGN(4); - PROVIDE (_alt_partition_epcq_controller_0_avl_mem_end = ABSOLUTE(.)); - } > epcq_controller_0_avl_mem - - PROVIDE (_alt_partition_epcq_controller_0_avl_mem_load_addr = LOADADDR(.epcq_controller_0_avl_mem)); - - /* - * - * This section's LMA is set to the .text region. - * crt0 will copy to this section's specified mapped region virtual memory address (VMA) - * - */ - - .onchip_memory2_0 LOADADDR (.epcq_controller_0_avl_mem) + SIZEOF (.epcq_controller_0_avl_mem) : AT ( LOADADDR (.epcq_controller_0_avl_mem) + SIZEOF (.epcq_controller_0_avl_mem) ) + .onchip_memory2_0 LOADADDR (.bss) + SIZEOF (.bss) : AT ( LOADADDR (.bss) + SIZEOF (.bss) ) { PROVIDE (_alt_partition_onchip_memory2_0_start = ABSOLUTE(.)); *(.onchip_memory2_0 .onchip_memory2_0. onchip_memory2_0.*) @@ -339,6 +322,23 @@ SECTIONS PROVIDE (_alt_partition_onchip_memory2_0_load_addr = LOADADDR(.onchip_memory2_0)); + /* + * + * This section's LMA is set to the .text region. + * crt0 will copy to this section's specified mapped region virtual memory address (VMA) + * + */ + + .epcq_controller_0_avl_mem : AT ( LOADADDR (.onchip_memory2_0) + SIZEOF (.onchip_memory2_0) ) + { + PROVIDE (_alt_partition_epcq_controller_0_avl_mem_start = ABSOLUTE(.)); + *(.epcq_controller_0_avl_mem .epcq_controller_0_avl_mem. epcq_controller_0_avl_mem.*) + . = ALIGN(4); + PROVIDE (_alt_partition_epcq_controller_0_avl_mem_end = ABSOLUTE(.)); + } > epcq_controller_0_avl_mem + + PROVIDE (_alt_partition_epcq_controller_0_avl_mem_load_addr = LOADADDR(.epcq_controller_0_avl_mem)); + /* * Stabs debugging sections. * @@ -386,7 +386,7 @@ SECTIONS /* * Don't override this, override the __alt_stack_* symbols instead. */ -__alt_data_end = 0x81a000; +__alt_data_end = 0x19000; /* * The next two symbols define the location of the default stack. You can @@ -402,4 +402,4 @@ PROVIDE( __alt_stack_limit = __alt_stack_base ); * Override this symbol to put the heap in a different memory. */ PROVIDE( __alt_heap_start = end ); -PROVIDE( __alt_heap_limit = 0x81a000 ); +PROVIDE( __alt_heap_limit = 0x19000 ); diff --git a/software/sys_controller_bsp/mem_init.mk b/software/sys_controller_bsp/mem_init.mk index dbb339c..014f1e9 100644 --- a/software/sys_controller_bsp/mem_init.mk +++ b/software/sys_controller_bsp/mem_init.mk @@ -161,7 +161,7 @@ ACDS_VERSION := 17.1 SIM_OPTIMIZE ?= 0 # The CPU reset address as needed by elf2flash -RESET_ADDRESS ?= 0x00810000 +RESET_ADDRESS ?= 0x00010000 # The specific Nios II ELF file format to use. NIOS2_ELF_FORMAT ?= elf32-littlenios2 @@ -175,8 +175,8 @@ MEM_0 := epcq_controller_0 $(MEM_0)_NAME := epcq_controller_0 HEX_FILES += $(MEM_INIT_DIR)/$(MEM_0).hex MEM_INIT_INSTALL_FILES += $(MEM_INIT_INSTALL_DIR)/$(MEM_0).hex -$(MEM_0)_START := 0x00000000 -$(MEM_0)_END := 0x007fffff +$(MEM_0)_START := 0x00800000 +$(MEM_0)_END := 0x00ffffff $(MEM_0)_SPAN := 0x00800000 $(MEM_0)_HIERARCHICAL_PATH := epcq_controller_0 $(MEM_0)_WIDTH := 32 @@ -198,9 +198,9 @@ DAT_FILES += $(HDL_SIM_DIR)/$(MEM_1).dat HDL_SIM_INSTALL_FILES += $(HDL_SIM_INSTALL_DIR)/$(MEM_1).dat SYM_FILES += $(HDL_SIM_DIR)/$(MEM_1).sym HDL_SIM_INSTALL_FILES += $(HDL_SIM_INSTALL_DIR)/$(MEM_1).sym -$(MEM_1)_START := 0x00810000 -$(MEM_1)_END := 0x00819fff -$(MEM_1)_SPAN := 0x0000a000 +$(MEM_1)_START := 0x00010000 +$(MEM_1)_END := 0x00018fff +$(MEM_1)_SPAN := 0x00009000 $(MEM_1)_HIERARCHICAL_PATH := onchip_memory2_0 $(MEM_1)_WIDTH := 32 $(MEM_1)_HEX_DATA_WIDTH := 32 @@ -304,10 +304,10 @@ ELF_TO_HEX_CMD = $(strip $(if $(flash_mem_boot_loader_flag), \ $(ELF_TO_HEX_CMD_NO_BOOTLOADER) \ )) -$(HEX_FILES): %.hex: $(ELF) - $(post-process-info) - @$(MKDIR) $(@D) - $(ELF_TO_HEX_CMD) +#$(HEX_FILES): %.hex: $(ELF) +# $(post-process-info) +# @$(MKDIR) $(@D) +# $(ELF_TO_HEX_CMD) $(SYM_FILES): %.sym: $(ELF) $(post-process-info) diff --git a/software/sys_controller_bsp/memory.gdb b/software/sys_controller_bsp/memory.gdb index 41fd763..5197bae 100644 --- a/software/sys_controller_bsp/memory.gdb +++ b/software/sys_controller_bsp/memory.gdb @@ -3,7 +3,7 @@ # Machine generated for CPU 'nios2_qsys_0' in SOPC Builder design 'sys' # SOPC Builder design path: ../../sys.sopcinfo # -# Generated: Sun Oct 16 12:18:06 EEST 2016 +# Generated: Fri Oct 05 19:59:02 EEST 2018 # DO NOT MODIFY THIS FILE # @@ -46,8 +46,8 @@ # The cache attribute is specified which improves GDB performance # by allowing GDB to cache memory contents on the host. -# epcq_controller_0_avl_mem -memory 0x0 0x800000 cache - # onchip_memory2_0 -memory 0x810000 0x81a000 cache +memory 0x10000 0x19000 cache + +# epcq_controller_0_avl_mem +memory 0x800000 0x1000000 cache diff --git a/software/sys_controller_bsp/settings.bsp b/software/sys_controller_bsp/settings.bsp index 6657c70..5b765a8 100644 --- a/software/sys_controller_bsp/settings.bsp +++ b/software/sys_controller_bsp/settings.bsp @@ -2,8 +2,8 @@ hal default - Sep 25, 2018 9:18:49 PM - 1537899529022 + Oct 5, 2018 8:21:01 PM + 1538758741996 ./ settings.bsp ../../sys.sopcinfo @@ -898,101 +898,101 @@ false - - epcq_controller_0_avl_mem - 0x00000000 - 0x007FFFFF - 8388608 - flash, memory, non-volatile - onchip_memory2_0 - 0x00810000 - 0x00819FFF + 0x00010000 - 0x00019FFF 40960 memory timer_0 - 0x00821000 - 0x0082101F + 0x00020000 - 0x0002001F 32 timer + + jtag_uart_0 + 0x00020020 - 0x00020027 + 8 + printable + epcq_controller_0_avl_csr - 0x00821020 - 0x0082103F + 0x00020100 - 0x0002011F 32 i2c_opencores_1 - 0x00821040 - 0x0082105F + 0x00021020 - 0x0002103F 32 i2c_opencores_0 - 0x00821060 - 0x0082107F + 0x00021040 - 0x0002105F 32 pio_8 - 0x00821080 - 0x0082108F + 0x00021060 - 0x0002106F 16 pio_7 - 0x00821090 - 0x0082109F + 0x00021070 - 0x0002107F 16 pio_6 - 0x008210A0 - 0x008210AF + 0x00021080 - 0x0002108F 16 pio_5 - 0x008210B0 - 0x008210BF + 0x00021090 - 0x0002109F 16 pio_4 - 0x008210C0 - 0x008210CF + 0x000210A0 - 0x000210AF 16 pio_3 - 0x008210D0 - 0x008210DF + 0x000210B0 - 0x000210BF 16 pio_2 - 0x008210E0 - 0x008210EF + 0x000210C0 - 0x000210CF 16 pio_1 - 0x008210F0 - 0x008210FF + 0x000210D0 - 0x000210DF 16 pio_0 - 0x00821100 - 0x0082110F + 0x000210E0 - 0x000210EF 16 - jtag_uart_0 - 0x00821110 - 0x00821117 - 8 - printable + epcq_controller_0_avl_mem + 0x00800000 - 0x00FFFFFF + 8388608 + flash, memory, non-volatile .text @@ -1018,4 +1018,4 @@ .stack onchip_memory2_0 - \ No newline at end of file + diff --git a/software/sys_controller_bsp/system.h b/software/sys_controller_bsp/system.h index e07c2c7..3f10d63 100644 --- a/software/sys_controller_bsp/system.h +++ b/software/sys_controller_bsp/system.h @@ -4,7 +4,7 @@ * Machine generated for CPU 'nios2_qsys_0' in SOPC Builder design 'sys' * SOPC Builder design path: ../../sys.sopcinfo * - * Generated: Sun Mar 25 16:51:03 EEST 2018 + * Generated: Fri Oct 05 19:59:02 EEST 2018 */ /* @@ -62,7 +62,7 @@ #define ALT_CPU_ARCHITECTURE "altera_nios2_gen2" #define ALT_CPU_BIG_ENDIAN 0 -#define ALT_CPU_BREAK_ADDR 0x00820820 +#define ALT_CPU_BREAK_ADDR 0x00000820 #define ALT_CPU_CPU_ARCH_NIOS2_R1 #define ALT_CPU_CPU_FREQ 27000000u #define ALT_CPU_CPU_ID_SIZE 1 @@ -72,7 +72,7 @@ #define ALT_CPU_DCACHE_LINE_SIZE 0 #define ALT_CPU_DCACHE_LINE_SIZE_LOG2 0 #define ALT_CPU_DCACHE_SIZE 0 -#define ALT_CPU_EXCEPTION_ADDR 0x00810020 +#define ALT_CPU_EXCEPTION_ADDR 0x00010020 #define ALT_CPU_FLASH_ACCELERATOR_LINES 0 #define ALT_CPU_FLASH_ACCELERATOR_LINE_SIZE 0 #define ALT_CPU_FLUSHDA_SUPPORTED @@ -87,10 +87,10 @@ #define ALT_CPU_ICACHE_LINE_SIZE 0 #define ALT_CPU_ICACHE_LINE_SIZE_LOG2 0 #define ALT_CPU_ICACHE_SIZE 0 -#define ALT_CPU_INST_ADDR_WIDTH 0x18 +#define ALT_CPU_INST_ADDR_WIDTH 0x11 #define ALT_CPU_NAME "nios2_qsys_0" #define ALT_CPU_OCI_VERSION 1 -#define ALT_CPU_RESET_ADDR 0x00810000 +#define ALT_CPU_RESET_ADDR 0x00010000 /* @@ -99,7 +99,7 @@ */ #define NIOS2_BIG_ENDIAN 0 -#define NIOS2_BREAK_ADDR 0x00820820 +#define NIOS2_BREAK_ADDR 0x00000820 #define NIOS2_CPU_ARCH_NIOS2_R1 #define NIOS2_CPU_FREQ 27000000u #define NIOS2_CPU_ID_SIZE 1 @@ -109,7 +109,7 @@ #define NIOS2_DCACHE_LINE_SIZE 0 #define NIOS2_DCACHE_LINE_SIZE_LOG2 0 #define NIOS2_DCACHE_SIZE 0 -#define NIOS2_EXCEPTION_ADDR 0x00810020 +#define NIOS2_EXCEPTION_ADDR 0x00010020 #define NIOS2_FLASH_ACCELERATOR_LINES 0 #define NIOS2_FLASH_ACCELERATOR_LINE_SIZE 0 #define NIOS2_FLUSHDA_SUPPORTED @@ -123,9 +123,9 @@ #define NIOS2_ICACHE_LINE_SIZE 0 #define NIOS2_ICACHE_LINE_SIZE_LOG2 0 #define NIOS2_ICACHE_SIZE 0 -#define NIOS2_INST_ADDR_WIDTH 0x18 +#define NIOS2_INST_ADDR_WIDTH 0x11 #define NIOS2_OCI_VERSION 1 -#define NIOS2_RESET_ADDR 0x00810000 +#define NIOS2_RESET_ADDR 0x00010000 /* @@ -140,7 +140,7 @@ #define ALT_CI_NIOS_CUSTOM_INSTR_BITSWAP_0_N 0x9 //#define ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0(A) __builtin_custom_ini(ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0_N,(A)) #define ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0_N 0x8 - + #define ALT_CI_NIOS2_HW_CRC32_0(n,A) n #define ALT_CI_NIOS_CUSTOM_INSTR_BITSWAP_0(A) A #define ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0(A) A @@ -179,19 +179,19 @@ #define ALT_NUM_INTERNAL_INTERRUPT_CONTROLLERS 1 #define ALT_NUM_INTERRUPT_CONTROLLERS 1 #define ALT_STDERR "/dev/jtag_uart_0" -#define ALT_STDERR_BASE 0x821110 +#define ALT_STDERR_BASE 0x20020 #define ALT_STDERR_DEV jtag_uart_0 #define ALT_STDERR_IS_JTAG_UART #define ALT_STDERR_PRESENT #define ALT_STDERR_TYPE "altera_avalon_jtag_uart" #define ALT_STDIN "/dev/jtag_uart_0" -#define ALT_STDIN_BASE 0x821110 +#define ALT_STDIN_BASE 0x20020 #define ALT_STDIN_DEV jtag_uart_0 #define ALT_STDIN_IS_JTAG_UART #define ALT_STDIN_PRESENT #define ALT_STDIN_TYPE "altera_avalon_jtag_uart" #define ALT_STDOUT "/dev/jtag_uart_0" -#define ALT_STDOUT_BASE 0x821110 +#define ALT_STDOUT_BASE 0x20020 #define ALT_STDOUT_DEV jtag_uart_0 #define ALT_STDOUT_IS_JTAG_UART #define ALT_STDOUT_PRESENT @@ -205,7 +205,7 @@ */ #define ALT_MODULE_CLASS_epcq_controller_0_avl_csr altera_epcq_controller_mod -#define EPCQ_CONTROLLER_0_AVL_CSR_BASE 0x821020 +#define EPCQ_CONTROLLER_0_AVL_CSR_BASE 0x20100 #define EPCQ_CONTROLLER_0_AVL_CSR_FLASH_TYPE "EPCS64" #define EPCQ_CONTROLLER_0_AVL_CSR_IRQ 2 #define EPCQ_CONTROLLER_0_AVL_CSR_IRQ_INTERRUPT_CONTROLLER_ID 0 @@ -225,7 +225,7 @@ */ #define ALT_MODULE_CLASS_epcq_controller_0_avl_mem altera_epcq_controller_mod -#define EPCQ_CONTROLLER_0_AVL_MEM_BASE 0x0 +#define EPCQ_CONTROLLER_0_AVL_MEM_BASE 0x800000 #define EPCQ_CONTROLLER_0_AVL_MEM_FLASH_TYPE "EPCS64" #define EPCQ_CONTROLLER_0_AVL_MEM_IRQ -1 #define EPCQ_CONTROLLER_0_AVL_MEM_IRQ_INTERRUPT_CONTROLLER_ID -1 @@ -255,7 +255,7 @@ */ #define ALT_MODULE_CLASS_i2c_opencores_0 i2c_opencores -#define I2C_OPENCORES_0_BASE 0x821060 +#define I2C_OPENCORES_0_BASE 0x21040 #define I2C_OPENCORES_0_IRQ 3 #define I2C_OPENCORES_0_IRQ_INTERRUPT_CONTROLLER_ID 0 #define I2C_OPENCORES_0_NAME "/dev/i2c_opencores_0" @@ -269,7 +269,7 @@ */ #define ALT_MODULE_CLASS_i2c_opencores_1 i2c_opencores -#define I2C_OPENCORES_1_BASE 0x821040 +#define I2C_OPENCORES_1_BASE 0x21020 #define I2C_OPENCORES_1_IRQ 4 #define I2C_OPENCORES_1_IRQ_INTERRUPT_CONTROLLER_ID 0 #define I2C_OPENCORES_1_NAME "/dev/i2c_opencores_1" @@ -283,7 +283,7 @@ */ #define ALT_MODULE_CLASS_jtag_uart_0 altera_avalon_jtag_uart -#define JTAG_UART_0_BASE 0x821110 +#define JTAG_UART_0_BASE 0x20020 #define JTAG_UART_0_IRQ 1 #define JTAG_UART_0_IRQ_INTERRUPT_CONTROLLER_ID 0 #define JTAG_UART_0_NAME "/dev/jtag_uart_0" @@ -303,7 +303,7 @@ #define ALT_MODULE_CLASS_onchip_memory2_0 altera_avalon_onchip_memory2 #define ONCHIP_MEMORY2_0_ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR 0 #define ONCHIP_MEMORY2_0_ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE 0 -#define ONCHIP_MEMORY2_0_BASE 0x810000 +#define ONCHIP_MEMORY2_0_BASE 0x10000 #define ONCHIP_MEMORY2_0_CONTENTS_INFO "" #define ONCHIP_MEMORY2_0_DUAL_PORT 0 #define ONCHIP_MEMORY2_0_GUI_RAM_BLOCK_TYPE "AUTO" @@ -330,7 +330,7 @@ */ #define ALT_MODULE_CLASS_pio_0 altera_avalon_pio -#define PIO_0_BASE 0x821100 +#define PIO_0_BASE 0x210e0 #define PIO_0_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_0_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_0_CAPTURE 0 @@ -357,7 +357,7 @@ */ #define ALT_MODULE_CLASS_pio_1 altera_avalon_pio -#define PIO_1_BASE 0x8210f0 +#define PIO_1_BASE 0x210d0 #define PIO_1_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_1_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_1_CAPTURE 0 @@ -384,7 +384,7 @@ */ #define ALT_MODULE_CLASS_pio_2 altera_avalon_pio -#define PIO_2_BASE 0x8210e0 +#define PIO_2_BASE 0x210c0 #define PIO_2_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_2_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_2_CAPTURE 0 @@ -411,7 +411,7 @@ */ #define ALT_MODULE_CLASS_pio_3 altera_avalon_pio -#define PIO_3_BASE 0x8210d0 +#define PIO_3_BASE 0x210b0 #define PIO_3_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_3_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_3_CAPTURE 0 @@ -438,7 +438,7 @@ */ #define ALT_MODULE_CLASS_pio_4 altera_avalon_pio -#define PIO_4_BASE 0x8210c0 +#define PIO_4_BASE 0x210a0 #define PIO_4_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_4_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_4_CAPTURE 0 @@ -465,7 +465,7 @@ */ #define ALT_MODULE_CLASS_pio_5 altera_avalon_pio -#define PIO_5_BASE 0x8210b0 +#define PIO_5_BASE 0x21090 #define PIO_5_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_5_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_5_CAPTURE 0 @@ -492,7 +492,7 @@ */ #define ALT_MODULE_CLASS_pio_6 altera_avalon_pio -#define PIO_6_BASE 0x8210a0 +#define PIO_6_BASE 0x21080 #define PIO_6_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_6_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_6_CAPTURE 0 @@ -519,7 +519,7 @@ */ #define ALT_MODULE_CLASS_pio_7 altera_avalon_pio -#define PIO_7_BASE 0x821090 +#define PIO_7_BASE 0x21070 #define PIO_7_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_7_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_7_CAPTURE 0 @@ -546,7 +546,7 @@ */ #define ALT_MODULE_CLASS_pio_8 altera_avalon_pio -#define PIO_8_BASE 0x821080 +#define PIO_8_BASE 0x21060 #define PIO_8_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_8_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_8_CAPTURE 0 @@ -574,7 +574,7 @@ #define ALT_MODULE_CLASS_timer_0 altera_avalon_timer #define TIMER_0_ALWAYS_RUN 0 -#define TIMER_0_BASE 0x821000 +#define TIMER_0_BASE 0x20000 #define TIMER_0_COUNTER_SIZE 32 #define TIMER_0_FIXED_PERIOD 0 #define TIMER_0_FREQ 27000000 diff --git a/sys.qsys b/sys.qsys index c109206..39f9b68 100644 --- a/sys.qsys +++ b/sys.qsys @@ -21,23 +21,33 @@ { datum _sortIndex { - value = "8"; + value = "6"; type = "int"; } } element epcq_controller_0.avl_csr { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8523808"; + value = "131328"; type = "String"; } } element epcq_controller_0.avl_mem { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "0"; + value = "8388608"; type = "String"; } } @@ -45,15 +55,20 @@ { datum _sortIndex { - value = "9"; + value = "7"; type = "int"; } } element i2c_opencores_0.avalon_slave_0 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8523872"; + value = "135232"; type = "String"; } } @@ -61,15 +76,20 @@ { datum _sortIndex { - value = "10"; + value = "8"; type = "int"; } } element i2c_opencores_1.avalon_slave_0 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8523840"; + value = "135200"; type = "String"; } } @@ -77,51 +97,24 @@ { datum _sortIndex { - value = "7"; + value = "5"; type = "int"; } } element jtag_uart_0.avalon_jtag_slave { - datum baseAddress - { - value = "8524048"; - type = "String"; - } - } - element nios2_hw_crc32_0 - { - datum _sortIndex - { - value = "2"; - type = "int"; - } - } - element nios2_qsys_0 - { - datum _sortIndex + datum _lockedAddress { value = "1"; - type = "int"; + type = "boolean"; } - } - element nios2_qsys_0.debug_mem_slave - { datum baseAddress { - value = "8521728"; + value = "131104"; type = "String"; } } - element nios_custom_instr_bitswap_0 - { - datum _sortIndex - { - value = "4"; - type = "int"; - } - } - element nios_custom_instr_endianconverter_0 + element master_0 { datum _sortIndex { @@ -133,15 +126,33 @@ { datum _sortIndex { - value = "5"; + value = "2"; type = "int"; } } element onchip_memory2_0.s1 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8454144"; + value = "65536"; + type = "String"; + } + } + element onchip_memory2_0.s2 + { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } + datum baseAddress + { + value = "65536"; type = "String"; } } @@ -149,15 +160,20 @@ { datum _sortIndex { - value = "11"; + value = "9"; type = "int"; } } element pio_0.s1 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8524032"; + value = "135392"; type = "String"; } } @@ -165,15 +181,20 @@ { datum _sortIndex { - value = "12"; + value = "10"; type = "int"; } } element pio_1.s1 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8524016"; + value = "135376"; type = "String"; } } @@ -181,15 +202,20 @@ { datum _sortIndex { - value = "13"; + value = "11"; type = "int"; } } element pio_2.s1 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8524000"; + value = "135360"; type = "String"; } } @@ -197,15 +223,20 @@ { datum _sortIndex { - value = "14"; + value = "12"; type = "int"; } } element pio_3.s1 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8523984"; + value = "135344"; type = "String"; } } @@ -213,15 +244,20 @@ { datum _sortIndex { - value = "15"; + value = "13"; type = "int"; } } element pio_4.s1 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8523968"; + value = "135328"; type = "String"; } } @@ -229,15 +265,20 @@ { datum _sortIndex { - value = "16"; + value = "14"; type = "int"; } } element pio_5.s1 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8523952"; + value = "135312"; type = "String"; } } @@ -245,15 +286,20 @@ { datum _sortIndex { - value = "17"; + value = "15"; type = "int"; } } element pio_6.s1 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8523936"; + value = "135296"; type = "String"; } } @@ -261,15 +307,20 @@ { datum _sortIndex { - value = "18"; + value = "16"; type = "int"; } } element pio_7.s1 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8523920"; + value = "135280"; type = "String"; } } @@ -277,31 +328,57 @@ { datum _sortIndex { - value = "19"; + value = "17"; type = "int"; } } element pio_8.s1 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8523904"; + value = "135264"; type = "String"; } } + element pulpino_0 + { + datum _sortIndex + { + value = "1"; + type = "int"; + } + } + element pulpino_0.avalon_slave_debug + { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } + } element timer_0 { datum _sortIndex { - value = "6"; + value = "4"; type = "int"; } } element timer_0.s1 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8523776"; + value = "131072"; type = "String"; } } @@ -337,6 +414,11 @@ internal="i2c_opencores_1.export" type="conduit" dir="end" /> + + @@ -436,210 +523,18 @@ - - - - - - - - - - - - - - nios2_qsys_0.jtag_debug_module - - - - - - ]]> - - - - - - - ]]> - - - - - - - - - - - - - - - - - - - - - - ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 - - - - - - - - - - - - - - - - - ]]> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 - + @@ -666,7 +561,7 @@ - + @@ -799,6 +694,18 @@ + + + + + + + + + + + + @@ -814,175 +721,174 @@ + + + + + - + - + - + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + start="master_0.master" + end="onchip_memory2_0.s2"> - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - @@ -994,11 +900,17 @@ + + - - - + + + - - - - - - + start="pulpino_0.interrupt_receiver" + end="jtag_uart_0.irq"> + - nios_custom_instr_endianconverter_0 - - - - - nios_custom_instr_bitswap_0 - - - + start="clk_27.clk_reset" + end="master_0.clk_reset" /> - + diff --git a/sys.sopcinfo b/sys.sopcinfo index 9194afe..8f6d1fe 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1524077647 + 1538769758 false true false @@ -330,12 +330,6 @@ parameters are a RESULT of the module parameters. --> 1 clk - - false - nios2_qsys_0 - clk - nios2_qsys_0.clk - false jtag_uart_0 @@ -402,12 +396,24 @@ parameters are a RESULT of the module parameters. --> clk pio_8.clk + + false + master_0 + clk + master_0.clk + false onchip_memory2_0 clk1 onchip_memory2_0.clk1 + + false + pulpino_0 + clk_sink + pulpino_0.clk_sink + false i2c_opencores_0 @@ -3632,1949 +3638,59 @@ parameters are a RESULT of the module parameters. --> - - - int - 32 - false - true - true - true - - - java.math.BigInteger - 4294967295 - false - true - true - true - - - java.math.BigInteger - 79764919 - false - true - true - true - - - int - 1 - false - true - true - true - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 4294967295 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - java.lang.String - - true - true - false - true - - - int - 3 - true - true - false - true - - - int - 0 - false - false - true - true - - - com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType - VARIABLE - true - true - true - true - - - int - 0 - true - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 1 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios_custom_instruction - false - - clk - Input - 1 - clk - - - clk_en - Input - 1 - clk_en - - - dataa - Input - 32 - dataa - - - done - Output - 1 - done - - - n - Input - 3 - n - - - reset - Input - 1 - reset - - - result - Output - 32 - result - - - start - Input - 1 - start - - - - + path="master_0"> debug.hostConnection - type jtag id 70:34|110:135 + type jtag id 110:132 - - embeddedsw.CMacro.BIG_ENDIAN + + int 0 - - - embeddedsw.CMacro.BREAK_ADDR - 0x00820820 - - - embeddedsw.CMacro.CPU_ARCH_NIOS2_R1 - - - - embeddedsw.CMacro.CPU_FREQ - 27000000u - - - embeddedsw.CMacro.CPU_ID_SIZE - 1 - - - embeddedsw.CMacro.CPU_ID_VALUE - 0x00000000 - - - embeddedsw.CMacro.CPU_IMPLEMENTATION - "tiny" - - - embeddedsw.CMacro.DATA_ADDR_WIDTH - 24 - - - embeddedsw.CMacro.DCACHE_LINE_SIZE - 0 - - - embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2 - 0 - - - embeddedsw.CMacro.DCACHE_SIZE - 0 - - - embeddedsw.CMacro.EXCEPTION_ADDR - 0x00810020 - - - embeddedsw.CMacro.FLASH_ACCELERATOR_LINES - 0 - - - embeddedsw.CMacro.FLASH_ACCELERATOR_LINE_SIZE - 0 - - - embeddedsw.CMacro.FLUSHDA_SUPPORTED - - - - embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT - 0 - - - embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT - 0 - - - embeddedsw.CMacro.HARDWARE_MULX_PRESENT - 0 - - - embeddedsw.CMacro.HAS_DEBUG_CORE - 1 - - - embeddedsw.CMacro.HAS_DEBUG_STUB - - - - embeddedsw.CMacro.HAS_ILLEGAL_INSTRUCTION_EXCEPTION - - - - embeddedsw.CMacro.HAS_JMPI_INSTRUCTION - - - - embeddedsw.CMacro.ICACHE_LINE_SIZE - 0 - - - embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2 - 0 - - - embeddedsw.CMacro.ICACHE_SIZE - 0 - - - embeddedsw.CMacro.INST_ADDR_WIDTH - 24 - - - embeddedsw.CMacro.OCI_VERSION - 1 - - - embeddedsw.CMacro.RESET_ADDR - 0x00810000 - - - embeddedsw.configuration.DataCacheVictimBufImpl - ram - - - embeddedsw.configuration.HDLSimCachesCleared - 1 - - - embeddedsw.configuration.breakOffset - 32 - - - embeddedsw.configuration.breakSlave - nios2_qsys_0.debug_mem_slave - - - embeddedsw.configuration.cpuArchitecture - Nios II - - - embeddedsw.configuration.exceptionOffset - 32 - - - embeddedsw.configuration.exceptionSlave - onchip_memory2_0.s1 - - - embeddedsw.configuration.resetOffset - 0 - - - embeddedsw.configuration.resetSlave - onchip_memory2_0.s1 - - - embeddedsw.dts.compatible - altr,nios2-1.1 - - - embeddedsw.dts.group - cpu - - - embeddedsw.dts.name - nios2 - - - embeddedsw.dts.params.altr,exception-addr - 0x00810020 - - - embeddedsw.dts.params.altr,implementation - "tiny" - - - embeddedsw.dts.params.altr,reset-addr - 0x00810000 - - - embeddedsw.dts.params.clock-frequency - 27000000u - - - embeddedsw.dts.params.dcache-line-size - 0 - - - embeddedsw.dts.params.dcache-size - 0 - - - embeddedsw.dts.params.icache-line-size - 0 - - - embeddedsw.dts.params.icache-size - 0 - - - embeddedsw.dts.vendor - altr - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false false true true true - - boolean - false - false - true - true - true - - - boolean - false + + int + 50000 false false true true - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - true - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 1 - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - true - false - true - false - true - - + int 0 false - false - true - true - - - int - 0 - false - false - true - true - - - boolean - true - false - false - true - true - - - boolean - true - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - true - false - true - false - true - - - boolean - true - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - false - true - true - - - boolean - false - false - false - true - true - - - boolean - false - false - false - true - true - - - boolean - true - false - false - true - true - - - boolean - false - false - true - true - true - - - boolean - true - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - false - true - true - - - int - 8 - false - false - true - true - - - int - 8 - false - false - true - true - - - int - 0 - false - false - true - true - - - int - 0 - false - true - true - true - - - int - 32 - false - true - true - true - - - int - 0 - false - true - true - true - - - int - 32 - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - onchip_memory2_0.s1 - false - true - true - true - - - java.lang.String - None - false - false - true - true - - - java.lang.String - onchip_memory2_0.s1 - false - true - true - true - - - java.lang.String - nios2_qsys_0.jtag_debug_module - false - true - false - true - - - java.lang.String - Internal - false - false - true - true - - - java.lang.String - Dynamic - false - false - true - true - - - int - 8 - false - false - true - true - - - int - 1 - false - true - false - true - - - boolean - false - true - true - false - true - - - java.lang.String - medium_le_shift - true - true - false - true - - - java.lang.String - no_mul - true - true - false - true - - - int - 0 - false - false - true - true - - - int - 2 - false - false - true - true - - - int - 0 - false - false - true - true - - - int - 1 - false - false - true - true - - - java.lang.String - no_div - false - false - true - true - - - int - 12 - false - false - true - true - - - int - 12 - false - false - true - true - - - int - 4 - false - false - true - true - - - int - 6 - false - false - true - true - - - int - 7 - false - false - true - true - - - int - 16 - false - false - true - true - - - int - 8 - false - false - true - true - - - java.lang.String - Tiny - false - true - true - true - - - int - 0 - false - false - true - true - - - int - 2 - false - false - true - true - - - int - 0 - false - false - true - true - - - java.lang.String - Automatic - false - true - false - true - - - java.lang.String - Automatic - false - true - false - true - - - int - 0 - false - false - true - true - - - java.lang.String - None - false - false - true - true - - - java.lang.String - false - false - false - true - true - - - java.lang.String - ram - false - false - true - true - - - int - 0 - false - false - true - true - - - java.lang.String - Automatic - false - true - false - true - - - java.lang.String - Automatic - false - true - false - true - - - int - 0 - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - boolean - true - false - true - false - true - - - boolean - true - false - true - false - true - - - boolean - true - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - java.lang.String - Automatic - false - true - false - true - - - java.lang.String - Automatic - false - true - false - true - - - boolean - false - false - true - false - true - - - java.lang.String - Automatic - false - true - false - true - - - java.lang.String - Automatic - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - true - false - true - true - true - - - boolean - true - false - true - false - true - - - boolean - false - false - false - true - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - java.lang.String - _128 - false - false - true - true - - - int - 0 - false - false - true - true - - - int - 0 - false - false - true - true - - - java.lang.String - none - false - false - true - true - - - java.lang.String - onchip_trace - false - false - true - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 8454144 - true - true - true - true - - - int - 8454176 - true - true - true - true - - - int - 8521760 - true - true - false - true - - - int - 0 - true - true - true - true - - - java.lang.String - false - true - true - false - true - - - int - 0 - true - true - false - true - - - java.lang.String - nios2_qsys_0.debug_mem_slave - true - true - false - true - - - int - 32 - true - true - false - true - - - boolean - false - true - true - false - true - - - boolean - false - true - true - false - true - - - java.lang.String - "synthesis translate_on" - true - true - false - true - - - java.lang.String - "synthesis translate_off" - true - true - false - true - - - boolean - false - true - true - false - true - - - boolean - false - true - true - false - true - - - boolean - false - true - true - false - true - - - boolean - false - true - true - false - true - - - int - 24 - false - true - false - true - ADDRESS_WIDTH - instruction_master - - - int - 1 - false - true - false - true - ADDRESS_WIDTH - flash_instruction_master - - - int - 24 - false - true - false - true - ADDRESS_WIDTH - data_master - - - int - 1 - false - true - false - true - ADDRESS_WIDTH - tightly_coupled_data_master_0 - - - int - 1 - false - true - false - true - ADDRESS_WIDTH - tightly_coupled_data_master_1 - - - int - 1 - false - true - false - true - ADDRESS_WIDTH - tightly_coupled_data_master_2 - - - int - 1 - false - true - false - true - ADDRESS_WIDTH - tightly_coupled_data_master_3 - - - int - 1 - false - true - false - true - ADDRESS_WIDTH - tightly_coupled_instruction_master_0 - - - int - 1 - false - true - false - true - ADDRESS_WIDTH - tightly_coupled_instruction_master_1 - - - int - 1 - false - true - false - true - ADDRESS_WIDTH - tightly_coupled_instruction_master_2 - - - int - 1 - false - true - false - true - ADDRESS_WIDTH - tightly_coupled_instruction_master_3 - - - int - 1 - false - true - false - true - ADDRESS_WIDTH - data_master_high_performance - - - int - 1 - false - true - false - true - ADDRESS_WIDTH - instruction_master_high_performance - - - java.lang.String - ]]> - false - true - false - true - ADDRESS_MAP - instruction_master - - - java.lang.String - - false - true - false - true - ADDRESS_MAP - flash_instruction_master - - - java.lang.String - ]]> - false - true - false - true - ADDRESS_MAP - data_master - - - java.lang.String - - false - true - false - true - ADDRESS_MAP - tightly_coupled_data_master_0 - - - java.lang.String - - false - true - false - true - ADDRESS_MAP - tightly_coupled_data_master_1 - - - java.lang.String - - false - true - false - true - ADDRESS_MAP - tightly_coupled_data_master_2 - - - java.lang.String - - false - true - false - true - ADDRESS_MAP - tightly_coupled_data_master_3 - - - java.lang.String - - false - true - false - true - ADDRESS_MAP - tightly_coupled_instruction_master_0 - - - java.lang.String - - false - true - false - true - ADDRESS_MAP - tightly_coupled_instruction_master_1 - - - java.lang.String - - false - true - false - true - ADDRESS_MAP - tightly_coupled_instruction_master_2 - - - java.lang.String - - false - true - false - true - ADDRESS_MAP - tightly_coupled_instruction_master_3 - - - java.lang.String - - false - true - false - true - ADDRESS_MAP - data_master_high_performance - - - java.lang.String - - false - true - false - true - ADDRESS_MAP - instruction_master_high_performance - - - long - 27000000 - false true false true CLOCK_RATE - clk + clock - + + int + 0 + false + true + true + true + + + int + 2 + false + false + true + true + + java.lang.String CYCLONEIVE false @@ -5583,65 +3699,6 @@ the requested settings for a module instance. --> true DEVICE_FAMILY - - long - 31 - false - true - false - true - INTERRUPTS_USED - irq - - - java.lang.String - ]]> - false - true - false - true - CUSTOM_INSTRUCTION_SLAVES - custom_instruction_master - - - java.lang.String - ]]> - false - true - false - true - CUSTOM_INSTRUCTION_SLAVES - custom_instruction_master_a - - - java.lang.String - ]]> - false - true - false - true - CUSTOM_INSTRUCTION_SLAVES - custom_instruction_master_b - - - java.lang.String - ]]> - false - true - false - true - CUSTOM_INSTRUCTION_SLAVES - custom_instruction_master_c - - - java.lang.String - ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 - false - true - false - true - DEVICE_FEATURES - java.lang.String EP4CE15E22C8 @@ -5660,33 +3717,14 @@ the requested settings for a module instance. --> true DEVICE_SPEEDGRADE - - java.lang.Integer - 1 - false - true - false - true - CLOCK_DOMAIN - clk - - - java.lang.Integer - 1 - false - true - false - true - RESET_DOMAIN - clk - java.lang.String - UNKNOWN + Cyclone IV E false true - true + false true + DEVICE_FAMILY boolean @@ -5732,38 +3770,22 @@ parameters are a RESULT of the module parameters. --> true true - - java.lang.Boolean - true - true - true - false - true - - - java.lang.Long - 27000000 - true - true - false - true - clock false - clk + clk_clk Input 1 clk - + java.lang.String - clk + false true true @@ -5771,7 +3793,7 @@ parameters are a RESULT of the module parameters. --> com.altera.sopcmodel.reset.Reset$Edges - DEASSERT + NONE false true true @@ -5796,19 +3818,13 @@ parameters are a RESULT of the module parameters. --> reset false - reset_n + clk_reset_reset Input 1 - reset_n - - - reset_req - Input - 1 - reset_req + reset - + @@ -5816,6 +3832,10 @@ parameters are a RESULT of the module parameters. --> debug.providesServices master + + debug.visible + true + com.altera.entityinterfaces.IConnectionPoint @@ -5826,7 +3846,7 @@ parameters are a RESULT of the module parameters. --> int - 1 + 0 false true false @@ -5858,7 +3878,7 @@ parameters are a RESULT of the module parameters. --> java.lang.String - reset + clk_reset false true true @@ -5874,7 +3894,7 @@ parameters are a RESULT of the module parameters. --> boolean - true + false false true true @@ -6018,7 +4038,7 @@ parameters are a RESULT of the module parameters. --> boolean - true + false false true false @@ -6075,606 +4095,79 @@ parameters are a RESULT of the module parameters. --> avalon true - d_address + master_address Output - 24 + 32 address - d_byteenable - Output - 4 - byteenable - - - d_read - Output - 1 - read - - - d_readdata + master_readdata Input 32 readdata - d_waitrequest - Input + master_read + Output 1 - waitrequest + read - d_write + master_write Output 1 write - d_writedata + master_writedata Output 32 writedata - debug_mem_slave_debugaccess_to_roms - Output - 1 - debugaccess - - - false - jtag_uart_0 - avalon_jtag_slave - jtag_uart_0.avalon_jtag_slave - 8524048 - 8 - - - false - i2c_opencores_0 - avalon_slave_0 - i2c_opencores_0.avalon_slave_0 - 8523872 - 32 - - - false - i2c_opencores_1 - avalon_slave_0 - i2c_opencores_1.avalon_slave_0 - 8523840 - 32 - - - false - epcq_controller_0 - avl_csr - epcq_controller_0.avl_csr - 8523808 - 32 - - - false - epcq_controller_0 - avl_mem - epcq_controller_0.avl_mem - 0 - 8388608 - - - false - nios2_qsys_0 - debug_mem_slave - nios2_qsys_0.debug_mem_slave - 8521728 - 2048 - - - false - onchip_memory2_0 - s1 - onchip_memory2_0.s1 - 8454144 - 40960 - - - false - pio_0 - s1 - pio_0.s1 - 8524032 - 16 - - - false - pio_1 - s1 - pio_1.s1 - 8524016 - 16 - - - false - pio_2 - s1 - pio_2.s1 - 8524000 - 16 - - - false - pio_3 - s1 - pio_3.s1 - 8523984 - 16 - - - false - pio_4 - s1 - pio_4.s1 - 8523968 - 16 - - - false - timer_0 - s1 - timer_0.s1 - 8523776 - 32 - - - false - pio_5 - s1 - pio_5.s1 - 8523952 - 16 - - - false - pio_6 - s1 - pio_6.s1 - 8523936 - 16 - - - false - pio_7 - s1 - pio_7.s1 - 8523920 - 16 - - - false - pio_8 - s1 - pio_8.s1 - 8523904 - 16 - - - - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - int - 1 - false - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - SYMBOLS - false - true - true - true - - - boolean - true - false - true - false - true - - - java.lang.String - clk - false - true - true - true - - - java.lang.String - reset - false - true - true - true - - - int - 8 - false - true - true - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - boolean - false - false - true - true - true - - - int - 0 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - true - false - true - true - true - - - int - 32 - false - true - false - true - - - int - 0 - false - true - true - true - - - int - 0 - false - true - true - true - - - int - 0 - false - true - true - true - - - int - 1 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - true - true - true - - - int - 0 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - true - - i_address - Output - 24 - address - - - i_read - Output - 1 - read - - - i_readdata - Input - 32 - readdata - - - i_waitrequest + master_waitrequest Input 1 waitrequest + + master_readdatavalid + Input + 1 + readdatavalid + + + master_byteenable + Output + 4 + byteenable + false - nios2_qsys_0 - debug_mem_slave - nios2_qsys_0.debug_mem_slave - 8521728 - 2048 + pulpino_0 + avalon_slave_debug + pulpino_0.avalon_slave_debug + 0 + 8192 false onchip_memory2_0 - s1 - onchip_memory2_0.s1 - 8454144 + s2 + onchip_memory2_0.s2 + 65536 40960 - + - - com.altera.entityinterfaces.IConnectionPoint - nios2_qsys_0.data_master - false - true - true - true - - java.lang.String - clk - false - true - false - true - - - java.lang.String - reset - false - true - false - true - - java.lang.String false true - false - true - - - com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme - INDIVIDUAL_REQUESTS - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - interrupt - true - - irq - Input - 32 - irq - - - false - i2c_opencores_0 - interrupt_sender - i2c_opencores_0.interrupt_sender - 3 - - - false - epcq_controller_0 - interrupt_sender - epcq_controller_0.interrupt_sender - 2 - - - false - i2c_opencores_1 - interrupt_sender - i2c_opencores_1.interrupt_sender - 4 - - - false - jtag_uart_0 - irq - jtag_uart_0.irq - 1 - - - false - timer_0 - irq - timer_0.irq - 0 - - - - - - java.lang.String - clk - false - true true true @@ -6696,7 +4189,7 @@ parameters are a RESULT of the module parameters. --> com.altera.sopcmodel.reset.Reset$Edges - DEASSERT + NONE false true true @@ -6721,869 +4214,12 @@ parameters are a RESULT of the module parameters. --> reset true - debug_reset_request + master_reset_reset Output 1 reset - - - - embeddedsw.configuration.hideDevice - 1 - - - qsys.ui.connect - instruction_master,data_master - - - com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment - DYNAMIC - false - true - false - true - - - int - 0 - false - true - false - true - - - java.math.BigInteger - 2048 - true - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clk - false - true - true - true - - - java.lang.String - reset - false - true - true - true - - - int - 8 - false - true - true - true - - - java.math.BigInteger - 0 - false - true - false - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.math.BigInteger - 0 - false - true - true - true - - - int - 0 - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - int - 0 - false - false - true - true - - - int - 0 - false - false - true - true - - - int - 1 - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - int - 1 - false - true - false - true - - - int - 1 - false - false - true - true - - - boolean - true - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - false - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - false - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - false - - debug_mem_slave_address - Input - 9 - address - - - debug_mem_slave_byteenable - Input - 4 - byteenable - - - debug_mem_slave_debugaccess - Input - 1 - debugaccess - - - debug_mem_slave_read - Input - 1 - read - - - debug_mem_slave_readdata - Output - 32 - readdata - - - debug_mem_slave_waitrequest - Output - 1 - waitrequest - - - debug_mem_slave_write - Input - 1 - write - - - debug_mem_slave_writedata - Input - 32 - writedata - - - - - - java.lang.String - - true - true - false - true - - - int - 8 - false - true - false - true - - - int - 0 - false - false - true - true - - - boolean - false - false - true - false - true - - - int - 8 - false - true - false - true - - - int - 0 - true - true - false - true - - - boolean - true - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios_custom_instruction - true - - E_ci_multi_done - Input - 1 - done - - - E_ci_multi_clk_en - Output - 1 - clk_en - - - E_ci_multi_start - Output - 1 - start - - - E_ci_result - Input - 32 - result - - - D_ci_a - Output - 5 - a - - - D_ci_b - Output - 5 - b - - - D_ci_c - Output - 5 - c - - - D_ci_n - Output - 8 - n - - - D_ci_readra - Output - 1 - readra - - - D_ci_readrb - Output - 1 - readrb - - - D_ci_writerc - Output - 1 - writerc - - - E_ci_dataa - Output - 32 - dataa - - - E_ci_datab - Output - 32 - datab - - - E_ci_multi_clock - Output - 1 - clk - - - E_ci_multi_reset - Output - 1 - reset - - - E_ci_multi_reset_req - Output - 1 - reset_req - - - W_ci_estatus - Output - 1 - estatus - - - W_ci_ipending - Output - 32 - ipending - - - false - nios2_hw_crc32_0 - nios_custom_instruction_slave - nios2_hw_crc32_0.nios_custom_instruction_slave - 0 - nios2_hw_crc32_0 - - - false - nios_custom_instr_endianconverter_0 - s1 - nios_custom_instr_endianconverter_0.s1 - 8 - nios_custom_instr_endianconverter_0 - - - false - nios_custom_instr_bitswap_0 - s1 - nios_custom_instr_bitswap_0.s1 - 9 - nios_custom_instr_bitswap_0 - - - - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - java.lang.String - - true - true - false - true - - - int - 0 - true - true - false - true - - - int - 1 - false - false - true - true - - - com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType - COMBINATORIAL - true - true - true - true - - - int - 0 - true - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 1 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios_custom_instruction - false - - dataa - Input - 32 - dataa - - - datab - Input - 32 - datab - - - result - Output - 32 - result - - - - - - - java.lang.String - CYCLONEIVE - false - true - false - true - DEVICE_FAMILY - - - java.lang.String - Cyclone IV E - false - true - false - true - DEVICE_FAMILY - - - boolean - false - false - true - true - true - - - - - java.lang.String - - true - true - false - true - - - int - 0 - true - true - false - true - - - int - 1 - false - false - true - true - - - com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType - COMBINATORIAL - true - true - true - true - - - int - 0 - true - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 1 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios_custom_instruction - false - - dataa - Input - 32 - dataa - - - datab - Input - 32 - datab - - - result - Output - 32 - result - - embeddedsw.CMacro.DUAL_PORT - 0 + 1 embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE @@ -7638,7 +4274,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.SINGLE_CLOCK_OP - 0 + 1 embeddedsw.CMacro.SIZE_MULTIPLE @@ -7722,7 +4358,7 @@ the requested settings for a module instance. --> boolean - false + true false true true @@ -7732,7 +4368,7 @@ the requested settings for a module instance. --> boolean false false - false + true true true @@ -7788,7 +4424,7 @@ the requested settings for a module instance. --> java.lang.String DONT_CARE false - false + true true true @@ -7810,15 +4446,15 @@ the requested settings for a module instance. --> boolean - false + true false - false + true true true boolean - false + true true true false @@ -7836,7 +4472,7 @@ the requested settings for a module instance. --> int 1 false - false + true true true @@ -7979,51 +4615,6 @@ the requested settings for a module instance. --> true true - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clock - false - - clk - Input - 1 - clk - - int - 0 + 1 false true false @@ -8401,6 +4992,428 @@ parameters are a RESULT of the module parameters. --> byteenable + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 1 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + DYNAMIC + false + true + false + true + + + int + 1 + false + true + false + true + + + java.math.BigInteger + 40960 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk1 + false + true + true + true + + + java.lang.String + reset1 + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 40960 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 1 + false + true + true + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address2 + Input + 14 + address + + + chipselect2 + Input + 1 + chipselect + + + clken2 + Input + 1 + clken + + + write2 + Input + 1 + write + + + readdata2 + Output + 32 + readdata + + + writedata2 + Input + 32 + writedata + + + byteenable2 + Input + 4 + byteenable + + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clock + false + + clk + Input + 1 + clk + + + + + + int + 32 + false + true + true + true + + + int + 32 + false + true + true + true + + + int + 10 + false + true + true + true + + + int + 10 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + true + false + true + true + true + + + boolean + false + false + true + true + true + + + boolean + true + false + true + true + true + + + boolean + false + false + true + true + true + + + java.math.BigInteger + 31 + false + true + false + true + INTERRUPTS_USED + interrupt_receiver + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + java.lang.String + clk_sink + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + rst_n + Input + 1 + reset_n + + + + + + java.lang.String + clk_sink + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + testmode_i + Input + 1 + testmode_i + + + fetch_enable_i + Input + 1 + fetch_enable_i + + + clock_gating_i + Input + 1 + clock_gating_i + + + boot_addr_i + Input + 32 + boot_addr_i + + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clock + false + + clk + Input + 1 + clk + + + + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + true + true + + + java.lang.String + clk_sink + false + true + false + true + + + java.lang.String + reset_sink + false + true + false + true + + + java.lang.String + + false + true + false + true + + + com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme + INDIVIDUAL_REQUESTS + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + interrupt + true + + irq_i + Input + 32 + irq + + + false + epcq_controller_0 + interrupt_sender + epcq_controller_0.interrupt_sender + 2 + + + false + i2c_opencores_0 + interrupt_sender + i2c_opencores_0.interrupt_sender + 3 + + + false + i2c_opencores_1 + interrupt_sender + i2c_opencores_1.interrupt_sender + 4 + + + false + timer_0 + irq + timer_0.irq + 0 + + + false + jtag_uart_0 + irq + jtag_uart_0.irq + 1 + + + + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + int + 0 + false + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + SYMBOLS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk_sink + false + true + true + true + + + java.lang.String + reset_sink + false + true + true + true + + + int + 8 + false + true + true + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 32 + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + true + + instr_addr + Output + 32 + address + + + instr_rdata + Input + 32 + readdata + + + instr_read + Output + 1 + read + + + instr_rvalid + Input + 1 + readdatavalid + + + instr_busy + Input + 1 + waitrequest + + + false + onchip_memory2_0 + s1 + onchip_memory2_0.s1 + 65536 + 40960 + + + + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + int + 0 + false + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + SYMBOLS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk_sink + false + true + true + true + + + java.lang.String + reset_sink + false + true + true + true + + + int + 8 + false + true + true + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 32 + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + true + + lsu_addr + Output + 32 + address + + + lsu_rdata + Input + 32 + readdata + + + lsu_read + Output + 1 + read + + + lsu_rvalid + Input + 1 + readdatavalid + + + lsu_busy + Input + 1 + waitrequest + + + lsu_write + Output + 1 + write + + + lsu_be + Output + 4 + byteenable + + + lsu_wdata + Output + 32 + writedata + + + lsu_resp + Input + 2 + response + + + lsu_wrespvalid + Input + 1 + writeresponsevalid + + + false + jtag_uart_0 + avalon_jtag_slave + jtag_uart_0.avalon_jtag_slave + 131104 + 8 + + + false + i2c_opencores_0 + avalon_slave_0 + i2c_opencores_0.avalon_slave_0 + 135232 + 32 + + + false + i2c_opencores_1 + avalon_slave_0 + i2c_opencores_1.avalon_slave_0 + 135200 + 32 + + + false + epcq_controller_0 + avl_csr + epcq_controller_0.avl_csr + 131328 + 32 + + + false + epcq_controller_0 + avl_mem + epcq_controller_0.avl_mem + 8388608 + 8388608 + + + false + timer_0 + s1 + timer_0.s1 + 131072 + 32 + + + false + pio_0 + s1 + pio_0.s1 + 135392 + 16 + + + false + pio_1 + s1 + pio_1.s1 + 135376 + 16 + + + false + pio_2 + s1 + pio_2.s1 + 135360 + 16 + + + false + pio_3 + s1 + pio_3.s1 + 135344 + 16 + + + false + pio_4 + s1 + pio_4.s1 + 135328 + 16 + + + false + pio_5 + s1 + pio_5.s1 + 135312 + 16 + + + false + pio_6 + s1 + pio_6.s1 + 135296 + 16 + + + false + pio_7 + s1 + pio_7.s1 + 135280 + 16 + + + false + pio_8 + s1 + pio_8.s1 + 135264 + 16 + + + false + onchip_memory2_0 + s2 + onchip_memory2_0.s2 + 65536 + 40960 + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + DYNAMIC + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 8192 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + SYMBOLS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk_sink + false + true + true + true + + + java.lang.String + reset_sink + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 8192 + false + true + true + true + + + int + 0 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 1 + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + false + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + false + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + debug_addr + Input + 15 + address + + + debug_rdata + Output + 32 + readdata + + + debug_read + Input + 1 + read + + + debug_rvalid + Output + 1 + readdatavalid + + + debug_busy + Output + 1 + waitrequest + + + debug_write + Input + 1 + write + + + debug_wdata + Input + 32 + writedata + + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00010000 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_instr + onchip_memory2_0 + s1 + + int @@ -16145,7 +14697,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00821110 + 0x00020020 false true true @@ -16175,16 +14727,16 @@ parameters are a RESULT of the module parameters. --> true true - nios2_qsys_0 - data_master + pulpino_0 + avalon_master_lsu jtag_uart_0 avalon_jtag_slave int @@ -16196,7 +14748,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00821060 + 0x00021040 false true true @@ -16226,16 +14778,16 @@ parameters are a RESULT of the module parameters. --> true true - nios2_qsys_0 - data_master + pulpino_0 + avalon_master_lsu i2c_opencores_0 avalon_slave_0 int @@ -16247,7 +14799,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00821040 + 0x00021020 false true true @@ -16277,16 +14829,16 @@ parameters are a RESULT of the module parameters. --> true true - nios2_qsys_0 - data_master + pulpino_0 + avalon_master_lsu i2c_opencores_1 avalon_slave_0 int @@ -16298,7 +14850,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00821020 + 0x00020100 false true true @@ -16328,16 +14880,16 @@ parameters are a RESULT of the module parameters. --> true true - nios2_qsys_0 - data_master + pulpino_0 + avalon_master_lsu epcq_controller_0 avl_csr int @@ -16347,6 +14899,618 @@ parameters are a RESULT of the module parameters. --> true true + + java.math.BigInteger + 0x00800000 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + epcq_controller_0 + avl_mem + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00020000 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + timer_0 + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x000210e0 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + pio_0 + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x000210d0 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + pio_1 + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x000210c0 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + pio_2 + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x000210b0 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + pio_3 + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x000210a0 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + pio_4 + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00021090 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + pio_5 + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00021080 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + pio_6 + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00021070 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + pio_7 + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00021060 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + pio_8 + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00010000 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + onchip_memory2_0 + s2 + + + + int + 1 + false + true + true + true + java.math.BigInteger 0x0000 @@ -16379,17 +15543,17 @@ parameters are a RESULT of the module parameters. --> true true - nios2_qsys_0 - data_master - epcq_controller_0 - avl_mem + master_0 + master + pulpino_0 + avalon_slave_debug + start="master_0.master" + end="onchip_memory2_0.s2"> int 1 @@ -16400,7 +15564,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00820800 + 0x00010000 false true true @@ -16430,700 +15594,10 @@ parameters are a RESULT of the module parameters. --> true true - nios2_qsys_0 - data_master - nios2_qsys_0 - debug_mem_slave - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00810000 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - data_master + master_0 + master onchip_memory2_0 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00821100 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - data_master - pio_0 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x008210f0 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - data_master - pio_1 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x008210e0 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - data_master - pio_2 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x008210d0 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - data_master - pio_3 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x008210c0 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - data_master - pio_4 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00821000 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - data_master - timer_0 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x008210b0 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - data_master - pio_5 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x008210a0 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - data_master - pio_6 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00821090 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - data_master - pio_7 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00821080 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - data_master - pio_8 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00820800 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - instruction_master - nios2_qsys_0 - debug_mem_slave - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00810000 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - instruction_master - onchip_memory2_0 - s1 - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk - nios2_qsys_0 - clk + s2 pio_8 clk + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_27 + clk + master_0 + clk + onchip_memory2_0 clk1 + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_27 + clk + pulpino_0 + clk_sink + clock_sink - - int - 3 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - irq - i2c_opencores_0 - interrupt_sender - - int @@ -17595,16 +16088,51 @@ parameters are a RESULT of the module parameters. --> true true - nios2_qsys_0 - irq + pulpino_0 + interrupt_receiver epcq_controller_0 interrupt_sender + + int + 3 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + interrupt_receiver + i2c_opencores_0 + interrupt_sender + + int @@ -17630,51 +16158,16 @@ parameters are a RESULT of the module parameters. --> true true - nios2_qsys_0 - irq + pulpino_0 + interrupt_receiver i2c_opencores_1 interrupt_sender - - int - 1 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - irq - jtag_uart_0 - irq - - int @@ -17700,54 +16193,22 @@ parameters are a RESULT of the module parameters. --> true true - nios2_qsys_0 - irq + pulpino_0 + interrupt_receiver timer_0 irq - - java.lang.String - nios2_hw_crc32_0 - false - true - true - true - - - java.lang.String - - true - true - true - true - - + start="pulpino_0.interrupt_receiver" + end="jtag_uart_0.irq"> + int 1 false true - false - true - - - long - 0 - false - true - true - true - - - int - -1 - true - true true true @@ -17767,57 +16228,17 @@ parameters are a RESULT of the module parameters. --> true true - nios2_qsys_0 - custom_instruction_master - nios2_hw_crc32_0 - nios_custom_instruction_slave + pulpino_0 + interrupt_receiver + jtag_uart_0 + irq - - java.lang.String - nios_custom_instr_endianconverter_0 - false - true - true - true - - - java.lang.String - - true - true - true - true - - - int - 1 - false - true - false - true - - - long - 8 - false - true - true - true - - - int - -1 - true - true - true - true - + start="clk_27.clk_reset" + end="master_0.clk_reset"> java.lang.String UNKNOWN @@ -17834,77 +16255,10 @@ parameters are a RESULT of the module parameters. --> true true - nios2_qsys_0 - custom_instruction_master - nios_custom_instr_endianconverter_0 - s1 - - - - java.lang.String - nios_custom_instr_bitswap_0 - false - true - true - true - - - java.lang.String - - true - true - true - true - - - int - 1 - false - true - false - true - - - long - 9 - false - true - true - true - - - int - -1 - true - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - custom_instruction_master - nios_custom_instr_bitswap_0 - s1 + clk_27 + clk_reset + master_0 + clk_reset i2c_opencores_1 clock_reset - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk_reset - nios2_qsys_0 - reset - onchip_memory2_0 reset1 + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_27 + clk_reset + pulpino_0 + reset_sink + 1 clock_source @@ -18387,7 +16741,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 16 + 17 clock_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -18395,7 +16749,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 16 + 17 reset_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -18403,7 +16757,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 17 + 18 avalon_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -18427,7 +16781,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 11 + 12 conduit_end com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -18444,44 +16798,20 @@ parameters are a RESULT of the module parameters. --> 1 - nios2_hw_crc32 + altera_jtag_avalon_master com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - nios2_hw_crc32 + JTAG to Avalon Master Bridge 17.1 3 - nios_custom_instruction_slave - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Custom Instruction Slave - 17.1 - - - 1 - altera_nios2_gen2 - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Nios II Processor - 17.1 - - - 2 avalon_master com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Master 17.1 - - 1 - interrupt_receiver - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Interrupt Receiver - 17.1 - 1 reset_source @@ -18490,30 +16820,6 @@ parameters are a RESULT of the module parameters. --> Reset Output 17.1 - - 1 - nios_custom_instruction_master - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Custom Instruction Master - 17.1 - - - 1 - altera_nios_custom_instr_bitswap - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Bitswap - 17.1 - - - 1 - altera_nios_custom_instr_endianconverter - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Endian Converter - 17.1 - 1 altera_avalon_onchip_memory2 @@ -18530,6 +16836,22 @@ parameters are a RESULT of the module parameters. --> PIO (Parallel I/O) 17.1 + + 1 + pulpino + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + pulpino + 1.0 + + + 1 + interrupt_receiver + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Interrupt Receiver + 17.1 + 1 altera_avalon_timer @@ -18547,7 +16869,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 16 + 17 clock com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection @@ -18563,15 +16885,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 3 - nios_custom_instruction - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IConnection - Nios II Custom Instruction Connection - 17.1 - - - 16 + 17 reset com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection diff --git a/tools/bin2hex.c b/tools/bin2hex.c new file mode 100644 index 0000000..df206fb --- /dev/null +++ b/tools/bin2hex.c @@ -0,0 +1,53 @@ +#include +#include +#include +#include +#include +#include +#include + +#define MEMBLK 1024 + +int main(int argc, char **argv) +{ + unsigned char block[4]; + unsigned int csum; + + int fd_i; + FILE *fd_o; + struct stat fileinfo; + + unsigned int i; + + if (argc != 3) { + printf("usage: %s binfile hexfile\n", argv[0]); + return -1; + } + + if ((fd_i = open(argv[1], O_RDONLY)) == -1 || fstat(fd_i, &fileinfo) == -1) { + printf("Couldn't open file\n"); + return -1; + } + + printf("size: %u bytes\n", fileinfo.st_size); + + if ((fd_o = fopen(argv[2], "w")) == NULL) { + printf("invalid outfile\n"); + return -1; + } + + for (i=0; i>8)+((i/4)&0xff)+block[3]+block[2]+block[1]+block[0]; + csum &= 0xff; + csum = (~csum+1)&0xff; + fprintf(fd_o, ":04%.4X00%.2X%.2X%.2X%.2X%.2X\n", i/4, block[3],block[2],block[1],block[0],csum); + } + + fprintf(fd_o, ":00000001FF\n"); + + fclose(fd_o); + close(fd_i); + + return 0; +}