From 4b21a354b4238b54a07e40ef65493e914d156f27 Mon Sep 17 00:00:00 2001 From: marqs Date: Thu, 12 Oct 2017 02:31:19 +0300 Subject: [PATCH] Fix and optimize reverse lpf activation --- ossc.sdc | 17 +- rtl/scanconverter.v | 19 +- .../mem_init/sys_onchip_memory2_0.hex | 1394 ++++++++--------- software/sys_controller/ossc/firmware.h | 2 +- software/sys_controller_bsp/settings.bsp | 8 +- sys.sopcinfo | 4 +- 6 files changed, 724 insertions(+), 720 deletions(-) diff --git a/ossc.sdc b/ossc.sdc index e287654..2f5465d 100644 --- a/ossc.sdc +++ b/ossc.sdc @@ -64,23 +64,18 @@ set_false_path -from [get_clocks pclk_5x] -to [get_clocks {pclk_sdtv pclk_2x pcl set pclk_act_regs [get_registers {scanconverter:scanconverter_inst|R_out* \ scanconverter:scanconverter_inst|G_out* \ scanconverter:scanconverter_inst|B_out* \ - scanconverter:scanconverter_inst|HSYNC_out* \ - scanconverter:scanconverter_inst|VSYNC_out* \ - scanconverter:scanconverter_inst|DE_out* \ - scanconverter:scanconverter_inst|*_pp1* \ - scanconverter:scanconverter_inst|*_pp2* \ - scanconverter:scanconverter_inst|*_pp3* \ - scanconverter:scanconverter_inst|*_pp4* \ - scanconverter:scanconverter_inst|*_pp5* \ - scanconverter:scanconverter_inst|*_pp6*}] + scanconverter:scanconverter_inst|HSYNC_out \ + scanconverter:scanconverter_inst|VSYNC_out \ + scanconverter:scanconverter_inst|DE_out \ + scanconverter:scanconverter_inst|*_pp*}] set_false_path -from [get_clocks {pclk_sdtv}] -to $pclk_act_regs set_false_path -from [get_clocks {pclk_sdtv}] -to [get_ports HDMI_TX_*] # Ignore paths from registers which are updated only at leading edge of vsync -set_false_path -from [get_cells {scanconverter_inst|H_* scanconverter_inst|V_* scanconverter_inst|X_* scanconverter_inst|FID_1x}] +set_false_path -from [get_registers {scanconverter_inst|H_* scanconverter_inst|V_* scanconverter_inst|X_* scanconverter_inst|FID_1x}] # Ignore paths from registers which are updated only at leading edge of hsync -#set_false_path -from [get_cells {scanconverter:scanconverter_inst|line_idx scanconverter:scanconverter_inst|line_out_idx* scanconverter:scanconverter_inst|hmax*}] +#set_false_path -from [get_registers {scanconverter:scanconverter_inst|line_idx scanconverter:scanconverter_inst|line_out_idx* scanconverter:scanconverter_inst|hmax*}] ### JTAG Signal Constraints ### diff --git a/rtl/scanconverter.v b/rtl/scanconverter.v index 2aecda1..26e67c7 100644 --- a/rtl/scanconverter.v +++ b/rtl/scanconverter.v @@ -115,8 +115,6 @@ reg [11:0] hcnt_1x, hcnt_2x, hcnt_3x, hcnt_4x, hcnt_5x, hcnt_4x_aspfix, hcnt_2x_ reg [2:0] hcnt_2x_opt_ctr, hcnt_3x_opt_ctr, hcnt_4x_opt_ctr, hcnt_5x_opt_ctr; wire [10:0] vcnt_act; reg [10:0] vcnt_tvp, vcnt_1x, vcnt_2x, vcnt_3x, vcnt_4x, vcnt_5x; //max. 2047 -reg [11:0] linebuf_hoffset_pp1; -reg hoffset_changed_pp1; //other counters wire [2:0] line_id_act, col_id_act; @@ -127,6 +125,8 @@ reg [1:0] line_out_idx_2x, line_out_idx_3x, line_out_idx_4x; reg [2:0] line_out_idx_5x; reg [23:0] warn_h_unstable, warn_pll_lock_lost, warn_pll_lock_lost_3x; reg mask_enable_pp1, mask_enable_pp2, mask_enable_pp3, mask_enable_pp4, mask_enable_pp5, mask_enable_pp6; +wire rlpf_trigger_act; +reg rlpf_trigger_pp1; //helper registers for sampling at synchronized clock edges reg pclk_1x_prev3x; @@ -245,6 +245,7 @@ case (V_MULTMODE) linebuf_rdclock = 0; linebuf_hoffset = 0; col_id_act = {2'b00, hcnt_1x[0]}; + rlpf_trigger_act = 1'b1; end `V_MULTMODE_2X: begin R_act = R_lbuf; @@ -262,11 +263,13 @@ case (V_MULTMODE) pclk_act = pclk_2x; linebuf_hoffset = hcnt_2x; col_id_act = {2'b00, hcnt_2x[0]}; + rlpf_trigger_act = 1'b1; end `H_MULTMODE_OPTIMIZED: begin pclk_act = pclk_1x; //special case: pclk bypass to enable 2x native sampling linebuf_hoffset = hcnt_2x_opt; col_id_act = {2'b00, hcnt_2x[1]}; + rlpf_trigger_act = (hcnt_2x_opt_ctr < 2); end endcase end @@ -286,6 +289,7 @@ case (V_MULTMODE) linebuf_hoffset = hcnt_3x; hcnt_act = hcnt_3x; col_id_act = {2'b00, hcnt_3x[0]}; + rlpf_trigger_act = 1'b1; end `H_MULTMODE_ASPECTFIX: begin pclk_act = pclk_4x; @@ -293,6 +297,7 @@ case (V_MULTMODE) linebuf_hoffset = hcnt_4x_aspfix; hcnt_act = hcnt_4x_aspfix; col_id_act = {2'b00, hcnt_4x[0]}; + rlpf_trigger_act = 1'b1; end `H_MULTMODE_OPTIMIZED: begin pclk_act = pclk_3x; @@ -300,6 +305,7 @@ case (V_MULTMODE) linebuf_hoffset = hcnt_3x_opt; hcnt_act = hcnt_3x; col_id_act = hcnt_3x_opt_ctr; + rlpf_trigger_act = (hcnt_3x_opt_ctr == 0); end endcase end @@ -319,10 +325,12 @@ case (V_MULTMODE) default: begin //`H_MULTMODE_FULLWIDTH linebuf_hoffset = hcnt_4x; col_id_act = {2'b00, hcnt_4x[0]}; + rlpf_trigger_act = 1'b1; end `H_MULTMODE_OPTIMIZED: begin linebuf_hoffset = hcnt_4x_opt; col_id_act = hcnt_4x_opt_ctr; + rlpf_trigger_act = (hcnt_4x_opt_ctr == 0); end endcase end @@ -342,10 +350,12 @@ case (V_MULTMODE) default: begin //`H_MULTMODE_FULLWIDTH linebuf_hoffset = hcnt_5x_hscomp; col_id_act = {2'b00, hcnt_5x[0]}; + rlpf_trigger_act = 1'b1; end `H_MULTMODE_OPTIMIZED: begin linebuf_hoffset = hcnt_5x_opt; col_id_act = hcnt_5x_opt_ctr; + rlpf_trigger_act = (hcnt_5x_opt_ctr == 0); end endcase end @@ -393,8 +403,7 @@ begin line_id_pp1 <= line_id_act; col_id_pp1 <= col_id_act; mask_enable_pp1 <= ((hcnt_act < H_AVIDSTART+H_MASK) | (hcnt_act >= H_AVIDSTART+H_ACTIVE-H_MASK) | (vcnt_act < V_AVIDSTART+V_MASK) | (vcnt_act >= V_AVIDSTART+V_ACTIVE-V_MASK)); - linebuf_hoffset_pp1 <= linebuf_hoffset; - hoffset_changed_pp1 <= (linebuf_hoffset_pp1 != linebuf_hoffset); + rlpf_trigger_pp1 <= rlpf_trigger_act; HSYNC_pp2 <= HSYNC_act; VSYNC_pp2 <= VSYNC_act; @@ -403,7 +412,7 @@ begin col_id_pp2 <= col_id_pp1; mask_enable_pp2 <= mask_enable_pp1; // Optimized modes repeat pixels. Save previous pixel only when linebuffer offset changes. - if (hoffset_changed_pp1) begin + if (rlpf_trigger_pp1) begin R_prev_pp2 <= R_act; G_prev_pp2 <= G_act; B_prev_pp2 <= B_act; diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 5daec1b..8beb4ed 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex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diff --git a/software/sys_controller/ossc/firmware.h b/software/sys_controller/ossc/firmware.h index e667a31..0467939 100644 --- a/software/sys_controller/ossc/firmware.h +++ b/software/sys_controller/ossc/firmware.h @@ -24,7 +24,7 @@ #include "sysconfig.h" #define FW_VER_MAJOR 0 -#define FW_VER_MINOR 78 +#define FW_VER_MINOR 79 #ifdef ENABLE_AUDIO #define FW_SUFFIX1 "a" diff --git a/software/sys_controller_bsp/settings.bsp b/software/sys_controller_bsp/settings.bsp index 8fd7dd6..2b9ad8c 100644 --- a/software/sys_controller_bsp/settings.bsp +++ b/software/sys_controller_bsp/settings.bsp @@ -2,11 +2,11 @@ hal default - 27-sep-2017 12:31:17 - 1506508277524 - D:\ossc\software\sys_controller_bsp + Oct 12, 2017 1:47:54 AM + 1507762074640 + ./ settings.bsp - ..\..\sys.sopcinfo + ../../sys.sopcinfo default nios2_qsys_0 1.9 diff --git a/sys.sopcinfo b/sys.sopcinfo index b591e38..2525aff 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1506506231 + 1507763946 false true false