From e1d8446752f62d8b11de1a82a732667071c07ada Mon Sep 17 00:00:00 2001 From: marqs Date: Tue, 25 Sep 2018 22:34:52 +0300 Subject: [PATCH 01/21] BSP and sw modifications --- software/sys_controller/Makefile | 39 ++++---- software/sys_controller/memory/flash.c | 2 +- software/sys_controller/ossc/firmware.c | 4 +- software/sys_controller_bsp/HAL/inc/io.h | 16 ++-- software/sys_controller_bsp/Makefile | 90 ++---------------- software/sys_controller_bsp/alt_sys_init.c | 10 +- .../src/altera_avalon_jtag_uart_init.c | 2 +- .../src/altera_avalon_jtag_uart_read.c | 2 +- .../src/altera_avalon_jtag_uart_write.c | 2 +- software/sys_controller_bsp/libhal_bsp.a | Bin 104226 -> 27058 bytes software/sys_controller_bsp/public.mk | 11 ++- software/sys_controller_bsp/settings.bsp | 4 +- software/sys_controller_bsp/system.h | 10 +- 13 files changed, 60 insertions(+), 132 deletions(-) diff --git a/software/sys_controller/Makefile b/software/sys_controller/Makefile index 2865ed4..c0ee74d 100644 --- a/software/sys_controller/Makefile +++ b/software/sys_controller/Makefile @@ -17,7 +17,7 @@ ALT_LIBRARY_NAMES := # List of library names for -msys-lib linker option (-msys-lib added when used). # These are libraries that might be located in the BSP and depend on the BSP # library, or vice versa -ALT_BSP_DEP_LIBRARY_NAMES := +ALT_BSP_DEP_LIBRARY_NAMES := libhal_bsp.a # List of dependencies for the linker. This is usually the full pathname # of each library (*.a) file. @@ -168,7 +168,7 @@ C_SRCS += ossc/userdata.c C_SRCS += ulibSD/sd_io.c C_SRCS += ulibSD/spi_io.c CXX_SRCS := -ASM_SRCS := +ASM_SRCS := crt0.boot.S # Path to root of object file tree. @@ -344,12 +344,12 @@ ifneq ($(AVOID_NIOS2_GCC3_OPTIONS),) # Detect if small newlib C library is requested. # If yes, remove the -msmallc option because it is # now handled by other means. -ifneq ($(filter -msmallc,$(ALT_LDFLAGS)),) - ALT_LDFLAGS := $(filter-out -msmallc,$(ALT_LDFLAGS)) - ALT_C_LIBRARY := smallc -else - ALT_C_LIBRARY := c -endif +#ifneq ($(filter -msmallc,$(ALT_LDFLAGS)),) + #ALT_LDFLAGS := $(filter-out -msmallc,$(ALT_LDFLAGS)) + #ALT_C_LIBRARY := smallc +#else + #ALT_C_LIBRARY := c +#endif # Put each BSP dependent library in a group to avoid circular dependencies. APP_BSP_DEP_LIBS := $(foreach l,$(ALT_BSP_DEP_LIBRARY_NAMES),-Wl,--start-group -l$(ALT_C_LIBRARY) -lgcc -l$(l) -Wl,--end-group) @@ -359,7 +359,8 @@ else # !AVOID_NIOS2_GCC3_OPTIONS # # Use Nios II GCC 3.X options. # -APP_BSP_DEP_LIBS := $(addprefix -msys-lib=, $(ALT_BSP_DEP_LIBRARY_NAMES)) +#APP_BSP_DEP_LIBS := $(addprefix -msys-lib=, $(ALT_BSP_DEP_LIBRARY_NAMES)) +APP_BSP_DEP_LIBS := $(addprefix -l:, $(ALT_BSP_DEP_LIBRARY_NAMES)) endif # !AVOID_NIOS2_GCC3_OPTIONS @@ -389,7 +390,7 @@ APP_ASFLAGS := $(APP_ASM_INC_DIRS) \ $(ASFLAGS) # Arguments only for the linker. -APP_LDFLAGS := $(APP_LDFLAGS_USER) +APP_LDFLAGS := $(APP_LDFLAGS_USER) --specs=nano.specs -nostartfiles ifneq ($(LINKER_SCRIPT),) APP_LDFLAGS += -T'$(LINKER_SCRIPT)' @@ -410,17 +411,16 @@ else # !AVOID_NIOS2_GCC3_OPTIONS # Use Nios II GCC 3.x options. ifneq ($(CRT0),) -APP_LDFLAGS += -msys-crt0='$(CRT0)' +#APP_LDFLAGS += -msys-crt0='$(CRT0)' endif ifneq ($(SYS_LIB),) -APP_LDFLAGS += -msys-lib=$(SYS_LIB) +#APP_LDFLAGS += -msys-lib=$(SYS_LIB) endif endif # !AVOID_NIOS2_GCC3_OPTIONS APP_LDFLAGS += \ $(APP_LIB_DIRS) \ - $(ALT_LDFLAGS) \ $(LDFLAGS) LINKER_MAP_NAME := $(APP_NAME).map @@ -651,23 +651,24 @@ build_post_process : # included makefile fragment. # ifeq ($(DEFAULT_CROSS_COMPILE),) -DEFAULT_CROSS_COMPILE := nios2-elf- +DEFAULT_CROSS_COMPILE := riscv64-unknown-elf- endif ifeq ($(DEFAULT_STACKREPORT),) -DEFAULT_STACKREPORT := nios2-stackreport +DEFAULT_STACKREPORT := riscv64-unknown-elf-size endif ifeq ($(DEFAULT_DOWNLOAD),) -DEFAULT_DOWNLOAD := nios2-download +DEFAULT_DOWNLOAD := anios2-download endif ifeq ($(DEFAULT_FLASHPROG),) -DEFAULT_FLASHPROG := nios2-flash-programmer +DEFAULT_FLASHPROG := anios2-flash-programmer endif +DISABLE_ELFPATCH := 1 ifeq ($(DEFAULT_ELFPATCH),) -DEFAULT_ELFPATCH := nios2-elf-insert +DEFAULT_ELFPATCH := anios2-elf-insert endif ifeq ($(DEFAULT_RM),) @@ -732,7 +733,7 @@ OBJCOPY := $(CROSS_COMPILE)objcopy endif ifeq ($(STACKREPORT),) -STACKREPORT := $(DEFAULT_STACKREPORT) --prefix $(CROSS_COMPILE) +STACKREPORT := $(DEFAULT_STACKREPORT) else DISABLE_STACKREPORT := 1 endif diff --git a/software/sys_controller/memory/flash.c b/software/sys_controller/memory/flash.c index 455ecf7..df146f8 100644 --- a/software/sys_controller/memory/flash.c +++ b/software/sys_controller/memory/flash.c @@ -95,7 +95,7 @@ int verify_flash(alt_u32 offset, alt_u32 length, alt_u32 golden_crc, alt_u8 *tmp if (retval != 0) return retval; - crcval = crcCI(tmpbuf, bytes_to_read, (i==0)); + //crcval = crcCI(tmpbuf, bytes_to_read, (i==0)); } if (crcval != golden_crc) diff --git a/software/sys_controller/ossc/firmware.c b/software/sys_controller/ossc/firmware.c index 569522a..6f490f9 100644 --- a/software/sys_controller/ossc/firmware.c +++ b/software/sys_controller/ossc/firmware.c @@ -60,7 +60,7 @@ static int check_fw_header(alt_u8 *databuf, fw_hdr *hdr) if (hdr->hdr_len < 26 || hdr->hdr_len > 508) return FW_HDR_ERROR; - crcval = crcCI(databuf, hdr->hdr_len, 1); + //crcval = crcCI(databuf, hdr->hdr_len, 1); if (crcval != hdr->hdr_crc) return FW_HDR_CRC_ERROR; @@ -81,7 +81,7 @@ static int check_fw_image(alt_u32 offset, alt_u32 size, alt_u32 golden_crc, alt_ if (retval != SD_OK) return retval; - crcval = crcCI(tmpbuf, bytes_to_read, (i==0)); + //crcval = crcCI(tmpbuf, bytes_to_read, (i==0)); } if (crcval != golden_crc) diff --git a/software/sys_controller_bsp/HAL/inc/io.h b/software/sys_controller_bsp/HAL/inc/io.h index 912b286..8053c41 100644 --- a/software/sys_controller_bsp/HAL/inc/io.h +++ b/software/sys_controller_bsp/HAL/inc/io.h @@ -51,18 +51,18 @@ extern "C" ((void *)(((alt_u8*)BASE) + (OFFSET))) #define IORD_32DIRECT(BASE, OFFSET) \ - __builtin_ldwio (__IO_CALC_ADDRESS_DYNAMIC ((BASE), (OFFSET))) + (*(volatile alt_u32*)(__IO_CALC_ADDRESS_DYNAMIC ((BASE), (OFFSET)))) #define IORD_16DIRECT(BASE, OFFSET) \ - __builtin_ldhuio (__IO_CALC_ADDRESS_DYNAMIC ((BASE), (OFFSET))) + (*(volatile alt_u16*)(__IO_CALC_ADDRESS_DYNAMIC ((BASE), (OFFSET)))) #define IORD_8DIRECT(BASE, OFFSET) \ - __builtin_ldbuio (__IO_CALC_ADDRESS_DYNAMIC ((BASE), (OFFSET))) + (*(volatile alt_u8*)(__IO_CALC_ADDRESS_DYNAMIC ((BASE), (OFFSET)))) #define IOWR_32DIRECT(BASE, OFFSET, DATA) \ - __builtin_stwio (__IO_CALC_ADDRESS_DYNAMIC ((BASE), (OFFSET)), (DATA)) + (*(volatile alt_u32*)(__IO_CALC_ADDRESS_DYNAMIC ((BASE), (OFFSET))) = (DATA)) #define IOWR_16DIRECT(BASE, OFFSET, DATA) \ - __builtin_sthio (__IO_CALC_ADDRESS_DYNAMIC ((BASE), (OFFSET)), (DATA)) + (*(volatile alt_u16*)(__IO_CALC_ADDRESS_DYNAMIC ((BASE), (OFFSET))) = (DATA)) #define IOWR_8DIRECT(BASE, OFFSET, DATA) \ - __builtin_stbio (__IO_CALC_ADDRESS_DYNAMIC ((BASE), (OFFSET)), (DATA)) + (*(volatile alt_u8*)(__IO_CALC_ADDRESS_DYNAMIC ((BASE), (OFFSET))) = (DATA)) /* Native bus access functions */ @@ -70,9 +70,9 @@ extern "C" ((void *)(((alt_u8*)BASE) + ((REGNUM) * (SYSTEM_BUS_WIDTH/8)))) #define IORD(BASE, REGNUM) \ - __builtin_ldwio (__IO_CALC_ADDRESS_NATIVE ((BASE), (REGNUM))) + (*(volatile alt_u32*)(__IO_CALC_ADDRESS_NATIVE ((BASE), (REGNUM)))) #define IOWR(BASE, REGNUM, DATA) \ - __builtin_stwio (__IO_CALC_ADDRESS_NATIVE ((BASE), (REGNUM)), (DATA)) + (*(volatile alt_u32*)(__IO_CALC_ADDRESS_NATIVE ((BASE), (REGNUM))) = (DATA)) #ifdef __cplusplus } diff --git a/software/sys_controller_bsp/Makefile b/software/sys_controller_bsp/Makefile index aa3ece7..37e1e44 100644 --- a/software/sys_controller_bsp/Makefile +++ b/software/sys_controller_bsp/Makefile @@ -112,10 +112,10 @@ SOPC_FILE := ../../sys.sopcinfo #------------------------------------------------------------------------------- # Archiver command. Creates library files. -AR = nios2-elf-ar +AR = riscv64-unknown-elf-ar # Assembler command. Note that CC is used for .S files. -AS = nios2-elf-gcc +AS = riscv64-unknown-elf-gcc # Custom flags only passed to the archiver. This content of this variable is # directly passed to the archiver rather than the more standard "ARFLAGS". The @@ -139,10 +139,10 @@ BSP_CFLAGS_OPTIMIZATION = -Os BSP_CFLAGS_WARNINGS = -Wall # C compiler command. -CC = nios2-elf-gcc -xc +CC = riscv64-unknown-elf-gcc -xc # C++ compiler command. -CXX = nios2-elf-gcc -xc++ +CXX = riscv64-unknown-elf-gcc -xc++ # Command used to remove files during 'clean' target. RM = rm -f @@ -222,7 +222,6 @@ altera_avalon_timer_driver_SRCS_ROOT := drivers # altera_avalon_timer_driver sources altera_avalon_timer_driver_C_LIB_SRCS := \ - $(altera_avalon_timer_driver_SRCS_ROOT)/src/altera_avalon_timer_sc.c \ $(altera_avalon_timer_driver_SRCS_ROOT)/src/altera_avalon_timer_ts.c \ $(altera_avalon_timer_driver_SRCS_ROOT)/src/altera_avalon_timer_vars.c @@ -238,96 +237,25 @@ altera_nios2_gen2_hal_driver_SRCS_ROOT := HAL # altera_nios2_gen2_hal_driver sources altera_nios2_gen2_hal_driver_C_LIB_SRCS := \ - $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/altera_nios2_gen2_irq.c \ $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_usleep.c \ $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_busy_sleep.c \ - $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_irq_vars.c \ - $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_icache_flush.c \ - $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_icache_flush_all.c \ - $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_dcache_flush.c \ - $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_dcache_flush_all.c \ - $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_dcache_flush_no_writeback.c \ $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_ecc_fatal_exception.c \ $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_instruction_exception_entry.c \ - $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_irq_register.c \ - $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_iic.c \ $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_remap_cached.c \ $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_remap_uncached.c \ $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_uncached_free.c \ $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_uncached_malloc.c \ $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_do_ctors.c \ - $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_do_dtors.c \ - $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_gmon.c - -altera_nios2_gen2_hal_driver_ASM_LIB_SRCS := \ - $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_ecc_fatal_entry.S \ - $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_exception_entry.S \ - $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_exception_trap.S \ - $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_exception_muldiv.S \ - $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_irq_entry.S \ - $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_software_exception.S \ - $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_mcount.S \ - $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_log_macro.S \ - $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/crt0.S + $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_do_dtors.c # hal sources root hal_SRCS_ROOT := HAL # hal sources hal_C_LIB_SRCS := \ - $(hal_SRCS_ROOT)/src/alt_alarm_start.c \ - $(hal_SRCS_ROOT)/src/alt_close.c \ - $(hal_SRCS_ROOT)/src/alt_dev.c \ $(hal_SRCS_ROOT)/src/alt_dev_llist_insert.c \ - $(hal_SRCS_ROOT)/src/alt_dma_rxchan_open.c \ - $(hal_SRCS_ROOT)/src/alt_dma_txchan_open.c \ - $(hal_SRCS_ROOT)/src/alt_environ.c \ - $(hal_SRCS_ROOT)/src/alt_env_lock.c \ $(hal_SRCS_ROOT)/src/alt_errno.c \ - $(hal_SRCS_ROOT)/src/alt_execve.c \ - $(hal_SRCS_ROOT)/src/alt_exit.c \ - $(hal_SRCS_ROOT)/src/alt_fcntl.c \ - $(hal_SRCS_ROOT)/src/alt_fd_lock.c \ - $(hal_SRCS_ROOT)/src/alt_fd_unlock.c \ - $(hal_SRCS_ROOT)/src/alt_find_dev.c \ - $(hal_SRCS_ROOT)/src/alt_find_file.c \ $(hal_SRCS_ROOT)/src/alt_flash_dev.c \ - $(hal_SRCS_ROOT)/src/alt_fork.c \ - $(hal_SRCS_ROOT)/src/alt_fs_reg.c \ - $(hal_SRCS_ROOT)/src/alt_fstat.c \ - $(hal_SRCS_ROOT)/src/alt_get_fd.c \ - $(hal_SRCS_ROOT)/src/alt_getchar.c \ - $(hal_SRCS_ROOT)/src/alt_getpid.c \ - $(hal_SRCS_ROOT)/src/alt_gettod.c \ - $(hal_SRCS_ROOT)/src/alt_iic_isr_register.c \ - $(hal_SRCS_ROOT)/src/alt_instruction_exception_register.c \ - $(hal_SRCS_ROOT)/src/alt_ioctl.c \ - $(hal_SRCS_ROOT)/src/alt_io_redirect.c \ - $(hal_SRCS_ROOT)/src/alt_irq_handler.c \ - $(hal_SRCS_ROOT)/src/alt_isatty.c \ - $(hal_SRCS_ROOT)/src/alt_kill.c \ - $(hal_SRCS_ROOT)/src/alt_link.c \ - $(hal_SRCS_ROOT)/src/alt_load.c \ - $(hal_SRCS_ROOT)/src/alt_log_printf.c \ - $(hal_SRCS_ROOT)/src/alt_lseek.c \ - $(hal_SRCS_ROOT)/src/alt_main.c \ - $(hal_SRCS_ROOT)/src/alt_malloc_lock.c \ - $(hal_SRCS_ROOT)/src/alt_open.c \ - $(hal_SRCS_ROOT)/src/alt_printf.c \ - $(hal_SRCS_ROOT)/src/alt_putchar.c \ - $(hal_SRCS_ROOT)/src/alt_putcharbuf.c \ - $(hal_SRCS_ROOT)/src/alt_putstr.c \ - $(hal_SRCS_ROOT)/src/alt_read.c \ - $(hal_SRCS_ROOT)/src/alt_release_fd.c \ - $(hal_SRCS_ROOT)/src/alt_rename.c \ - $(hal_SRCS_ROOT)/src/alt_sbrk.c \ - $(hal_SRCS_ROOT)/src/alt_settod.c \ - $(hal_SRCS_ROOT)/src/alt_stat.c \ - $(hal_SRCS_ROOT)/src/alt_tick.c \ - $(hal_SRCS_ROOT)/src/alt_times.c \ - $(hal_SRCS_ROOT)/src/alt_unlink.c \ - $(hal_SRCS_ROOT)/src/alt_wait.c \ - $(hal_SRCS_ROOT)/src/alt_write.c # i2c_opencores_driver sources root i2c_opencores_driver_SRCS_ROOT := drivers @@ -339,11 +267,6 @@ i2c_opencores_driver_C_LIB_SRCS := \ # nios2_hw_crc32_driver sources root nios2_hw_crc32_driver_SRCS_ROOT := drivers -# nios2_hw_crc32_driver sources -nios2_hw_crc32_driver_C_LIB_SRCS := \ - $(nios2_hw_crc32_driver_SRCS_ROOT)/src/ci_crc.c \ - $(nios2_hw_crc32_driver_SRCS_ROOT)/src/crc.c - # Assemble all component C source files COMPONENT_C_LIB_SRCS += \ @@ -444,8 +367,7 @@ GENERATED_H_FILES := $(ABS_BSP_ROOT)/system.h GENERATED_LINKER_SCRIPT := $(ABS_BSP_ROOT)/linker.x -GENERATED_FILES += $(GENERATED_H_FILES) \ - $(GENERATED_LINKER_SCRIPT) +GENERATED_FILES += $(GENERATED_H_FILES) #------------------------------------------------------------------------------ diff --git a/software/sys_controller_bsp/alt_sys_init.c b/software/sys_controller_bsp/alt_sys_init.c index 562b9ae..ea8e0b6 100644 --- a/software/sys_controller_bsp/alt_sys_init.c +++ b/software/sys_controller_bsp/alt_sys_init.c @@ -49,7 +49,7 @@ */ #include "system.h" -#include "sys/alt_irq.h" +//#include "sys/alt_irq.h" #include "sys/alt_sys_init.h" #include @@ -58,7 +58,7 @@ * Device headers */ -#include "altera_nios2_gen2_irq.h" +//#include "altera_nios2_gen2_irq.h" #include "altera_avalon_jtag_uart.h" #include "altera_avalon_timer.h" #include "altera_epcq_controller_mod.h" @@ -68,7 +68,7 @@ * Allocate the device storage */ -ALTERA_NIOS2_GEN2_IRQ_INSTANCE ( NIOS2_QSYS_0, nios2_qsys_0); +//ALTERA_NIOS2_GEN2_IRQ_INSTANCE ( NIOS2_QSYS_0, nios2_qsys_0); ALTERA_AVALON_JTAG_UART_INSTANCE ( JTAG_UART_0, jtag_uart_0); ALTERA_AVALON_TIMER_INSTANCE ( TIMER_0, timer_0); ALTERA_EPCQ_CONTROLLER_MOD_AVL_MEM_AVL_CSR_INSTANCE ( EPCQ_CONTROLLER_0, EPCQ_CONTROLLER_0_AVL_MEM, EPCQ_CONTROLLER_0_AVL_CSR, epcq_controller_0); @@ -83,11 +83,11 @@ I2C_OPENCORES_INSTANCE ( I2C_OPENCORES_1, i2c_opencores_1); * present for backwards-compatibility. */ -void alt_irq_init ( const void* base ) +/*void alt_irq_init ( const void* base ) { ALTERA_NIOS2_GEN2_IRQ_INIT ( NIOS2_QSYS_0, nios2_qsys_0); alt_irq_cpu_enable_interrupts(); -} +}*/ /* * Initialize the non-interrupt controller devices. diff --git a/software/sys_controller_bsp/drivers/src/altera_avalon_jtag_uart_init.c b/software/sys_controller_bsp/drivers/src/altera_avalon_jtag_uart_init.c index 7317bec..b08f1f7 100644 --- a/software/sys_controller_bsp/drivers/src/altera_avalon_jtag_uart_init.c +++ b/software/sys_controller_bsp/drivers/src/altera_avalon_jtag_uart_init.c @@ -35,7 +35,7 @@ #include -#include "sys/alt_irq.h" +//#include "sys/alt_irq.h" #include "sys/alt_alarm.h" #include "sys/ioctl.h" #include "alt_types.h" diff --git a/software/sys_controller_bsp/drivers/src/altera_avalon_jtag_uart_read.c b/software/sys_controller_bsp/drivers/src/altera_avalon_jtag_uart_read.c index 5657adb..bf4a916 100644 --- a/software/sys_controller_bsp/drivers/src/altera_avalon_jtag_uart_read.c +++ b/software/sys_controller_bsp/drivers/src/altera_avalon_jtag_uart_read.c @@ -35,7 +35,7 @@ #include -#include "sys/alt_irq.h" +//#include "sys/alt_irq.h" #include "sys/alt_alarm.h" #include "sys/ioctl.h" #include "alt_types.h" diff --git a/software/sys_controller_bsp/drivers/src/altera_avalon_jtag_uart_write.c b/software/sys_controller_bsp/drivers/src/altera_avalon_jtag_uart_write.c index cf41ba8..2cfc417 100644 --- a/software/sys_controller_bsp/drivers/src/altera_avalon_jtag_uart_write.c +++ b/software/sys_controller_bsp/drivers/src/altera_avalon_jtag_uart_write.c @@ -35,7 +35,7 @@ #include -#include "sys/alt_irq.h" +//#include "sys/alt_irq.h" #include "sys/alt_alarm.h" #include "sys/ioctl.h" #include "alt_types.h" diff --git a/software/sys_controller_bsp/libhal_bsp.a b/software/sys_controller_bsp/libhal_bsp.a index 39829ddae6bc4ccb4215f5bac0cf78bd04751c7e..b07326cbd18f87a400ce0f0653d752f077231182 100644 GIT binary patch literal 27058 zcmeHQ3v`v$l|DDgO#+0P2O?r}&saZ;;<|#D+;hb!xvY%FJ@{J};?Nw^posp1Nrqo53DOK>AQiVxM6}_WW zDe#JCBH`jMD8*2ZycZ$9u`&`qjWQTk7R3%Nh(9$nw}t#`Hg<3Jcee$D8x+Zw;5GiX zw$|>DzqO+~*cDR#mQH^l)Y;Xou@;R{Fc=8<*EWZm+x)>zf#8NvYiEbQE4U6RgIzkO z2se2yRL9?ZO>-MsClb>YMD5n< zszi;ywyQN198G{3#LQi%)^8AMZ4Y*bn%g({@fUr`ehc$PQhe>JU0G7J%OC0aBVETSR7H7(!&^O`eG;+3qRwK?ahsv=Bc>EqeTjm-hPpNe zv>}Eg{XwYaW)ZRNU?fj;UARJPYdD2z48mbY)!?-)qs6khM~&|cgxW?EV4sW@ulwax z0a55!G1oMAbz5<6Eotx6g%%sfAMRCqWWXqZ*zn)V3UqX7S(%e!_4t3OZ(Qi{crZX1 z@TlLZ2⋙veI;gj-II|sPXFH!TV{!nl}lSjNEX}qKb$!cWz$;zv;5x*cT z<581==UemzF8ULo=UMR=0$*a$7>-q~Mb80VXwl`sYc0A4_;QO5qd<~Xn)+vlu>#L* zzMspC1FER83?Zo$f69qyidY3gspgkzW@%EnD>d>=N61Vyh0G+LW^lHACL;kCBV>-# zsA?q6c?W>?o-;wCIv=o+u@Z=wu$`p zL5McuG>4*Xu-yfc9E3VSO{#V1nTS{foXy4bjN|K5k4TFaZUt@w;|P3zri~|ushG|W z4-L%Ewh+m$6nwjW8?5?yojBHyddoDD$AiFW4+4ynAn(q&ClE3b!EVh&U|D>UmUjTE zUWCjtA`UPwLKcE8_YgAwjE*sXM6S5hndmwm(D8p|l{J>kMI8)Q6|d~ z|2GajaQ;wk{hr?(gckSRou+m@UYC`6xOeyd+Lsz~hh{a^d3t;H)-?|04(+Xb;_(}X z=IeY5uZ-D!C?CqBPD%ezx7f}CP&o~5|8Wx%^&^Jqn4a$t&3{}2 zz73Qmxcx^gIr;^rDPbZ260-KUH&iX~O_eIEjKH>zVer|iuL_gTrImk}|F?doltzuWHFvt84`BW>60mHGV( zY}?hu-)$Evul{bkYz3ua*=}(~S$L0uNW6W9nz@OOyWD4{FR9Qqn29;xIK>(EjD2^j zTkCTM($sla3am5hfw-&x$XtQhor(3ou9ovB8D5-oGXaiSvm9bFo}4c)acKI{ER4Zl zbZ9;(Z|1$HZdn%vOpI({$C4Y{)^>~DSN5-M+t|IHg-6C`;xewXuDQ-QOkDUJt7Uw) z$8FA=v&jGu7x}S|!`*s?KGxCLhcc-%GW=6_*!}{s?3wfE)ez!X$Mk&HX!GbL;M+i1 zf_p5ECC9OtW2vlR8-cSd0l}-lVBRH81mzMLvsXioV>Zj+yD&fM7Q0Z&1gh8IUnb&! zyoYNalWmWXdJL3b{}(nT~#iAB7yZa3Sl|6-RmlxaT^zHFfSN?&o5Ou zTTr@Pv+(yklT`op@o%JI9IMsqSifm3Ev7u|9KtAb)971O-DdA zgS5nSa409bV)`H~7ViH7uxve!B`0%FJ3rBJ<|;Vthj=Xl;ZFz|2%^J`BVCQa`iWhT zPQ)%~Ker&nvx}D@!+lx-mPK&eMLHttOqBjBa_oNdsSq0K$zZ>zd_b7GWwydMcGlo?lEm_?)khfreZJj5nkL%J) z2DmQG8cv?!^#qcWRZ?Fs>euT!?H zYe~vDNc)rZD{c{mj^D99h^dltVm+pYvaRiRf49t)H?;fw$BlBkP z1y~lr?U$}Z{p@xYxngd7TM*ALRq>;shWLJ|2ZgX4@k`tff36|$*4=8Lp?uff>fj4` zYH(ZHP1o-%pSw@>_Hg$*{*IW*Q@ z%V$4?xQh@3q<(IF{3!(HiV&V6S6o^)CVi}_Nqmj99F59yL?5}g!f%!`f81Z|spmYA z&TiyEw3)Mft=+zk&X5mB##-B&*R%zFYl7Mh`j)kJcKa4D_B8}M%6x&&u3)$C+Sbr| zAD1mYz2DI7Yw7GL2>H4LWAyM;P4yk?*0Cz@}~eLnJz~zcaEiv9*Jx;WeFC1^sxhtNhnC zcXgmW{C<5Np|t~t@>-*w2BgkqbCLicF7w5)k2C8!HWoR>7L6=+?57}<@}Vx&E7}Et z`o;DT5L+lQGDoGG{M12NRI|8o?kg zzTs!U6Y&kdhul5{`X7c^zTtLc&N2j~T(@s{9}!I=gr~?AmsZF|YC>aG^qKCoX>@KT0a82<9d+5_M~0qY0CWJJV3AKMji$zVmEQ^toAOm06FNzwzc~m1CHjEk~KG&tHnZ%bud>`-ReIc%G{7&N)|?#yiKs zb;`dso&OVR{kNj?_8cIV&U0-LP3JlHGUxftCcC5aG56Rjs}n!N#`89RNjlHHIfCfC z_OdC({;`l{#JC|_EWKRJ!2GImkTS_84!JwDST4hI|>lrw)&hN-o=k?-S^6QExF%PEg^;Cg}eX1vUDszVKy~vzLYgVFv zdJ+}d|drYKPWvI;a?*DT>{92ug4psLkIVY=`Y-A?;b8^LdSphg(Eu z`-Z`_l?^=GKGkNN5}zLahQs*}=Zt&`(4Q<40#DK{F1p8|U&b>FI}W!wbc&|a)Yl!F z52k;}MgPb}|F4VwnA84bGkuYZ)^pmhL2%=-ZrS`rpIT7@Y`*zWA+Z#-?zhPO5!@~_ zm!6`<*%ztYy`R{fF~2*Rxn`s{M_u>L+K7B?CZQ__@F@aO8;#-v>O`ktZ&E`p9hOBQkN}vkvlInE89C6abD5 z9!`=0%-`co%6-Ih@CU$rJWK@m9)d>(&r!ZFTi<-lB?#+ML?`!Lc!#322=_ov`xB7z zu`UQ=y%oR<5d>nbd2(T7_dtl-8%z&U_XX!tq{Ta|22T4Fpd29|fp{$f;Sh+Gc*-{w z$&YIuw!7qaF*CP7NbU;~FLUB3XMZDRevGeoo|4~{U@}fX@J28wU*bfp29d~GD+D>p z@^}pc^P`%`djZw(EE=|f1vnt6d#yDEdK-Ojp~krjme^XWdJOYUp5JDDs0*^UkXtti zr@6Kz$sU87KKtX3^o*_)^uen>ZcyF696$JtRe){&IRri#7=Im}0_3@za^zYqE*;T*M(bveHB60CeW8YIeGy5Yx)IlJYulOdEsRTmw zG1M#eB;7830BNyB+P@8qBhVk&J|LDHeFf97ECS^cvDx*I+lRpBW*uYMEYFkNiFg62 zpW9}iMMSi&h4H8>!V5`g<@q5N*8Dl{DtN>&>LOi)Yhwib?0#ZM>?j40d zblt0-Ydl_Jey^rNrZ(~Y9?$x)KH~TI9pu(^$uqsG=xap-CvFa0h4XdiK9DrHd$Omg zDR3w)slBPUC%GV94Ngh(1fEY;3s7fs_Z-#GSo8e|Vt@5@+6bN-vsL8^FGs!wE8g?-%8*{XQb||fBdsMr`qszq@#`-@aVH7j#`7o8b^RO zILje@c#dj==9fokg#4Wc{mTwfmm|)Cfn|!#O#&|GQMpF?sP9?&PzQlnHdKqIx)4I~ zY>0MdgS4>QhGNONZHRJ-*wA{&-GV?HVq3?uq4yEjfFL0CbK6i0BBI;STp9clnx~bP z@pobf@yE(VP+!)kLRZe58Q^|;azUEjYv->bT;G)SpVJ#&e7tVm_2=DjU%G z-|+1GjG7f3EhE2` z75V)v%a|omVn2^XY5iMVuAKFDF=`e2j+op9qwdPS0d-lj)xcoLm8F4xgLSp->=C00Z z-C|S9hhk_?QY7E+N(6yezAgn3+)Ie(>*#N6U|9sVFZ)VHqgZloUq?B%mjLsVAa!Nj zHxZ5_z|2SWb=>Q`88QM=Kew;rZ&5|}b>Rts_F(bWq*e0sR|)t!p2cTO%<$0Ob;~g+-8~-Ov^om|rFWmnC*@zX*CIfs9Lh{@MLk9!V}p z$;h2Quw&Qjx$`~sIWH8{4nAL1J8j1|ZXDRwbo0=Tmu?R9{~o`KJ2vI=w^mJgY}}C?Z+-F&Lt7dy!94xmRW(C_$Gj=Y1@#$$ldmTA z<$EXQYVN0|c-iwSp1tguD~`|JUe-UWDNvg_KJc+|=|fNV6)esO zY<@RA@ZO2^zJhTRCw{ej>yg^P>etc(%hFOmIPZg<5BzG&;_I*2xg=}p`LB5D|83uj zr*k$|sBupnNc(o}_xl6CdtE);KklZ%Yb(^ABeR5Nc!CLX59HpsnhM(^wj37^PaupiPY!wpILHz+0!eYyzJN)4zD>h zyT5G5tfpy)`wIFeBo`c-POuQzmX%df>-R$$bTfG6KK( zqw>`6U!J$}wv|bjzq5GFl4q7&x^(~2yvBNz^-A*ilYO&NCVCnVj4P=-@P|Dr&|l#x zzp0|`>4Cffd6(9=lOa<+ZhKvzd$woA_9fd6q<$H1Y|C8!LHYREb;tho*zE)3n|1vs zK2^5u-c{3%^%V?ENX{Rg@bIqk8y-~mt_r*}oD|sbL{eQ=<<@xv_E~o722Zo!tNZop zA?oJ?t3LljVzIV=G+QE~7U%#7l5QFE9X@gr+)q}hD&hOnen5KNx zqvZVhi~|GjCIu!xsQNA%&IoKhkuqbZH|c>@yY7KZ?c0wGtb=a#{OFO-&VQ+aIR?&o zPyM`se}A;_fmMO?UPyslQqv>&n{xd@{7nz?Z{{Zt^6dSL!dEj6;+vee;mV&*d1=OM zZ&Kz@D~5QtQ`&2P?Z`K=jD0NVSwGJqp3zjw{+?zyUhIt7^BIpm8@fFF4(9CqLW}0_ z5y|*QkHb0ICM%v}-64zSeZ0Nm03m-V=zfcC0PVBrM$oku{b|q@7R`Af&&(O{=*H-*y&>y$rzXE!mMfZXJg(ZIj=sT_W zouKzx^lhM9!h?!OYt&kc-i!Doi~a`a-&*Ov1^P{k{x;|eOa42c`Q6!oM?C;!rWOBv z&_x#g2bsGyW^USED|)4!xW4ppQB90nh?Wf7nIK z+{}HdhCk=3cS~#Z_NepAoO;&=i$HyCnH#C)+{h`LOHBVR7dKYRn50~9uX1m-jB;}+ zQlax=in$uoJFiSOm%^2lt0J$OxztpWB(H{NkE%&lldNV2HI%Di+8Qm)465}X3RhL@ zb)@^9!oQnro!yLfZs?3155h^dz=q9H>k|Le5*O3%H_%6jegfS6gNNpK zK(mWX@2|i^)|Zz-2eLVN1P~W~9`q)=#PEp=eTFsN7ydHf7dU+4!e0SAyUOHGT=?Y3-Si8IJCkPaxyx_CUIBcL zvqoP-8Rslki-oVXaPF0e41Hl?2L1qg5HcN{K2Y$l0T-DcSU7Du(>Z_jOX8Tn65c=% z{7vA64*wmC|E`6npua?(>ute#e?ii6JSaQ|i2xr5KF7&3pM33v%(=fzE@}bu$j>(T zC6@f9z%w2Gb(G05GIvsDlELYV3ePq8!^9^W{5bGT2Y=SWUn72=k>NO$ImO_UiJx!q z*}x^A3i7!j23ThCuK+%WA1wf@$)`gFtR+6p;G2MFI{0-KPXAcQO*Ozh#GOM`_Y?PN zw)z3(ryKld#PbaP2JsmNe+T#+C++*hsdNPBq~PO$XI^C3nZ)xAK83iG)@RA*TX>;` zmsvP-@D!MQQi#tqcsg;XEUKo*DT`h1aW?7MZ64>n*z|(4`2_b_IA2NlEJpA`;& z=HdF$moY!bO{-^-pJSrnnmESWWs?5}i5(D>uELgk z2=(J!RzT!h%L4kJRp?8&k>8<-x#lk|uXOGj$6xcmi3+eB(|%^Je^*0!>bprZF7)Cz zA99Z#cy2i9gL4PpJ8{l8w&V^Co;Yz%;}BOnxx>9ZTfN>J_wQ;P20bn(&FjfMnmd2{ zjuRiIFP^r=yS=xEyuq}d)IC3kM3TfVs_*S-95!*voArw!lrl2imWIL9N0S1NAHlu) zoOG{e(oueiAGf3Z!#%^vGly0Awi8%&qSuxQrh zA&ag9{)9y@1is&*!zd80^Oiacx+KnvSK>+B=g?oka|r_9i}CC5Y(d~KK>BJtdl6{Y zq`!pc{Rs32q}52p=G2(8PyBWdCZ0q%KP}a>VNOF!Il(PsWNCOxT&A%qb=v<*qZW0M z?*c1`r{WKQ?WGPigdxXdS> z0X~P0gD_@ae`d~SK5I(Xf5or5{kspo;uc7-iRd%v@1ps4&Y^4&((T`4$pd3EQLW>LlLPY>U|395$sIxl75kI;GTCm0JI=mAd)2mFjFz>em0N)cb!`sYqO@ zt?QSYJ3pt?_P;b{U|1=dpIg8zTtTWbFHex+#ML>XX0p%1E1(>fJe`^ze{;g?kCed|?d(>GP< z*3YQW<~LO+db&tRiS&3#=U=|Lihc-3VjOk z5BygZdf>N<=0Vt9GY)*3ssErtv;RqjGI*Z&Nzwc~(xCapk5)Ec`Aro%9WcM%phC+{ zYATmZO{Fr^$!so_$tk*z9M3+I%uc1#b82jAHk;O-<726@W9j6?)bZ@GWgbXkYPsjk zZ1UmEWG;OqHTIAWofuC}O=e9dKq z)bZ(5GIMh5SZXFYJC~j@DHQz*2@x+>2G9CwyyW<7ax6ES$tv2$wT;b@J~@`2%T3PC zBxh#f8XHSaq;e@!--64*%A}8?l_vd45w7ZJ3V?i}e_gQZDFqfN|aY(Ci}8b?il)C>_EO*3pyvj7@49eKn3g zDuzzXB#)(2CU`PP$*xC%k|)QKli3W*TkK5wWj>EyOC7NdVtvLZvq3L|WOFQ+SdlWu zQpdCD4QNXKQ; zQ|T01%2G@wJ)N3M>drLHY&?$7ST9t4CN-T_*&`r7OFV|2va=Jphf|q!k!e+dBt;m` zn(E~y$(=0C46RvHXDu*|&|nZllI%N0x->XajO0WnZJ4LvV;V)FQP|4Ijih}z1@_e9 zl39Qfk~5RD*&QT{9h&MUXMm7IrBD@RrL|#d7J>b!G3dxXk~NO>+}P)nW3w~4%%w^g16~m5zl5|qRHY!NPU;u^HznP@UPTo{d|dsaoF4^q zS$|i{C?EuMg@S)kHK6cuy&dg9yo)1t8XWXU8E?@H3PIw5F~W^e@HWHra&(T=;_D7a z<^0^1m<)_81^gLE&f}2lVC>>RQ&K2sQDsnMxF{r49DWL3#nHy~3dWq8J(@IvU9g;? zLA~CrI1{np_&r5a0WOn0Lj43`&hH>vkoA0JOlLDg0VBMkeFfcv8X zw;o(vQ9Ks(F?vo>nOQ;Yv1xBuV=UcxY-lm|J+!Yi_5+UnkYj()v8%r9Bh$Im5j=Al z^E@Ut2!m8#E`2hm`m{dZcO;utePgrJ(-37Qi<v~ zBNFSwYBE0Y+F-C0qTSMKPs8nk^!;{tvRSI(4FHF6go>CR+f;yIH0>~w7Q@2e6)bTS zK=S3&vXKtEg#WWJEDPI!^&ri#o80tHBI2juCm`wd5A3r1YaZ43eIPb$B}8?8p9=7M zD@ytL*Y<|IpImuq{%fDRvqP!N9ijZo@r7uF!h`B?DEHZ+P<&yHTDtOuJLf;! z5#@8sPj-Xn^Wfv?7dO52EctmJ_KizdcF!ZsZ$`ykzfC{c?UCm@k$xxg@fz|kk?+|) zE>v^h2=c)#ZzCucOIihBUvIW9A5Y2;&HauZ#>v}ptRZA4?+OGd)rB~o<#p0f8~Thq zL|&l%Zaj%YJ9(aY(N3Q4wf1p5$q)3O!n1z9*V14-edPF2Et#^D(?%b!Um!$~J!!jM zd9Z{?tTXwB@ktkSueLnEQmjrN4-J$DA{*!-^hmiudjf`V5rx`=C(G9aBjv-gr%q4O zcm?im7y;IWCMaLJ&{q!#lEtBuxCkmh3p^I#!t$?{mCQE`6O=D!fcGKz3oso5X9;?} z2xOf~tkYfu!B>hfi?Ehy=g)VK~M)cV9)751)Nd8tD|w!He$u(v6%U=J@rr|5*A z7ZO?EUv$GLAFy~ZGdXiK9?^x4OlBik8jMU%&rPK{GNs2OSmKL3jFpr~YU<(CBiYDA zY7#_$6>3BY9jt~Pag%Nb`6HDSp%EN$yLBe;q?{oC3b0SNivv%(oz9~ONuQW~D2-Ly znTL|Ll}yiSw6tEwGzo}~!1Okda0qql0!L?#_t|{<%wl!@(m<&QvL-FM@*n}X0O^?! z)DNCUB+5O?M8+pxIeCzSzYD}AuHr1&o98U+_+C%m(MT4eGZ0v&2qE8q=6ux`-tf3qfCd;f7i~s^oZesVT)g#&wFhq z!sjiJfaKMyVLB-<%Uqr3+!kVAN^deY-JaTLth&7lV{gfdhf&5|uN9eL6?9_KGVAHm zJo{5X>`{0SPii$H2=*y$wGD2UmMh_r<{3w5lz6q!=wAbG2u48i<ZH*fnBZ!tPNOlK$&E;(lw$<8S6Ld>>rTt*l-}>rYLP$KhJOdM z+O+y%CTyWVt0M!J_ROQ2-ZVU1JFOlnN2?3_qJ3Cf!CFM5`Nz9ePe?^*zft6D)V2@j zALVJdWVN^qF}vZ{ma5CiUzS^-lKed&2=*zo5R|`_@PhJ}c(usit;nbrnx<}2i~M~B zc)M*K_>g*VE`aT1I}@lr7Z@1g`4~cV`J1qfD)P4tnhWOtF-^ZtS>ChWxGiu65G-9k z2&P}3Cb=4IuSk1Lw|;%kQ?0f@)PHcy#=6X-QP0GSUR;}Tp%Gfs7VF_7YCBT+Al$U~ z;z_sY`Xt|Wr1x<=X=i?Pe;Us=$E_z@WFjl!>{%PTp+^q8>Z3Tw1$o)|8uJu8^#`%D z>}?DL%sci4+HLi@7SLv=pR9|-Wu3&X)3+1WF#Tony23ossK#ckh-W~|nz43g%_4GU zmQOA>5A+RQ&2qAy2cq~Tx--iyV8zU`b)ma~@FXKz5WFbdv?r|pIF#*!u(O$|+v@hg zb2|+45ncQhCoOmvC{NapfX8N2#M?-V1<9B5N;ltU5FrLX=IgE>{S4g9O6GKLz+p)M zz9tQZ?}y=&X%HGv_-

{|uuE&Ocv8zMl=?(Mep^U!WI`@4-QSR4y`p3OwY7Vca1G^j{tgCE%v zR(gM`C$Q!;-(=RDxX%<^b8^EtK4HzOw`@K9TYFS8 zhnPZJQ~z>xR`wI=O@MBZQzZxc9HF)Byq{e_qFj)@C(a*b@2URvqt)37{LG3~;GXJM~}2cJNsTK1BbklrE; z%d*n^`XJJiaSN!0^7RkgC7Z#P2aBhi3%kY+4-UlcvWq~~^}B;sSO^btFNym|o=Q9i zUeWtVEDQF8!duo@o@`KIuK#k6nES&^p5aOGl^@&}Vq6VZv5?C?5vZ%w z#(2OrZ3Fx9An(aKJNt<`f~#wj_lPGz_W^O>Nw?w6z=0X7Pn7xwF$)uNgB{~ zz%$7AKKxt|dSP3z%0dyoiv+vi1;>2iNmDV3lrK2uzm5bbmsq}XV?N7HSb*u)HuJ}P zX@X-p_xJ7%;L%B3%bq&)Dg5VQs7FvHvV5e6;8;F|i2nfo0!)X{KQLUf_)%@`<2^Y- z8WJinZCGw@a?Rw3d%~_LM;zaR9C1(O>&eP{as=zh^Mi{{Rp$gd zM185Qk34UMmFOd!6L>$yo^vR1nfl0}N_YtKIvTLwjHxp8l+(jrVjjA_@8Qgf)=S>n zjrX~5J_T{Wo0q*tvoF1DrQIr!<% zB=_Is-7n}zWuiHtJ>CptoZ?4PKG7mV5xQj4o{uSo|-18a& zjsWu{SiaNmS-r=1rcL-?ZvVI#%J3$mpTm>%RuA8q#x{IsUT{k$M@#rlyWZnFr@@6@ z|Gw~D|G-FHYhVLIcNN|;u6B0&Q9DVI@h+@xQg03nsP$0w;n%!Tsc&LU;G3BH%mLKt%hSz9n}{Od-Qw_HkH z<=&bVOs6B{{Y5=PX|#*WEcsfy4nQ4Xowb9Gl;C^}_BPwBtVx@#4)JBLfpriZ#-*M0 z(fGETT%48khh;}^^s++XC7W!YdCR6iVye&VMd)*@I2V^L@z5`n_1QzMM~-Ch+O3P9 z%A{tF;*G*OfcggePkI%6R|3+vQTWm>>k5_dwgHFzPz_J?4L4t*8ALD4JSj)nT?rV% zILJAMCuD^7XBhkLGcZzCSoQkUL0T+G*r(uj(|Zi*F+S5{StLD(l9KeEfcq4TfTZ`2 z;Nhls)+ry`LDHLrUE(l}EpU*w1SIU2;30N~jldD1#e#6>FTm~QdlC6kzhym0_L6T< zUwajJ{}kZ@k{6!{D!?Il-QzEj;tP5B>s@UjL3*$-$^<>n*!tGR#z; zCviWCU}(^s(Z&4eIWLq5p`6d@;2UnuwOOzAnUb5fywf_^uGGu+S~uI8Yp|cQZa#=( zB&FOaP<&I*Zh;hpN+mqJs_DF*UO+D+R<*Y6zWC1E3-9TW2IV|1dB?NzcO^NfrP?xK zn7yhubRx);eeB8(-9GRmu>A|Bx*RbZK)h~2vSG|S$ivUTGZDa}lejJqAA|cG40)FGN9M}{E#qNC z{CW5bFdc#(rtO^*wamGO>)8KH*i3|n<^1itvp4Cs68lqn)YO~np1R}UWSfyUg_gu! z+Bo_sd)eDLR^o|e>`?!*f-V=E(&Yuxo&d{Bh{6z0YI-+49kAV@g5!53Jn{nL2<;ND zJCGj3gS6WM&vNs7;<~+Z7;Ybibj!N29_48Fd+_gt5nwt5OS`-oMV}3;x=t6XeVuO3 zW+Jr9eM1ewF=y4+*xVK>Pq(HZ=6|`azDAGd3}t(^<6qYAjT35126)TUjL4}WO7r}8I~P))xL)IlwFSy zKG}pf|GmF3?C_u z|HY2B{EHnosqb{GPkg6iga4h5aQ-_T?THug&f|aUxEc1F6EAmOdG^)#*`HCJ@b9D> z?>(NH3-xv)pHAe{iF`VdPbc!}L_VF!rxST}B9Bhw(TO}dkw+);=tLf!$isUd_NQ9> zzxrJ?T1{(v#l;|fXG-bX-rFkF*uHmP0DgAgKE2i_D}ett6l#p_E%EyI4WSbEpErc| z?c2ZKB!tyM4UKE}{;bBz=)$s_i;IRO3x>-IxoQiBpKUH(E@ZzOS|v9aIB1_%D=Ca8 z;?Ll6=b~-2x*zX+&9S)l$;`{?Ey7EtaCR)PCFPlD7aBFE7JVAElyKc#B`L!4HBOGG zMQDW9Bzh8kZ)S^XnO^AU<1-J<%sy-{I}=~xehfy=Egz-bD(YNIlJ&-4rrpk=87ya^ zDcj@>GsopNTWpPE*EY4kZ9cC5Ncs`lZ8P=KZqsK=NqKboh)cTA>DaPuBo6Gv)oI#v zHq#$=Qd)#|n`XDQYx=53CoC*M$TH|u>PUJ@^#%P)eDLlxZ>)2Xr1f1tUSQZ)COzJ3 z=&qSw?Ha3_MxD#9*K5snJNk)yWV_j}pTWa|@YpEaK|EJ>u>T1>XXWB8z)hMF5WGov zNZJhJSSn$3i=AtlF5Y7dbU?!B@8(N)x$=F@;z<&(!t!--IAOm7JIj!OA>^Ux#PHk* zLlS2gdF78_qztgCe+CElj|3#_e}jjc-fKv&8-7fW=}3Ctf?eXks#+n)Z>Gh9guMv2 z*clW79_IoA(&pcWhnw$4I5;n7zFfzZeE$-5H@!nhkM$Lh^!^Jx-1H8>aTtC~j}lVS z3(h~zA%JrR0m+L`WL1C#IKuG6+O3v9OpkJidY0&#u&Og~+(C;4Nw0rL>C3m(<{vu- zWPz&s8uMu@QwMdPz37(>>dp4%@SEY5u0IU7MdHt|jXWOq2TrxGk6qZ1Xx|*pceQV|W~IKR{2U+!qkU+(bKcRQLA-|cAjzuU1U|J{yt{!1OL`IkD{ z)XQFr-xy!`))wehUEbP!W6!qyhvEzWZW!l#22MTK61lKJMd#P6hAp2~AM9C|-?~Jc zD4roaKY(Wwp6|zV4W75Tp&Ix%BuFY@V(xgttU;FJ_)`wJA{QS2Rp0W7( zuZ9rbcxv(1V{v~=SNzOzHn1yX{}-!P>uYC_(CWAZi$V8JWeg%yl214kM%Sn--T}lfg1beeOY0CU(doMS^ z-Jsov;^+TtPkiCuf3!m-;uxPV>oZSi=T5Y9C)&9a?c9lW?nFCxvVAw?U!v^elf2TP zSE8!yCCgxL@67EhRXG0wo5=-eeBa)EpRz7I8`tfH#t8TRefRHc`~brC!)ktwAKrWa zKIog$zOk+GRC*ZU}) zi?Cd;#F>L)Fl3ddHkG*HDAfzgU9_yvW;WVE_~VEo`WU@r9e^c~bvDXG!O>#~WE0y^ zSqX1#K~ZlEy=Dy7?|R`Etyc ze7^|0#9<0AfPsIW77G&gDBNy(kHHaxAM4@jO<#pu;=rm7Vmv1u3rN^mc!-^0$AA~1 z#e(Q1{{n6|-z7LWPhq}Xi(?quFQ}JXM!@gGUqJHW)5s_jvVeRq!piuvm(H|ocUkPs22* zyYOG@_@*zFEgjvy#nG*?b?<&XvK-e*;;*KW#U}S|jw>!rv6z+Mr(s-i>q6QKj4S%C z{*s{vD8!R-B>}sP8TE`S%=f)<1&!c<@O2b^v|AReIDg&-9LlO{c-w7ycxW&@Ev}+0 zya!&)*Bw_}`k=fc1jm)TA=GLaSN53bg@38<9DKyiK;k_>ivNU`q8xvO77G#<99Q_BW(+u_@0G@tjYz<47fJ6d;)xwr#r?4eEf!ctLU3G}N4~X; zD~|)OmT_ed^1Xl_n-9`yU%+kUx9+?O7a!VBujpPbYz)ddE6NIa z-*qMZzWg~W_ufOB%~f1{7(p3Gi>@3>z%3wh=r(xJp0EK=BNF+De8%`94|=RydLsw7 z3qtp-JL6Wv8vu^PVLD9DT_=;UPgp$46v9(5-P&gUh({CDAF0dVAHbuNxM0}=kjKx# zP+t`JN16!gk3J#}!e4;t5DcH|yWnfuFB~2yenFwynfq>=iOIJY6p#s(mTr{ zAoL!E2l1o^dj!Eg8Ab@|1(onf?~Eh#NxWLFbGr&Jsl4h5=NE8CuiDayr^Z{m=rtsC zcM=cpOoo?!{QLU*0NlrJYq*HD&~Juu$L|8~OlgJylX#Lg;|yXq1M8MyepjFwi|kmd zy@>V6k~<=JH%l`bx?I@kM&}-3)Dic;m~~9PyV+df-OW|!MWI~QjC70^bb#-W$r%@V zlU54rULY>=CfE4{7~U%mJn1G)3(&23*$@$jL#&hWCh^h_j>#9opy@KL4M>-TXZuP2-Ct} zdLcNTQm-culYfa$yf&B~>z3XebkahQ#^sZJ!KSN*C*vvGn!xnf2Leoz}w5op|>E1$e+r8 zo_jfiD(}g=**IgPzc~O|gnHy3U%$>jZRN!R%L*BDrl-;OvA4s!o&26Pe%C18-){a9 z-`Dp2L3K8aw0$jWP{F0QamN$V)O#7OOlZ1sWCFxp0oZTU@d&43C=*;-VR%0SEzn)k zfsPb#`c#Uu+pU8#l#m{UD0n#@i$j^E7cMSg3_&LwW z4hSCv`8n_4&?3sxv0?dnma|9R@ zO2?jUpxv##*av5msZr%`>{)}mK^oK-u}8lcUuZo;{~o;B0-X8gH+Ex8f?kU}@bqu= zu_nyI1K3~W1@}BU!(5usxFsE9Sw$`e!d;$I`26p5dU|XcozJ-Py_&meCt(s+ILme| zbwJipz_XU%n6ZF<<9J(b%y?1~vQJA=%XGj>c)>A)cy3!WJ(}Q{aTcDnj2RaYcM*n7 zz7qfOeSi-EM}T<}3f;`F4%aYd)Uzf!T22S#T$E=`lzLvD$zEdsk0%0Z%VCQU|Hh}UtahYuA$iy%5Tn*nK=VZzyPiP3$*->LB!9YnNw=%t(;etohk=Wqm$sk#4sf%f zCAsgDv?_M350cOT$6(|x!Ry86Y#Ra3T7rCj34|U)ST#PsBnjE4@JCQLtb`ZjbK z1ehL8kk2_ctA)?sM%=S7)%g5zWU>o*0#Xmn=koFJ8u)x5wxi}Xry!q~y9c!JJ-HT) zpN;u&&l>V;s}Eicm)!e#jQ-2N5r;EykxtK#^n|cBbPR^yni06>YptSXnGDOV??_Sj z909=^m(QRasDwxUX8Hoeqihh6uzTP^yYTndVDAq26$fBapYG1v4N3IeFaYuwKuO;yvakLgs3f0itk@meK3;WeRO;?=@K1 z64fT}cgZxchV|B1K%aBhTlHSwvNhK`BA6F~-|~-pEeU)6V6D$WbH9&!eO%Y+z*;Q! z{4TC$^n5cqVH9hyTmJhO=Dj!dH^p!G^;a1`Shp|?*1t5&?! z_2z2h&oh#ceF`rGb-hY>!SRQ9Y)1j6M-$}f*Wp>q_;VR?&%&^uR^sVLkzS>>9T36T zu~bGgbu}Gsqz)a5ImG82M) zdK&#-3!idqa{@4c4p9gnmq|}i_OVWjb%hUI&(#5tb=!4)E zHpZATmTRjK8oFdNxjG@sBOvq}g$MDZ7NlJlY*Q~gk6H;Ys1p*e7P|aC@M_Ts4+C!w zrkYN85jfDF3Ly2cbeWs2kuC@7xL@fB+lWG!T;uZs=fI%nVK30^|Dk-Bd*TXvcv0wv zTQRp;dxm>@EHv;gzK)mA%pTvDy1d136uP0$Fzo#SZv&odxd%uu^?D=6q_tshadE(e zwRX{8(qVk)nO&Ge;oD=rzkVI}_VfOxMxH5Een&|8%@7t#*mDFg;roAi`1yYG>${P* z3gzDZLTKsN!clK-_D&tY*^e-OeBl%A#2dxlB;)X`0QNf;sKcVX<{9!An!z^nFFh+~ z2{)W%fHGi20`X+{Cj)MI zSBgB!J(Cokw2NB=DhZc5@$vD=6Fdd0kHd~@%kk;iaoQkYa+A~P*@^MgBMRS8&n0t{ zV-F=Wsa)DPXXwuA6f^0p!PYKDJ2i`Y#7(~PoivtrLmU18q-{C>z&dTPlivf5|2XYd z*gQ%*7wiB&4Ds38Z_qA{iZ-HM_d?xc`_)|#8A1NYwnRR%uLN{i;0TWIKL8z{gg>iC zbmFmTdaPS0>zI=kf;29l!STHk9>;g)f%Mq?ig?;W2SCcly)|YPqbGoP-Nvf_8AcP- z1ICeXLSvXe;t=?BM>y&;ufdO9NSVugSq{3TuCS^{5ov}N3zD9ex6}4wRV)}))7N+G zkWH29?>AqvgPX`(esddhZQcFaScgKUc6K9lgN=bC}@ zEto%!v|{c}`E`?i`g&c7@{9UD^?SKMq#TPz=@!(F32U}w}BQnyn zRmvpR!1;oD9=>gk73xB2+_=*CmeMIei#JU>z>TYTZeVVo<$7;zKAS{26! zmYZ>5LZ)qegl}M412|)>+Y06C=xEMwBrfIlDDdssFJ0$&EUMTU-S=L&02qA*g+VE--9PX z!s$=DxW^d?Fzz+#VdK>MJt2$_E|j|iuQa?x{i@?ZBG8>;{pkMZf$-mg`*D~A8kFOg z`_3xy|IYyaA6s`fp6!qfe-;S;|KMg_kQBmyc*Tro6bxf(W^y*WBRMygO-;{DrB$|I z&8q3K+2b=g3}REWV;H}%wKkK@&1Gii`eG86#l>yO?BuC*iN{?5(!UuhMSI`2*Oc%&*ZdbwpoFIa^?7 zUaU)m{;VjV8}?QRHbO7$mbACit_cQX8|>>W4$o$2K9ju=O$~ToLuDz5$4aV?J%cxugmnXV5`1N zN4baqj@vv3L3HA=zSK$SmT@}_+1?GefTY(0584wp;6W5120PPZTuIN-Q8eZt((BST z^C#(XubBOgxVn{z$MOgW-rex9C?6`( z=mmt`0V5#k_20FlJ{o<8`?zJl)o~s6YDGS=y~@%kb&WD~O7Hh*wa6c&r@w<)ZCd>> z6Sg3c~<<)XnTBaDICvx=G^Z!m+K<& zOrw`u3o|Q>M#hk5g6H$ucH}3@LWbXKlG6ViH$Mx*v0cKC!JdO5FBW+a9W28`ax$AK z{Q%YEtyOwXi_VA`Om1kTi0Zt{e68ieu5Y!SnaGmZiPL_cJCWM8=3vG+Rm z1CE_CSnv-!_8N6XM@?Lvb4;gE1_Aa5A-H}O0lgoDKgSKyIq}+HdaPThArH3;!i(GC z>5hMS7YTO3qi#rh%!cRjWSa^wj3zk#?q{` z`G21T;>mDX3#T{TX<97MZCE`Z5kz=sfso5QQjiDo`A<8Flo2DiA>OE^%fv~k{Gc3= zcgM*G^6q#7cKNQ|9@<4<*1eX!I&y&RDnPy<1m(aRprsi6tI2`Kb?oAw@J~<di3A5b3o>4d{&#Q;aws7@vrK-1@C$zxVpvs zPj*L_8gZs^lWPCN#g>Syj>pTs_5?TmZ3|S+ z9s6NxXPVbbk6<4Oc-9h>9}8fhO9-nbKc19?>{Iw|JKW@1p`1#1LHR*E_NxHXqY3H} zhta>a=n)qXcMgVSSxJ8IoXcL|2uMBp2V=5$qs2%y`7yLh(5uUjjW!d~rJQrQ5!QLk zXjztb<*Tt^-<7EMoiFT=9@#wXGlFR&W9Ps0Pt@9l&MM(;1D;EdmGDTnOq+6pK)hP$ z@g?BZGFPTtI1EF+T8SQ?L3j_0fYi5taM0clZAP_f^f)jeifwgz+-@7uw2gVk1$&*? zBjvm7TQLV-i#^NM*wPpL5cc}?zA4MM>3u5fnS0c*Hy91m&((9WXA1wdKK29`px0uL z&<{iJUEuy;C(_a1YM`#$n16}&4Ek}5)8}k}gR*&$S@SFt_A@=br;2^n_`)`n?T#4A zhjQMup!35y9F_%sXS^Tp{v!P8gqz{5i(aGpVx_w-bbDWixw+kz(R(-CM|6RAuYJhz zU_-lfOt*WcmhMjk@DIYh0F#3$j?=T4jgL=aFN|+AP*oOLwK*Sc|=0zZW`E7$(9Xmis77gMQ;^g=J1eOFwh*r}`sK4W0_&6pof3jW4Rw z?}5H#-BUU%{5jviaKEhNX0d9Yz6gHvli8DojHnYTHGIx6@sTI}R^xl0*Z#X196^fE zsCVmKcU#c`8^6oxLZjw{qEBOq6eRw}VjygdMjI;laSU@)?Idu(KZ23(dD5%Ru17LW`Ccf?FW(E* zI98Twf2%i94ojL5+O14xu_RxeCh!?g$F*f(>oG3rN~hzitNO-uVCu+`O!`DgaLRrQ)QwW-=Rx(lE@iB3_7Z&`-h~-L)Y?~j9$YQo`)s~0 z9_d6t5&vAyd74!$X6l_Xu2WdTma6WJgJlkN_hWw8N7!W!Gff*1h-qh zE|jkueylI`J1O6buuB|R)&CANM~ejs`!?KedUreN$(bnj$A5y6I80(295>TqLBe=e zKV&&6}rLX|bz#{@fT9tMoeUm1TL;;>bqz@Nd#j^Jg4%c-KXGGMZ8s1TV z-WGaL=i7_(dw3toInz!Z31J@E0O!V=^cn5=+@C~xT2(LhBC#feyY8_z(-~6jnCEm6 zf6I?{M}m8gJV!r@v*^vQ?Y<$t1>rO^`k=XRH^5;8@O#`_@npY~fC^`ksg2q3!LD&Q zJ~CRHF;3o3CH=_zsdbHIfiX+fePdAhb8W1WfbDt}g$M0Ii-r~it4N32;6`IF~c?-eAALnm=v{(J`R zMHup<$o+Esxd##5wKJwe=pP)BjeotMT5aC4tB&=3zB5BGePY%hmeyczFbaN)ZNT{> zo()(U!G3=j{K$0(Ogz-b!IuehHXzXszT^9Lv3Lyccg%gWm*)uh4odut*B(E&6mCYP z-7xy=@Yp=l#ycxM-dQP}V`BUf*+0CI&J=7kO{M?Yf42wRawjP1hie-51j6?P+``d? zV@3|s>kY^Pf+&qLgOx1~L6D~$JThw*vThkczOrsP3cIXXj63!@$DVWSE6J2=xjxA@ z6ac+x2(D}J`~b&M@)UW6c;x3E>lQh39&Q(euP7f}c~c2bvA6G=xF@Z^myu zH^1il4z2{{m>|8W3j&u=g}Cj6(Kr#_tlN-IVz%R7_|>?9U(#@9Q(-PMIh&cxJ(8SG zWzAiE9D?n6zLl6^*<{haaB+37hFuD#+P;pLYw;TFlS!2X}bz(J#c#hXT;XwOsEgu;(NNk zf^Rn94!l)3BW6l)_5avE*O93!He-Ep3GC1Fsq;~~xHIXn}Neblkezj2C;q(Szu?$UTRZE!=-3}~>}MSNCx~@8(35dQjn9NvW%>W@vv`-NeEv6*-t|3{ zoqCt6i-+OY3nM@`*NX+{J}3@6>7EX_xxXjC@P8@}Jn8>Np zHH;}(aq~weHJ!{n%s2cBmw`;^51Ir#iC5gC4&asvaMDfB6f&AEmrSU z=j&IT>FK_ouRU#jOp1(ZWR;xPqWqFQ5w@wE-P%Yy0|A?1+%?fwd)}ZM{<1#GzFuej z!5gX-{6rXmS!eBsVQ;071h5>f+}s2lgIQ<&r)anSCt+{36K(3C>#Y3=*gboI;~ecQ zIN)iRRw^)nXJFP@d;R0e>b%SKJr=}*XP-g+?*Imh*AP}s|Jx{n)TSfO?QpZ3WW1?_ zC*w%W#wQ;8Pk`ysu*oDXh9yw&TJ*mWM2^6)EGy}MhcKx84HLG&JPBreIEv-NvCOPK zW47|0qs620)z7|@UI?U1N+s;1YFnkh+Ri_%@Pc4)O|w!)W&BAWY!g=>anYo`q83m3 z%G9lhDR{v(ol1DYem{<+NLvDu9-ppW!1NL@(&ubhvLp2bDI2VMZYgQdE%yGQSYVHC z<$fQk<4$PFMEafUh}VAHbX$jQ;I;zYXdxkXESk^cCNTP}B%y-DRJV#-cAb!YARv7a zg$M0Y;!3nqD@~Dpn4ZWSrq>N4z%ZI1?fnV-h6Kst&`Dgkbq~RP7>2S_=&u~@aV#iz zu0?l4Hl3D9XSFr#dcG;S*%nl2Z&kk;HN`?-{iL&ot6OwiquDg9#y6wvkZw~1A3DH2 zr`xSNfhToI>JtL&Q&$$zZFop`UZqJV12tN4)>&K=VtT7I@6`F!&y`9=PzKVpE9(<* z3&B4i)Q79HuC6LT6Rl{tDsEEq?1 z+2x%RrY-juZ-ia=oxCIWBXe#e_b5{4cp|7)Q18mGMP1#7bp&~`KV2EXb{Alq5rQ&+ z>rZ>&&vs>e;<4X?GT<=$Tp%uic`&ZXio>wGAb6Ak7EgE8VYr!(fRvANfpKCs;4q%u z*3JjRXo6!s&!QyYFF+gupRNp;hx>allmQ&?nJ)_z)HBBsaWDJ@B)$Hj!UuxvS+JG$ z%wf5Ps)qF^oi^=ser5NnSABoXZKd-1ro4B`HX}br@uXecnt!JCJ*`RH)%p+= zQoIjZbQfL6XBd8s)zz~4Drr!F@zsGcmUrLII!jIE1jJUdD^>rHmRF2wsmcJdv}+ozn{);C8!rV8WJybH2N=ec=;DP zn$(LJ8{fb?+6kD$8hgeUJi8!nLLML!Lfs1#yU+4s`XTNpc+2HGQ${l!;uPB3f zo+_k5kA9%-wi5mDafCG_)^{EHt>*crFM0o@@yiVhp}F;{ae*PKj{!h`NUp1T7 zIpNQFm5eU;b+SS(tM)Egd+6p+W6NzVceK1uE886BjHD3mF?U3>B-LQ~dWdkGiCO(j z8Kra)mcQ>sjwnJSMi`-a`clq|3^VRf$$Tyh>{}UNK@U`gTcG6zXL~Dj@oHy#J+zU7 zvc5?>%83di)MV41P?H946&U z!!B`PRsRhR(w%^WorH(jbr=LhM3C^5F*gglo9|;zyRiI{Z&0^;3V2_3(v!USG_uG9 zM&Mwdgkhu}Vn-4M;JpPOEVzng=eWpwD{7wK$!98R(Cv7)0f98lwc}M?$6=wb?J`bD z|E|Vdk^Nl+E4-`+=Z;ZpPvA*ile{dzK6U$#ZmoOj&a1GDQ@D_%xQZj^dbT6F%<1Zv zneN#Eok`tGI1%JeT6X1L0&W5F1tBQ+-a9)`XAjY$sh5upRpfnKMW%m@S>%Er){H@P({*dc8O_7du&|lZ8mpfYW-|bj~ zZ@e@oz6<>o-+#fkUK;(&&}%P4zrEbi3jMYX`t42m7e?3OoxIk>cbB?+&)bssmNu&< z$oh7CD=dP$K)Z3T(Z*&7<9R$kiF2VngDSkGL2cxnM$OpY+|tBv$g1DPS%a_RTQcWZ z|K>a4AIZZ%|8*~v_WK%M>-$`Kh={vYTvA|JHbLh};Nh@#y((Qch+w@$QMC)y3V zJiZT;zYN{}GIadQ(Dg5S>nnU?bJgd_E|qbc+bZ?oO5V>*IL=Nr|Yn`Ox=_~otb<^8WUh2Y!e5b zbeA-?eYMDPS1q>_J&bpd(oBYF$r+aj>~gN97j{`6zFxe?wh$mK5`w&U5_CZxsK$Gb z>e$6Uq1hnsRl*DM9`Ts30Mnxh@*dx*tA+Q@BJLtgHQu94`~>g>m?xos=&oH62da(z ze{aqQ%o(aba{RsX0YTdP6VOF1>#c`?cNm5|w36I^2H};?2cR2p&#|nxuDowJQpcXb ztCqk^O)>c-FI+wGS~c_Qg@VGS$`Tqnak-< zx-zT~fU=qLNx+r+Z0v&AhXbE?%S_=)%6f!9(-Zw_BkXd%k#bGWG_u`gZ}57J18ge+ z^s9#8IPfSsIEIjFBUM=ImQ^=^6abOYYorS4o9M}sy0jURd zFn)Z#)-|3HnF3zL8V_}_EuOhM(tKa@@6Y{_q$R>Z81iYGc9}o&O;^C}%2|fPL42 zsT$udNJ920^u8T#@`^~_N_auOC0;Fj%XvpFeESsAJ7>$X(ir+6(!&ix1(1654-M7z zt(JE>hR&2g;qf-e|+wcH|RF z{nM$rq<)P?-T}&_i(V@ZFz@_Ww&q}25s>uyhj!IPr&#^B-%Y4arw`hK z3Z3eE_3VA%EC=8F<5?8X-UDugBx&OKj3JcXJ7vo*bs{y14_Hs7BS+Hu6E~6j@ipwo zefLE^nV#7Z!N;f5*~r6_xnmK&;}y}-vyt)HnQgg9_W0b~Y$g|(o;*1@bJT?Cq*62E z5u25E>!`Cck=(I#1RvQ>N4TBexBNYZ>#(-BNjgqHn@U{V3lv>(GeXF7A966lv@dU5 zJwByR%s!OXZ-XZvPGx4$KFK8BWy8yDGbd70lSY3uj4GX?>Hg~HbM9VXX;yV_3ZhyO z7}B!K*K7j;;p^aB?+rw10}^?d@rg%wk97-QAAs8h;u3=6R3$tar%Wt!$HWc(_hor}+D<|6EaTb1Dhi9ExU(!WzU7q73%OW7@8Sppgx?vm#EiuO#bq7}1{kJWpF^dNP5LM=br1`6#50>z~>6ELu&@+NEu&rHw zPrxluiQm70NStq1! zkOfJPPnX}Hhx;5%HGZE*#BzED`8|6iBd=>!y{~WAh`mCfhBcrdzqg`gdH3c#_&Po} z&$~6lc;Kcl~o!|ZJYgG77aHyBEfc)NpcRlFVIxySjI;_*S zF<$^_5MMpecKPGJw+lEXfchBNj|X}9RtJGRTXqcBoie75rJ*MA6?3|A&XWD5{iY_T zCv&bxHkZoev<%o_nI?j?7^wrpF2j>DMSwU2K3%!+B;1q>T-Ia#m@f++)B_G7 zBHK?u($jJwoy*PI)#1V(VFf*4V8>kuAyl6)OxX$z>P+o-)7~;`OE{w}HvIu`xne<6K%Cn$+^<3h$xOgm+ua)pbpNcUOfOy@; zs{ffhO;8@jAWLdlbMLhYu0eW(sSiE}oB$U}Xn zg7GT*zx>@1SMqbba7PTf@p&dECDvO=kKX(SfMJiqw|TO&6FE$+(#6Yo>RY%gUe(iQ zK3|MAoizESRv;a+%eWWWx~HH^zV(?<|GES{Uw-!sLy z+p0RShXZ}~GV$Q%cSCW8BFZYjz1GM0Z0$|UzEygojEl10a{y)LI7fLVz{0a{1?YxO zQUG1KLMMzVead|Tx_3^EP0eQ0MC3RtV?;0QBs>7y_3GEtR!661odqZZ2|<~E40O;9 z|7tRSUdJx}35}A6Twbe$7nJ$LW4;1Rk0!`#r{P(P%zqMb&%&@QE6MylNbmi?5n!GK zb~DwABCoA{R%u{|eC(pS4$N_jAiUOwktiNpBXi>}JvR;|qR>Gj(0|+U&0p%Zy*L|> zr-~w6zq6_5&yMbl^Q>XfnYI25U7EVBrxMR2%zCXOd?;_5Yn-Jaz+$Qx!>cIH+CwP6W&vG}TK|34r;P*d98u^RJ)9=HX*(T4& zUU2kG^Y?LP9r}AGb$RIUg>M!&+4Jd4gm4|^?Xu2Q%0!)WsWa)HTe-s3(1l86PMn>%RV-0!>?*u1^ET!I}Wf|n&maxM2 ze=6@W1otK(1`B%(46|#Fkin3{4Jy)s_j7I(J;qKkHCo^-qSwe96ReRk4x`3?JlRn5(x9gIcKk|&`5!oS6PGr()d$hX5&)~}(;Cj)!&^SvY z_8`4;orQc_jow`vVR}L*L3*!*7o>OM)k5!KWK;{iQ@`jVSGAZGr1AzUFNo=57N8aC(G>woNWc;jnY^rwO5ThJdjGR<$i1~e~g z`|Ks5dFo`ieX8(LG2!_FC<|7^C4cWdl6dwbj}ac#;o4Xx)5} z4}-d!9fx%1RXFEiT1g{1dKwjW0Oj`8>tpU251Y+Z9O{ao3~XoWCj`pJ1T7XQlL)~v z>uE&lfiP{gePN`q(MA)e3SG(0e{+K7V#-OT{+4yn&8~) z0zAvjm56JnG_aX@*Eu}dbt}!id_-g$3NRgl=JV`SIz3n0nXchF_Ad6=OiaFJFIRg7 zb-C-f|4I5FPl;~FHYtv6reVg^ac$9!b>w*Vk)&}F_orb@BY}|zZd5(44dq*HJub*& z>?_jx!>P%d_eXZ_l&jLKuh~9chSs^Z0J@6wtmWMxJi~zZOtDrv-%$AsqZ@XktR;|k z2%|9M70N0B@=96W(G5MO_y`O$O4b7?6Jj?EAy5cR+hJ}!ZPj^ji6~-_LV3WA>Bulr9Wh zUV)$3cH}qmOFENE&fra=9m%73k0^;-(9J5wa({Cn`buNfD!itqWiOl2o%^u70+4?i zqWCAC)RMIA0>cPg({kyy5+3QBabhq8;?+X8TqhjTG0dOj%ct8ThvA-sA^)wk?tBs9 zhJIiHNP1(LTuk=cxe!-v{MoUCx6=`->u-;8V+|m5+X3ppd2?JHm&bSQd_4XC$Zred zsn4OqzpJM)&u{jI`JKAqA72TZ6i~M-?wi@h7vIH0+(Zk$N9=Z`MA3aH^`z$!m5c^4 zvKGX)yBWK~qA#!wDc`t{C+!+Wc(E=fz5Rjo4g}m%VqA{s8on@6%C7S!)||GySbS2D@h`Cxf&*-LsZTH2q-YyLHT$b5YVJ^QE0>=r$xG zU=)V_1j?{B%gq|!b~no@_f=~g3Os=Jx9PCVl8(;T`s;LH=Tqa`yjWl2Yu>2)Ot60c zLLatNea_w0)cUfM(-_qzW=jID_6ln^@l0ywD0YeKfCz9|U3c7bWr1y?Wov%CANeHW zk$f1Rcx=BO>lUt`gWCn$w9DpamGI>JhLq1oVi6}nu~YwJ84@rA-eb=GX8GLn8y|;T z$^ff63&TDakoxkRhnrrvlO9QnVXW^zgmKe52loVwfQ0=6c)01^?W9K%mh`4zmpDvg z6C6iru^?gebJIHrM>qUfU&=E{k9{O@U{${W$IY}@kg#8YTkH&4grnEu@L^d_{S(;T zd`~+0QcOs`zXiLS-ZOAS;3pvI{d0J@={*id41TN!`$f{D=n$HMRb4>9*J-gJdGTq+ zI1|7-U=G7*UWOObW4df}H@y)!ehz*DlAdN)`&Az5LDlr1fssMHjZ3Jm{~QSDKRk0N zYgQ}==i>U@q4Tc((-9wan1>GJUxa)O4IYI%_G}pS((lnVp)#C>cwpPD~}I)6?kNcEk;?bzk!}2HTOg zow$GG0(BY}8o^DZK|%zEI)i)Om0=?`tlNfv0#5;kr>x^Lp6#IItOVl8@LvnKe=p$v zP zICi$NqXC;?+N|ytq1_H3q|G)8YQWPlZA^ero33P(BHS9H`k>E37t-Hv?!#q>1AT)? zgSUcQ#hdDer$~;%g^-h4hh)(Rq)`IbTWL?g5FUhM51w3BV|<2jjQ@~z%Xq;tm3${4 z>Giz=vFd-OM_kGVK9Qd8u^b!> z6A=4;cn~jU15U!xEl4(u;lZ)?4J7c{03Myhb;nxn#~g;C{=xb&Ulu4h*1nBM#1jx} z|IPu~{Lv$5wXt?GJjI}Mcpri$AHs(yKAHkXVsJBkFvkX|E+qQ1 zNo_G!-Hse5K5E^3ux+V-@=3$+NAVP(pGZC{LyID0!rlf&LA)%GLM}O>FQKUzUNL1O z%T|bOgsb5#*~_Jj5qVal{4nxCi;mSfj~ZcnS&*Ix%7~{yD3pyH(MdDJql_T$(_P?c z*j->4f%3V8_qA{FPw*%sXph+-%8_ns=YwH1L0-K8&sx^ADZ|dfuq>35tOw~O$g5pQ zk2<;l^Ca}|9IT61hw3sOy^-6Sm zt=6bb>CC_MO%zVrr3R)QiC9AGLFz9@oJ&POTepTjrtJq4#SYAR-(mc z5FUXMkb3m*+*#LI(ZAocI6Ib`y7t6Kxybfse-SFtP84CpyWX_;0?M%3w8**%u#SZO zkyu^(d^>m4et*vyn~7;_v(Ja~!qND`S8Z74H-7X~Ml- zSVssq|J`ov>nGad=ReWTefXXq?N0CxjV*6|p}kFA+=XxHj4b`c-03s=WAnWB`cHM4 z8_}%_-5gKqHx;!Gdp~m}5v`|f*H}Q8;|Z2qfMYBn3d61xo>-)(0}LYs<#Q#xpnN7? zE%G^qjB4SDr;*+qOf~u3jr6!~Dj@af9|?ZnV&(UC>pAa;ZEg+Ad7>#szVJf%Xx|$D zPVmIY53XG4>cMwg*Qk8&5O`!%CGKo~WA_cSPad@m$GWUY>so;>?w2o9->rhZ!ZiFH zt0U!T-SmN}L?v23X6q;qp^+f1SHcU@I`L|ub*@*{LhGlIUM;l#Ht^gXXQ@a3NZ|lu zO>;b;ed>g&Y|W#+IRzo&t3X>?#o0`-gR#CwtPSXACy_ql$|w#L(Uzp247fbV2V ze2gCvyi3kIC1QC09QQEgpIZv$)eznx#~yqL>0iQk0+h(h-n~S7m z&Xk*8;m)?Z;cn2P*VF|n_PP|D3Wiz+|@+jUU$9AozWtkJRymH=)I=g&lOve&Be* z_zY`<>9KCH6IaG!@)miGeO+d3mc0opALzt6jOjUhVxyce!A6F=HBlll zWRrs;qL7xAFp{#4jM6|ZkoW+kKRMg2Wry`2b^KWe!Cy%ozLqlLB+4MbG82L_g6p-c zLp2%kl#X5e6W$8Sh)Q@t89}`6VsctOl#>yoHOh#KNb4L7>4tKW^$o)WWrUARz6^f> zsYm~=9d)g3?5yM5DA)f9q;XjjzY*qqx;XZaWBF!lXWO--1xN6tUEF~=q2a|7sZ4g6 ziY#neo%2@Wjb%gU)m@!Z_ROiRs(uI!MB$=c#yitrMNGll1}}G9tc2(A21!x(KjR6o zT?y2on0AZ~0MqN%HuJ|Yn&9}!^T^b<1c*c6(+*48eF*=HFwC2L&V0-9#;fo@2qPfr z_3s)AtU<4Q_u7sT!LP1se}fDPFl}h|i+bLVyGDN9>+Ou?BcA?#X##gS;ahgNgU#H7 z8jU=UF>$ET?*fjG@v&<^+`QLm)Kp(_9R~il{Ncqlu{STSja>-kyKrAhG=8q-mQ(O+ z^Dv&CYrdt&%P-8o{;X<7gU!Eb)?+%$e6I&%Ip1~a?P*c5&NkeMqI~`AX~r4ZoAljO zTpQAJTuDdPd8qU2IzjK>bern!9LR_JZprs{4kp@{u3W)=(9O4O>42_K`prH~GiZnK zU?Q)){1x1dwG?K3A9L#Np&o_$qbyj1(s~8+E~yjd5m>{zEOQC(N1V@~O>6irb!V%( zyeY0QXW1ItG@>xy-xlc^MjtJFXawJ!9_bwLBYivkSl@t;I$>UP{*wdo^WO>&e^_na zv|ahoQ*g)i-u5kbGL1X&o!MubmQ=n8=W^Hd+^&4I<##VA^%b-w;x_%ixbGHsYVkYP zcZB2Tw|=D=1dH!We}n#9OM~v@Vg7_~THmyYxfGWiRcD`n209bwPQUv^{QUonySToz zfVBs-mw(3dpg+NWn&+B5)itj$--27W5p;Td2m5sMcd7$J)2a- z`x~?=zv;ae^F;SM-+^y@qYYv`ZEVMA1m%u&_VYe5-tYS*m=BG_7rrvm=jFr0P5Fr1 zhb&ifH|jc=_#*1P5MTH(tB!K_hdb}WJ!G5xXL@c^Pj(LG=i}%9vlpM6c)X_}e>#5t z`=?niv>%MV8`x*OE$z7HY|zL3!N0SyFXTUk@F&q1m*We+_B&`>r0?K@w&Lf14>(_c zI==9~oE}yA|D*ZOO`CP1zE!`^z0S5%3+ZM}41FDA-Qx?t(%ae3=cjg|486k*eoXj> zXS7fbw8vV`lV4_;*+=N-!Z%fV?pG1?aRkqB=MKmV-U-M1lX?G}y+fI@W6KuRwX?zB z=r!imh28#ROK(2AIDC)a^@oe#FVKSr+Aw#z`C*)6*SyF4>H*JBc+EJc;i->#%@Ed} z`ncDOa~@bL*f`(h>wJ6G`HNmN-u?3Mgx{9i@oYgn(qRHm3_e~MPxO};NqFeXZqkYA z@76Oc59Eixr2({kSKdeeE_Afv5I;(cK2aUR{(MJU-tV{xe6`;9J2oWdJHq*SPbHp1 z8b0G|I!Aue&ZBP`xyR>3oUQJnio@*9h z_y+=R(W!z5nQ;HJo#O;U3TK;~x3xKc3E~ybNfj@cE{9gni7A}?8=HGX&yRw+nWfNj z8R#8>!qwKm;MQ(1df|ZB#8fJKEQ!O1_+}68{9}UJK^Incvgu4tCD4wtcGH6Pl=BQR z^pn?ST6;VB$m$m3wA=NXMcR3A2GE6m68t&XTM-|OqH-|ntetM&v-TRE3)T)*S)I1_ z7T6c9o#%HRv-W53JY(%Afy48*Oo#Tfj{OP8{-k4n%CVob_B@_XTl;xDHzO_2o+CR5 z`vxkAfCuS+v$3zFUti0)=NXhi07cahoO>RGLb@ION1c>xK|FR}k9DJ~G+c1fqKB}M zqhGIx7o2-Cy>1=D{4qV6pnmOxerj2tdJXBtkO1}TW*F9kyiW3yI>4$TsOX>+9&WLY zO(w@OW5u_>s-4H$DNETkoX48CnGCW3_MOF^kc#cdH}MQK$AUH_v|mN2et@$$W`(3$>qeofauhW^R#1kBUFk^VmXo5Ix7I0j*C z>TQHy27WvKFQXIR2=iT8vdy6-D7%$y_%Vg%zvsT|a;}7B5g^?VqA=_>p`9n`>45Fh zW&4(u@W>~O6SHX$uNL~>k4RX5EP&+8Cq!8RF2FViLq1-K{yASIUkFHgCB%;v+G_VP z4csLkldL|!%-cp3`saDX3%fznSH7UXz!exmc(vs9$z8ac+7C^t5fz;kP2*Zf|LN&F|n_8>iQ|=QpAlU-23e zy2|n2@USMFSMk@*`ryC$^$p68zZU5*I(n4f|AH>MCJa3_yyb6qV@`+p$zSZo`|0}o zvOe1nYrv`#d3K^a%kCO(Ho7*-*#O-Z_X;#Al;z`|%J1?LeklGMEy$l~t%)My zTTR|;yYzf?p|$J6?tB;WMmfG5jxT%*&u`rVJ^B{3Rd~x^J*&?)NPD1dYibWqDbpV7 zi|xU>>H7S~WzT0!re+`J z^FADqKOA#xE38_ z^#3pJ`du1`p>W!JXuC*-idUyp9O@vHgJS8@f(0o$2z3<)CkOunhqx8=Pw|iF*uh07 zM@Rnvbr1xc-SYKjkevI(~y*AQSv>xZl z*(tx-?|&qUp~k-$n-u5pF4LQn#n>!wr<~oe zI@jmgVjT^h>AXJ1`51A%ZoYQ{em?Lb{J#8aiabj8!X^R+!ms5R@{?Ew!aR3;Gzv)! zq`QEOu_#YC0D{m*oue)aABop0#0yFuA2Cobw9lS+pB0U=o>_eSO)rm+)YIq9e3>7Q cJU$Kz;^Q-npI}d4{u+bMfR888QDK>sPdq{xQvd(} diff --git a/software/sys_controller_bsp/public.mk b/software/sys_controller_bsp/public.mk index cff38a9..34bc070 100644 --- a/software/sys_controller_bsp/public.mk +++ b/software/sys_controller_bsp/public.mk @@ -19,7 +19,7 @@ # Path to the provided linker script. -BSP_LINKER_SCRIPT := $(ALT_LIBRARY_ROOT_DIR)/linker.x +BSP_LINKER_SCRIPT := link.riscv.ld # Include paths: # The path to root of all header files that a library wishes to make @@ -119,15 +119,15 @@ ELF_PATCH_FLAG += --cpu_name $(CPU_NAME) # Hardware Divider present. # setting HARDWARE_DIVIDE is false -ALT_CFLAGS += -mno-hw-div +#ALT_CFLAGS += -mno-hw-div # Hardware Multiplier present. # setting HARDWARE_MULTIPLY is false -ALT_CFLAGS += -mno-hw-mul +#ALT_CFLAGS += -mno-hw-mul # Hardware Mulx present. # setting HARDWARE_MULX is false -ALT_CFLAGS += -mno-hw-mulx +#ALT_CFLAGS += -mno-hw-mulx # Debug Core present. # setting HAS_DEBUG_CORE is true @@ -258,7 +258,8 @@ ALT_CPPFLAGS += -DSMALL_C_LIB # generate GP-relative accesses for small data objects that are external, weak, # or common. none # setting hal.make.cflags_mgpopt is -mgpopt=global -ALT_CFLAGS += -mgpopt=global +#ALT_CFLAGS += -mgpopt=global +ALT_CFLAGS += -march=rv32imc -mabi=ilp32 # Enable BSP generation to query if SOPC system is big endian. If true ignores # export of 'ALT_CFLAGS += -meb' to public.mk if big endian system. none diff --git a/software/sys_controller_bsp/settings.bsp b/software/sys_controller_bsp/settings.bsp index 9476c51..6657c70 100644 --- a/software/sys_controller_bsp/settings.bsp +++ b/software/sys_controller_bsp/settings.bsp @@ -2,8 +2,8 @@ hal default - Apr 18, 2018 10:30:05 PM - 1524079805195 + Sep 25, 2018 9:18:49 PM + 1537899529022 ./ settings.bsp ../../sys.sopcinfo diff --git a/software/sys_controller_bsp/system.h b/software/sys_controller_bsp/system.h index 3b8af96..e07c2c7 100644 --- a/software/sys_controller_bsp/system.h +++ b/software/sys_controller_bsp/system.h @@ -133,14 +133,18 @@ * */ -#define ALT_CI_NIOS2_HW_CRC32_0(n,A) __builtin_custom_ini(ALT_CI_NIOS2_HW_CRC32_0_N+(n&ALT_CI_NIOS2_HW_CRC32_0_N_MASK),(A)) +//#define ALT_CI_NIOS2_HW_CRC32_0(n,A) __builtin_custom_ini(ALT_CI_NIOS2_HW_CRC32_0_N+(n&ALT_CI_NIOS2_HW_CRC32_0_N_MASK),(A)) #define ALT_CI_NIOS2_HW_CRC32_0_N 0x0 #define ALT_CI_NIOS2_HW_CRC32_0_N_MASK ((1<<3)-1) -#define ALT_CI_NIOS_CUSTOM_INSTR_BITSWAP_0(A) __builtin_custom_ini(ALT_CI_NIOS_CUSTOM_INSTR_BITSWAP_0_N,(A)) +//#define ALT_CI_NIOS_CUSTOM_INSTR_BITSWAP_0(A) __builtin_custom_ini(ALT_CI_NIOS_CUSTOM_INSTR_BITSWAP_0_N,(A)) #define ALT_CI_NIOS_CUSTOM_INSTR_BITSWAP_0_N 0x9 -#define ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0(A) __builtin_custom_ini(ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0_N,(A)) +//#define ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0(A) __builtin_custom_ini(ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0_N,(A)) #define ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0_N 0x8 +#define ALT_CI_NIOS2_HW_CRC32_0(n,A) n +#define ALT_CI_NIOS_CUSTOM_INSTR_BITSWAP_0(A) A +#define ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0(A) A + /* * Define for each module class mastered by the CPU From 4676cbd2f0dd5e7d1e7b56cc0e2da75c804ddf99 Mon Sep 17 00:00:00 2001 From: marqs Date: Sat, 6 Oct 2018 01:07:53 +0300 Subject: [PATCH 02/21] integrate zero-riscy --- .gitmodules | 3 + .../endianconverter_qsys.v | 56 - .../endianconverter_qsys_hw.tcl | 84 - ip/nios2_hw_crc/HAL/doc/crc_main.c | 224 - ip/nios2_hw_crc/HAL/src/ci_crc.c | 97 - ip/nios2_hw_crc/HAL/src/crc.c | 265 - ip/nios2_hw_crc/hdl/CRC_Component.v | 314 - ip/nios2_hw_crc/hdl/CRC_Custom_Instruction.v | 101 - ip/nios2_hw_crc/nios2_hw_crc32_hw.tcl | 116 - ip/nios2_hw_crc/nios2_hw_crc32_sw.tcl | 58 - ip/pulpino_qsys | 1 + rtl/ossc.v | 8 +- scripts/rv-reprogram.tcl | 25 + software/ossc_sw.project | 2 +- software/sys_controller/Makefile | 15 +- software/sys_controller/crt0.boot.S | 103 + software/sys_controller/it6613/hdmitx.h | 2 +- software/sys_controller/link.common.ld | 135 + software/sys_controller/link.riscv.ld | 7 + .../mem_init/sys_onchip_memory2_0.hex | 8646 ++++++++++++++--- software/sys_controller/ossc/controls.c | 2 +- software/sys_controller/ossc/firmware.h | 2 +- software/sys_controller/pulpino.h | 91 + .../HAL/src/alt_busy_sleep.c | 74 +- .../HAL/src/alt_putcharbuf.c | 189 +- software/sys_controller_bsp/Makefile | 1 + software/sys_controller_bsp/alt_sys_init.c | 8 +- .../Altera_UP_SD_Card_Avalon_Interface_mod.h | 166 - .../drivers/inc/altera_avalon_timer.h | 193 + .../drivers/inc/altera_avalon_timer_regs.h | 202 + .../Altera_UP_SD_Card_Avalon_Interface_mod.c | 1908 ---- .../drivers/src/altera_avalon_timer_sc.c | 110 + .../drivers/src/altera_avalon_timer_ts.c | 143 + .../drivers/src/altera_avalon_timer_vars.c | 83 +- software/sys_controller_bsp/libhal_bsp.a | Bin 27058 -> 27838 bytes software/sys_controller_bsp/linker.h | 10 +- software/sys_controller_bsp/linker.x | 52 +- software/sys_controller_bsp/mem_init.mk | 20 +- software/sys_controller_bsp/memory.gdb | 10 +- software/sys_controller_bsp/settings.bsp | 54 +- software/sys_controller_bsp/system.h | 58 +- sys.qsys | 764 +- sys.sopcinfo | 7440 ++++++-------- tools/bin2hex.c | 53 + 44 files changed, 11897 insertions(+), 9998 deletions(-) create mode 100644 .gitmodules delete mode 100644 ip/altera_nios_custom_instr_endianconverter_qsys/endianconverter_qsys.v delete mode 100644 ip/altera_nios_custom_instr_endianconverter_qsys/endianconverter_qsys_hw.tcl delete mode 100644 ip/nios2_hw_crc/HAL/doc/crc_main.c delete mode 100644 ip/nios2_hw_crc/HAL/src/ci_crc.c delete mode 100644 ip/nios2_hw_crc/HAL/src/crc.c delete mode 100644 ip/nios2_hw_crc/hdl/CRC_Component.v delete mode 100644 ip/nios2_hw_crc/hdl/CRC_Custom_Instruction.v delete mode 100644 ip/nios2_hw_crc/nios2_hw_crc32_hw.tcl delete mode 100644 ip/nios2_hw_crc/nios2_hw_crc32_sw.tcl create mode 160000 ip/pulpino_qsys create mode 100644 scripts/rv-reprogram.tcl create mode 100644 software/sys_controller/crt0.boot.S create mode 100644 software/sys_controller/link.common.ld create mode 100644 software/sys_controller/link.riscv.ld create mode 100644 software/sys_controller/pulpino.h rename ip/nios2_hw_crc/HAL/inc/crc.h => software/sys_controller_bsp/HAL/src/alt_putcharbuf.c (55%) delete mode 100644 software/sys_controller_bsp/drivers/inc/Altera_UP_SD_Card_Avalon_Interface_mod.h create mode 100644 software/sys_controller_bsp/drivers/inc/altera_avalon_timer.h create mode 100644 software/sys_controller_bsp/drivers/inc/altera_avalon_timer_regs.h delete mode 100644 software/sys_controller_bsp/drivers/src/Altera_UP_SD_Card_Avalon_Interface_mod.c create mode 100644 software/sys_controller_bsp/drivers/src/altera_avalon_timer_sc.c create mode 100644 software/sys_controller_bsp/drivers/src/altera_avalon_timer_ts.c rename ip/nios2_hw_crc/HAL/inc/ci_crc.h => software/sys_controller_bsp/drivers/src/altera_avalon_timer_vars.c (82%) create mode 100644 tools/bin2hex.c diff --git a/.gitmodules b/.gitmodules new file mode 100644 index 0000000..0495bad --- /dev/null +++ b/.gitmodules @@ -0,0 +1,3 @@ +[submodule "ip/pulpino_qsys"] + path = ip/pulpino_qsys + url = https://github.com/marqs85/pulpino_qsys.git diff --git a/ip/altera_nios_custom_instr_endianconverter_qsys/endianconverter_qsys.v b/ip/altera_nios_custom_instr_endianconverter_qsys/endianconverter_qsys.v deleted file mode 100644 index 16d875e..0000000 --- a/ip/altera_nios_custom_instr_endianconverter_qsys/endianconverter_qsys.v +++ /dev/null @@ -1,56 +0,0 @@ -// (C) 2001-2015 Altera Corporation. All rights reserved. -// Your use of Altera Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any output -// files any of the foregoing (including device programming or simulation -// files), and any associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License Subscription -// Agreement, Altera MegaCore Function License Agreement, or other applicable -// license agreement, including, without limitation, that your use is for the -// sole purpose of programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the applicable -// agreement for further details. - - -//Legal Notice: (C)2010 Altera Corporation. All rights reserved. Your -//use of Altera Corporation's design tools, logic functions and other -//software and tools, and its AMPP partner logic functions, and any -//output files any of the foregoing (including device programming or -//simulation files), and any associated documentation or information are -//expressly subject to the terms and conditions of the Altera Program -//License Subscription Agreement or other applicable license agreement, -//including, without limitation, that your use is for the sole purpose -//of programming logic devices manufactured by Altera and sold by Altera -//or its authorized distributors. Please refer to the applicable -//agreement for further details. - -// synthesis translate_off -`timescale 1ns / 1ps -// synthesis translate_on - -// turn off superfluous verilog processor warnings -// altera message_level Level1 -// altera message_off 10034 10035 10036 10037 10230 10240 10030 - -module endianconverter_qsys ( - // inputs: - dataa, - datab, - - // outputs: - result - ) -; - - output [ 31: 0] result; - input [ 31: 0] dataa; - input [ 31: 0] datab; - - wire [ 31: 0] result; - //s1, which is an e_custom_instruction_slave - assign result[7 : 0] = dataa[31 : 24]; - assign result[15 : 8] = dataa[23 : 16]; - assign result[23 : 16] = dataa[15 : 8]; - assign result[31 : 24] = dataa[7 : 0]; - -endmodule - diff --git a/ip/altera_nios_custom_instr_endianconverter_qsys/endianconverter_qsys_hw.tcl b/ip/altera_nios_custom_instr_endianconverter_qsys/endianconverter_qsys_hw.tcl deleted file mode 100644 index 32a5e05..0000000 --- a/ip/altera_nios_custom_instr_endianconverter_qsys/endianconverter_qsys_hw.tcl +++ /dev/null @@ -1,84 +0,0 @@ -# (C) 2001-2015 Altera Corporation. All rights reserved. -# Your use of Altera Corporation's design tools, logic functions and other -# software and tools, and its AMPP partner logic functions, and any output -# files any of the foregoing (including device programming or simulation -# files), and any associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License Subscription -# Agreement, Altera MegaCore Function License Agreement, or other applicable -# license agreement, including, without limitation, that your use is for the -# sole purpose of programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the applicable -# agreement for further details. - - -# TCL File Generated by Component Editor 10.1 -# Tue Aug 17 15:04:48 MYT 2010 -# DO NOT MODIFY - - -# +----------------------------------- -# | -# | -# | ./converter_0.v syn, sim -# | -# +----------------------------------- - -# +----------------------------------- -# | request TCL package from ACDS 10.1 -# | -package require -exact sopc 10.1 -# | -# +----------------------------------- - -# +----------------------------------- -# | module altera_nios_custom_instr_endian_converter -# | -set_module_property NAME altera_nios_custom_instr_endianconverter -set_module_property VERSION 17.1 -set_module_property INTERNAL false -set_module_property GROUP "Custom Instruction Modules" -set_module_property AUTHOR "Altera Corporation" -set_module_property DISPLAY_NAME "Endian Converter" -set_module_property HIDE_FROM_SOPC true -set_module_property TOP_LEVEL_HDL_FILE endianconverter_qsys.v -set_module_property TOP_LEVEL_HDL_MODULE endianconverter_qsys -set_module_property INSTANTIATE_IN_SYSTEM_MODULE true -set_module_property SIMULATION_MODEL_IN_VHDL true -set_module_property EDITABLE false -set_module_property ANALYZE_HDL FALSE -# | -# +----------------------------------- - -# +----------------------------------- -# | files -# | -add_file endianconverter_qsys.v {SYNTHESIS SIMULATION} -# | -# +----------------------------------- - -# +----------------------------------- -# | parameters -# | -# | -# +----------------------------------- - -# +----------------------------------- -# | display items -# | -# | -# +----------------------------------- - -# +----------------------------------- -# | connection point s1 -# | -add_interface s1 nios_custom_instruction end -set_interface_property s1 clockCycle 1 -set_interface_property s1 operands 1 - -set_interface_property s1 ENABLED true - -add_interface_port s1 dataa dataa Input 32 -add_interface_port s1 datab datab Input 32 -add_interface_port s1 result result Output 32 -# | -# +----------------------------------- diff --git a/ip/nios2_hw_crc/HAL/doc/crc_main.c b/ip/nios2_hw_crc/HAL/doc/crc_main.c deleted file mode 100644 index d16548c..0000000 --- a/ip/nios2_hw_crc/HAL/doc/crc_main.c +++ /dev/null @@ -1,224 +0,0 @@ -/****************************************************************************** -* * -* License Agreement * -* * -* Copyright (c) 2008 Altera Corporation, San Jose, California, USA. * -* All rights reserved. * -* * -* Permission is hereby granted, free of charge, to any person obtaining a * -* copy of this software and associated documentation files (the "Software"), * -* to deal in the Software without restriction, including without limitation * -* the rights to use, copy, modify, merge, publish, distribute, sublicense, * -* and/or sell copies of the Software, and to permit persons to whom the * -* Software is furnished to do so, subject to the following conditions: * -* * -* The above copyright notice and this permission notice shall be included in * -* all copies or substantial portions of the Software. * -* * -* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * -* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * -* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * -* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * -* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * -* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * -* DEALINGS IN THE SOFTWARE. * -* * -* This agreement shall be governed in all respects by the laws of the State * -* of California and by the laws of the United States of America. * -* Altera does not recommend, suggest or require that this reference design * -* file be used in conjunction or combination with any other product. * -******************************************************************************/ - - -/****************************************************************************** -* Author - JCJB * -* * -* This design uses the following CRC-32 implementations: * -* * -* --> Software - Uses modulo 2 division to perform the remainder calculation. * -* --> Optimized Software - Uses a lookup table of all possible division * -* values. The calculation operates on 8 bit data. * -* --> Custom Instruction - Uses a parallel hardware CRC circuit to calculate * -* the remainder. The calculation operates on 8, * -* 16, 24, or 32 bit data. * -* * -* The software implementations can be changed to CRC-16 or CRC-CCITT however * -* the custom instruction must be modified as well to support the same * -* standard. Simply use the values defined in crc.h to change the standard * -* used (using the same values in the hardware parameterization) or define * -* your own standard. * -*******************************************************************************/ - -#include "system.h" -#include "stdio.h" -#include "crc.h" -#include "ci_crc.h" -#include "sys/alt_timestamp.h" -#include "stdlib.h" - - -/* Modify these values to adjust the test being performed */ -#define NUMBER_OF_BUFFERS 32 -#define BUFFER_SIZE 256 /* in bytes */ - -/* Change the name of memory device according to what you are using - * e.g.: DDR_SDRAM_0 ##_SPAN - * SSRAM_0 ##_SPAN - */ -#define MEMORY_DEVICE_SIZE 32768 - - -/* Make sure there is room left for Nios II text, rodata, rwdata, stack, - * and heap. This software and the buffer space must fit within the - * size of memory device. A total of 1.5 MBytes is reserved. If BUFFER_SIZE - * is a multiple of four then exactly 256kB will be left, otherwise is - * amount will be less since the column dimension needs some padding to - * stay 32 bit aligned - */ -#if ((BUFFER_SIZE * NUMBER_OF_BUFFERS) >= MEMORY_DEVICE_SIZE - 10000) - #error Your buffer space has exceeded the maximum allowable space. Please\ - reduce the buffer space so that there is enough room to hold Nios II\ - code. -#endif - - -/* This will line up the data onto a 32 bit (or greater) boundary. A 2d array - * is being used here for simplicity. The first dimension represents a byte - * of data and the second dimension represents an individual buffer - */ -#if ((BUFFER_SIZE & 0x3) == 0) - unsigned char data_buffer_region[NUMBER_OF_BUFFERS][BUFFER_SIZE] __attribute__ ((aligned(4))); -#else /* need to allocate extra bytes so that all buffers start on a 32 bit - boundaries by rounding up the column dimension to the next power of 4 - */ - unsigned char data_buffer_region[NUMBER_OF_BUFFERS][BUFFER_SIZE + 4 - (BUFFER_SIZE&0x3)] __attribute__ ((aligned(4))); -#endif - - - - - -int main() -{ - unsigned long buffer_counter, data_counter; - unsigned long sw_slow_results[NUMBER_OF_BUFFERS]; - unsigned long sw_fast_results[NUMBER_OF_BUFFERS]; - unsigned long ci_results[NUMBER_OF_BUFFERS]; - unsigned char random_data = 0x5A; - //unsigned long sw_slow_timeA, sw_slow_timeB; - // unsigned long sw_fast_timeA, sw_fast_timeB; - // unsigned long ci_timeA, ci_timeB; - - alt_u32 sw_slow_timeA, sw_slow_timeB; - alt_u32 sw_fast_timeA, sw_fast_timeB; - alt_u32 ci_timeA, ci_timeB; - - - printf("+-----------------------------------------------------------+\n"); - printf("| Comparison between software and custom instruction CRC32 |\n"); - printf("+-----------------------------------------------------------+\n\n\n"); - - printf("System specification\n"); - printf("--------------------\n"); - - printf("System clock speed = %lu MHz\n", (unsigned long)ALT_CPU_FREQ /(unsigned long)1000000); - printf("Number of buffer locations = %d\n", NUMBER_OF_BUFFERS); - printf("Size of each buffer = %d bytes\n\n\n", BUFFER_SIZE); - - - /* Initializing the data buffers */ - printf("Initializing all of the buffers with pseudo-random data\n"); - printf("-------------------------------------------------------\n"); - for(buffer_counter = 0; buffer_counter < NUMBER_OF_BUFFERS; buffer_counter++) - { - for(data_counter = 0; data_counter < BUFFER_SIZE; data_counter++) - { - data_buffer_region[buffer_counter][data_counter] = random_data; - random_data = (random_data >> 4) + (random_data << 4) + (data_counter & 0xFF); - } - } - printf("Initialization completed\n\n\n"); - - - if(alt_timestamp_start() < 0) // starts the timestamp timer - { - printf("Please add the high resolution timer to the timestamp timer setting in the syslib properties page.\n"); - exit(1); - } - - - /* Slow software CRC based on a modulo 2 division implementation */ - printf("Running the software CRC\n"); - printf("------------------------\n"); - sw_slow_timeA = alt_timestamp(); - - for(buffer_counter = 0; buffer_counter < NUMBER_OF_BUFFERS; buffer_counter++) - { - sw_slow_results[buffer_counter] = crcSlow(data_buffer_region[buffer_counter], BUFFER_SIZE); - } - sw_slow_timeB = alt_timestamp(); - - printf("Completed\n\n\n"); - - - /* Fast software CRC based on a lookup table implementation */ - crcInit(); - printf("Running the optimized software CRC\n"); - printf("----------------------------------\n"); - sw_fast_timeA = alt_timestamp(); - for(buffer_counter = 0; buffer_counter < NUMBER_OF_BUFFERS; buffer_counter++) - { - sw_fast_results[buffer_counter] = crcFast(data_buffer_region[buffer_counter], BUFFER_SIZE); - } - sw_fast_timeB = alt_timestamp(); - printf("Completed\n\n\n"); - - - /* Custom instruction CRC */ - printf("Running the custom instruction CRC\n"); - printf("----------------------------------\n"); - ci_timeA = alt_timestamp(); - for(buffer_counter = 0; buffer_counter < NUMBER_OF_BUFFERS; buffer_counter++) - { - ci_results[buffer_counter] = crcCI(data_buffer_region[buffer_counter], BUFFER_SIZE); - } - ci_timeB = alt_timestamp(); - printf("Completed\n\n\n"); - - /* Validation of results */ - printf("Validating the CRC results from all implementations\n"); - printf("----------------------------------------------------\n"); - for(buffer_counter = 0; buffer_counter < NUMBER_OF_BUFFERS; buffer_counter++) - { - /* Test every combination of results to make sure they are consistant */ - if((sw_slow_results[buffer_counter] != ci_results[buffer_counter]) | - (sw_fast_results[buffer_counter] != ci_results[buffer_counter])) - { - printf("FAILURE! Software CRC = 0x%lx, Optimized Software CRC = 0x%lx, Custom Instruction CRC = 0x%lx,\n", - sw_slow_results[buffer_counter], sw_fast_results[buffer_counter], ci_results[buffer_counter]); - exit(1); - } - } - printf("All CRC implementations produced the same results\n\n\n"); - - - // Report processing times - printf("Processing time for each implementation\n"); - printf("---------------------------------------\n"); - printf("Software CRC = %.2lu ms\n", 1000*((unsigned long)(sw_slow_timeB-sw_slow_timeA))/((unsigned long)alt_timestamp_freq())); - printf("Optimized software CRC = %.2lu ms\n", 1000*((unsigned long)(sw_fast_timeB-sw_fast_timeA))/((unsigned long)alt_timestamp_freq())); - printf("Custom instruction CRC = %.2lu ms\n\n\n", 1000*((unsigned long)(ci_timeB-ci_timeA))/((unsigned long)alt_timestamp_freq())); - - printf("Processing throughput for each implementation\n"); // throughput = total bits / (time(s) * 1000000) - printf("---------------------------------------------\n"); - printf("Software CRC = %.2lu Mbps\n", (8 * NUMBER_OF_BUFFERS * BUFFER_SIZE)/(1000000*(unsigned long)(sw_slow_timeB-sw_slow_timeA)/((unsigned long)alt_timestamp_freq()))); - printf("Optimized software CRC = %.2lu Mbps\n", (8 * NUMBER_OF_BUFFERS * BUFFER_SIZE)/(1000000*(unsigned long)(sw_fast_timeB-sw_fast_timeA)/((unsigned long)alt_timestamp_freq()))); - printf("Custom instruction CRC = %.2lu Mbps\n\n\n", (8 * NUMBER_OF_BUFFERS * BUFFER_SIZE)/(1000000*(unsigned long)(ci_timeB-ci_timeA)/((unsigned long)alt_timestamp_freq()))); - - printf("Speedup ratio\n"); - printf("-------------\n"); - printf("Custom instruction CRC vs software CRC = %lu\n", ((unsigned long)(sw_slow_timeB-sw_slow_timeA))/((unsigned long)(ci_timeB-ci_timeA))); - printf("Custom instruction CRC vs optimized software CRC = %lu\n", ((unsigned long)(sw_fast_timeB-sw_fast_timeA))/((unsigned long)(ci_timeB-ci_timeA))); - printf("Optimized software CRC vs software CRC= %lu\n", ((unsigned long)(sw_slow_timeB-sw_slow_timeA))/((unsigned long)(sw_fast_timeB-sw_fast_timeA))); - return 0; -} diff --git a/ip/nios2_hw_crc/HAL/src/ci_crc.c b/ip/nios2_hw_crc/HAL/src/ci_crc.c deleted file mode 100644 index 7f9b0f0..0000000 --- a/ip/nios2_hw_crc/HAL/src/ci_crc.c +++ /dev/null @@ -1,97 +0,0 @@ -/****************************************************************************** -* * -* License Agreement * -* * -* Copyright (c) 2008 Altera Corporation, San Jose, California, USA. * -* All rights reserved. * -* * -* Permission is hereby granted, free of charge, to any person obtaining a * -* copy of this software and associated documentation files (the "Software"), * -* to deal in the Software without restriction, including without limitation * -* the rights to use, copy, modify, merge, publish, distribute, sublicense, * -* and/or sell copies of the Software, and to permit persons to whom the * -* Software is furnished to do so, subject to the following conditions: * -* * -* The above copyright notice and this permission notice shall be included in * -* all copies or substantial portions of the Software. * -* * -* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * -* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * -* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * -* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * -* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * -* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * -* DEALINGS IN THE SOFTWARE. * -* * -* This agreement shall be governed in all respects by the laws of the State * -* of California and by the laws of the United States of America. * -* Altera does not recommend, suggest or require that this reference design * -* file be used in conjunction or combination with any other product. * -******************************************************************************/ - - -/********************************************************************** - * - * Filename: ci_crc.c - * - * Description: Custom instruction implementations of the CRC. - * - * Notes: A macro is defined that is used to access the CRC custom - * instruction. - *********************************************************************/ - -#include "system.h" - -/*The n values and their corresponding operation are as follow: - * n = 0, Initialize the custom instruction to the initial remainder value - * n = 1, Write 8 bits data to custom instruction - * n = 2, Write 16 bits data to custom instruction - * n = 3, Write 32 bits data to custom instruction - * n = 4, Read 32 bits data from the custom instruction - * n = 5, Read 64 bits data from the custom instruction - * n = 6, Read 96 bits data from the custom instruction - * n = 7, Read 128 bits data from the custom instruction*/ -#define CRC_CI_MACRO(n, A) __builtin_custom_ini(ALT_CI_NIOS2_HW_CRC32_0_N + (n & 0x7), (A)) - -unsigned long crcCI(unsigned char * input_data, unsigned long input_data_length, int do_initialize) -{ - unsigned long index; - /* copy of the data buffer pointer so that it can advance by different widths */ - void * input_data_copy = (void *)input_data; - - /* The custom instruction CRC will initialize to the inital remainder value */ - if (do_initialize) - CRC_CI_MACRO(0,0); - - /* Write 32 bit data to the custom instruction. If the buffer does not end - * on a 32 bit boundary then the remaining data will be sent to the custom - * instruction in the 'if' statement below. - */ - for(index = 0; index < (input_data_length & 0xFFFFFFFC); index+=4) - { - CRC_CI_MACRO(3, *(unsigned long *)input_data_copy); - input_data_copy += 4; /* void pointer, must move by 4 for each word */ - } - - /* Write the remainder of the buffer if it does not end on a word boundary */ - if((input_data_length & 0x3) == 0x3) /* 3 bytes left */ - { - CRC_CI_MACRO(2, *(unsigned short *)input_data_copy); - input_data_copy += 2; - CRC_CI_MACRO(1, *(unsigned char *)input_data_copy); - } - else if((input_data_length & 0x3) == 0x2) /* 2 bytes left */ - { - CRC_CI_MACRO(2, *(unsigned short *)input_data_copy); - } - else if((input_data_length & 0x3) == 0x1) /* 1 byte left */ - { - CRC_CI_MACRO(1, *(unsigned char *)input_data_copy); - } - - /* There are 4 registers in the CRC custom instruction. Since - * this example uses CRC-32 only the first register must be read - * in order to receive the full result. - */ - return CRC_CI_MACRO(4, 0); -} diff --git a/ip/nios2_hw_crc/HAL/src/crc.c b/ip/nios2_hw_crc/HAL/src/crc.c deleted file mode 100644 index f00568d..0000000 --- a/ip/nios2_hw_crc/HAL/src/crc.c +++ /dev/null @@ -1,265 +0,0 @@ -/****************************************************************************** -* * -* License Agreement * -* * -* Copyright (c) 2008 Altera Corporation, San Jose, California, USA. * -* All rights reserved. * -* * -* Permission is hereby granted, free of charge, to any person obtaining a * -* copy of this software and associated documentation files (the "Software"), * -* to deal in the Software without restriction, including without limitation * -* the rights to use, copy, modify, merge, publish, distribute, sublicense, * -* and/or sell copies of the Software, and to permit persons to whom the * -* Software is furnished to do so, subject to the following conditions: * -* * -* The above copyright notice and this permission notice shall be included in * -* all copies or substantial portions of the Software. * -* * -* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * -* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * -* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * -* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * -* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * -* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * -* DEALINGS IN THE SOFTWARE. * -* * -* This agreement shall be governed in all respects by the laws of the State * -* of California and by the laws of the United States of America. * -* Altera does not recommend, suggest or require that this reference design * -* file be used in conjunction or combination with any other product. * -******************************************************************************/ - - -/********************************************************************** - * - * Filename: crc.c - * - * Description: Slow and fast implementations of the CRC standards. - * - * Notes: The parameters for each supported CRC standard are - * defined in the header file crc.h. The implementations - * here should stand up to further additions to that list. - * - * - * Copyright (c) 2000 by Michael Barr. This software is placed into - * the public domain and may be used for any purpose. However, this - * notice must not be changed or removed and no warranty is either - * expressed or implied by its publication or distribution. - **********************************************************************/ - -#include "crc.h" - - -/* - * Derive parameters from the standard-specific parameters in crc.h. - */ -#define WIDTH (8 * sizeof(crc)) -#define TOPBIT (1 << (WIDTH - 1)) - -#if (REFLECT_DATA == TRUE) -#undef REFLECT_DATA -#define REFLECT_DATA(X) ((unsigned char) reflect((X), 8)) -#else -#undef REFLECT_DATA -#define REFLECT_DATA(X) (X) -#endif - -#if (REFLECT_REMAINDER == TRUE) -#undef REFLECT_REMAINDER -#define REFLECT_REMAINDER(X) ((crc) reflect((X), WIDTH)) -#else -#undef REFLECT_REMAINDER -#define REFLECT_REMAINDER(X) (X) -#endif - - -/********************************************************************* - * - * Function: reflect() - * - * Description: Reorder the bits of a binary sequence, by reflecting - * them about the middle position. - * - * Notes: No checking is done that nBits <= 32. - * - * Returns: The reflection of the original data. - * - *********************************************************************/ -static unsigned long -reflect(unsigned long data, unsigned char nBits) -{ - unsigned long reflection = 0x00000000; - unsigned char bit; - - /* - * Reflect the data about the center bit. - */ - for (bit = 0; bit < nBits; ++bit) - { - /* - * If the LSB bit is set, set the reflection of it. - */ - if (data & 0x01) - { - reflection |= (1 << ((nBits - 1) - bit)); - } - - data = (data >> 1); - } - - return (reflection); - -} /* reflect() */ - - -/********************************************************************* - * - * Function: crcSlow() - * - * Description: Compute the CRC of a given message. - * - * Notes: - * - * Returns: The CRC of the message. - * - *********************************************************************/ -crc -crcSlow(unsigned char const message[], int nBytes) -{ - crc remainder = INITIAL_REMAINDER; - int byte; - unsigned char bit; - - - /* - * Perform modulo-2 division, a byte at a time. - */ - for (byte = 0; byte < nBytes; ++byte) - { - /* - * Bring the next byte into the remainder. - */ - remainder ^= (REFLECT_DATA(message[byte]) << (WIDTH - 8)); - - /* - * Perform modulo-2 division, a bit at a time. - */ - for (bit = 8; bit > 0; --bit) - { - /* - * Try to divide the current data bit. - */ - if (remainder & TOPBIT) - { - remainder = (remainder << 1) ^ POLYNOMIAL; - } - else - { - remainder = (remainder << 1); - } - } - } - - /* - * The final remainder is the CRC result. - */ - return (REFLECT_REMAINDER(remainder) ^ FINAL_XOR_VALUE); - -} /* crcSlow() */ - - -crc crcTable[256]; - - -/********************************************************************* - * - * Function: crcInit() - * - * Description: Populate the partial CRC lookup table. - * - * Notes: This function must be rerun any time the CRC standard - * is changed. If desired, it can be run "offline" and - * the table results stored in an embedded system's ROM. - * - * Returns: None defined. - * - *********************************************************************/ -void -crcInit(void) -{ - crc remainder; - int dividend; - unsigned char bit; - - - /* - * Compute the remainder of each possible dividend. - */ - for (dividend = 0; dividend < 256; ++dividend) - { - /* - * Start with the dividend followed by zeros. - */ - remainder = dividend << (WIDTH - 8); - - /* - * Perform modulo-2 division, a bit at a time. - */ - for (bit = 8; bit > 0; --bit) - { - /* - * Try to divide the current data bit. - */ - if (remainder & TOPBIT) - { - remainder = (remainder << 1) ^ POLYNOMIAL; - } - else - { - remainder = (remainder << 1); - } - } - - /* - * Store the result into the table. - */ - crcTable[dividend] = remainder; - } - -} /* crcInit() */ - - -/********************************************************************* - * - * Function: crcFast() - * - * Description: Compute the CRC of a given message. - * - * Notes: crcInit() must be called first. - * - * Returns: The CRC of the message. - * - *********************************************************************/ -crc -crcFast(unsigned char const message[], int nBytes) -{ - crc remainder = INITIAL_REMAINDER; - unsigned char data; - int byte; - - - /* - * Divide the message by the polynomial, a byte at a time. - */ - for (byte = 0; byte < nBytes; ++byte) - { - data = REFLECT_DATA(message[byte]) ^ (remainder >> (WIDTH - 8)); - remainder = crcTable[data] ^ (remainder << 8); - } - - /* - * The final remainder is the CRC. - */ - return (REFLECT_REMAINDER(remainder) ^ FINAL_XOR_VALUE); - -} /* crcFast() */ diff --git a/ip/nios2_hw_crc/hdl/CRC_Component.v b/ip/nios2_hw_crc/hdl/CRC_Component.v deleted file mode 100644 index 5c67836..0000000 --- a/ip/nios2_hw_crc/hdl/CRC_Component.v +++ /dev/null @@ -1,314 +0,0 @@ -/* - Legal Notice: (C)2006 Altera Corporation. All rights reserved. Your - use of Altera Corporation's design tools, logic functions and other - software and tools, and its AMPP partner logic functions, and any - output files any of the foregoing (including device programming or - simulation files), and any associated documentation or information are - expressly subject to the terms and conditions of the Altera Program - License Subscription Agreement or other applicable license agreement, - including, without limitation, that your use is for the sole purpose - of programming logic devices manufactured by Altera and sold by Altera - or its authorized distributors. Please refer to the applicable - agreement for further details. -*/ - -/* - This component supports 8, 16, 24, and 32 bit little endian data - and any CRC standard between 1 to 128 bits. Through parameterization - you can change the CRC standard which will take effect after you - regenerate your system in SOPC Builder. - - Register Map: - 000 -> reset the CRC peripheral to the inital value (data and byte enables ignored) - 001 -> data write between 1-32 bits - 010 -> reserved - 011 -> reserved - 100 -> read bits 1-32 of the crc result - 101 -> read bits 33-64 of the crc result (where applicable) - 110 -> read bits 65-96 of the crc result (where applicable) - 111 -> read bits 97-128 of the crc result (where applicable) - - Write latency = 0 - Read latency = 1 - - Note: This component uses four blocks of eight bits of data in cascade. - To improve the timing of logic you can create seperate cascades - for 8, 16, 24, and 32 bit data which will allow for smaller area - and a shorter combinational depth. Since CRC logic consumes power - even when not in use you can also add a logic disable feature using - the chipselect signal. Even though the registered CRC value is - held constant when the circuit is not in use the input data will - change during this time and cause the CRC cascade logic to react. -*/ - - -module CRC_Component (clk, - reset, - address, - writedata, - byteenable, - write, - read, - chipselect, - readdata); - -/* - Using these parameters you can create any CRC ranging from one bit (parity checker) - up to 128 bits. The following list describes the function of each parameter: - - crc_width: - The width of the registered CRC result, this value is typically apart of - the name of the standard (CRC32 is 32 bits wide). Adjusting this value - will impact the logic resource usage. - - polynomial_initial: - The initial value set for the CRC result register. By writing any data to address 0 - this value will be stored in the result register thereby clearing any previously existing - value. This value must be the same width as 'crc_width' - - polynomial: - This is the divisor value used on the input data. Typically shown in polynomial format - the value symbolizes the placement of xor operation on the input data. In synthesis, the - polynomial bits that are '1' will create a not gate, whereas the bits that are '0' will - simply create a wire. Even with 32 stages of these operations cascaded, the simple logic - will not become a significant factor on logic utilization or fmax. This value must be the - same width as 'crc_width' - - reflected_input: - Some CRC standards require that all the input bits be reflected around the center point. - This option is enabled with '1' and disabled with '0'. Typically this option is enabled - or disabled with 'reflected_output'. - - reflected_output: - Some CRC standards require that all the output bits be reflected around the center point. - This operation occurs before the final optional xor output step. This option is enabled - with '1' and disabled with '0'. Typically this option is enabled or disabled with - 'reflected_input' (to undo the input reversal typically). - - xor_output: - This is the value used to bitwise xor the CRC result. Most standards use either all zeros - or all ones for this value. When zeros are used the CRC result is passed directly and when - ones are used the CRC result is inverted. Since it's no mandatory that this value be all - ones or zeros, this operation occurs before the output reflection when applicable. -*/ - - parameter crc_width = 32; - parameter polynomial_inital = 32'hFFFFFFFF; - parameter polynomial = 32'h04C11DB7; - parameter reflected_input = 1; - parameter reflected_output = 1; - parameter xor_output = 32'hFFFFFFFF; - - - input clk; - input reset; - input [2:0] address; - input [31:0] writedata; - input [3:0] byteenable; - input write; - input read; - input chipselect; - output [31:0] readdata; - - reg [crc_width-1:0] crc_value; - wire [crc_width-1:0] poly = polynomial; - wire [crc_width-1:0] cascade [3:0]; - wire [7:0] block0_data, block1_data, block2_data, block3_data; - wire [crc_width-1:0] result, result_xored; - wire [31:0] mux_result; - reg [31:0] readdata; - - - /* - Some standards like CRC16 and CRC32 require this bitreversal for serial - devices like ethernet, uarts, usb, etc... - */ - genvar index; - generate if (reflected_input == 1) - begin - for(index = 0; index < 8; index = index + 1) - begin: input_reflection - assign block0_data[index] = writedata[7-index]; - assign block1_data[index] = writedata[15-index]; - assign block2_data[index] = writedata[23-index]; - assign block3_data[index] = writedata[31-index]; - end - end - else - begin - assign block0_data = writedata[7:0]; - assign block1_data = writedata[15:8]; - assign block2_data = writedata[23:16]; - assign block3_data = writedata[31:24]; - end - endgenerate - - - /* - Control for the registered events. It assumes that either 8, 16, 24, or 32 - bit data is being written using byte enables. It is important that the data - be in little endian format and no gaps of byte enables be present (like - 1011 or 1101 for example) - */ - always @ (posedge clk or posedge reset) - begin - if(reset == 1) - begin - crc_value <= 0; - end - else - begin - if(write && chipselect && (address == 3'b000)) - begin - crc_value <= polynomial_inital; // reset the crc to the initial value - end - else if(write && chipselect && (address == 3'b001)) - begin - if(byteenable == 4'b0001) // 8 bit data input - begin - crc_value <= cascade[0]; - end - else if(byteenable == 4'b0011) // 16 bit data input - begin - crc_value <= cascade[1]; - end - else if(byteenable == 4'b0111) // 24 bit data input - begin - crc_value <= cascade[2]; - end - else if(byteenable == 4'b1111) // 32 bit data input - begin - crc_value <= cascade[3]; - end - end - end - end - - - /* four stages of cascade blocks (each block is crc_width x 8 bits) */ - XOR_Shift_Block cascade_block0(.block_input(crc_value), .poly(poly), .data_input(block0_data), .block_output(cascade[0])); - defparam cascade_block0.crc_width = crc_width; - XOR_Shift_Block cascade_block1(.block_input(cascade[0]), .poly(poly), .data_input(block1_data), .block_output(cascade[1])); - defparam cascade_block1.crc_width = crc_width; - XOR_Shift_Block cascade_block2(.block_input(cascade[1]), .poly(poly), .data_input(block2_data), .block_output(cascade[2])); - defparam cascade_block2.crc_width = crc_width; - XOR_Shift_Block cascade_block3(.block_input(cascade[2]), .poly(poly), .data_input(block3_data), .block_output(cascade[3])); - defparam cascade_block3.crc_width = crc_width; - - - - /* - Some standards like CRC16 and CRC32 require this bitreversal. - This is to better support serial devices like uarts, ethernet, usb, etc...) - */ - generate if (reflected_output == 1) - begin - for(index = 0; index < crc_width; index = index + 1) - begin: output_reflection32 - assign result[index] = crc_value[(crc_width-1)-index]; - end - end - else - begin - assign result = crc_value; - end - endgenerate - - - /* This final xor operation occurs after the bit swap */ - assign result_xored = result ^ xor_output; - - - /* Generates the appropriate MUX logic depending on the CRC width */ - generate if((crc_width > 32) && (crc_width < 65)) - begin - assign mux_result = (address == 3'b100)? result_xored[31:0] : result_xored[crc_width-1:32]; - end - else if((crc_width > 64) && (crc_width < 97)) - begin - assign mux_result = (address == 3'b100)? result_xored[31:0] : - ((address == 3'b101)? result_xored[63:32] : result_xored[crc_width-1:64]); - end - else if((crc_width > 96) && (crc_width < 129)) - begin - assign mux_result = (address == 3'b100)? result_xored[31:0] : - ((address == 3'b101)? result_xored[63:32] : - ((address == 3'b110)? result_xored[95:64] : result_xored[crc_width-1:96])); - end - else - begin - assign mux_result = result_xored; - end - endgenerate - - - /* Registering the return path of the CRC data (32 bits of it) */ - always @ (posedge clk or posedge reset) - begin - if(reset == 1) - begin - readdata <= 0; - end - else if((read == 1) && (chipselect == 1)) - begin - readdata <= mux_result; - end - end - -endmodule - - - -/* a single cascade block of width: crc_width and a length of eight input bits */ -module XOR_Shift_Block(block_input, - poly, - data_input, - block_output); - parameter crc_width = 32; - - input [(crc_width-1):0] block_input; - input [(crc_width-1):0] poly; - input [7:0] data_input; - output [(crc_width-1):0] block_output; - - wire [(crc_width-1):0] cascade [7:0]; - - XOR_Shift bit_0(.stage_input(block_input), .poly(poly), .new_bit(data_input[7]), .stage_output(cascade[0])); - defparam bit_0.crc_width = crc_width; - XOR_Shift bit_1(.stage_input(cascade[0]), .poly(poly), .new_bit(data_input[6]), .stage_output(cascade[1])); - defparam bit_1.crc_width = crc_width; - XOR_Shift bit_2(.stage_input(cascade[1]), .poly(poly), .new_bit(data_input[5]), .stage_output(cascade[2])); - defparam bit_2.crc_width = crc_width; - XOR_Shift bit_3(.stage_input(cascade[2]), .poly(poly), .new_bit(data_input[4]), .stage_output(cascade[3])); - defparam bit_3.crc_width = crc_width; - XOR_Shift bit_4(.stage_input(cascade[3]), .poly(poly), .new_bit(data_input[3]), .stage_output(cascade[4])); - defparam bit_4.crc_width = crc_width; - XOR_Shift bit_5(.stage_input(cascade[4]), .poly(poly), .new_bit(data_input[2]), .stage_output(cascade[5])); - defparam bit_5.crc_width = crc_width; - XOR_Shift bit_6(.stage_input(cascade[5]), .poly(poly), .new_bit(data_input[1]), .stage_output(cascade[6])); - defparam bit_6.crc_width = crc_width; - XOR_Shift bit_7(.stage_input(cascade[6]), .poly(poly), .new_bit(data_input[0]), .stage_output(cascade[7])); - defparam bit_7.crc_width = crc_width; - - assign block_output = cascade[7]; - -endmodule - - -/* performs the 'new_bit' stuffing, shifting, and XOR operations for a single input bit */ -module XOR_Shift (stage_input, - poly, - new_bit, - stage_output); - - parameter crc_width = 32; - - input [crc_width-1:0] stage_input; - input [crc_width-1:0] poly; - input new_bit; - output [crc_width-1:0] stage_output; - - assign stage_output[0] = new_bit ^ stage_input[crc_width-1]; - assign stage_output[crc_width-1:1] = stage_input[crc_width-2:0] ^ ({crc_width-1{stage_output[0]}} & poly[crc_width-1:1]); - -endmodule diff --git a/ip/nios2_hw_crc/hdl/CRC_Custom_Instruction.v b/ip/nios2_hw_crc/hdl/CRC_Custom_Instruction.v deleted file mode 100644 index f24f7bb..0000000 --- a/ip/nios2_hw_crc/hdl/CRC_Custom_Instruction.v +++ /dev/null @@ -1,101 +0,0 @@ -/* - Legal Notice: (C)2006 Altera Corporation. All rights reserved. Your - use of Altera Corporation's design tools, logic functions and other - software and tools, and its AMPP partner logic functions, and any - output files any of the foregoing (including device programming or - simulation files), and any associated documentation or information are - expressly subject to the terms and conditions of the Altera Program - License Subscription Agreement or other applicable license agreement, - including, without limitation, that your use is for the sole purpose - of programming logic devices manufactured by Altera and sold by Altera - or its authorized distributors. Please refer to the applicable - agreement for further details. -*/ - -/* - This thin wrapper re-uses the CRC Avalon component as a Nios II - custom instruction. The n port of custom instruction is used as - control to the CRC Avalon component. Below are the values of n and - the corresponding operations perform by the custom instruction: - n = 0, Initialize the custom instruction to the initial remainder value - n = 1, Write 8 bits data to custom instruction - n = 2, Write 16 bits data to custom instruction - n = 3, Write 32 bits data to custom instruction - n = 4, Read 32 bits data from the custom instruction - n = 5, Read 64 bits data from the custom instruction - n = 6, Read 96 bits data from the custom instruction - n = 7, Read 128 bits data from the custom instruction -*/ - - - -module CRC_Custom_Instruction(clk, - reset, - dataa, - n, - clk_en, - start, - done, - result); - /* - See the Avalon CRC component for details on the meaning of each - parameter listed below. - */ - parameter crc_width = 32; - parameter polynomial_inital = 32'hFFFFFFFF; - parameter polynomial = 32'h04C11DB7; - parameter reflected_input = 1; - parameter reflected_output = 1; - parameter xor_output = 32'hFFFFFFFF; - - input clk; - input reset; - input [31:0] dataa; - input [2:0] n; - input clk_en; - input start; - output done; - output [31:0] result; - - wire [2:0] address; - wire [3:0] byteenable; - wire write; - wire read; - reg done_delay; - - assign write = (n<4); - assign read = (n>3); - assign byteenable = (n==1)?4'b0001 : (n==2)?4'b0011 : (n==3)?4'b1111 : 4'b0000; - assign address = (n==0)?3'b000 : ((n==1)|(n==2)|(n==3))?3'b001 : (n==4)?3'b100 : (n==5)?3'b101 : (n==6)?3'b110 : 3'b111; - assign done = (n>3)? done_delay : start; - - always @ (posedge clk or posedge reset) - begin - if (reset) - done_delay <= 0; - else - done_delay <= start; - end - - /* - Instantiating the Avalon CRC component and wiring it to be - custom instruction compilant - */ - CRC_Component wrapper_wiring(.clk(clk), - .reset(reset), - .address(address), - .writedata(dataa), - .byteenable(byteenable), - .write(write & start), - .read(read), - .chipselect(clk_en), - .readdata(result)); - - defparam wrapper_wiring.crc_width = crc_width; - defparam wrapper_wiring.polynomial_inital = polynomial_inital; - defparam wrapper_wiring.polynomial = polynomial; - defparam wrapper_wiring.reflected_input = reflected_input; - defparam wrapper_wiring.reflected_output = reflected_output; - defparam wrapper_wiring.xor_output = xor_output; - -endmodule diff --git a/ip/nios2_hw_crc/nios2_hw_crc32_hw.tcl b/ip/nios2_hw_crc/nios2_hw_crc32_hw.tcl deleted file mode 100644 index aa5ecdd..0000000 --- a/ip/nios2_hw_crc/nios2_hw_crc32_hw.tcl +++ /dev/null @@ -1,116 +0,0 @@ -# TCL File Generated by Component Editor 15.1 -# Tue Dec 22 18:46:40 EET 2015 -# DO NOT MODIFY - - -# -# nios2_hw_crc32 "nios2_hw_crc32" v1.0 -# 2015.12.22.18:46:40 -# -# - -# -# request TCL package from ACDS 15.1 -# -package require -exact qsys 15.1 - - -# -# module nios2_hw_crc32 -# -set_module_property DESCRIPTION "" -set_module_property NAME nios2_hw_crc32 -set_module_property VERSION 17.1 -set_module_property INTERNAL false -set_module_property OPAQUE_ADDRESS_MAP true -set_module_property GROUP "Custom Instruction Modules" -set_module_property AUTHOR "" -set_module_property DISPLAY_NAME nios2_hw_crc32 -set_module_property INSTANTIATE_IN_SYSTEM_MODULE true -set_module_property EDITABLE true -set_module_property REPORT_TO_TALKBACK false -set_module_property ALLOW_GREYBOX_GENERATION false -set_module_property REPORT_HIERARCHY false - - -# -# file sets -# -add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" -set_fileset_property QUARTUS_SYNTH TOP_LEVEL CRC_Custom_Instruction -set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false -set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false -add_fileset_file CRC_Component.v VERILOG PATH hdl/CRC_Component.v -add_fileset_file CRC_Custom_Instruction.v VERILOG PATH hdl/CRC_Custom_Instruction.v TOP_LEVEL_FILE - - -# -# parameters -# -add_parameter crc_width INTEGER 32 -set_parameter_property crc_width DEFAULT_VALUE 32 -set_parameter_property crc_width DISPLAY_NAME crc_width -set_parameter_property crc_width TYPE INTEGER -set_parameter_property crc_width UNITS None -set_parameter_property crc_width HDL_PARAMETER true -add_parameter polynomial_inital STD_LOGIC_VECTOR 4294967295 -set_parameter_property polynomial_inital DEFAULT_VALUE 4294967295 -set_parameter_property polynomial_inital DISPLAY_NAME polynomial_inital -set_parameter_property polynomial_inital TYPE STD_LOGIC_VECTOR -set_parameter_property polynomial_inital UNITS None -set_parameter_property polynomial_inital ALLOWED_RANGES 0:17179869183 -set_parameter_property polynomial_inital HDL_PARAMETER true -add_parameter polynomial STD_LOGIC_VECTOR 79764919 -set_parameter_property polynomial DEFAULT_VALUE 79764919 -set_parameter_property polynomial DISPLAY_NAME polynomial -set_parameter_property polynomial TYPE STD_LOGIC_VECTOR -set_parameter_property polynomial UNITS None -set_parameter_property polynomial ALLOWED_RANGES 0:17179869183 -set_parameter_property polynomial HDL_PARAMETER true -add_parameter reflected_input INTEGER 1 -set_parameter_property reflected_input DEFAULT_VALUE 1 -set_parameter_property reflected_input DISPLAY_NAME reflected_input -set_parameter_property reflected_input TYPE INTEGER -set_parameter_property reflected_input UNITS None -set_parameter_property reflected_input HDL_PARAMETER true -add_parameter reflected_output INTEGER 1 -set_parameter_property reflected_output DEFAULT_VALUE 1 -set_parameter_property reflected_output DISPLAY_NAME reflected_output -set_parameter_property reflected_output TYPE INTEGER -set_parameter_property reflected_output UNITS None -set_parameter_property reflected_output HDL_PARAMETER true -add_parameter xor_output STD_LOGIC_VECTOR 4294967295 -set_parameter_property xor_output DEFAULT_VALUE 4294967295 -set_parameter_property xor_output DISPLAY_NAME xor_output -set_parameter_property xor_output TYPE STD_LOGIC_VECTOR -set_parameter_property xor_output UNITS None -set_parameter_property xor_output ALLOWED_RANGES 0:17179869183 -set_parameter_property xor_output HDL_PARAMETER true - - -# -# display items -# - - -# -# connection point nios_custom_instruction_slave -# -add_interface nios_custom_instruction_slave nios_custom_instruction end -set_interface_property nios_custom_instruction_slave clockCycle 0 -set_interface_property nios_custom_instruction_slave operands 1 -set_interface_property nios_custom_instruction_slave ENABLED true -set_interface_property nios_custom_instruction_slave EXPORT_OF "" -set_interface_property nios_custom_instruction_slave PORT_NAME_MAP "" -set_interface_property nios_custom_instruction_slave CMSIS_SVD_VARIABLES "" -set_interface_property nios_custom_instruction_slave SVD_ADDRESS_GROUP "" - -add_interface_port nios_custom_instruction_slave clk clk Input 1 -add_interface_port nios_custom_instruction_slave clk_en clk_en Input 1 -add_interface_port nios_custom_instruction_slave dataa dataa Input 32 -add_interface_port nios_custom_instruction_slave done done Output 1 -add_interface_port nios_custom_instruction_slave n n Input 3 -add_interface_port nios_custom_instruction_slave reset reset Input 1 -add_interface_port nios_custom_instruction_slave result result Output 32 -add_interface_port nios_custom_instruction_slave start start Input 1 - diff --git a/ip/nios2_hw_crc/nios2_hw_crc32_sw.tcl b/ip/nios2_hw_crc/nios2_hw_crc32_sw.tcl deleted file mode 100644 index ce0d0e4..0000000 --- a/ip/nios2_hw_crc/nios2_hw_crc32_sw.tcl +++ /dev/null @@ -1,58 +0,0 @@ -# (C) 2001-2015 Altera Corporation. All rights reserved. -# Your use of Altera Corporation's design tools, logic functions and other -# software and tools, and its AMPP partner logic functions, and any output -# files any of the foregoing (including device programming or simulation -# files), and any associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License Subscription -# Agreement, Altera MegaCore Function License Agreement, or other applicable -# license agreement, including, without limitation, that your use is for the -# sole purpose of programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the applicable -# agreement for further details. - - -# TCL File Generated by Altera University Program -# DO NOT MODIFY - -set aup_version 15.1 - -# Create a new driver - this name must be different than the -# hardware component name -create_driver nios2_hw_crc32_driver - -# Associate it with some hardware -set_sw_property hw_class_name nios2_hw_crc32 - -# The version of this driver -set_sw_property version $aup_version - -# This driver is proclaimed to be compatible with 'component' -# as old as version "1.0". The component hardware version is set in the -# _hw.tcl file - If the hardware component version number is not equal -# or greater than the min_compatable_hw_version number, the driver -# source files will not be copied over to the BSP driver directory -set_sw_property min_compatible_hw_version 1.0 - -# Initialize the driver in alt_sys_init() -set_sw_property auto_initialize false - -# Location in generated BSP that sources will be copied into -set_sw_property bsp_subdirectory drivers - -# -# Source file listings... -# - -# C/C++ source files -add_sw_property c_source HAL/src/ci_crc.c -add_sw_property c_source HAL/src/crc.c - -# Include files -add_sw_property include_source HAL/inc/ci_crc.h -add_sw_property include_source HAL/inc/crc.h - -# This driver supports HAL type -add_sw_property supported_bsp_type HAL - -# End of file - diff --git a/ip/pulpino_qsys b/ip/pulpino_qsys new file mode 160000 index 0000000..b11dd77 --- /dev/null +++ b/ip/pulpino_qsys @@ -0,0 +1 @@ +Subproject commit b11dd7718e6d367cbaef8a362ce206510fd87ed0 diff --git a/rtl/ossc.v b/rtl/ossc.v index 3980c89..1918ef3 100644 --- a/rtl/ossc.v +++ b/rtl/ossc.v @@ -83,6 +83,7 @@ wire DE_out_videogen; reg [7:0] cpu_reset_ctr = 0; reg cpu_reset_n = 1'b0; +wire ext_reset_req; reg [7:0] R_in_L, G_in_L, B_in_L; reg HSYNC_in_L, VSYNC_in_L, FID_in_L; @@ -226,7 +227,12 @@ end sys sys_inst( .clk_clk (clk27), - .reset_reset_n (cpu_reset_n), + .reset_reset_n (cpu_reset_n & ~ext_reset_req), + .pulpino_0_config_testmode_i (1'b0), + .pulpino_0_config_fetch_enable_i (1'b1), + .pulpino_0_config_clock_gating_i (1'b0), + .pulpino_0_config_boot_addr_i (32'h00010000), + .master_0_master_reset_reset (ext_reset_req), .i2c_opencores_0_export_scl_pad_io (scl), .i2c_opencores_0_export_sda_pad_io (sda), .i2c_opencores_0_export_spi_miso_pad_i (1'b0), diff --git a/scripts/rv-reprogram.tcl b/scripts/rv-reprogram.tcl new file mode 100644 index 0000000..80be390 --- /dev/null +++ b/scripts/rv-reprogram.tcl @@ -0,0 +1,25 @@ +#Select the master service type and check for available service paths. +set service_paths [get_service_paths master] + +#Set the master service path. +set master_service_path [lindex $service_paths 0] + +#Open the master service. +set claim_path [claim_service master $master_service_path mylib] + +puts "Halting CPU" +master_write_32 $claim_path 0x0 0x1 + +puts "Writing block RAM" +master_write_from_file $claim_path mem_init/sys_onchip_memory2_0.bin 0x10000 + +close_service master $claim_path + + +set jtag_debug_list [get_service_paths jtag_debug] +set jd [ lindex $jtag_debug_list 0 ] +open_service jtag_debug $jd +puts "Resetting system" +jtag_debug_reset_system $jd +close_service jtag_debug $jd +puts "Done" diff --git a/software/ossc_sw.project b/software/ossc_sw.project index e30f872..5d8bca9 100644 --- a/software/ossc_sw.project +++ b/software/ossc_sw.project @@ -161,7 +161,7 @@ - + diff --git a/software/sys_controller/Makefile b/software/sys_controller/Makefile index c0ee74d..e5b48fc 100644 --- a/software/sys_controller/Makefile +++ b/software/sys_controller/Makefile @@ -754,6 +754,8 @@ ifeq ($(MKDIR),) MKDIR := $(DEFAULT_MKDIR) endif +RV_OBJCOPY = riscv64-unknown-elf-objcopy + #------------------------------------------------------------------------------ # PATTERN RULES TO BUILD OBJECTS #------------------------------------------------------------------------------ @@ -1127,5 +1129,16 @@ print-elf-name: ossc/menu_sjis.c: ossc/menu.c iconv -f UTF-8 -t SHIFT-JIS ossc/menu.c > ossc/menu_sjis.c +mem_init/sys_onchip_memory2_0.hex: sys_controller.elf + $(RV_OBJCOPY) --change-addresses -0x10000 -O binary --gap-fill 0 $< mem_init/sys_onchip_memory2_0.bin + ../../tools/bin2hex mem_init/sys_onchip_memory2_0.bin mem_init/sys_onchip_memory2_0.hex + +.PHONY: mem_init_generate_new +mem_init_generate_new: mem_init/sys_onchip_memory2_0.hex + .PHONY: generate_hex -generate_hex: clean mem_init_generate +generate_hex: clean mem_init_generate_new + +.PHONY: rv-reprogram +rv-reprogram: mem_init_generate_new + system-console -cli --script ../../scripts/rv-reprogram.tcl diff --git a/software/sys_controller/crt0.boot.S b/software/sys_controller/crt0.boot.S new file mode 100644 index 0000000..863deb9 --- /dev/null +++ b/software/sys_controller/crt0.boot.S @@ -0,0 +1,103 @@ +// Copyright 2017 ETH Zurich and University of Bologna. +// Copyright and related rights are licensed under the Solderpad Hardware +// License, Version 0.51 (the “License”); you may not use this file except in +// compliance with the License. You may obtain a copy of the License at +// http://solderpad.org/licenses/SHL-0.51. Unless required by applicable law +// or agreed to in writing, software, hardware and materials distributed under +// this License is distributed on an “AS IS” BASIS, WITHOUT WARRANTIES OR +// CONDITIONS OF ANY KIND, either express or implied. See the License for the +// specific language governing permissions and limitations under the License. + +#include "pulpino.h" + +#define EXCEPTION_STACK_SIZE 72 + + +/* ========================================================= [ entry ] === */ + .section .text + +default_exc_handler: + jal x0, default_exc_handler + +reset_handler: + /* set all registers to zero */ + mv x1, x0 + mv x2, x1 + mv x3, x1 + mv x4, x1 + mv x5, x1 + mv x6, x1 + mv x7, x1 + mv x8, x1 + mv x9, x1 + mv x10, x1 + mv x11, x1 + mv x12, x1 + mv x13, x1 + mv x14, x1 + mv x15, x1 + mv x16, x1 + mv x17, x1 + mv x18, x1 + mv x19, x1 + mv x20, x1 + mv x21, x1 + mv x22, x1 + mv x23, x1 + mv x24, x1 + mv x25, x1 + mv x26, x1 + mv x27, x1 + mv x28, x1 + mv x29, x1 + mv x30, x1 + mv x31, x1 + + /* stack initilization */ + la x2, _stack_start + +_start: + .global _start + + /* clear BSS */ + la x26, _bss_start + la x27, _bss_end + + bge x26, x27, zero_loop_end + +zero_loop: + sw x0, 0(x26) + addi x26, x26, 4 + ble x26, x27, zero_loop +zero_loop_end: + + +main_entry: + /* jump to alt_main program entry point */ + jal alt_main + +/* =================================================== [ exceptions ] === */ +/* This section has to be down here, since we have to disable rvc for it */ + + .section .vectors, "ax" + .option norvc; + + // external interrupts are handled by the same callback + // until compiler supports IRQ routines + .org 0x00 + .rept 31 + nop + .endr + jal x0, default_exc_handler + + // reset vector + .org 0x80 + jal x0, reset_handler + + // illegal instruction exception + .org 0x84 + jal x0, default_exc_handler + + // ecall handler + .org 0x88 + jal x0, default_exc_handler diff --git a/software/sys_controller/it6613/hdmitx.h b/software/sys_controller/it6613/hdmitx.h index fe5d011..857a7ab 100644 --- a/software/sys_controller/it6613/hdmitx.h +++ b/software/sys_controller/it6613/hdmitx.h @@ -52,7 +52,7 @@ //#define NULL 0 //typedef unsigned char bool; -#include "Altera_UP_SD_Card_Avalon_Interface_mod.h" +typedef unsigned char bool; #include "sysconfig.h" // Hardwired to CPU reset diff --git a/software/sys_controller/link.common.ld b/software/sys_controller/link.common.ld new file mode 100644 index 0000000..d302ada --- /dev/null +++ b/software/sys_controller/link.common.ld @@ -0,0 +1,135 @@ +SEARCH_DIR(.) +__DYNAMIC = 0; + +MEMORY +{ + dataram : ORIGIN = 0x00010000, LENGTH = 0x9000 +} + +/* Stack information variables */ +_min_stack = 0x400; /* 1K - minimum stack space to reserve */ +_stack_start = ORIGIN(dataram) + LENGTH(dataram); + +/* We have to align each sector to word boundaries as our current s19->slm + * conversion scripts are not able to handle non-word aligned sections. */ + +SECTIONS +{ + .vectors : + { + . = ALIGN(4); + KEEP(*(.vectors)) + } > dataram + + .text : { + . = ALIGN(4); + _stext = .; + *(.text) + *(.text.*) + _etext = .; + __CTOR_LIST__ = .; + LONG((__CTOR_END__ - __CTOR_LIST__) / 4 - 2) + *(.ctors) + LONG(0) + __CTOR_END__ = .; + __DTOR_LIST__ = .; + LONG((__DTOR_END__ - __DTOR_LIST__) / 4 - 2) + *(.dtors) + LONG(0) + __DTOR_END__ = .; + *(.lit) + *(.shdata) + _endtext = .; + } > dataram + + /*--------------------------------------------------------------------*/ + /* Global constructor/destructor segement */ + /*--------------------------------------------------------------------*/ + + .preinit_array : + { + PROVIDE_HIDDEN (__preinit_array_start = .); + KEEP (*(.preinit_array)) + PROVIDE_HIDDEN (__preinit_array_end = .); + } > dataram + + .init_array : + { + PROVIDE_HIDDEN (__init_array_start = .); + KEEP (*(SORT(.init_array.*))) + KEEP (*(.init_array )) + PROVIDE_HIDDEN (__init_array_end = .); + } > dataram + + .fini_array : + { + PROVIDE_HIDDEN (__fini_array_start = .); + KEEP (*(SORT(.fini_array.*))) + KEEP (*(.fini_array )) + PROVIDE_HIDDEN (__fini_array_end = .); + } > dataram + + .rodata : { + . = ALIGN(4); + *(.rodata); + *(.rodata.*) + } > dataram + + .shbss : + { + . = ALIGN(4); + *(.shbss) + } > dataram + + .data : { + . = ALIGN(4); + sdata = .; + _sdata = .; + *(.data); + *(.data.*) + *(.sdata); + *(.sdata.*) + *(.sdata2); + *(.sdata2.*) + edata = .; + _edata = .; + } > dataram + + .bss : + { + . = ALIGN(4); + _bss_start = .; + *(.bss) + *(.bss.*) + *(.sbss) + *(.sbss.*) + *(COMMON) + _bss_end = .; + } > dataram + + /* ensure there is enough room for stack */ + .stack (NOLOAD): { + . = ALIGN(4); + . = . + _min_stack ; + . = ALIGN(4); + stack = . ; + _stack = . ; + } > dataram + + .stab 0 (NOLOAD) : + { + [ .stab ] + } + + .stabstr 0 (NOLOAD) : + { + [ .stabstr ] + } + + .bss : + { + . = ALIGN(4); + _end = .; + } > dataram +} + diff --git a/software/sys_controller/link.riscv.ld b/software/sys_controller/link.riscv.ld new file mode 100644 index 0000000..24f8fb0 --- /dev/null +++ b/software/sys_controller/link.riscv.ld @@ -0,0 +1,7 @@ +/* not needed, but we need separate linker scripts anyway */ +OUTPUT_ARCH(riscv) + +/* required to correctly link newlib */ +GROUP( -lc -lgloss -lgcc -lsupc++ ) + +INCLUDE link.common.ld diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index ad0f69a..e569cf8 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -1,1282 +1,7366 @@ -:020000020000FC -:2000000000402074084008140800683A0000000000000000000000000000000000000000FE -:2000080006C02074DEE80014068020B4D68387140080207410A6051400C0207418E72014EC -:2000100010C00326100000151080010410FFFD360816BC400816CA40003FFF06DEFFFB0479 -:2000180000BFE084D880000500800084D880004500800344D880008500800484DFC0041543 -:20002000D88000C531803FCCD8C005173000022600BFE004000001060080100439C03FCC99 -:20002800380E91FAD900018518C000CC39C0021410800214D80B883A01000044D8800105E7 -:20003000D9C00145D8C001C5D8000205D8000245D8000285D80002C5D8000305D800034577 -:20003800D8000385D80003C5081073C0DFC00417DEC00504F800283ADEFFFB040080010441 -:20004000D880000500800044D880004500800284D8800085D88000C3DFC0041521003FCC00 -:200048001080020C1080005410800414D88000C5D8800103108000D4D88001052000021EF3 -:200050000080014400000106008000C4D9000103108001CC1085883A00FFF8C420C6703AA4 -:200058001085883A1884B03AD8800105D88001C3D80B883A01000044108001CCD80001858C -:20006000D88001C508108680DFC00417DEC00504F800283ADEFFFC04DC000015040020B4E3 -:2000680084041804DC80021504801304DC400115900B883A2023883A000D883A8009883A18 -:20007000DFC003150817410089403FCC8009883A000D883A0817474004400044900B883AE0 -:200078008009883A880D883A08174100880B883A8009883ADFC00317DC800217DC40011764 -:20008000DC000017DEC0040408174481DEFFFC04DC000015040020B484041804DC80021526 -:20008800DC4001152025883A2823883A8009883A000D883A01401304DFC003150817410089 -:2000900091403FCC8009883A000D883A081747400180004489403FCC8009883ADFC0031717 -:20009800DC800217DC400117DC000017DEC0040408174741DEFFFF0421003FCCDFC000159F -:2000A00008101940DFC00017DEC00104F800283ADEFFFF0429403FCC21003FCCDFC00015E8 -:2000A800081020C00005883ADFC00017DEC00104F800283ADEFFFB04DCC00315DC800215C3 -:2000B000DC400115DC000015DFC004152825883A3027883A2023883A2821883A8485C83A12 -:2000B80014C0060E8140000389003FCC84000044081020C08C400044003FF8060005883A14 -:2000C000DFC00417DCC00317DC800217DC400117DC000017DEC00504F800283ADEFFFF0433 -:2000C8000140FA04DFC0001508162F801009883ADFC00017DEC001040816CD01DEFFFF0458 -:2000D000000B883A010003C4DFC0001508102900000B883A01001844DFC00017DEC0010403 -:2000D80008102901DEFFFD04DC000015040020B484261C048140008301000144DFC0021515 -:2000E000DC40011508102900808000838100030300FFFEC41004D1FA20C6703A044001040A -:2000E8001085883A1085883A1884B03A01400F448809883A8080030508102900010000444F -:2000F000081031C08809883A014007440810290001400C04010018440810290001402C84E7 -:2000F800010002440810290001403E04010002840810290001400DC4010002C408102900FB -:20010000000B883A010003C408102900000B883A0100324408102900000B883A0100328400 -:2001080008102900000B883A010032C408102900000B883A0100330408102900000B883A7E -:200110000100334408102900000B883A0100338408102900000B883A010033C4081029004A -:20011800000B883A01003404081029008140010301003844DFC00217DC400117DC0000175F -:20012000DEC0030408102901DEFFF904DCC003152827883A0140FA04DD400515DD000415CD -:20012800DC400115DC000015DFC00615DC800215202B883A3023883A9CC03FCC081623C0DD -:20013000050000441021883A9D00021E0486000400000106048C000401400044010003C440 -:2001380008102900000B883A01000CC408102900900AD23A01000D04048020B40810290036 -:20014000000B883A01000D440810290081403FCC01000C0408102900800AD23A01000C443A -:2001480094A61C0429403FCC08102900800AD43A01000C8408102900000B883A010003C489 -:2001500008102900000B883A0100314408102900954002159D000226040002840000010688 -:200158000400008400800044940001C5908001058C403FCC050000448D0002260005883A2F -:2001600000000106008003C401003804908001450140300408102900047FFF040100164407 -:200168009D00051E081027408884703A1140005429403FCC00000306081027408884703A2B -:2001700011403FCC0100164408102900010001040810274011403ACC010001040810290054 -:2001780091400103010038440810290001403904010038840810290091400143010038C446 -:20018000294003CC0810290001403A04010039040810290001400044010003C4081029005A -:20018800000B883A0100244408102900000B883A010024840810290001400044010024C4BB -:2001900008102900000B883A0100250408102900017FF004814AB03A29403FCC010026040E -:2001980008102900040A303A29403FCC280A913A01002644294002D429403FCC08102900BE -:2001A000000B883A010003C40810290001403044010038040810290000BFF04490800185AD -:2001A80000800044DFC00617DD400517DD000417DCC00317DC800217DC400117DC00001734 -:2001B000DEC00704F800283ADEFFFE04DC000015040001048009883ADFC0011508102740D4 -:2001B800108002148009883A11403FCC0810290001400C0401001844DFC00117DC00001741 -:2001C000DEC0020408102901DEFFFE04DC000015040001048009883ADFC0011508102740E1 -:2001C8001404B03A11403FCC8009883ADFC00117DC000017DEC0020408102901DEFFFE04FF -:2001D000DFC00115DC00001521003FCC2000021E000B883A00003B062821883A28003D2659 -:2001D80001400044010003C408102900814000C30100160408102900814001030100164479 -:2001E0000810290081400143010016840810290081400183010016C408102900814001C3F7 -:2001E800010017040810290081400203010017840810290081400243010017C408102900D4 -:2001F000814002830100180408102900814002C301001844081029008140030301001884C3 -:2001F8000810290081400343010018C408102900814003830100190408102900814003C354 -:200200000100194408102900808000C4000B883A8400040410C000031080004428CBC83A86 -:20020800143FFC1E29401BC429403FCC0100174408102900000B883A010003C4081029003A -:20021000014000C4010033440810290000800044000001060005883ADFC00117DC000017D4 -:20021800DEC00204F800283ADEFFFE04DFC00115DC00001521003FCC2000021E000B883A0A -:20022000000020062821883A2800222601400044010003C408102900814000C301001A04EC -:20022800081029008140010301001A44081029008140018301001AC408102900814001C326 -:2002300001001B0408102900808000C4000B883A8400020410C000031080004428CBC83A96 -:20023800143FFC1E29401C4429403FCC01001B4408102900000B883A010003C40810290085 -:20024000014000C4010033840810290000800044000001060005883ADFC00117DC00001764 -:20024800DEC00204F800283ADEFFFD04DC000015000B883A2021883A010003C4DFC002157B -:20025000DC40011508102900044030448809883A0810274084003FCC800AC03A10803F8C23 -:200258008809883A114AB03A08102900014000C401003184DFC00217DC400117DC00001713 -:20026000DEC0030408102901DEFFF904DCC00315DC800215DC4001152025883A2823883A50 -:20026800010001040140074404C020B49CE61C04DD000415DC000015DFC006153821883AEE -:20027000DD4005153029883A0810290098C0030381C0004C00BFFF441884703A39CF883ADE -:2002780011CEB03A99C00305988003171080008C10000226010000440810928001001C0426 -:200280009C0000C308102740108000CC8D4000CC00C00044100B883AA8C0052600C00084D3 -:20028800A8C0041E017FE004114AB03A00000106114010148080020C1000012629400814DD -:200290008080004C10000126294004148080008C10000126294002148400010C80000126D0 -:200298002940011429403FCC01001C0408102900A800131EA50000CC00800044A080082696 -:2002A00000800084A0800E1E88803FCC1080201C10BFE004100050160029883A00004F06A6 -:2002A80088803FCC1080201C10BFE0048D00100C10004A0E00BFE8041528B03A0000470674 -:2002B0000029883A0021883A01001C84081027401080070CA420B03A140AB03A29403FCC73 -:2002B80001001C8408102900988003171080008C100002260140004400000106000B883A65 -:2002C0000100300408102900014005440100010408102900014004040100184408102900F0 -:2002C80094803FCC008000849080081E01402204010018840810290001400404010018C452 -:2002D00008102900014021040000070601400604010018840810290001400404010018C40B -:2002D8000810290001400304010019040810290001000044081031C001000104081027404B -:2002E0001140074C010001040810290001001904081031C001000104081027401140054CC5 -:2002E80001000104081029000400190401000384081027401080040C1000321E0100004442 -:2002F000081031C080BFFFC41021883A10BFFFCC103FF61E00002B06053FE8048C400C0CAE -:2002F800008008048880081E018000C4D16000C401001CC408102B40014020B40180048470 -:20030000296170840000090600800C0488800B1E018000C4D16000C401001CC408102B40F1 -:20030800014020B4018004842961678401001D8408102B4004000084003FA3060080040425 -:200310008880081E018000C4D160000401001CC408102B40014020B40180048429616304B2 -:20031800003FF206018000C4D160000401001CC408102B40014020B40180048429616C0498 -:20032000003FEA06000B883A01000304081029000140100401000344081029000140004415 -:200328000100038408102900000B883A0100038408102900081033C000800044DFC00617CB -:20033000DD400517DD000417DCC00317DC800217DC400117DC000017DEC00704F800283A27 -:20033800DEFFFD04DC400115DC0000152823883A2021883A000B883A010003C4DFC0021549 -:200340000810290001001644081027408C403FCC10800BCC8800051E81403FCC280A91BA50 -:2003480029400414114AB03A00000106100B883A29403FCC01001644DFC00217DC40011730 -:20035000DC000017DEC0030408102901008020B410A3500410C03217D0A27F1518000526F6 -:2003580010C03517008040041880021E0005883AF800283A00BFCE04F800283ADEFFFD0403 -:20036000DC400115280F883A2823883A200B883AD1227F17DC000015DFC002153021883A15 -:20036800081716C010000B1E800D883A8463883A34400626308000031005C2721005D63A8E -:200370003180004430BFFFC5003FF9060005883A0000010600BFCDC4DFC00217DC4001177D -:20037800DC000017DEC00304F800283ADEFFFB04DC800315DC400215DC000115DFC0041546 -:2003800030803FCC2023883A2825883A3021883A100003268805883A8C89883A0000060610 -:20038800300A923AD1227F170816E600103FF92600BFCD84000010061100062610C000031E -:200390001807C2721807D63A1080004410FFFFC5003FF906800AD23A800C923AD1227F176F -:20039800280A943ADC800015880F883A0816EF401000012600BFCD44DFC00417DC800317F7 -:2003A000DC400217DC000117DEC00504F800283ADEFFF904DD400515DD000415DCC0031558 -:2003A800DC800215DC000015DFC00615DC4001152825883A3029883A3827883A0021883AB7 -:2003B0000005883A0540400484800E2E9423C83AAC40012E04404004980D883A880B883A85 -:2003B8008009883A0810D7C010000A1E800D003A880B883A9809883A0817328084004004DB -:2003C000003FF1061500021E0005883A0000010600BFCD04DFC00617DD400517DD00041767 -:2003C800DCC00317DC800217DC400117DC000017DEC00704F800283ADEFFFD04DC000115F0 -:2003D0002021883A010020B421262004DFC002150815CE8010803FCC1000091E00808004D3 -:2003D800010020B4D8800015000F883A000D883A800B883A212620040815F04010803FCC83 -:2003E000DFC00217DC000117DEC00304F800283A014020B4010020B429662E042126230439 -:2003E80008147381014020B4010020B4296645042126274408147381DEFFFB04DFC00415CD -:2003F000DC000015DCC003152021883ADC800215DC40011508107080000B883A0009883A00 -:2003F80084003FCC0810868080002B1E040020B4842633048140011701006734213F3004AD -:20040000081623C081000287014006841023883A08162F8000C020B418E6668410C5883A31 -:200408001140000B8809883A044020B408162F80814004031009883A8C66494408162F8051 -:20041000814003031009883A2940004408162F80810003838CC009C38C800983100B883A1C -:200418002100004408162F80980D883A900B883A1009883A0810488089000983DFC0041744 -:20042000DCC00317DC800217DC400117DC000017DEC0050408100F81DFC00417DCC00317AB -:20042800DC800217DC400117DC000017DEC00504F800283ADEFFFB04DC0001152021883A46 -:2004300001000044DFC00415DC800315DC4002150810928008106C80000B883A0009883A42 -:20043800081073C084003FCC800F003A000D883A000B883A010000440810988080000D1E45 -:20044000044020B48C663304048020B4888003C394A6494491C00583D8800015000D883A59 -:20044800000B883A0009883A081005C09080058388800B458009883A0810FB800009883A86 -:20045000DFC00417DC800317DC400217DC000117DEC0050408109281DEFFFD04DFC00215CD -:20045800DC400115DC00001521003FCC2000271ED0A2824300C0020410C01D2600C00404F8 -:2004600010C0062600C0010410C01C1E0009883A081507400100004400002E06040020B431 -:20046800842633048140011701006734213F3004081623C081000287014006841023883ABF -:2004700008162F8000C020B418E6668410C5883A1140000B8809883A08162F8000C072B42A -:2004780018F0DFC418800236010003C4000001060009883A08150740000015060009883A05 -:20048000081507400009883A00001206008020B410A6494410C00803044000841021883AE8 -:200488001C4002260009883A00000106010003C4081507408100080320803FCC8880042E61 -:20049000008001441109C83A21003FCC00000106010000C4DFC00217DC400117DC00001794 -:20049800DEC003040814A281DEFFF50400C020B4DFC00A15DF000915DDC00815DD80071508 -:2004A000DD400615DD000515DCC00415DC800315DC400215DC0001150089C40418C4380456 -:2004A80019800037054020B4AD4438043000020E10BFFFC4103FFA1E29403FCC21003FCC45 -:2004B000040020B40815500084263304102D883A808002C310000426AC4000378823D43A2C -:2004B8008C4000CC000001060023883A01000DC40814B10001000E041027883A0814B10028 -:2004C0001025883A108003CC1004923A01000E4414E6B03A9004D1BA1080004C808002456D -:2004C8000814B10001000E841029883A0814B100A8C00037010000848C403FCC89000E2634 -:2004D000010020B421263304210002C32000021E010063C424C0082E010000448900041E61 -:2004D8001827D4BA9CC1FFCC9927883A000005069480080C9000032698C032280540004466 -:2004E0000000020698C06428002B883A814002C3048020B4B1003FCC94A649442800131E69 -:2004E8002000212618002026D0E280030140008419003FCC2100201C213FE0042900070E32 -:2004F00000C020B40100004418E63304D0228005190002C5044001040000160618C0004406 -:2004F800D0E280050023883A000012062000012618000D1ED1228003017FFF0421803FCC81 -:200500003180201C31BFE0043140040ED0228005800002C50440010400000506213FFFC462 -:20050800D122800500000106D02280050023883A18008726108003CC1004923A80C000179D -:200510001528B03A19000044008020B410A6330424C007369900004420C0053610C0011705 -:20051800A0C0031E10C00203A8803FCC18800226D022864500000C06D0E28643008000446C -:2005200019003FCC208008261887883AD0E2864518C03FCC1880041E88803FCC00C00084F7 -:2005280010C0012E1823883A91400303808008C3010020B400C020B42126494418E63304A3 -:200530002880281E21400343188009032880251E21400383188009432880221E214003C3AD -:200538001880098328801F1E21400403188009C328801C1E214001C3188007832880191EC6 -:2005400021400203188007C32880161E21400243188008032880131E21400283188008430C -:200548002880101E210002C31880088320800D1E9140064381000C0300C020B4008020B457 -:2005500018E6494410A633042900061E1940068311000C432900031E18C0090310800EC3F5 -:200558001880042688803FCC00C0008410C0012E1823883A90C005C380800B8318800F26FB -:20056000008020B410A63304110002870140068408162F8000C020B418E6670410C5883A74 -:2005680010C0000B008083441880041E88803FCC00C0008410C0012E1823883AD0A2874308 -:200570001000202685C0028701400684058020B4B809883A08162F80B5A663048700040383 -:20057800B085883A1140038BE009883A08162F80173FFFCC80800C03A0FFFFCC10000A263B -:2005800001400684B809883AD8C0001508162F80B085883A1180060BD8C00017300CD07AC5 -:200588003180004C00000106000D883A180B883AE009883A0814DA8088803FCC1000011E38 -:200590000440004484C00015850001158540020591400003810005C300C020B4008020B4FE -:2005980018E6494410A6330429001E1E194000431100060329001B1E194000831100064318 -:2005A0002900181E194000C3110006832900151E19400103110006C32900121E194001439D -:2005A8001100070329000F1E1940048311000A4329000C1E194004C311000A832900091E23 -:2005B0001940050311000AC32900061E18C0044310800A031880031E90C0094380800F037C -:2005B8001880032688803FCC1000011E044000449100060380800BC304C020B49CE63304DF -:2005C0002080062699400403081518C09880044588803FCC1000011E0440004491000703B4 -:2005C80080800CC320800126081520C09100074380800D03208001260814D0409100078387 -:2005D00080800D43208001260814D1009100084380800E032080071E008020B410A64944BE -:2005D80010C00883008020B410A6330410800E4318800226914008830814CD00910006C327 -:2005E00080800C83208006260140060408162F80010020B4212090042089883A0814F5C0A2 -:2005E8009100080380800DC32080012608111580910007C380800D832080012608150BC078 -:2005F000014020B4010020B4018001C429664304212653840816320010000326010020B469 -:2005F800212653840814D1C090C0098380800F431880071E008020B410A6494410C009C3FA -:20060000008020B410A6330410800F8318800226910005430810FB80D0A287831000092690 -:2006080091000A0380800FC320C03FCC18800526213FFD0421003FCC2100201C213FE00486 -:2006100008145600014020B4010020B401800C4429664944212638C4081635008805883A9C -:20061800D0228745DFC00A17DF000917DDC00817DD800717DD400617DD000517DCC0041724 -:20062000DC800317DC400217DC000117DEC00B04F800283ADEFFF004DC000615040020B474 -:2006280084263304DF000E158700028701400684DDC00D15E009883ADD800C15DD400B15CF -:20063000DCC00915DFC00F15DD000A15DC800815DC40071508162F80058020B480C005C352 -:20063800B5A66304B085883A0100008485C00403114005031540030B14C004C31900031E87 -:20064000848006039480004400000E060100004419000B1E10C0060B1906703A8100030369 -:200648001800032620000626048000C40000050620000326148005C39480011800000106D9 -:200650000025883A80C0050B008020B40102000410A6330419001B2620C00D360100080481 -:2006580019001A2620C003360080040418801526000031060100400419001926010080045C -:2006600019002D1E10800A030440010400001606010800041900162620C00536010400048E -:200668001900251E10800A030440014400000E0601100004190013260120001419001626EB -:2006700000001D060440010400001E0614400A03008000C41463C83A8C63883A00001906EC -:20067800044000C40000170688A3C83A00001506108008831000101EAD7FFA049CC003040F -:2006800000000D061080088310000D1EAD7FFA049CC0030400000A0610C00A03108008835C -:200688000440018488E3C83A1000061EAD7FFA049CC0030400000306B823883A00000106AE -:2006900004400144D0E28243008002041880011E294B883A80C0048328BFFFCC193FFFCC3B -:200698002080022E28C7C83A0000010600C0004489803FCCBDC03FCCB985C83AE009883AEF -:2006A00001400684D9800515D8C00415D880001508162F80B085883A1240028BD90000174B -:2006A800480B883ADA40021508162F801028D7FA81000A4389403FCCA085883A1029D07A0A -:2006B00008162F80D8C00417A08F883AE009883A014006841C7FFFCCD9C0031508162F805F -:2006B800B085883A12800483D94000178A89883ADA80011508162F8087000343A087883A1A -:2006C000DA800117E02897BA87000883500B883AB809883AE20000584010977AD8C0041551 -:2006C800DA00011508162F80DA400217B809883A10807FCC480B883A103892FA08162F800E -:2006D0001081FFCC1504B03A880B883AB809883AE0B8B03A08162F8010803FCC1004953A06 -:2006D800DA000117E0B8B03A008020B4E238B03A108434041700003580800443D980051762 -:2006E000D9C003171008937A80800403300C943A380E94FA100492BAD8C004172084B03A9C -:2006E800118CB03A31CCB03A1D3FFFCC008020B4350CB03A108430041180003580C003030A -:2006F00080800703810002871806977A100496BA014006841886B03A80800A831004953A80 -:2006F80018A2B03A08162F80B085883A108005431004947A8886B03A900496FA18A4B03ABE -:20070000A8BFFFCC90A4B03A98BFFFCC100492FA90A4B03A008020B410842C0414800035C8 -:2007080080800F03100693BA80800AC3100492BA1884B03A80C006C310C4B03A80C0068319 -:200710001806927A10C6B03A808006431004913A1884B03A00C020B418C4280418800035C8 -:20071800DFC00F17DF000E17DDC00D17DD800C17DD400B17DD000A17DCC00917DC80081743 -:20072000DC400717DC000617DEC01004F800283ADEFFF404DC000315040020B484263304F8 -:20072800DC4004158440011700800044DFC00B15DDC00A15DD800915DD400815DD000715B3 -:20073000DCC00615DC800515D0A286458800162684C000179800142601006734880B883A5D -:20073800213F3004081623C01025883A8080020301283BF4980B883A212EC0041000042606 -:20074000081623C0880B883A1009883A00000306081623C0880B883A1089883A081623C0AD -:200748001023883A000002060445DC04048F550401000EC40814B10001000F041027883AD2 -:200750000814B1008080058300C0207418DDD2041085883A1085883A1885883A11C0001720 -:200758008080020380C000171000021E00801A440000010600801C0401802074010020B486 -:20076000D8C00015319D8A040140044421262744D880011508163780014019048809883A41 -:20076800081623C00140FA049009883A1029883A081623C0014019048809883A102B883A2C -:2007700008162A000140FA049009883AD8800215DD00011508162A00014002841009883A3B -:20077800081623C001802074010020B4D8800015A80F883A319D8C04014004442126450419 -:2007800008163780D0A28D831000011E0810FA4081000017D1E2870381400203A00D883A77 -:20078800044020B40815570011003FCC2100201C213FE00400FFFFC48C66330420C0011E1E -:2007900000800104888002858480028301400684054020B4D4A2810594803FCC9480201C5D -:2007980094BFE0049009883A08162F80AD666304A885883AD0E28703144005838580040355 -:2007A0008500011788E2703AD462824515C0038BB1403FCC9CC03FCCB93FFFCC08162F8035 -:2007A8009809883A100B883A08162F80A00B883A1009883A081623C0B1003FCCB80B883A9A -:2007B0001027883A08162F8015BFFFCC80800C038C403FCCA53FFFCC100008260140068426 -:2007B8009009883A08162F80A885883A11C0060B380ED07A39C0004C00000106000F883A7B -:2007C0009CC03FCCA00D883AB00B883A8809883ADCC0001508152D4081000DC30811158039 -:2007C80081000BC381400403081518C0808004450811894080800B03810003831000051E92 -:2007D000008020B410A63304114003C3290B003A00000106000B883A0810CE0080C00E83B8 -:2007D800008020B410A6330481000B0318000B26DFC00B17DDC00A17DD800917DD400817B0 -:2007E000DD000717DCC00617DC800517DC400417DC000317DEC00C0408110B412000061E49 -:2007E80011C00B43108003C3000D883A000B883AD8800015081005C0DFC00B17DDC00A1717 -:2007F000DD800917DD400817DD000717DCC00617DC800517DC400417DC000317DEC00C0429 -:2007F800F800283AD12281C3DEFFFE04DC000015DFC0011508141F001021883A1000141E5B -:20080000008020B410A64944D0E281C310800C0301000284D0E2858511403FCC29000126BD -:20080800D0A28205D0A285C310000226D0A28203000001060005883A010020B421262B8455 -:200810002085883A010003C410C00005081402808005883ADFC00117DC000017DEC0020491 -:20081800F800283AD12281C3DEFFFE04DC000015DFC00115081402801021883A10000F1EDC -:20082000D0E281C3D0A285C3D0E2858510000426008020B410A633041080058300000106B2 -:200828000005883A010020B421262B842085883A010003C410C00005081402808005883A35 -:20083000DFC00117DC000017DEC00204F800283ADEFFFD04DC000015D4228103DC40011585 -:2008380001400684D422860584003FCC8009883A044020B4DFC002158C66630408162F8086 -:200840008885883A10C0038B014006848009883AD0E2850D10C00503D0E2880D10C004839B -:20084800D0E2808D10C0028BD0E2840D10C00543D0E2828D108004C3D0A2868D08162F80AF -:200850008885883A1080030BD0A2838DDFC00217DC400117DC000017DEC00304F800283ABB -:20085800DEFFFA04008020B4DD000415DCC00315DC800215DC400115DC000015DFC0051558 -:2008600010A6330410C002C3040020B42029883AD462860384266304D4E2808BD4A2868BFB -:20086800180021261080028714401F1E8809883A0140068408162F808085883A1100038B16 -:20087000D0E2850B20C0161E11000503D0E2880B20C0131E1100048398C03FCC20C0101E9A -:200878001100028BD0E2840B20C00D1E11000543D0E2828B20C00A1E10C004C390803FCCA4 -:200880001880071E014006848809883A08162F808085883A10C0030BD0A2838B18800226CB -:2008880000800044D0A287458809883A0140068408162F80D0E2850B8085883A8809883A07 -:2008900010C0038DD0E2880B14C004850140068410C00505D0E2840B10C0028DD0E2828B42 -:20089800148004C510C0054508162F80D0E2838B01802074010020B48085883AA1FFFFCC20 -:2008A000319EC1040140044421262E0410C0030DDFC00517DD000417DCC00317DC800217E4 -:2008A800DC400117DC000017DEC0060408163781DEFFFE04DC000015040020B4DFC001152E -:2008B00084044004008004448080003580000035008020B41084340410000035008020B4F1 -:2008B80010842C04100000350109C4040816CD0000807444D0A2830D0080744480800035B3 -:2008C000010000F4210350040816CD00018001B401406734010020B43186A004297F3004A2 -:2008C80021041804081739C00814650008149B0010002C260009883A0814B10000C03FC4C2 -:2008D00010C02A2608151080010000840810274010803FCC00C004C410C0261E0810364072 -:2008D800081459801000022600800044D0A287850810D4C01021883A1000201E0812B28058 -:2008E00001402074010020B401800C44295DDD04212638C40816350001402074010020B4D6 -:2008E80001800D84295E41842126558408163500010003C408141F00D122858308141F00E6 -:2008F000008020B410843C0410800037108000AC1000011E0812B7800009883A08110B400E -:2008F80000000706043FFF8400000506043FFF4400000306043FFF0400000106043FFFC420 -:200900008005883ADFC00117DC000017DEC00204F800283AD0A2814300E00004DEFFF104FC -:200908001080004410803FCC1008933AD0A2830BDC000515040020B410C4B03A2084B03A61 -:20091000D0A2830DDFC00E15DF000D15DDC00C15DD800B15DD400A15DD000915DCC00815D7 -:20091800DC800715DC400615D900030D10BFFFCC840440048080003501802074010020B4A2 -:20092000319D92040140044421262E04081637800810F900054020B404C020B4058020B461 -:2009280005C020B400800044AD443C049CE65584B5A62E04BDC42404AC4000378C7FFFCC97 -:200930001000611E8800602698C0058BD0A2830B88C0551E00F3FFC41884703AD0A2830D69 -:2009380010BFFFCC80800035B00000050810F900010001B42106A0040816CD00D0A2830B9E -:20094000D8C0030B1090001410C4B03AD0A2830D10BFFFCC808000350100FA0408160E0083 -:2009480007000044081611C01700021EBC800037903FFC0E081613C090BFFFC410BFFFCC35 -:2009500000FFFF541880072E01802074010020B4319D95040140044421262E040816378040 -:2009580000002F069038D43A953FFFCC0083FFC4E703FFCC01401904A009883AE0800F1E84 -:20096000081623C0A009883A01401904173FFFCC08162A0010BFFFCC01802074010020B4C0 -:20096800D8800015E00F883A319D97040140044421262E040816378000001906081623C0F1 -:2009700011FFFFCC01401904E009883AD9C0041508162A0010BFFFCC01401904E009883AE6 -:20097800D8800215081623C010BFFFCC01401904A009883AD880011508162A00D9C0041722 -:2009800010BFFFCC01802074010020B4D8800015319D9A040140044421262E040816378023 -:200988000810F9000000020698C0060B88C00A26D0E2830B00AFFFC41884703AD0A2830D5B -:2009900010BFFFCC808000350109C4040816CD008805883A003F98061083FFCCD0A2830D2F -:20099800808000350005883ADFC00E17DF000D17DDC00C17DD800B17DD400A17DD00091702 -:2009A000DCC00817DC800717DC400617DC000517DEC00F04F800283ADEFFFF04DFC0001532 -:2009A8000811C9000814C840008020B410A6494411000543DFC00017DEC0010408110B417B -:2009B000DEFFF404DFC00B15DF000A15DDC00915DD800815DD400715DD000615DCC0051579 -:2009B800DC800415DC400315DC00021508122B0010001E160080144401802074010020B438 -:2009C000000F883A319D9F040140044421262744D88000150816378001402074010020B4AE -:2009C80001800444295DAB0421264504081635000810FA400100023421284804054020B4F7 -:2009D000040020B40500207404C020B40816CD000025883A0023883AAD443C048426330435 -:2009D800A51DD2049CE6494400003C0601802074010020B4100F883A319DA404014004444C -:2009E000212627440816378001402074010020B401800444295ED0042126450408164900AB -:2009E8000810FA40003FFF06113FFF8421003FCC014000C42900322ED1228A0311003026E5 -:2009F000D0A28A05D0A28917D0E2891510000126D0228C150812D200102F883AD0A28D834B -:2009F800100002260009883A0813B680D0A2820381000583058020B410C03FCCB5A63304C5 -:200A000020C0211E98C00543B0800B0318800B26000F883A000D883A000B883A0009883AD8 -:200A0800D8000015081005C09900054308110B40988005438000011580800B05008020B465 -:200A100010A649441080054310007826B08005831000821E01004B040816CD00A8800037FB -:200A180010FFFFCCD0E28B150086303A1004D63A18C000ECD0E28C15D0A28D05103FCA1E2C -:200A2000D0228B15003FCE06010003C4D1228705070000C4E0C0062E0100014420C0062ED1 -:200A28000440008407000044880B883A00000506014000440000020607000084000B883A56 -:200A30000023883A10BFFFC410803FCC0100020420801836100490BA01002074210A3B0442 -:200A38001105883A108000171000683A00812930008129100081291800812918008129109B -:200A4000008129200081293000812910008129180480008400000706048000C4000005060E -:200A480000800404D0A2870504800044000001060025883AD0A285C310000B26008020B403 -:200A500010A62B8410C7883A18800003D0E2858311003FCC20C00426D9400115D0A2858562 -:200A580008141F00D9400117D0A2820329003FCCB1400DC3B0800585B00002C528803FCC42 -:200A600000C000441880042E00800144114BC83A29403FCC00000106014000C40814A94000 -:200A68000814BF8008107080D0A2878310000226E1003FCC0814520091403FCC89003FCC8D -:200A700008153C00B0800583010020B4018004441085883A1085883AA085883A11400017BA -:200A780021262744B00001150816490001402074010020B401800444295DA804212645044A -:200A800008164900D0A28D831000011E0810FA40D0E281830080028418BF7A1EB83F79262B -:200A8800010003C408140280003F760699C00583B0800B4338BF8526808003C3000D883A97 -:200A9000000B883AD88000150009883A081005C09880058380800B45003F7C0691403FCCD7 -:200A980089003FCC0811268010803FCC00C0008410C0252600C0010410C0032600C000442F -:200AA00010FF741E00002406808002C310000926D0A2830B00C020B418C44004108000948F -:200AA800D0A2830D10BFFFCC1880003508126980003F6806800001150814BF80808005839C -:200AB000010020B4018004441085883A1085883AA085883A11400017212627440816490072 -:200AB80001402074010020B401800444295DA8042126450408164900D0A28D83103F551E3E -:200AC0000810FA40003F5306808002C3103F51260811C900003F4F06808002C3103F4D26A4 -:200AC80008118940003F4B0601402074010020B4DEFFFF0401800C44295DDD042126494406 -:200AD000DFC0001508163500014020B4010020B40180BC8429609C0421266304081635002A -:200AD80000800044D0A287450005883ADFC00017DEC00104F800283ADEFFF804DD000415B3 -:200AE000DCC00315DC80021505002074048020B404C020B4DD800615DC000015DFC0071522 -:200AE800DD400515DC400115002D883A0021883AA51E4F0494843C049CE655840140207415 -:200AF000010020B401800444295DEA0421262304081649008405883A1085883AA085883A76 -:200AF80011400017010020B40180044421262E04081649000810F9000023883A0540008439 -:200B00009080003710BFFFCCD0A28B1590C0003700C6303A18C000ECD0E28C1510001A26C4 -:200B0800B08019268407883A98C7883A88000A1E01402074010020B401800444295DEC0457 -:200B1000212623041880000D081649000810F9000440004400000C0618C0000B10C00926BE -:200B180001402074010020B401800444295DEE0421262304081649000810F9000023883A07 -:200B20000000010604400084D0A2891710000F1ED0E28C170080007418800C1E8000091EE5 -:200B280001402074010020B401800D84295E4184212655840816350004400084040006C49C -:200B300000000206843FFF8404400084D0A28C17D5A28B17D0A289158D4003260109C40489 -:200B38000816CD00003FC6068400004400800684143FB20E010003C4DFC00717DD800617C3 -:200B4000DD400517DD000417DCC00317DC800217DC400117DC000017DEC0080408140281C9 -:200B4800DEFFED04D8800BC4D8800315008020B410A64C4410C00044D8C0051501402074F3 -:200B500010C00084D8800415D8C00615018001C410C000C4295E3FC410800104D9000A042D -:200B5800DFC01215DD401115DD001015DCC00F15DC800E15DC400D15DC000C15D8000BC550 -:200B6000D8C00715D8C00815D880091508163500D1228B17014020B40005883A296655846A -:200B6800018006841087883A1947883A18C0000B044020B48C6655841900032611812926FE -:200B700010800044003FF70600C0068418812036100490BA00C0207418CB7A0410C5883A72 -:200B7800108000171000683A0081324800812E6400812E7400812E5400812E6C00812E7C8A -:200B800000812E5C0081325000812E8400812E8C00812E940081325000813250008132508D -:200B880000813250008132500081325000812EC000812F9000812FA000812FCC0081301800 -:200B900000812FF800813044008131DC0081320400813154008000840000FE06008000C411 -:200B98000000FC06008001040000FA06008001440000F806008001840000F606008001C4AD -:200BA0000000F406008002040000F206008002440000F006D0A28D831007003AD0E28D856A -:200BA800D0E28583D0E281C51000031E010000440813B6800000E6060810FA400000E4068C -:200BB000008020B41084380414000037D4A28583044020B48C66330489000287014006841A -:200BB80008162F8000C020B418E663041885883A01802074010020B4D8800015900F883A40 -:200BC000319DF204014004442126230408163780888002C310001C2680C2000C8081FFCC4C -:200BC800180EC03A1080004411C4983A11CF883A1800022600801A440000010600801C040B -:200BD0008020D43A840000CC8000021E00C008040000010600C00A84010020B42104200428 -:200BD8002100003701802074D9000215010020B4D8C00115D8800015319DF5040140044460 -:200BE00021262E04081637800810F9000000B006D0A2830B1080041CD0A2830D0000AC067C -:200BE80000C020B418E64944188000030140004411003FCC290002361145883A0000010612 -:200BF0000005883A188000050000A10600C020B418E64944188000430140004411003FCC3F -:200BF800290002361145883A000001060005883A1880004500009606008020B410A6494486 -:200C000010C0010319003FCC2000012618FFFFC410C0010500008E0600C020B418E6494432 -:200C0800188001030140038411003FCC290002361080004400000106008003C4188001052B -:200C10000000830601402074010020B401800444295DF9042126230408164900014020749B -:200C1800010020B401800444295DFD0421262E0408164900048020B4050020B4054020B46D -:200C20000810F90094843C0404C006C4A5266304AD663304908000370021883A10BFFFCC7D -:200C28008407883A1C47883A18C0000B10C002268400004484FFFA1EA900028701400684FF -:200C300008162F80A085883A108005C300C0014418803F3600C001041C001916D9000A048F -:200C38002087883A18C000031C07D83A18C0004C180007261085883A1085883AD8C003046D -:200C40001885883A10800017140000050000300601802074010020B481C00044319E0004FD -:200C48000140044421262E04081637800810F90001000234212848040816CD0000002406C3 -:200C500000800304808022260109C4040816CD00003FD00601402074010020B40180044470 -:200C5800295E0404212623040816490001402074010020B401800444295E080421262E0499 -:200C600008164900040020B40810F90084043C04048006C404C002440500030480800037C1 -:200C68000009883A10BFFFCC2107883A1C47883A18C0000B10C01D1E99001F160140028475 -:200C70002100004408161C80D0A281C50811FE400810FA40D0228D8500001D0600C020B429 -:200C780018E64944188006030140078411003FCC290002361080004400000A060005883A3C -:200C80000000080600C020B418E649441880060311003FCC2000022610BFFFC40000010689 -:200C8800008007C418800605000009062100004424BFDD1E00000106253FE5260109C404C4 -:200C90000816CD00003FD506008000440000010600800284D0E2830B18C0401CD0E2830DB8 -:200C98000000010600800284D0E28C171900006C20000926008020B410A6330410800583AD -:200CA0000100024411403FCC2900022610800044000001060080004418C000AC18000A26D5 -:200CA800010020B42126494420C000030180004419403FCC314002361987883A0000010665 -:200CB0000007883A20C0000510C03FCC0100028419000326D0A2820500800044000001060E -:200CB8000005883AD122830B00FFFCC420C8703AD0E28D83D122830D1800051ED0E284834A -:200CC00018C7883A18C7883A20C6B03AD0E2830DD122830B00C020B418C44004190000353D -:200CC800DFC01217DD401117DD001017DCC00F17DC800E17DC400D17DC000C17DEC01304C4 -:200CD000F800283ADEFF6B04D9000304DC008C15DFC09415DDC09315DD809215DD409115AD -:200CD800DD009015DCC08F15DC808E15DC408D150810F3801021883A081608808000641E57 -:200CE00001800104D9400304D9008304081649000140207401800104295E9704D9008304AA -:200CE8000816430010002D1ED8800403DCC08484D9400484D8808405D88004430180020485 -:200CF0009809883AD880844508164900D880068BD8008645D8808B0DD880070BD8808B8DA3 -:200CF800D9408B17280BC232D880078BD9408715D8808B0DD880080BD8808B8DD8808B1796 -:200D00001005C232D8808815D880088BD8808B0DD880090BD8808B8DD8808B171005C2321B -:200D0800D8808915D8808217D8808B151005C232D8808A1500C0788428BFF98418800936F5 -:200D100001800044D900030408173280D8C08A1710C006260400198400002D060400190423 -:200D180000002B06040019440000290601802074010020B4319E99040140044421262304AD -:200D200008163780D9C0881701802074010020B4319E9D040140044421262E040816378075 -:200D28000810F900DD008817DD8089170023883A0005883A05C080048D00132EA465C83A53 -:200D3000BC80012E048080048D408004A80CD27A010020B4DC800015000F883AD9400304A8 -:200D3800212620040815F04014003FCC8000081E880D003A900B883AD90003040817328041 -:200D4000A823883A003FEC06B080761E00000206048000C400004906D8808487D9C08403EF -:200D4800D8C084431000C82600802074109E330401802074010020B4D8C00015319EA0042B -:200D50000140044421262304DCC00215D88001150816378001402074010020B40180044423 -:200D5800295EB60421262E0408163500044020B4040020B40810F9008C443C0484265584DA -:200D60008880003780C0000B10BFFFCC10C0B11E0814BF80D0A2830B00C020B418C44004A1 -:200D680010800094D0A2830D10BFFFCC188000350109C4040816CD0001402074010020B477 -:200D700001800444295EA3042126230408164900048000C401402074010020B401800444DC -:200D7800295EA60421262E04081649000810F9000027883A0580800405004004DC40881743 -:200D80009C406E2E8CE3C83AB440012E044080049D408004A80DD27A010020B4DC40001517 -:200D8800000F883AD9400304212620040815F04010803FCC10004E2600A1C83A081608803A -:200D9000008000448080362614000F1600BFCD4480802D261400051600BFCD0480802D1EBD -:200D980001402074295E900400002F0600BFCD848080222600BFCDC48080261E0140207455 -:200DA000295E8404000028060080198480801226140007160080190480800C2600801944C4 -:200DA80080801C1E01402074295E720400001E06008019C480800D2600801A048080151E9A -:200DB00001402074295E7F040000170601402074295E6E040000140601402074295E760469 -:200DB8000000110608160880048000C401402074040019C4295E7A0400000B060140207475 -:200DC000295E88040000080601402074295E8C040000050601402074295E950400000206FE -:200DC80001402074295E6A04010020B421262E0401800444081649000810F900010003F4BA -:200DD000211090040816CD0080004A0E9000492601802074010020B4319EB2040140044484 -:200DD800212623040816378094BFFFC4003F99069827D23A880B883AA440012E014040040C -:200DE000980D883AD90003040810DEC01021883A103FAA1EA4400236A827883A003F970663 -:200DE80099800044897FC004D90043040810DEC01021883A103FF826003FA00601402074D2 -:200DF000010020B401800444295EAA04212623040816490001402074010020B401800444C8 -:200DF800295EA60421262E04081649000810F900D9808917D9408817D9C003040009883A9D -:200E00000810E9001021883A103F8C1E0816088001402074010020B401800444295EBB0486 -:200E0800212623040816350001402074010020B401800444295EAE0421262E04081649007D -:200E10000810F900003FFF0600802074109ED004003F370680C0008B10C003260109C404C5 -:200E18000816CD00003F4606048000C404001A04003F720600BFFFC4DFC09417DDC0931710 -:200E2000DD809217DD409117DD009017DCC08F17DC808E17DC408D17DC008C17DEC09504AA -:200E2800F800283A21C03FCC01802074010020B4319EC0040140044421262E04081637810F -:200E3000DEFFFF040141194421003FCCDFC0001508162F80014019041009883A08161440CB -:200E380001802074010020B4100F883A319EC2040140044421262E04DFC00017DEC00104DF -:200E40000816378121C03FCC01802074010020B4319EC4040140044421262E040816378177 -:200E4800014003F4DEFFFC042950900421003FCCDFC00315DC400215DC00011508162F8093 -:200E5000014018F4294BA8041009883A1021883A081623C08009883A014659041023883A62 -:200E5800081623C00140FA041009883A08162A00014002841009883A081623C0018020745F -:200E6000010020B4D8800015880F883A319EC7040140044421262E0408163780DFC00317AD -:200E6800DC400217DC000117DEC00404F800283ADEFFFF04014119C421003FCCDFC0001562 -:200E700008162F80014019041009883A0816144001802074010020B4100F883A319ECA0482 -:200E78000140044421262E04DFC00017DEC001040816378121C03FCC01802074010020B453 -:200E8000319ECC040140044421262E0408163781DEFFFF0401409C4421003FCCDFC00015FA -:200E880008162F80014019041009883A0816144001802074010020B4100F883A319ECF0465 -:200E90000140044421262E04DFC00017DEC001040816378121003FCCDEFFFF0401409C44E4 -:200E980021000044DFC0001508162F80014019041009883A0816144001802074010020B4BF -:200EA000100F883A319ECF040140044421262E04DFC00017DEC001040816378121003FCC52 -:200EA8002100201C213FE00421FFFD0401802074010020B4319ED1040140044421262E04D8 -:200EB00008163781DEFFFF040140068421003FCCDFC0001508162F80014020B429666304E9 -:200EB800010020B401800444288B883A21262E04DFC00017DEC001040816490121003FCCA1 -:200EC0002109883A008020B410A088042109883A1109883A21400017010020B401800444B8 -:200EC80021262E040816490121003FCC00800284208007262109883A008020B42109883AFE -:200ED00010A30D041109883A214000170000020601402074295ED304010020B40180044411 -:200ED80021262E0408164901D0A28B17DEFFF70401C020B4DFC00815DDC00715DD800615AB -:200EE000DD400515DD000415DCC00315DC800215DC400115DC00001500C002C439E65584FD -:200EE8000180044418CB883A29CB883A2940000B2880041E21003FCC1827883A2000051E18 -:200EF0000000030618C0004419BFF61E0001080610010A26D5228D43040020B484217504C4 -:200EF800A5C03FCCB82290FA014005048445883A148001031580001795403FCCA809883A9A -:200F000008162F80B0C00117993FFD0421003FCC1885883A00C00104180B883A19002836F7 -:200F0800200890BA00C0207418CF0E0420C9883A20C000171800683A00813C8000813C4C68 -:200F100000813C6400813D6400813D64A800011EB480000394BFFFC48461883A8480010597 -:200F180000008A06B14000038461883AA90000448400010408161C808080000500008306D0 -:200F2000B8000326A53FFFC4D5228D4500007F06D0228D85DFC00817DDC00717DD800617E4 -:200F2800DD400517DD000417DCC00317DC800217DC400117DC000017DEC009040810FA4128 -:200F300011000103014000C42140062620C06F1E1080021704000044103EE83A1023883A37 -:200F380000006C061080041710000126103EE83AD4E28D43014005049C403FCC8C800044CE -:200F4000882290FA902490FA8445883A154000171100010384AD883AAD00011708162F808E -:200F4800B0C00017A085883A1080021718800126B000010584A5883A8461883A81000103E6 -:200F5000AC4001170140050408162F808885883A108002179CC00044D4E28D4590800015A1 -:200F580000004A0610C0010328C04836180690BA01002074210F61041907883A18C000178C -:200F60001800683A00813D9800813D9800813E0000813E4000813E4011C0021798C03FCC01 -:200F6800020003C4114003031100034339800003108003831A00081E20C03FCC32003FCCB8 -:200F70001A00022E30BFFFC400000B0629403FCC2800091E0000070610C03FCC32003FCC6C -:200F780040C0022E308000440000030629403FCC280001262005883A38800005000023069C -:200F8000110002179CC03FCC014003C420C0000B9940051E1080030B197FFFCC1140062E4B -:200F880018FFFFC4000004061080038B197FFFCC2880012E18C0004420C0000D00001306EB -:200F900011400317290000172800102698C03FCC018003C4208000031980041E10C03FCC54 -:200F98001800092610BFFFC40000070628C0010311803FCC19403FCC3140022E10800044F2 -:200FA000000001061805883A208000050023883A0021883AD0A28D4300C020B418E1750496 -:200FA800100490FA014005041885883A1100010314C0001708162F801025883A98800117EE -:200FB000010020B4212623041485883A1140001701800444081649009880011701000104B5 -:200FB8001485883A10C0010320C03636180690BA01002074210FC1041907883A18C00017DB -:200FC0001800683A00813F1800813F4800813F5400813F9C00813F6410C0021711000417CE -:200FC8000180044418C0000318C5883A1085883A2085883A11400017010020B421262E0452 -:200FD0000816490000001F0610C002171080041700001A0610C00217108004171900000B09 -:200FD8000000170680000D268800032601C0207439DED7040000020601C0207439DED504E4 -:200FE00001802074010020B4319ED9040140044421262E040816378000000A0610800317CA -:200FE8001000041E008020B410A62E04100000050000040610C000171080021719000003B0 -:200FF000103EE83ADFC00817DDC00717DD800617DD400517DD000417DCC00317DC80021722 -:200FF800DC400117DC000017DEC009040810F90121003FCC203FA51E103FA41EDFC00817D8 -:20100000DDC00717DD800617DD400517DD000417DCC00317DC800217DC400117DC00001718 -:20100800DEC00904F800283A21003FCC008003C411006336009114B4DEFFB8041094D544F7 -:20101000D88000150080107410951044DC404115D8800115244002B000801444DCC0431594 -:20101800DC400285DFC04715DD804615DD404515DD004415DC804215DC004015D8000205F2 -:20102000D88002458C403FCC24C0040488001C1E014020B4008011040180028429662B849D -:20102800D9000344D88002C5D800030508163500D0A28203014020B401800D84D8800605B5 -:20103000D0A2818329665584D90006C4D8800645D0A285C3D88005C5D0A28483D880068544 -:2010380008163500980C923A01401444D809883A0810DEC01004C03A0085C83A0000320616 -:2010400000BFFC84014020B401800C44D88003452966494400800084D90003C4D98002C5EB -:20104800D8000305D880038508163500014020B49826923A0180300429666304D90010043E -:2010500008163500980D883A01404004D809883A04004004054020B40810DEC004803004CF -:2010580005008C84AD666304802D883A917FFFCC800D883AA94B883AD809883A08163500A4 -:2010600089803FCC800B883A84A5883AA421C83A34CD883AD809883A8029883A843FFFCCFE -:201068000810DEC08C40004480000326B43FEF2E04004004003FED060005883A00000206A0 -:2010700000BFFFC4F800283ADFC04717DD804617DD404517DD004417DCC04317DC80421771 -:20107800DC404117DC004017DEC04804F800283ADEFFB704DFC04815DDC04715DD80461528 -:20108000DD404515DD004415DCC04315DC804215DC404115DC00401521003FCC008003C4E6 -:201088001100022E047FFFC400007906240004048008943AD80D883A014040040810D7C0E5 -:20109000103FF81E014020B40180020429608B04D809883A081643001023883A1000691E97 -:20109800D88002031000691ED8C00243008014441880661ED88002831000032600C0004459 -:2010A00010C02C2600006206D8800303D8C002C31004923A10C4B03A00C0110410C05C1E2E -:2010A80001C020B4D8C003440005883A0180024439E62B84014002841900000322003FCC48 -:2010B0003200023611D1883A410000051080004418C00044117FF81ED880064300C002448F -:2010B800D0A2818511003FCC1900032ED880060311003FCC19000136D0A28205D88005C354 -:2010C000010020B401800D84D0A285C5008020B410A62B8410800003D94006C4212655841E -:2010C800D0A28585D8800683D0A284850816350000003706D8800317D8C002C311803FCC35 -:2010D000300C923A30CCB03A00C00C4430C0301E1004D23A00C0BC841025883A10BFFFCC13 -:2010D80010C02B1E010020B48020923AD94003C42126494405C020B4081635000027883A15 -:2010E000002D883A0540100405004004BDE66304A97FFFCCA14DC83A90BFFFCCB13FFFCC9D -:2010E800D94B883A11800F16B909883A08163500990000442027883A21003FCC2409883A74 -:2010F0002008923AA56BC83AD80D883A01404004ADAD883A94BFC0040810D7C0002B883ADF -:2010F800003FEB06100D883A008020B410A663041109883A0816350000800044D0A2874527 -:20110000000003060440004400000106044000848805883ADFC04817DDC04717DD8046176D -:20110800DD404517DD004417DCC04317DC804217DC404117DC004017DEC04904F800283A7F -:20111000DEFFFC04DC000015040020B484041804DC80021504801284DC400115900B883A59 -:201118002023883A000D883A8009883ADFC003150817410089403FCC8009883A000D883A93 -:201120000817474004400044900B883A8009883A880D883A08174100880B883A8009883A82 -:20112800DFC00317DC800217DC400117DC000017DEC0040408174481DEFFFC04DC000015FA -:20113000040020B484041804DC800215DC4001152025883A2823883A8009883A000D883A50 -:2011380001401284DFC003150817410091403FCC8009883A000D883A081747400180004448 -:2011400089403FCC8009883ADFC00317DC800217DC400117DC000017DEC0040408174741CE -:20114800DEFFFE040080004421003FCCDC0000151120983A01000184DFC0011584003FCCFA -:20115000800B883A08144B80800B883A010001C4DFC00117DC000017DEC0020408144B8102 -:20115800DEFFFE042109883ADC00001524003FCC800B883A01000044DFC0011508144B805E -:20116000800B883A01000084DFC00117DC000017DEC0020408144B81DEFFFF040100014441 -:20116800DFC000150814440000C0218410C0141E014024040100080408144B80000B883AC2 -:201170000100084408144B80000B883A0100088408144B80014000C4010008C408144B8031 -:20117800000B883A01000A0408144B8001400C0401001C4408144B80008000440000010630 -:201180000005883ADFC00017DEC00104F800283ADEFFFD04D9000005010020B4DC00011552 -:20118800018000442821883A21041804D80B883ADFC0021508174F00813FFFCC0816CD00F7 -:20119000DFC00217DC000117DEC00304F800283AD0E2830BDEFFFC0400BFE7C41884703AC7 -:20119800DC400115044020B4D0A2830DDFC00315DC800215DC00001510BFFFCC8C44400422 -:2011A00088800035040005048009883A0816CD00800B883A01000E0408146100800B883A85 -:2011A80001000E4408146100800B883A8009883A08146100800B883A01001C440814610017 -:2011B000800B883A0100178408146100800B883A01001B4408146100800B883A010003043A -:2011B800081461000480C804900B883A0100004408146100800B883A0100018408146100DB -:2011C000900B883A0100008408146100D0A2830B10801014D0A2830D10BFFFCC8880003523 -:2011C800DFC00317DC800217DC400117DC000017DEC00404F800283AD0E2830BDEFFFA0497 -:2011D00000BFE7C41884703ADC000015040020B4DC800215DC400115D0A2830DDFC0051526 -:2011D800DD000415DCC003152025883A2823883A10BFFFCC84044004808000350140C80491 -:2011E0000100004408146100D0A2830B10800814D0A2830D10BFFFCC808000350140040467 -:2011E8009009883A08164E401029883A10803FCC1000091E014020B49009883A01800444DA -:2011F00029608D0408164900910000030140050408146100000009060027883AA5003FCC5B -:2011F80094C5883A11000003014005049CC000440814610098803FCC153FF936D0E2830B5B -:2012000000BFF7C41884703AD0A2830D10BFFFCC8080003501400504010030040814610041 -:20120800D0A2830B10800814D0A2830D10BFFFCC80800035014004048809883A08164E4001 -:201210001027883A10803FCC1000091E014020B48809883A0180044429608D040816490041 -:20121800890000030140050408146100000009060025883A9CC03FCC8C85883A110000031F -:2012200001400504948000440814610090803FCC14FFF936D0A2830B10801014D0A2830D7C -:2012280010BFFFCC80800035DFC00517DD000417DCC00317DC800217DC400117DC000017D2 -:20123000DEC00604F800283ADEFFFB04DC000015040020B484041804DC80021504800B044D -:20123800DCC00315900B883A2027883A000D883A8009883ADFC00415DC4001150817410018 -:2012400004400044880D883A99403FCC8009883A08174740900B883A8009883A880D883ADB -:2012480008174100880B883A8009883ADFC00417DCC00317DC800217DC400117DC0000177A -:20125000DEC0050408174481DEFFFC04DC000015040020B484041804DC800215DC40011504 -:201258002025883A2823883A8009883A000D883A01400B04DFC003150817410091403FCC05 -:201260008009883A000D883A081747400180004489403FCC8009883ADFC00317DC8002179C -:20126800DC400117DC000017DEC0040408174741010020B4DEFFFD04000D883A000B883AA3 -:2012700021041804DFC00215DC400115DC00001508174740010002840816CD00040006041E -:2012780004400044800B883A8809883A08149480800B883A0100008408149480800B883AAF -:20128000010000C4081494808809883A08148C801405003ADFC00217DC400117DC000017AC -:20128800DEC00304F800283ADEFFFD04DC400115DC000015044000442021883A84003FCC2C -:201290008809883ADFC00215802090FA08148C8000FFF9C410C4703A80A0B03A84003FCC10 -:20129800800B883A8809883A08149480800B883A0100008408149480800B883A010000C452 -:2012A000DFC00217DC400117DC000017DEC0030408149481DEFFFD04DC4001152023883A64 -:2012A80001000044DC000015DFC00215043FF60408148C801420703A89003FCC008000845F -:2012B0002080021E84000054000003062008917A2080011480A0B03A84003FCC800B883AAF -:2012B8000100004408149480800B883A0100008408149480800B883A010000C4DFC00217D5 -:2012C000DC400117DC000017DEC0030408149481DEFFFB04DC000015040020B484041804C8 -:2012C800DC80021504801704DCC00315900B883A2027883A000D883A8009883ADFC0041508 -:2012D000DC4001150817410004400044880D883A99403FCC8009883A08174740900B883A5B -:2012D8008009883A880D883A08174100880B883A8009883ADFC00417DCC00317DC8002176F -:2012E000DC400117DC000017DEC0050408174481DEFFFC04DC000015040020B484041804F2 -:2012E800DC800215DC4001152025883A2823883A8009883A000D883A01401704DFC0031500 -:2012F0000817410091403FCC8009883A000D883A081747400180004489403FCC8009883AFE -:2012F800DFC00317DC800217DC400117DC000017DEC0040408174741DEFFFC04DC00001566 -:20130000040008848009883ADFC00315DC800215DC4001150814B100014004C40100058436 -:201308001023883A0489C4040814B9009009883A0816CD00014000C4010005C40814B900BC -:201310009009883A0816CD00017FE004894AB03A29403FCC8009883A0814B9000100FA04C3 -:201318000816CD0089401FCC8009883ADFC00317DC800217DC400117DC000017DEC00404D0 -:201320000814B901DEFFFE04DC0000150409C4048009883ADFC001150816CD0001400444BE -:20132800010005840814B9008009883A0816CD0001400084010005C40814B9008009883A61 -:20133000DFC00117DC000017DEC002040816CD01DEFFFE04DC0000152821883A21403FCC1C -:2013380001000484DFC001150814B90081403FCC010004C4DFC00117DC000017DEC002049F -:201340000814B90121403FCC01000F440814B90121403FCC010004440814B901DEFFFE04B7 -:20134800DFC00115DC000015208001832021883A010006C411403FCC280A913A288AB03AF8 -:2013500029403FCC0814B90081400183010007040814B900814000C3010002840814B9002E -:2013580081400103010002440814B90081400143010002040814B9008140000301000344A7 -:201360000814B90081400043010003040814B90081400083010002C4DFC00117DC00001702 -:20136800DEC002040814B901DEFFFB04DC400115DC0000152023883A2021883A01000104DE -:20137000DCC00315DC8002153027883A2825883ADFC004150814B100017FFE049CC03FCCA0 -:201378001144703A9800092680FFFFCC0101FFC420C006361140005429403FCC0100010445 -:201380000814B9008423883A0000030611403FCC010001040814B9008C7FFFCC880AD13A5C -:201388000100004429403FCC0814B900880A913A0100008429403C0C0814B90001006734B3 -:20139000917FFFCC213F3004081623C0880B883A1009883A08162F800140FA041009883AB6 -:20139800081623C000E327D41880092E00C0007418C45BC41880082E00C000B418C3D5C4A2 -:2013A0001885403A00C000C41885C83A000003060005883A000001060080004414003FCC39 -:2013A800D0A028041405883A1100000301400A0408162F808808D07A880B883A1109883A73 -:2013B00008161440100B883A00C001C410803FCC1880012E180B883A29403FCC800491BABF -:2013B800280A90FA010000C4288AB03A29403FCCDFC00417DCC00317DC800217DC4001176B -:2013C000DC000017DEC005040814B901DEFFFE04DC0000152021883A01000684DFC001158A -:2013C8000814B100017FFE8484003FCC00C000441144703A80C0021E108000940000010619 -:2013D0001080029411403FCC01000684DFC00117DC000017DEC002040814B9012140028BDE -:2013D800DEFFFE04DC000015280AD23A2021883A010012C4DFC001150814B900814002833D -:2013E000010012840814B9008140030B01001344280AD23A0814B900814003030100130468 -:2013E8000814B9008140038B010013C4280AD23A0814B90081400383010013840814B90022 -:2013F0008140010B01001444280AD23A0814B90081400103010014040814B9008140018BA4 -:2013F800010014C4280AD23A0814B90081400183010014840814B9008140020B010015440E -:20140000280AD23A0814B90081400203010015040814B9008140040B010015C4280AD23A1C -:201408000814B90081400403010015840814B9008140048B01001644280AD23A0814B900FA -:2014100081400483010016040814B9008140050B010016C4280AD23A0814B90081400503FC -:2014180001001684DFC00117DC000017DEC002040814B901DEFFFD04DC00001504000FC44F -:20142000DC4001152023883A8009883ADFC002150814B10000FFFC0410C4703A888AB03A2E -:2014280029403FCC8009883ADFC00217DC400117DC000017DEC003040814B901DEFFFD04AD -:20143000DC0000152021883ADC40011584003FCC044006848809883A802091BADFC0021525 -:201438000814B10010800FCC808AB03A29403FCC8809883ADFC00217DC400117DC000017C2 -:20144000DEC003040814B901DEFFFC04014020B4018001C429608D84D809883ADFC00315E5 -:20144800DC000215081635000814BF800009883A0814F0C004000044800B883A01000D4465 -:201450000814B900010020B4212090040814F5C00009883A08150BC0010001840814D040C7 -:20145800010011040814D100000B883A8009883A0814CD00D809883A0814D1C0DFC0031767 -:20146000DC000217DEC00404F800283ADEFFFB04DCC0031504C00104DC4001152023883AE7 -:201468009809883ADFC00415DC800215DC0000152825883A0814B100900B883A8809883A59 -:201470001021883A08162F80108007CC100A90FA840001CC9809883A2C0AB03A0814B900EC -:2014780091403FCC89003FCC08162F801004D17ADFC00417DCC00317DC800217DC4001179F -:20148000DC000017DEC00504F800283ADEFFFD04DC0000152021883ADC40011584003FCCC5 -:20148800044004048809883A802090FADFC002150814B100108001CC808AB03A29403FCC33 -:201490008809883ADFC00217DC400117DC000017DEC003040814B90121003FCC20001A2603 -:20149800DEFFFD04DC400115010009842823883A01402004DC000015DFC002153021883A6A -:2014A0000814B90089403FCC0080004428800426008002042880041E814016840000030639 -:2014A80081400244000001068140060429403FCC01000C44DFC00217DC400117DC000017A7 -:2014B000DEC0030400000206000B883A010009840814B901DEFFFA04DC4001152823883A24 -:2014B800D9400617DD000415DCC00315DC800215DC000015DFC0051524003FCC0080004424 -:2014C0003025883A3827883A2D003FCC808008260080020480800D1E29400C8429403FCC50 -:2014C800010001440814B9000140080400000C062940008429403FCC010001440814B9000E -:2014D000014001842809883A000006062940018429403FCC010001440814B9000140040471 -:2014D800010001840814B900A00D883A800B883A0100004408152580008004048080021E2E -:2014E000014003040000010601400204010008840814B90099803FCC917FFFCC893FFFCC62 -:2014E800DFC00517DD000417DCC00317DC800217DC400117DC000017DEC006040814DA81C0 -:2014F000DEFFFD0429BFFF84DC400115DC000015DFC0021531803FCC0080004424403FCCCB -:2014F8002C003FCC11800436008000848880071E8805883A000008068800061E8005003AD9 -:2015000000C000841885C83A000003060005883A0000010600800084880A913A8C47883A1B -:2015080010803FCC18C7883A100491BA28CAB03A214AB03A288AB03A29403FCC010006449C -:201510000814B900010004040814B10000FFFE0410C4703A00C000C4100B883A80C0011ED1 -:201518001140015429403FCC010004040814B9000080008488800D1E0080004414000B366B -:201520008080021E0140148400000106014014C4010003840814B9000100FA040816CD004B -:20152800010005040814B10000000B06014016C4010003840814B9000100FA040816CD0059 -:20153000010005040814B100008000C48080021E0140040400000106000B883A0100060438 -:201538000814B900000B883A01000D84DFC00217DC400117DC000017DEC003040814B90105 -:20154000DEFFFD04DC4001152023883A01000504DC000015DFC002152821883A0814B100ED -:201548008C403FCC00C0008488C00A1E81403FCC00C0004428C0031E1080240C108024208B -:20155000000006062800031E1080220C10802220000002061004D07A1080004CDFC002179C -:20155800DC400117DC000017DEC00304F800283ADEFFEC04DC400B15044020B48C66330403 -:2015600000800104D880060D8880078302400204020010044884983A0181000402880004D9 -:201568001244B03AD880068D888007C300C020B4DFC013154084983ADDC01115DD80101530 -:20157000D880070D88800803DD400F15DD000E153084983ADF001215DCC00D15D880078D65 -:2015780088800843DC800C15DC000A155084983AD800088518E66704D880080DD8800884C3 -:20158000D8800015888008C4D880011588800904D880021588800944D880031588800984BE -:20158800D8800415888009C4D880051588800B830029883A02C04004D88009050304000493 -:201590000382000403C080040340040407C02004054008040580010405C083441CC001C32E -:2015980001800144A021883A9C803FCC188001833480EC36900C90BA028020745295A30447 -:2015A000328D883A318000173000683A008156FC008156FC008156FC008156A4008156B88D -:2015A800008156FC01801004D980070D01810004D980078D000011061980000B35C00F1EFE -:2015B0001F3FFB43028000C4D9800903E280051E3000021E01BFFBC4000004060280008470 -:2015B800000005063000031E01BFFEC43084703A00000206028000443280CA2611C4703AE8 -:2015C00010803FCC1000C7269485883A1085883AD885883A108000171980020B1080000342 -:2015C8001085883AD885883A1280060B5194703A50BFFFCC1000BB263180004C2F003FCC59 -:2015D0003180005CE180B71E1980000B318007843100B43607000044018020B4880003850D -:2015D800880003C58F000405880004858A80050D31A6330412C0772658800E3613405E266E -:2015E000688003361580212612402F260000A50612005D2617C06A261540A21E00800044C7 -:2015E80088800305008000848880034500800184000073061300832660800936028100049F -:2015F000128071261380692613C0961E008000848880030588800345008001440000680672 -:2015F8000710000417007D2601A00014118084260288000412808B1E0080010488800305B0 -:201600008800034500801E040000840630000305300003459CC03F4C008000449880871EB6 -:2016080089000C4300C020B418E6330420000326010000841900040500000106188003850A -:20161000888003C500007D06008000448880030588800EC3054020B4AD6633041000101E19 -:2016180001400684A009883A08162F8000C020B418E6668410C5883A1080000B00C15DC41F -:20162000188007369CFFFFC49CC03FCC0080008414C00336A8800345A88004050000010657 -:20162800880003450080008490800D260080010490800B26008001449080601E01400684A7 -:20163000A009883A08162F8000C020B418E6668410C5883A1080000B00C12BC418805736DF -:2016380088C00C43008020B410A63304180032261000034500002D06008000443080030543 -:20164000008000840000150600800084308003053000034500C000C490C0481E89000C4325 -:2016480000C020B418E63304200002261880040500004206008000441880038500003F065F -:201650000080008488800305008000448880034500003A060080008430800305308003455E -:20165800008001043080040500003406008000C488800305008000848880034500800104CD -:201660008880040500002D06008000C43080030530000345008000C49080281E88C00C4381 -:20166800008020B410A633041800032600C0008410C004050000210600C0004410C0038540 -:2016700000001E06008000C430800305008000843080034500800144003FE20600800104CD -:201678003080030500800084308003450080014430800405008006043080048500000F0648 -:20168000008001048880030500800084888003450080018488800405008005048880048531 -:2016880000000606A50000440080074418C00684A0BF0A1E00BFFFC4000001068005883AC9 -:20169000DFC01317DF001217DDC01117DD801017DD400F17DD000E17DCC00D17DC800C179C -:20169800DC400B17DC000A17DEC01404F800283A20803FCCDEFFF9041080201CDC40041561 -:2016A000DFC00615DC800515DC00031510BFE0042823883A10000A0E2025883A000B883A4A -:2016A80001001DC40815A700D880028510C03FCC0100004420C02E3694001FCC00000106B3 -:2016B0002021883A08160880014001040009883A081604C0081606408804D63ADC0000059D -:2016B800DC400105D88000458804D43A84003FCCD88000858804D23AD88000C50080100404 -:2016C00080800426008012048080041E00BFE1C40000030600BFE544000001060080004408 -:2016C80001400184D809883AD8800145081603800100014408160E001021883A044000446D -:2016D00001400044D9000284081604C0D8800287100003168000051E081613C0000003068D -:2016D800081611C0147FF626003FFA06D8800283DFC00617DC800517DC400417DC000317D7 -:2016E000DEC00704F800283ADEFFF604DC000515000B883A2021883A01001244DFC0091536 -:2016E800DCC00815DC800715DC4006150815A70010803FCC100002260005883A00004306D3 -:2016F0000100014408160E001023883A0480004404C03FC401400044D9000484081604C01C -:2016F800D880048314C003268800051E081613C000000306081611C014BFF626003FFA0634 -:20170000D8C0048300803F8418BFEB1E01400484D809883A081604C08080010310C0008CD9 -:2017080018001326D8800183D8C001C3D9400143108000CC1004923A294003CC10C8B03AA5 -:20171000D88002031006D1BA2105883A1085883AD900028310C4B03AD8C002432008D1FA90 -:2017180018C000CC18C7883A20C8B03A000010061080010C10000B26D88001C3D9000203AC -:20172000000B883A10800FCC1004923A1104B03A1006923AD8800243010004441884B03A44 -:2017280000000306000B883A0009883A0005883A10BFFFCC10C0004421003FCC28803FCCAC -:201730002085883A10BFFE441884983ADFC00917DCC00817DC800717DC400617DC0005178E -:20173800DEC00A04F800283ADEFFF604014020B4DCC00715018002842027883A2961588471 -:20174000D809883ADD000815DC400515DFC00915DC800615DC000415050000C40816350071 -:2017480004400044081601C00816088008160C4001400284D809883A08160380000B883A32 -:2017500098000015010010040815A70010803FCC1440511E01406A84010012040815A7008B -:2017580010803FCC1440271E01400104D9000284081604C0D88003031440471ED8C00343C1 -:2017600000802A841880441E0100FA0408160E00081611C014400326081611C014400C1E3D -:20176800000006060150003401003A440815A70010803FCC103FF61E003FF706000B883A86 -:2017700001001E840815A70010803FCC100002260021883A0000090601400104D900028488 -:20177800081604C0D88002831080100C1000021E040001040000010604000304081613C0AA -:2017800000002606000B883A01003A440815A70010803FCC8880032E040000440480104419 -:20178800000002060400008404BFFA4401003E8408160E0094803FCC081611C01440042635 -:20179000081611C01000081E0021883A00000606000B883A9009883A0815A70010803FCC9E -:20179800103FF51E003FF606081613C0000B883A01001EC40815A70010803FCC100001265D -:2017A0000021883A01408004010014040815A70010803FCC100001260021883AA0BFFFC4CD -:2017A8001029883A10803FCC84803FCC10000A26903F9C26008000449C0001059880001518 -:2017B0009809883A0815B88010BFFFC49880021508160A8000000106903FF61E08160600EA -:2017B8009005003ADFC00917DD000817DCC00717DC800617DC400517DC000417DEC00A0479 -:2017C000F800283A20800217DEFFF804DC000115DFC00715DD400615DD000515DCC004158C -:2017C800DC800315DC400215DC0008171180313684BFFFCC90002F26208001032827883ABF -:2017D0003823883A1080020C300B883A1000011E300A927A010014440815A70010803FCC14 -:2017D800100002260400008400001F060100190408160E000500004405403FC401400044AC -:2017E000D809883A081604C0D880000315400526081613C0D8C0000300803F8418BFF11ED4 -:2017E80000000306081611C0153FF426003FF806008080841445C83A897FFFCC1421C83A55 -:2017F000280002260009883A081604C0900B883A9809883A081604C0817FFFCC0009883AA4 -:2017F800081604C00021883A081606008005883A00000106008000C4DFC00717DD4006175F -:20180000DD000517DCC00417DC800317DC400217DC000117DEC00804F800283A018001B43F -:2018080001406734010020B43186A004297F300421041004081739C1280D883A200B883AA2 -:20181000010020B42104100408174F01280D883A200B883A010020B42104100408174B01DE -:20181800F800283AD0E2830B00BFDFC41884703A00C020B4D0A2830D18C4400410BFFFCC1E -:2018200018800035F800283AD0A2830B00C020B418C4400410802014D0A2830D10BFFFCC6D -:2018280018800035F800283A01800A7401406734010020B4318CB804297F3004210410043B -:20183000081739C1018001B401406734010020B43186A004297F300421041004081739C10F -:20183800D0A28E1710000B1EDEFFFF04015A5E04213FFFCCDFC0001508162F80D0A28E15E2 -:201840000816D1800005883ADFC00017DEC00104F800283A00800044F800283ADEFFFF04A1 -:20184800DFC000150816D540D0E28E1710C5803ADFC00017DEC00104F800283AD0228E156B -:20185000F800283A20001B16000F883A28001616200D883A29001A2E0080080400C00044B8 -:201858000000010610000D26294B883A10BFFFC418C7883A293FFB360005883A1800072618 -:201860000005883A31400236314DC83A10C4B03A1806D07A280AD07A183FFA1E3800012608 -:201868000085C83AF800283A014BC83A39C0005C003FE7060109C83A01C00044003FE30677 -:2018700000C00044003FEE0620001716000F883A2005883A280012162900162E01800804D2 -:2018780000C000440000010630000A26294B883A31BFFFC418C7883A293FFB36180005267F -:201880001806D07A114001361145C83A280AD07A183FFB1E380001260085C83AF800283AD4 -:20188800014BC83A003FEC060109C83A01C00044003FE70600C00044003FF106200D883A5B -:201890002900152E280014160080080400C000440000020610000E2628000516294B883A25 -:2018980010BFFFC418C7883A293FFA36180008260005883A31400236314DC83A10C4B03A71 -:2018A0001806D07A280AD07A183FFA1EF800283A0005883AF800283A00C00044003FF4061D -:2018A8002005883A2900122E280011160180080400C000440000020630000C262800051643 -:2018B000294B883A31BFFFC418C7883A293FFA36180006261806D07A114001361145C83A6A -:2018B800280AD07A183FFB1EF800283AF800283A00C00044003FF7060005883A200007261C -:2018C00020C0004C2008D07A180001261145883A294B883A203FFA1EF800283AF800283AB2 -:2018C800218D883A218008262080000328C0000310C0022610C5C83AF800283A21000044A5 -:2018D00029400044003FF7060005883AF800283A2005883A2007883A218D883A19800526EA -:2018D8002900000318C0004429400044193FFFC5003FFA06F800283ADEFFF504DFC00915B3 -:2018E000DC400815DC000715D9C00A15008020B410A3B004144000172800040E008022C439 -:2018E8008880001500BFFFC400001C0600C08204D8C0000DD9000415D90002152800022602 -:2018F00028FFFFC4000001060007883AD8C00515D8C003151100001700FFFFC4D8C0008DAD -:2018F80000C0207418DAA8042821883AD9C00A04D80B883AD8C00115D80006150816574031 -:2019000000FFFFC410C0020E00C022C488C0001580000226D8C0041718000005DFC00917EB -:20190800DC400817DC000717DEC00B04F800283A3000152631BFFFC4218D883A2080000352 -:2019100028C0000311C03FCC1A003FCC39C0201C4200201C39FFE004423FE0043A00061E39 -:2019180021800426380003262100004429400044003FF2061007883A18C03FCC10803FCCE3 -:2019200010C5C83AF800283A0005883AF800283A2005883A200F883A30000C262A000003EE -:2019280038C0004431BFFFC43A00000542003FCC4200201C423FE00429400044180F883AAB -:20193000403FF51E198D883A00000106F800283A30C003261800000518C00044003FFC06A9 -:20193800F800283A214B883A2005883A1140021E1105C83AF800283A10C00007183FFC26ED -:2019400010800044003FF906DEFFFB04DC800315DC400215DC000115DFC004152025883A41 -:201948002823883AD98000053821883A04000A0E8880011701C00044D80D883A880B883ABC -:201950009009883A103EE83A843FFFC4103FF72600BFFFC4000001060005883ADFC00417B0 -:20195800DC800317DC400217DC000117DEC00504F800283ADEFFE504D8C00804DDC018159B -:20196000DD801715DD401615DD001515DCC01415DC801315DC401215DC001115DFC01A1533 -:20196800DF0019152029883A2823883A382D883AD9800F150021883AD8000E15D8000A15C1 -:20197000002B883A0027883A0025883AD8000C15D8000B15002F883AD8C00915D8C00F1744 -:201978001900000320803FCC1080201C10BFE00410011E2600C00044B8C014261DC0021609 -:20198000B80006260001150601400084B9401D26014000C4B9402B26000110060140094452 -:201988001140FC2688800117D900000501C00044D80D883A880B883AA009883A103EE83AF2 -:201990001000D81E840000440001040601400C041140FA260140094411400A1ED880000538 -:2019980088800117B80F883AD80D883A880B883AA009883A103EE83A1000CA1E84000044EF -:2019A0000000F50625FFF404BDC03FCC00C002441DC0093600BFFFC49080042601400284E3 -:2019A8009009883A08162F80000001060005883AB8A5883A0000E20601400B841140E426F7 -:2019B00005C00084213FF40427003FCC00C002441F00093600BFFFC4988004260140028455 -:2019B8009809883A08162F80000001060005883AE0A7883A0000D90600C01B0410C0D22642 -:2019C000013FFFC499000226D8000B150000010604C0004401001A441100162620800916D1 -:2019C800010018C4110088260100190411001126010016041100C81E00C00044D8C00E152C -:2019D0000000150601001CC4110098262080041601001BC41100C01E0540020400000F0643 -:2019D80001001D4411000D2601001E0411000A260000B906D8C00A17B7000104180007266C -:2019E000DF000D15B5C00017B800080E05EFC83A024000440000060605400404B0C0010442 -:2019E800D8C00D15B5C00017D8000A150013883AD839883AB8001726A80B883AB809883A0D -:2019F000DA401015081623C0A80B883A1009883A102D883A08162F80B885C83A00C0024436 -:2019F800DA4010171880021610800C0400000506D8C00E171800022610800DC400000106CE -:201A0000108015C4E0800005B02F883AE7000044003FE806E6EFC83A9DC5C83A0080090E2D -:201A0800E085883A01400C04D8C00917E009883AE0C0032EE700004421400005E0BFFA1ECA -:201A1000E6EFC83AD8C00B174DD1883A922DC83A1800162648000A2600800B44D880080584 -:201A18008880011701C00044D9800804880B883AA009883A103EE83A10004A1E84000044B4 -:201A20000580070EB00F883A01800C04880B883AA009883A081650801000421E85A1883AF4 -:201A2800E02D883ABF2FC83A000020060580090EB00F883A01800804880B883AA009883A4F -:201A3000DA40101508165080DA4010171000351E85A1883A483FF22600800B44D88008050A -:201A38008880011701C00044D9800804880B883AA009883A103EE83A10002A1E84000044B4 -:201A4000003FE706B5BFFFC4B080000301C00044D9800804D880080588800117880B883AAC -:201A4800A009883A103EE83A10001E1E8585C83AB5C9883AE085883A013FF2161021883AA9 -:201A5000DD800D1700004406008000441480080E95FFFFC4B80F883A01800804880B883A7B -:201A5800A009883A0816508010000E1E85E1883AB080001701C00044D80D883AD880000561 -:201A600088800117880B883AA009883AB5C00104103EE83A1000031E84000044B82D883AFC -:201A680000002D0600BFFFC400003106B5C00017B7000104B809883A0816BA809091C83A2C -:201A7000102D883A0200090E400F883A01800804880B883AA009883ADA00101508165080F3 -:201A7800DA001017103FEF1E8221883A88800117B00F883AB80D883A880B883AA009883AA4 -:201A8000103EE83A103FE71E85A1883AE02D883A0000110600C0004404FFFFC4D8000E15EF -:201A8800D8C00A15054002849825883AD8000C15D8000B15182F883A00000806DDC00B1578 -:201A900005C000840000050600C00044D8C00C1505C000C400000106002F883AD8C00F17E6 -:201A980018C00044D8C00F15003EDC068005883ADFC01A17DF001917DDC01817DD801717B9 -:201AA000DD401617DD001517DCC01417DC801317DC401217DC001117DEC01B04F800283A26 -:201AA8002880000B10C0020C1800202628C0008FDEFFFD04DC000015DFC00215DC40011501 -:201AB0002821883A1800150E10C0800C180013262C40051789C0030E10C0200C1800032604 -:201AB80000000E063C40010E3823883A81000417300B883A880D883A0816B48080800517F4 -:201AC0001445C83A80800515808004171463883A844004150005883A0000060610801014D9 -:201AC8008080000D00BFFFC40000020600BFFFC4F800283ADFC00217DC400117DC000017AC -:201AD000DEC00304F800283A2005883A218F883A290002361007883A00000C062987883AE0 -:201AD80020FFFC2E380B883A30CDC83A1989883A2000052618FFFFC419000003297FFFC491 -:201AE00029000005003FF906F800283A19C005262900000318C0004429400044193FFFC50B -:201AE800003FFA06F800283A2005883A10C000071800022610800044003FFC061105C83A1A -:201AF000F800283ADEFFFF04010020B4014020B4DFC00015212163042963B4042140061E8C -:201AF800010020740140207421000804294008042140121E00000B0600C020B418E3B404D9 -:201B00001907C83A0005883A10FFF526114F883A39C00017110D883A1080010431C0001505 -:201B0800003FF9060100207401402074211D8A04295D8A042140101E00000B0600C0207441 -:201B100018C008041907C83A0005883A10FFF526114F883A39C00017110D883A1080010417 -:201B180031C00015003FF90608175AC0DFC00017DEC00104081761C100C020B418E16304A2 -:201B20001907C83A0005883A18BFF726114F883A39C00017110D883A1080010431C000151B -:201B2800003FF906DEFFFF040009883ADFC000150816CD400816CF40D1A28F17D1629017B5 -:201B3000D1229117DFC00017DEC0010408126C01081752C1DEFFFF04DFC0001508176200D3 -:201B3800008000441001703ADFC00017DEC00104F800283A008020B410840004D0A2931555 -:201B4000010020B40080673410BF300421235004D0A2921508171BC1D0E29217D0A293176F -:201B480018000A2610C001040100020419000035013FFFD4110002351100033500800104E2 -:201B5000188000350005883AF800283A00BFFFC4F800283AD0A2921710000926D0E29317F5 -:201B580018800404100000351080003718C005371806943A10BFFFCC1884303AF800283AC7 -:201B600000BFFFC4F800283AD0A29217F800283A20001D262804923A20C03017DEFFFD04B4 -:201B6800DC400115DC000015DFC002152823883A2021883A108000D41880033580C03017B9 -:201B7000188000371080004C1000032601000044081752C0003FF906198000373007D0BA2C -:201B78003009D0FA18C001CC2100020C1908B03A3007D07A18C0040C1906B03A88C0042687 -:201B800000BFF4840000020600BFFA84F800283ADFC00217DC400117DC000017DEC00304EB -:201B8800F800283A20000A26280009263000082620800C173080001520800C171000062657 -:201B900021000D04290000150005883AF800283A00BFFA84F800283A00BFFEC4F800283A32 -:201B98002005883A20001D262809883A28001B1610C0311728C0192E1140341728FFFFC4CA -:201BA0001906703A1800151EDEFFFE04DC000015DFC001151021883A081623C01004923AB8 -:201BA80000C0403418FFC00410C4703A80C03017108000941880033580C0301718C00404AE -:201BB000188000371080004C10000626008000441880003500BFFEC40000020600BFFA84D7 -:201BB800F800283ADFC00117DC000017DEC00204F800283ADEFFF504DC000115DFC00A1585 -:201BC000DF000915DDC00815DD800715DD400615DD000515DCC00415DC800315DC4002154A -:201BC800DC000B1728003A163023883A300038162027883A20003626382B883A38003426B8 -:201BD000208031173080322E2880312E208034173147C83A10C7C83A1C002D3680002C1677 -:201BD80010BFFFC4114A703A2800291E0029883A05BFFFC405C000C4070001048000222618 -:201BE000DD800015890000CC20000626E105C83A8025883A1400012E1025883A8923C83A9B -:201BE80000000406BC0002368025883A0000010604800104AD0B883A900D883AD909883A6B -:201BF0000816350098802E17D8C00017A4A9883A84A1C83A8885883A10C00035988030170D -:201BF8001080040410C0003718C0008C1800042600C0008410C0003500BFFEC400000506B3 -:201C00008C400104003FDD060005883A0000010600BFFA84DFC00A17DF000917DDC008174B -:201C0800DD800717DD400617DD000517DCC00417DC800317DC400217DC000117DEC00B040B -:201C1000F800283A20001626DEFFF604DD000515DFC00915DDC00815DD800715DD40061508 -:201C1800DCC00415DC800315DC400215DC0001153029883A30000C262021883A20802E17F9 -:201C200080C02F172823883A2885883A382B883A3889883A10C0042E20C0053600000206D5 -:201C280000BFFA84F800283A00BFFA8400002406814034178809883A002D883A081623C04F -:201C3000102F883A80803317B8801C2EA8001B2684C0341704E7C83A9C66703A8CC0022642 -:201C38008CE5C83A000001060025883A980B883A8009883A0816E6001000111E80803417ED -:201C400014A5C83AAC80012EA825883ADC800015A58F883A880D883A980B883A8009883A71 -:201C48000816EF401000061EACABC83AB4AD883A8CA3883ABDC00044003FE2060005883ADF -:201C5000DFC00917DDC00817DD800717DD400617DD000517DCC00417DC800317DC400217E9 -:201C5800DC000117DEC00A04F800283A200008263007883A3000062620802E17288B883A75 -:201C600020802F17394D883A2880012E3080023600BFFA84F800283ADEFFFF04380D883AF9 -:201C68001809883ADFC00015081635000005883ADFC00017DEC00104F800283A20004E2664 -:201C70002080301710004E2620C03217DEFFFB04DFC00415DCC00315DC800215DC400115D3 -:201C7800DC00001518001E1E1480023700C0030494803FCC90BFFAC418804336100490BAD8 -:201C800000C0207418DC850410C5883A108000171000683A00817280008172900081729802 -:201C880000817288008172480081730800817308008173080081730800817308008173089E -:201C90000081725000817258044080040000130604410004000011060442000400000F0606 -:201C9800148001370080058494803FCC90800A260080060490800426008005049080221E5B -:201CA0000440080400000506044040040000030604401004000001060440200424C0341742 -:201CA8002021883A8809883A980B883A08162F8080C0311710C0021E80C0331788C0022622 -:201CB00080000C150000100600C000448480361580C00C1580000D1580800E1584400F15FC -:201CB80084C01015D1602B048009883A08175B000005883A0000050600BFFA84F800283A15 -:201CC00000BFFB44F800283A00BFFB44DFC00417DCC00317DC800217DC400117DC000017A2 -:201CC800DEC00504F800283A300001260005C03200FFFF042005883A28C6703A110DC83A0C -:201CD00030C0042E11800017300DC0F210800104003FFA06294000CC00C000C428C0041EA4 -:201CD80010C0000B1807C0B2108000830000080600C0008428C0031E1080000B1005C0B2F0 -:201CE0000000040600C0004428C0021E108000031005C0720005C132F800283ADEFFFD04C4 -:201CE800DC400115DC0000152823883A2021883A014001443009883ADFC0021508162F80A5 -:201CF000100B883A8809883A081623C010BFFFC480C002041800003501000044810004357F -:201CF80011003FCC810000351004D23A10803FCC808001350080200418800035DFC00217E0 -:201D0000DC400117DC000017DEC00304F800283A3180004C294B883A298B883A214003355B -:201D0800210004040080240420800035208000371080008C103FFD1E208000371004D1FA02 -:201D10001080004CF800283A20C004042800022600801A0400000106008008041880003547 -:201D1800188000371080008C103FFD1E20800337F800283A29403FCC2140033521000404EC -:201D20003000022600801404000001060080040420800035208000371080008C103FFD1EF2 -:201D2800208000371004D1FA1080004CF800283A21C004042805883A02000B04210003049E -:201D30001147C83A1980090E3A00003538C0003718C0008C183FFD1E2800022620C00037AE -:201D380010C0000510800044003FF506F800283A21C003042805883A210004040200070441 -:201D40001147C83A1980080E10C0000338C000352200003520C0003718C0008C183FFD1E36 -:201D480010800044003FF606F800283A014AAAF4DEFFFE04296AAA84DC000015DFC00115E3 -:201D50002021883A081623C010000F2601600034013555740007883A297FFFC42115558453 -:201D5800297FFFC4283FFE1E18C000448121883A18BFFB168405883A1421883A843FFFC44D -:201D6000803FFE1E000004068405883A1421883A843FFFC4043FFE160005883ADFC00117E1 -:201D6800DC000017DEC00204F800283AF800283A20000226208002171000101ED0A02A1720 -:201D700010000926DEFFFF04DFC00015103EE83A00C0058410C0001500BFFA84DFC00017EF -:201D7800DEC00104F800283AD0A2940400C0058410C0001500BFFA84F800283A28800017C0 -:201D800021400115208000152880001711000115290000150005883AF800283AF800283A78 -:201D8800000170FAF800283A2520732500632575252E75256B75322E25207A482E252E7572 -:201D90007A4875320000000074204B4F6E69206F00007469656D69540074756F252E752585 -:201D98006D75322E00000073252E75256D75322E75252F73322E252E00736D754353534FCB -:201DA000776620207525202E322E252E0000617574696E49727265202020726F00006425EE -:201DA8002020202053204F4E00434E59343130323130322D6D20203873717261000000007E -:201DB00074736554746170206E726574000000003A31564142475220000000533A31564103 -:201DB80073475220000000423A31564162505920000072503A3256416250592000007250BE -:201DC0003A32564173475220000000423A33564142475220000056483A33564142475220F6 -:201DC800000000533A33564173475220000000423A33564162505920000072507473614CB1 -:201DD0006573752000000064008176C0008176D0008176DC008176E8008176F4008177000F -:201DD8000081770C0081771800817724008177300081773C0000000000000000000000015E -:201DE000000101010000000100000000000001100044060B00000100000100008080800CEB -:201DE800081A1A1A0000000A7365725000000073666E6F43006D72696D73694D686374616A -:201DF0006572202C00797274666F72502075252E00733925756C34256325632575252020DD -:201DF80000000000656E694C746C756D646F6D2000003A65736572702D31207300000035A2 -:201E00002078752575736E75726F707000646574666F725020656C6964616F6C0000003AFC -:201E0800736572702D302073000000390000003100000032000000330000003400000036D7 -:201E10000000003700000038554E454D0000000000004B4F4B4341420000000000005055BE -:201E18004E574F44000000005446454C0000000048474952000000544F464E49000000009D -:201E20005F44434C4B4341424847494C000000544E414353454E494C444F4D5F0000004516 -:201E28004E414353454E494C5059545F000000454E414353454E494C544E495F0000002BEA -:201E30004E414353454E494C544E495F0000002D454E494C544C554D444F4D5F00000045E0 -:201E38005341485000002B455341485000002D45464F52505F454C494B544F48010059450B -:201E4000030F031F3E2903033E693EA93E193EE93E593E993E393ED93E4D3EC93EED3E1DC1 -:201E48003ECD3E2D3E6D3EAD3E013E651C181C481CD01C505E581CC83EB95ED80081782CB0 -:201E5000008178300081783400817838008177FC0081783C0081784000817844008178282B -:201E5800008181140081784800817850008178540081785C00817860008178680081787005 -:201E6000008178780081788000817890008178A0008178B0008178C0008178D0008178E052 -:201E6800008178E8008178F053206F4E6163204464206472002E746561766E492064696CF0 -:201E700067616D690000006561766E492064696C646165680000726561766E492064696C18 -:201E7800207264680043524361766E492064696C6174616443524320000000006164705511 -:201E80006320657465636E6164656C6C0000000073616C466572206865206461000072729B -:201E880073616C4672652068206573610072726573616C4672772068206574690072726511 -:201E900073616C4665762068206669726C696166000000006F727245000000724353534F0A -:201E980000000000696C6156697461646420676E006174616220752573657479000000008C -:201EA000252E75252575322E0073257361647055676E69740057462061656C707720657326 -:201EA8002E74696100002E2E697265566E6979666C6620670068736161656C707220657365 -:201EB000617473650000747272746552676E6979647075200065746161647055203F6574C6 -:201EB8002C593D314E3D3220000000006D72694665726177647075206465746100000000F6 -:201EC000202020200000752564206425000067656C20752573656E6900000000252E75254D -:201EC8002075322E000073756D20642500000056702075256C65786900000073252575257E -:201ED0000000000064206425000000426C206F4E006B6E69656E6F44000000006C69614616 -:201ED8000000646500007325656469566E69206F6F7270203E20206300000000706D6153B8 -:201EE000676E696C74706F203E20202E00000000636E795374706F202020202E3E202020DD -:201EE800000000007074754F6F207475202E74703E2020200000000074736F506F72702DC6 -:201EF00020202E633E20202000000000706D6F436269746174696C693E202079000000008B -:201EF80069647541706F206F6E6F69743E202073000000007474655373676E6974706F206A -:201F00003E20202000000000616F4C3C727020646C69666F003E20657661533C727020652B -:201F08006C69666F003E20657365523C73207465697474653E73676E000000006B6E694CB0 -:201F10006F727020693E2D667475706E000000006B6E694C706E69203E2D7475666F72703F -:201F18000000000074696E49206C616975706E69000000742044434C74204C426F656D69D0 -:201F2000000074752E77463C64707520206574613E202020000000006E776F446D61732D2A -:201F28006E696C70000000677061775366656C2069722F74007468672D6572502043444190 -:201F30006E696167000000006C6C75462058542075746573000000702033564165746E69A8 -:201F380063616C7278696665000000006E616353656E696C000000736E616353656E696C6E -:201F4000727473200000002E202E6C537262796873206469002E72746E616353656E696C77 -:201F480074656D2000646F686E616353656E696C70797420000000656E616353656E696C9C -:201F5000696C61202E6D6E670000000069726F48746E6F7A6D206C61006B73617472655614 -:201F58006C61636973616D200000006B6B73614D697262206E74686700737365657665528D -:201F6000206573720046504C5949443C74616C206574202E003E7473703034323838322F6F -:201F6800727020700000636F703438336F72702000000063693038343637352F72702069F1 -:201F70000000636F703038343637352F727020700000636F693036393830312F7020693065 -:201F780000636F72656E694C6D2078320065646F656E694C6D2078330065646F656E694C8E -:201F80006D2078340065646F656E694C6D2078350065646F656E694C66207835616D726F6C -:201F880000000074783635322030343265707361000074636D2058540065646F494D4448E7 -:201F900043544920000000006C616E417320676F20636E790046504C6C616E417320676F1B -:201F980020636E79006874566E7973486F7420636172656C0065636E6E797356687420639C -:201FA0006873657200646C6F4C502D487250204C6F432D65007473614C502D486F50204C29 -:201FA800432D74737473616F00000000706D6153676E696C61687020000065737030383493 -:201FB000206E6920706D61730072656C6F6C6C41565420775048205078324C4C00000000F3 -:201FB8006F6C6C4170752077706D61737832656C000000007664413C6974202E676E696DAC -:201FC0003E2020200000000065646956504C206F00000046506250596E6920726C6F432068 -:201FC8000061705372502F5266666F200074657320592F477366666F0000746562502F4252 -:201FD00066666F200074657372502F52696167200000006E20592F476E69616700000000BA -:201FD80062502F42696167200000006E2D657250204344416E6961470000000073202E4843 -:201FE0006C706D61746172650000006573202E486C636E7900006E6562202E48706B6361FD -:201FE8006863726F0000000061202E48766974630000006573202E566C636E7900006E657B -:201FF00062202E56706B63616863726F0000000061202E5676697463000000650066664F45 -:201FF8000000733300733031007330332D706F547466656C00000000746E6543000072650D -:2020000074746F42722D6D6F74686769000000002066664F207366283639203D297A486B82 -:202008000000000020207832207366283834203D297A486B0000000000706F5474746F42C2 -:2020100000006D6F69726F48746E6F7A00006C61747265566C6163690000000065746C41EA -:2020180074616E7200676E69746C754D696C70696974616300006E6F74627553746361729F -:20202000006E6F696F74754100000000756E614D00006C61494D444800000000004956445E -:2020280000373A38737361507572687400000000656E694C2820783229626F6200000000BF -:20203000656E694C00007832656E694C282078336563616C00002964656E694C2820783445 -:2020380029626F6200000000656E694C00007833656E694C00007834656E694C0000783590 -:2020400030323931383031780000003030303631303231780000003030323931303231789A -:2020480000000030656E65472063697200333A3478303233203034326974706F00002E6DB0 -:2020500078363532203034326974706F00002E6D656E654720636972393A36310000000097 -:202058004D352E3228207A482978616D00000000484D30316D28207A00296465484D3333FB -:202060006D28207A00296E692056544470303834000000004153455630343620303834781A -:20206800003036402E63655231303620000000002E6365523930372000000000484D3539A8 -:202070004828207A2056544400294949484D35334828207A2056544400002949484D3631FA -:202078004528207A29565444000000007A484D39445328200029565400006E4F0081982139 -:202080000000000200813AFC0081982300000009008138A4008198200000001C00813AC411 -:2020880000817FEC00817FF8008180004452535500415441808000201A1A1A800000000849 -:20209000008181A40000200020002CE5E926F4FD38BC200000000000008181B00000200053 -:202098002000323EF113FA043B6120000000000036333531303432780600000007FE00F002 -:2020A0000FEA01060112039632312002327830380000303400F005000106061803480FAA5B -:2020A80004420112783036390030343203C00000049200F00F800106011203363233008200 -:2020B000343278300000003000F00140010601AA031F0E3149100112783635320030343277 -:2020B80001000000015500F00E2701060112031934329220000070300000000000F002D0DC -:2020C0000106035A033E0F39000E011236333531303432780600004C07FE00F029EA013882 -:2020C80001120396323120023278303800003838012005000138061803480FAA044201126B -:2020D000783036390038383203C00000049201200F800138011203363233008234327830B4 -:2020D80000424C3000F00140013801AA031F293149100112783635324C30343201000042F3 -:2020E000015500F02927013801120319383292200000703800000000012002D0013803608F -:2020E800033F1345000E0112703438330000000001F00000028001801D3201A70204033EDC -:2020F0003436000E30347830000000300190028001C1032002602430000C0210783034363E -:2020F8000034383302800000032001803F3001EC021002603834000E000069300000000020 -:2021000000F002D0020D035A033E0F39044F0312703038340000000002D00000035A01E084 -:202108001E3C020D0414063E3436000C383478300000003001E00280020D032002602130F0 -:20211000000C0414783034360032313502800000032002001C300238041402603735000CC2 -:202118000000693600000000012002D002710360033F1345044F031270363735000000002B -:2021200002D000000360024027440271040405403038000C303678300000003002580320CE -:20212800027404200480175800040010703032370000000005000000067202D014DC02EEBE -:2021300000180528303100043778343200003836030004000326054006881DA0000400108E -:202138003038323132303178050000340698040026F8042A00100370343600043639783082 -:202140000000693001E00280041A032002602130000D0514303830310000006907800000B0 -:202148000898021C109404650518052C3031000D007030380000000004380780046508984C -:20215000052C249400040018303036313032317806400030087004B02EFF04E2001003F1DF -:20215800FFFF0004FFFFFFFFFFFFFFFF7665642F6370652F6F635F716F72746E72656C6C84 -:20216000615F305F6D5F6C7600006D65000000000000000000000000000000000000000090 -:20216800000000000000000000000000000000000000000000000000000000000000000057 -:2021700000000000000000000000000000000000000000000000000000000000000000004F -:20217800000000000000000000000000000000000000000000000000000000000000000047 -:2021800000000000000000000000000000000000000000000000000000000000000000003F -:20218800000000000000000000000000000000000000000000000000000000000000000037 -:2021900000000000000000000000000000000000000000000000000000000000000000002F -:20219800000000000000000000000000000000000000000000000000000000000000000027 -:2021A00000000000000000000000000000000000000000000000000000000000000000001F -:2021A800000000000000000000000000000000000000000000000000000000000000000017 -:2021B00000000000000000000000000000000000000000000000000000000000000000000F -:2021B800000000000000000000000000000000000000000000000000000000000000000007 -:2021C0000000000000000000000000000000000000000000000000000000000000000000FF -:2021C8000000000000000000000000000000000000000000000000000000000000000000F7 -:2021D0000000000000000000000000000000000000000000000000000000000000000000EF -:2021D8000000000000000000000000000000000000000000000000000000000000000000E7 -:2021E0000000000000000000000000000000000000000000000000000000000000000000DF -:2021E8000000000000000000000000000000000000000000000000000000000000000000D7 -:2021F0000000000000000000000000000000000000000000000000000000000000000000CF -:2021F8000000000000000000000000000000000000000000000000000000000000000000C7 -:202200000000000000000000000000000000000000000000000000000000000000000000BE -:202208000000000000000000000000000000000000000000000000000000000000000000B6 -:202210000000000000000000000000000000000000000000000000000000000000000000AE -:202218000000000000000000000000000000000000000000000000000000000000000000A6 -:2022200000000000000000000000000000000000000000000000000000000000000000009E -:20222800000000000000000000000000000000000000000000000000000000000000000096 -:2022300000000000000000000000000000000000000000000000000000000000000000008E -:20223800000000000000000000000000000000000000000000000000000000000000000086 -:2022400000000000000000000000000000000000000000000000000000000000000000007E -:20224800000000000000000000000000000000000000000000000000000000000000000076 -:2022500000000000000000000000000000000000000000000000000000000000000000006E -:20225800000000000000000000000000000000000000000000000000000000000000000066 -:2022600000000000000000000000000000000000000000000000000000000000000000005E -:20226800000000000000000000000000000000000000000000000000000000000000000056 -:2022700000000000000000000000000000000000000000000000000000000000000000004E -:20227800000000000000000000000000000000000000000000000000000000000000000046 -:2022800000000000000000000000000000000000000000000000000000000000000000003E -:20228800000000000000000000000000000000000000000000000000000000000000000036 -:2022900000000000000000000000000000000000000000000000000000000000000000002E -:20229800000000000000000000000000000000000000000000000000000000000000000026 -:2022A00000000000000000000000000000000000000000000000000000000000000000001E -:2022A800000000000000000000000000000000000000000000000000000000000000000016 -:2022B00000000000000000000000000000000000000000000000000000000000000000000E -:2022B800000000000000000000000000000000000000000000000000000000000000000006 -:2022C0000000000000000000000000000000000000000000000000000000000000000000FE -:2022C8000000000000000000000000000000000000000000000000000000000000000000F6 -:2022D0000000000000000000000000000000000000000000000000000000000000000000EE -:2022D8000000000000000000000000000000000000000000000000000000000000000000E6 -:2022E0000000000000000000000000000000000000000000000000000000000000000000DE -:2022E8000000000000000000000000000000000000000000000000000000000000000000D6 -:2022F0000000000000000000000000000000000000000000000000000000000000000000CE -:2022F8000000000000000000000000000000000000000000000000000000000000000000C6 -:202300000000000000000000000000000000000000000000000000000000000000000000BD -:202308000000000000000000000000000000000000000000000000000000000000000000B5 -:202310000000000000000000000000000000000000000000000000000000000000000000AD -:202318000000000000000000000000000000000000000000000000000000000000000000A5 -:2023200000000000000000000000000000000000000000000000000000000000000000009D -:20232800000000000000000000000000000000000000000000000000000000000000000095 -:2023300000000000000000000000000000000000000000000000000000000000000000008D -:20233800000000000000000000000000000000000000000000000000000000000000000085 -:2023400000000000000000000000000000000000000000000000000000000000000000007D -:20234800000000000000000000000000000000000000000000000000000000000000000075 -:2023500000000000000000000000000000000000000000000000000000000000000000006D -:20235800000000000000000000000000000000000000000000000000000000000000000065 -:2023600000000000000000000000000000000000000000000000000000000000000000005D -:20236800000000000000000000000000000000000000000000000000000000000000000055 -:2023700000000000000000000000000000000000000000000000000000000000000000004D -:20237800000000000000000000000000000000000000000000000000000000000000000045 -:2023800000000000000000000000000000000000000000000000000000000000000000003D -:20238800000000000000000000000000000000000000000000000000000000000000000035 -:2023900000000000000000000000000000000000000000000000000000000000000000002D -:20239800000000000000000000000000000000000000000000000000000000000000000025 -:2023A00000000000000000000000000000000000000000000000000000000000000000001D -:2023A800000000000000000000000000000000000000000000000000000000000000000015 -:2023B00000000000000000000000000000000000017804E53CCE00813FAE03833F333D49B5 -:2023B80005B80383009301B404163C493CD93F9F04163F10020E04093D0E00C83F6E03831C -:2023C0003ED03DAC04B2038300E9026404163C933D493F5604163E9F00818E24000000004D -:2023C8000000000000000000000000000000000000817B680000000300818E64000000001B -:2023D0000000000000817B7C0000000300818E5C000000000000000000817B900000000378 -:2023D80000818E54000000000000000000817BA40000000300818E4C000000000000000084 -:2023E00000817BB80000000300818E44000000000000000000817BCC0000000300818E3CBD -:2023E800000000000000000000817BE00000000300818E34000000000000000000817BF4C3 -:2023F0000000000300818E2C000000000000000000817C080000000400811FE400818208F7 -:2023F8000000000000817C180000000400812064008182080000000000817C280000000473 -:2024000000812B28000000000000000000817C3C0000000100819955000A010100813B284F -:2024080000817C5000000000008198330001000100818EB400817C640000000000819822BA -:20241000000A000100818C3400817C74000000000081982E0003000100818B8C00817C848B -:202418000000000400813344000000000000000000817C98000000000081994B00010001AC -:2024200000818E7400817CA8000000000081994C0001000100818EB400817CB80000000193 -:202428000081994D0018000000813A9C00817CC800000000008199480001000100818EB4D2 -:2024300000817CD800000000008199490001000100818EB400817CEC000000000081992567 -:202438000002000100818BA800817CF80000000100819929000F000000813A5400817D0870 -:202440000000000100819927001C000000813A1000817D18000000000081992800010001F9 -:2024480000818E8400817D2800000000008199260002000100818B9C00817D38000000009A -:202450000081992A0001000100818E7C00817D4C000000010081993700FF0000008139F452 -:2024580000817D5C0000000100819938003F0000008139F400817D6C00000001008199390C -:20246000000F0000008138A400817D7C000000010081994A001F0000008138A400817D880F -:202468000000000400812414008181FC0000000000817D98000000000081993100040001B3 -:2024700000818BC400817DA800000000008199320001000100818EA400817DB40000000023 -:20247800008199330003000100818BB400817DC400000000008199340001000100818EA46E -:2024800000817DD400000000008199350001000100818E9C00817DE4000000000081992C46 -:202488000002000100818BE400817DF0000000000081992D0003000100818BF000817DFC12 -:20249000000000000081992E0002000100818BE400817E08000000000081992F000200019E -:2024980000818BE400817E1400000000008199300002000100818BD800817E2400000000CD -:2024A000008199360001000100818E9400817E34000000000081993A0001000100818E8C03 -:2024A80000817E3C000000000081993B0001000100818EB400817E4800000000008199441A -:2024B0000003000100818C0000817E580000000100819941001F0000008139B000817E6858 -:2024B800000000010081994200FF00000081392000817E78000000010081994300C80A0027 -:2024C0000081392000817E880000000100819946000500000081390400817E9800000001DF -:2024C80000819947000500000081390400817EAC000000010081993D001F0001008138C034 -:2024D00000817EBC000000000081993C0002000100818C1000817ECC000000000081993E98 -:2024D8000001000100818EB400817EE0000000000081993F0001000100818EB400817EF42F -:2024E0000000000300818E6C00818214008120D000817F0800000000008199450005000169 -:2024E80000818C1C00817F1400000000008199400001000100818EAC00817F24000000015B -:2024F0000081994E00FF0000008138A400817F30000000010081994F00FF0000008138A412 -:2024F80000817F3C000000010081995000FF0000008138A400817F4800000001008199510D -:2025000000FF0000008138A400817F54000000010081995200FF0000008138A400817F60E2 -:20250800000000010081995300FF0000008138A400817F6C0000000100819954000F0000FF -:20251000008138A400817F7C000000020081983008FC012C0081216000817F8C00000002C6 -:202518000081983C00FF000A0081216000817F98000000020081981E00FF00010081216070 -:2025200000817FA8000000020081982C078000C80081216000817FB4000000020081982666 -:20252800000700010081216000817FC00000000200819836003F00010081216000817FD066 -:20253000000000020081982A04B000C80081216000817FDC00817FE000817FE400817FE840 -:2025380000818044008180500081805C00817FDC008180840081808C008180A4008180B04C -:20254000008180C8008180D8008180A4008180C0008180E8008180F0008180F8008181009E -:202548000081810C0081811800818124008181300081814000818150008181240081813007 -:202550000081814000818160008181700081817C00817FDC00818084008181880081819456 -:202558000081808400817FDC008181BC008181CC008181DC008181EC008176C0008176D02C -:20256000008176DC008176E8008176F4008177000081770C0081771800817724008177306E -:202568000081773C0000000000818D2000818D2800818D300000000000000000000000007D -:2025700000000000000000000000000000000000000000000000000000000000000000004B -:20257800000000000000000000000000000000000000000000000000000000000000000043 -:2025800000000000000000000000000000000000000000000000000000000000000000003B -:20258800000000000000000000000000000000000000000000000000000000000000000033 -:2025900000000000000000000000000000000000000000000000000000000000000000002B -:202598000000000000000004000000000001000A000000000002000A000000000000000008 -:2025A0000000000000000000000000000081856C0000000000000000008170440081716C16 -:2025A80000816E2400816E6000816EF400000000008000000000000000000000000000004E -:2025B00000000000000000000000000000000000000000000000000000000000000000000B -:2025B800000000000000000000000000000000000000000000000000000000000000000003 -:2025C0000000000000000000000000000000000000000000000000000000000000000000FB -:2025C80000000000000000000000000000000000000000000000000000816D900000000075 -:2025D0000080000000821020008000000000000100000080000100000000010000000000B6 -:2025D800001080100000008000000008008185EC000000080081868C000000030081872CF7 -:2025E00000000002008187680000000B008187900000000D0081886C0000000600818970C4 -:2025E80000000005008189E80000000900818A4C0000000700818B00008180100081802433 -:2025F000008180380081803C0081806800818078008180940081809C0081812C008180A072 -:2025F800008180A4008180B0008180A4008180C0008181A4008181B000817FDC008181F8D9 -:20260000C896554B00818C600000000000818EC800818EC8000000000000000000000000A1 -:202608000000000000000000000000000000000000000000000000000000000000000000B2 -:202610000000000000000000000000000000000000000000000000000000000000000000AA -:202618000000000000000000000000000000000000000000000000000000000000000000A2 -:2026200000000000000000000000000000000000000000000000000000000000000000009A -:20262800000000000000000000000000000000000000000000000000000000000000000092 -:2026300000000000000000000000000000000000000000000000000000000000000000008A -:20263800000000000000000000000000000000000000000000000000000000000000000082 -:2026400000000000000000000000000000000000000000000000000000000000000000007A -:20264800000000000000000000000000000000000000000000000000000000000000000072 -:2026500000000000000000000000000000000000000000000000000000000000000000006A -:20265800000000000000000000000000000000000000000000000000000000000000000062 -:2026600000000000000000000000000000000000000000000000000000000000000000005A -:20266800000000000000000000000000000000000000000000000000000000000000000052 -:2026700000000000000000000000000000000000000000000000000000000000000000004A -:20267800000000000000000000000000000000000000000000000000000000000000000042 -:2026800000000000000000000000000000000000000000000000000000000000000000003A -:20268800000000000000000000000000000000000000000000000000000000000000000032 -:2026900000000000000000000000000000000000000000000000000000000000000000002A -:20269800000000000000000000000000000000000000000000000000000000000000000022 -:2026A00000000000000000000000000000000000000000000000000000000000000000001A -:2026A800000000000000000000000000000000000000000000000000000000000000000012 -:2026B00000000000000000000000000000000000000000000000000000000000000000000A -:2026B800000000000000000000000000000000000000000000000000000000000000000002 -:2026C0000000000000000000000000000000000000000000000000000000000000000000FA -:2026C8000000000000000000000000000000000000000000000000000000000000000000F2 -:2026D0000000000000000000000000000000000000000000000000000000000000000000EA -:2026D8000000000000000000000000000000000000000000000000000000000000000000E2 -:2026E0000000000000000000000000000000000000000000000000000000000000000000DA -:2026E8000000000000000000000000000000000000000000000000000000000000000000D2 -:2026F0000000000000000000000000000000000000000000000000000000000000000000CA -:2026F8000000000000000000000000000000000000000000000000000000000000000000C2 -:202700000000000000000000000000000000000000000000000000000000000000000000B9 -:202708000000000000000000000000000000000000000000000000000000000000000000B1 -:202710000000000000000000000000000000000000000000000000000000000000000000A9 -:202718000000000000000000000000000000000000000000000000000000000000000000A1 -:20272000000000000000000000000000000000000000000000000000000000000000000099 -:20272800000000000000000000000000000000000000000000000000000000000000000091 -:20273000000000000000000000000000000000000000000000000000000000000000000089 -:20273800000000000000000000000000000000000000000000000000000000000000000081 -:20274000000000000000000000000000000000000000000000000000000000000000000079 -:20274800000000000000000000000000000000000000000000000000000000000000000071 -:20275000000000000000000000000000000000000000000000000000000000000000000069 -:20275800000000000000000000000000000000000000000000000000000000000000000061 -:20276000000000000000000000000000000000000000000000000000000000000000000059 -:20276800000000000000000000000000000000000000000000000000000000000000000051 -:20277000000000000000000000000000000000000000000000000000000000000000000049 -:20277800000000000000000000000000000000000000000000000000000000000000000041 -:20278000000000000000000000000000000000000000000000000000000000000000000039 -:20278800000000000000000000000000000000000000000000000000000000000000000031 -:20279000000000000000000000000000000000000000000000000000000000000000000029 -:20279800000000000000000000000000000000000000000000000000000000000000000021 -:2027A000000000000000000000000000000000000000000000000000000000000000000019 -:2027A800000000000000000000000000000000000000000000000000000000000000000011 -:2027B000000000000000000000000000000000000000000000000000000000000000000009 -:2027B800000000000000000000000000000000000000000000000000000000000000000001 -:2027C0000000000000000000000000000000000000000000000000000000000000000000F9 -:2027C8000000000000000000000000000000000000000000000000000000000000000000F1 -:2027D0000000000000000000000000000000000000000000000000000000000000000000E9 -:2027D8000000000000000000000000000000000000000000000000000000000000000000E1 -:2027E0000000000000000000000000000000000000000000000000000000000000000000D9 -:2027E8000000000000000000000000000000000000000000000000000000000000000000D1 -:2027F0000000000000000000000000000000000000000000000000000000000000000000C9 -:2027F8000000000000000000000000000000000000000000000000000000000000000000C1 +:0400000000000013E9 +:0400010000000013E8 +:0400020000000013E7 +:0400030000000013E6 +:0400040000000013E5 +:0400050000000013E4 +:0400060000000013E3 +:0400070000000013E2 +:0400080000000013E1 +:0400090000000013E0 +:04000A0000000013DF +:04000B0000000013DE +:04000C0000000013DD +:04000D0000000013DC +:04000E0000000013DB +:04000F0000000013DA +:0400100000000013D9 +:0400110000000013D8 +:0400120000000013D7 +:0400130000000013D6 +:0400140000000013D5 +:0400150000000013D4 +:0400160000000013D3 +:0400170000000013D2 +:0400180000000013D1 +:0400190000000013D0 +:04001A0000000013CF +:04001B0000000013CE +:04001C0000000013CD +:04001D0000000013CC +:04001E0000000013CB +:04001F000100006F6D +:040020000100006F6C +:040021000080006FEC +:040022000040006F2B +:040023000000006F6A +:040024000000009345 +:040025008186810649 +:040026008286820646 +:040027008386830643 +:040028008486840640 +:04002900858685063D +:04002A00868686063A +:04002B008786870637 +:04002C008886880634 +:04002D008986890631 +:04002E008A868A062E +:04002F008B868B062B +:040030008C868C0628 +:040031008D868D0625 +:040032008E868E0622 +:040033008F868F061F +:040034000000911720 +:04003500F3010113BF +:0400360000007D1732 +:0400370023CD0D13B5 +:0400380000007D97B0 +:040039006B8D8D93AB +:04003A0001BD57634A +:04003B00000D202371 +:04003C00DDE30D11E2 +:04003D0000EFFFAD24 +:04003E00114100402C +:04003F00C6064501AB +:040040002005200572 +:04004100A60367DDCE +:0400420067DD3147FE +:040043003187A583D9 +:0400440040B267DD82 +:0400450031C7A50317 +:04004600106F0141F5 +:04004700A941753026 +:0400480067DD80826E +:040049000002073773 +:04004A0032E7A223D4 +:04004B0007B7655D31 +:04004C00675D019C4F +:04004D00CC078793C2 +:04004E00A48505136D +:04004F0032F7202341 +:04005000675DACE953 +:040051003207270348 +:04005200A78367DD3C +:04005300557D32475E +:040054004721CB1164 +:040055006741C3D864 +:04005600C798177DB3 +:040057004711C7D8AE +:040058004501C3D8C3 +:0400590067DD80825D +:04005A003207A7833F +:04005B00CF91557D6F +:04005C00A70367DDB2 +:04005D0028233247DB +:04005E004B1C000730 +:04005F0007C24B4841 +:0400600083C1054211 +:0400610045138D5D59 +:040062008082FFF5A4 +:04006300A50367DDAD +:04006400808232075D +:040065002703C9396B +:0400660011410C0533 +:0400670000859793E6 +:04006800C226C422C6 +:04006900E793C6064D +:04006A0084AE003729 +:04006B00C75C842AC0 +:04006C000C04270356 +:04006D008B85431C20 +:04006E00431CE795B3 +:04006F00D71345015D +:04007000D6934027BC +:040071008B1D40376C +:0400720087858AA153 +:040073008BC18F5559 +:0400740084638FD939 +:04007500051300F47B +:0400760040B2FD2077 +:040077004492442249 +:040078008082014140 +:0400790026E1450532 +:04007A005529B7E16C +:04007B0057A980827F +:04007C00C991C91944 +:04007D005918CA093B +:04007E00C21857ED60 +:04007F000513C70995 +:04008000C1880345EB +:04008100853E4781F0 +:0400820057A9808278 +:04008300C263C1395A +:040084002703040545 +:04008500FE630C45C5 +:04008600268302E5E6 +:0400870087130D05C9 +:040088008F6DFFF683 +:04008900D5B3E71DE7 +:04008A0007B702D5DD +:04008B008793010056 +:04008C0005A2F007D2 +:04008D0027838DFD3B +:04008E00E5930C05E5 +:04008F00C7CC0025B5 +:040090000C052683B2 +:040091004A984781C1 +:04009200C7018B0512 +:04009300CA9C478537 +:04009400853E57ED61 +:04009500C86380823A +:0400960071390C05AB +:04009700D84ADC2245 +:04009800DA26DE0680 +:04009900D452D64E19 +:04009A00D05AD25610 +:04009B008432892AF8 +:04009C004E63552931 +:04009D000C630806E2 +:04009E00CAD10809B2 +:04009F000C4927835E +:0400A00008F6776384 +:0400A10008F5F56306 +:0400A2000D0927839A +:0400A30086338E0D05 +:0400A4006E6340C780 +:0400A5004C6306E6BC +:0400A60017FD060735 +:0400A700E9A58DFD3D +:0400A8005A7D4981B3 +:0400A9004B114A8D20 +:0400AA004501E31910 +:0400AB00CE52A08D04 +:0400AC000034751394 +:0400AD0007B3CD09BF +:0400AE0084BA40AB25 +:0400AF0000E7F36310 +:0400B0008C0984BE75 +:0400B100003477930D +:0400B2005529C7996C +:0400B3004491A0894B +:0400B40000EAE36318 +:0400B500087C84BA85 +:0400B600013685B3D7 +:0400B700953E8626C6 +:0400B800C436C63A4A +:0400B90052C040EF02 +:0400BA000B89278304 +:0400BB004732467210 +:0400BC00C39097A2B4 +:0400BD000C09278380 +:0400BE008F0599A66B +:0400BF00010786139C +:0400C00046A24B9C6D +:0400C100CF918B89C7 +:0400C200C21C47898C +:0400C30050F2556D35 +:0400C40054D254625C +:0400C50059B2594291 +:0400C6005A925A22CE +:0400C70061215B0256 +:0400C800041180821D +:0400C9005529B751AD +:0400CA00C945808222 +:0400CB00D422717951 +:0400CC00D606C85A32 +:0400CD00D04AD2261D +:0400CE00CC52CE4EF4 +:0400CF00C65ECA56E9 +:0400D000842AC46258 +:0400D10055298B32F0 +:0400D2002783C61D9D +:0400D30027030B8470 +:0400D4008A360BC499 +:0400D50084AE97AEB0 +:0400D600FE6396BE71 +:0400D700FC6300E7DF +:0400D8002A8300E691 +:0400D9004B810D0446 +:0400DA000355DAB33D +:0400DB000CC42783A7 +:0400DC0000FAEF63D4 +:0400DD0050B24501D7 +:0400DE0054925422C2 +:0400DF0049F2590287 +:0400E0004AD24A6254 +:0400E1004BB24B4291 +:0400E20061454C2206 +:0400E30003E3808231 +:0400E4002983FE0A64 +:0400E5004C010D04B9 +:0400E600413009B3E9 +:0400E7000099F9B3D0 +:0400E80001348463F8 +:0400E90041348C33DF +:0400EA00852285CE18 +:0400EB00F5613DB9C5 +:0400EC000D042903D3 +:0400ED004189093309 +:0400EE00012A73630D +:0400EF00874A895261 +:0400F000017B06B3D7 +:0400F10085CE86260C +:0400F20035718522BD +:0400F3000A33F54D8A +:0400F4009BCA412A38 +:0400F5000A8594CA1A +:0400F6005529BF5178 +:0400F700C91D80821D +:0400F80057A987324B +:0400F9002783CA0D82 +:0400FA0026030B8549 +:0400FB0095BE0BC5DE +:0400FC0000B688338F +:0400FD00F06357A9AC +:0400FE007E6302C556 +:0400FF00114100C8E3 +:04010000853A863680 +:0401010040EFC606FF +:0401020040B240A027 +:04010300853E47816D +:0401040080820141B3 +:04010500853E57A933 +:04010600CD4D8082D9 +:040107000C052703B9 +:04010800CB5557B5C7 +:040109000C852783B7 +:04010A004718EBA9FE +:04010B00771346B16F +:04010C0007930FF74F +:04010D00E963FEB7ED +:04010E0066D902F6B6 +:04010F008693078A42 +:0401100097B699C63F +:040111008782439C02 +:04011200200007932F +:040113000D0526832D +:040114000C4526036D +:0401150002F685B3B6 +:0401160000B61663B6 +:040117000CC525836B +:0401180004F5866301 +:040119000205282390 +:04011A00A0B557B580 +:04011B004000079306 +:04011C006785BFF143 +:04011D00800787933D +:04011E004358BFD1B2 +:04011F00771347D932 +:040120000F630FF763 +:0401210047E100F7BB +:0401220000F70F6370 +:0401230057B546D1B5 +:0401240004D7136386 +:04012500020007933A +:040126000793BF5527 +:04012700B77D04009C +:040128000800079331 +:040129000793B7651C +:04012A00B74D1000BD +:04012B00C6061141B2 +:04012C000CE52C238F +:04012D00470565DD40 +:04012E00D918DD5CA3 +:04012F0002052A2378 +:04013000C134DD10E9 +:040131002645859347 +:0401320040B22201B4 +:04013300853E47813D +:040134008082014183 +:04013500853E57A903 +:0401360047958082E7 +:0401370002F6063393 +:040138000005242377 +:04013900C91C478511 +:04013A0002C5D5B372 +:04013B00F79315FD24 +:04013C0081A10FF599 +:04013D00F593C11C59 +:04013E00C14C0FF5AC +:04013F00080007931A +:040140008082C51CD8 +:040141008A050586A0 +:04014200C54C95B261 +:040143000900079315 +:040144000105071397 +:04014500431CC91C72 +:04014600FFF58B89AD +:04014700811D4908C5 +:040148008082890523 +:040149000105071392 +:04014A000680079391 +:04014B000793E1999C +:04014C00C91C0200C8 +:04014D008B89431C3B +:04014E004548FFF52C +:04014F00C54C808299 +:04015000010507138B +:04015100050007930B +:0401520047C1E211AE +:04015300431CC91C64 +:04015400FFF58B899F +:04015500811D4908B7 +:040156008082890515 +:040157000693478143 +:04015800C36302C0BB +:04015900808200C7D9 +:04015A000105081380 +:04015B002703C91499 +:04015C008B09000803 +:04015D00C599FF6DD4 +:04015E0000C52803AD +:04015F0000F58733ED +:040160000107002370 +:04016100BFF107855E +:0401620046F147819A +:0401630000C7C363AB +:0401640087338082DB +:04016500470300F557 +:040166000813000773 +:04016700C558010571 +:040168002703C9148C +:040169008B090008F6 +:04016A000785FF6D99 +:04016B0047EDB7C5E0 +:04016C0002F5053360 +:04016D005533479D22 +:04016E00E46302F54F +:04016F00450100A79F +:040170000001808288 +:04017100BFD507856A +:04017200451CC1194E +:0401730067DDEF91C4 +:040174002607A78330 +:040175001141C395DC +:040176009782C606A0 +:0401770047D940B272 +:040178005529C11C28 +:04017900808201413E +:04017A00C14C419C97 +:04017B00419CC11CC6 +:04017C00C188C3C8AB +:04017D008082450136 +:04017E000513655DA3 +:04017F0047D979459E +:040180005529C11C20 +:040181000000808278 +:0401820000B567332A +:04018300FFF00393F3 +:0401840000377713B6 +:0401850010071063EC +:040186007F7F87B739 +:04018700F7F787936C +:040188000005260345 +:040189000005A68344 +:04018A0000F672B356 +:04018B0000F66333E4 +:04018C0000F282B348 +:04018D000062E2B377 +:04018E0010729263F6 +:04018F0008D6166315 +:0401900000452603FD +:040191000045A683FC +:0401920000F672B34E +:0401930000F66333DC +:0401940000F282B340 +:040195000062E2B36F +:040196000C729E63E6 +:0401970006D616630F +:0401980000852603B5 +:040199000085A683B4 +:04019A0000F672B346 +:04019B0000F66333D4 +:04019C0000F282B338 +:04019D000062E2B367 +:04019E000C729863E4 +:04019F0004D6166309 +:0401A00000C526036D +:0401A10000C5A6836C +:0401A20000F672B33E +:0401A30000F66333CC +:0401A40000F282B330 +:0401A5000062E2B35F +:0401A6000C729263E2 +:0401A70002D6166303 +:0401A8000105260324 +:0401A9000105A68323 +:0401AA0000F672B336 +:0401AB0000F66333C4 +:0401AC0000F282B328 +:0401AD000062E2B357 +:0401AE000A729C63D2 +:0401AF0001450513EE +:0401B00001458593ED +:0401B100F4D60EE38F +:0401B2000106171318 +:0401B3000106979317 +:0401B40000F71E63CF +:0401B50001065713D5 +:0401B6000106D793D4 +:0401B70040F70533D5 +:0401B8000FF5759337 +:0401B9000205906348 +:0401BA00000080675A +:0401BB0001075713CE +:0401BC000107D793CD +:0401BD0040F70533CF +:0401BE000FF5759331 +:0401BF000005946340 +:0401C0000000806754 +:0401C1000FF77713AA +:0401C2000FF7F793A9 +:0401C30040F70533C9 +:0401C4000000806750 +:0401C50000054603E8 +:0401C6000005C683E7 +:0401C7000015051307 +:0401C8000015859306 +:0401C90000D61463E5 +:0401CA00FE0616E334 +:0401CB0040D60533E2 +:0401CC000000806748 +:0401CD0000450513D1 +:0401CE0000458593D0 +:0401CF00FCD61CE35B +:0401D0000000051313 +:0401D1000000806743 +:0401D200008505138C +:0401D300008585938B +:0401D400FCD612E360 +:0401D500000005130E +:0401D600000080673E +:0401D70000C5051347 +:0401D80000C5859346 +:0401D900FAD618E357 +:0401DA000000051309 +:0401DB000000806739 +:0401DC000105051301 +:0401DD000105859300 +:0401DE00F8D61EE34E +:0401DF000000051304 +:0401E0000000806734 +:0401E100120D07B73D +:0401E20087931101ED +:0401E300C03E2827CB +:0401E4000793CE06A9 +:0401E500E219F80023 +:0401E6000400079377 +:0401E7000323069E4A +:0401E800E79300A1F8 +:0401E900E693008712 +:0401EA008B0D0086F3 +:0401EB004505858AB7 +:0401EC0000F10223F9 +:0401ED0000D102A398 +:0401EE0000E103A386 +:0401EF00C602C4027E +:0401F00040F226C1F2 +:0401F10080826105A2 +:0401F20047021101AE +:0401F300080007B742 +:0401F4008FF9CE06AB +:0401F500110A0737AD +:0401F6001047071394 +:0401F700C03E8FD99E +:0401F80000414783F8 +:0401F9000037E79351 +:0401FA0000F10223EB +:0401FB00C111479552 +:0401FC009713478D81 +:0401FD00478300270D +:0401FE00858A0041AD +:0401FF009B8D45058A +:0402000002238FD96D +:04020100578300F12E +:04020200F79300610D +:04020300132370074A +:04020400219900F14B +:04020500610540F25D +:0402060011418082A0 +:040207001437C422C2 +:04020800C226000208 +:0402090084AA46017C +:04020A0004C0059394 +:04020B0004040513CF +:04020C0039C9C60620 +:04020D000FF4F59362 +:04020E00051346018D +:04020F0033010404AF +:0402100004C005938E +:0402110004040513C9 +:04021200396D4605F7 +:0402130004040513C7 +:0402140040B244228E +:040215004585449245 +:04021600B1E9014108 +:04021700C4221141AB +:040218000002143795 +:04021900C04AC226EF +:04021A00892A84AEFB +:04021B000593460100 +:04021C00051304C002 +:04021D00C606040409 +:04021E007593317132 +:04021F0046010FF98C +:0402200004040513BA +:040221000513396D1B +:04022200442204046A +:04022300490240B29A +:04022400449285A6D5 +:040225000141460548 +:040226001141B15D74 +:040227003FB5C60613 +:04022800751340B258 +:0402290001410FF58B +:04022A00114180827C +:04022B00377DC6064F +:04022C00450140B296 +:04022D008082014189 +:04022E00CC221101CC +:04022F00C84ACA26C9 +:0402300084AACE06C8 +:040231004401892ECD +:0402320000C4496358 +:04023300446240F2EF +:04023400494244D225 +:040235006105450119 +:0402360007B3808208 +:04023700C5830089F2 +:040238008533000703 +:0402390075130084B5 +:04023A00C6320FF5C4 +:04023B0004053F85F2 +:04023C00BFD94632AE +:04023D003E80079365 +:04023E0002F505338D +:04023F00823FF06F9B +:0402400045811141A2 +:04024100C606453D6B +:0402420040B2374D42 +:0402430005134581D9 +:04024400014106105E +:040245001141BF594B +:04024600645DC4220D +:0402470033840413E5 +:0402480000244583C6 +:04024900C60645158B +:04024A004783374966 +:04024B0005930024F3 +:04024C00451103D085 +:04024D009713839DE3 +:04024E0047830027BB +:04024F009BED00C45F +:0402500006238FD919 +:04025100379500F4E9 +:04025200376D4505BA +:04025300451145F517 +:0402540005933FA926 +:04025500051303008A +:040256003F810610CE +:040257000B200593E0 +:0402580037A1452560 +:040259000F8005937A +:04025A00378145297A +:04025B000370059394 +:04025C003F25452DC8 +:04025D00453D458155 +:04025E0045813F0D8A +:04025F000C900513E7 +:040260004581372D70 +:040261000CA00513D5 +:040262004581370D8E +:040263000CB00513C3 +:0402640045813F2968 +:040265000CC00513B1 +:0402660045813F0986 +:040267000CD005139F +:04026800458137296C +:040269000CE005138D +:04026A00458137098A +:04026B000CF005137B +:04026C0045813DED9E +:04026D000D00051368 +:04026E0045833DCDBA +:04026F0044220044E1 +:04027000051340B280 +:0402710001410E1029 +:040272007179B5CD1C +:04027300D226D42299 +:04027400CE4ED04A50 +:040275003E800413B0 +:040276004785D606DC +:04027700892E89AA99 +:040278000285543374 +:040279009563648D98 +:04027A00648900F59E +:04027B0080048493E4 +:04027C00453D458532 +:04027D003D55C632F3 +:04027E00051345819E +:04027F00357503309E +:040280000084D5938E +:04028100034005131E +:040282004581354D30 +:04028300035005130C +:0402840075933D69C8 +:0402850005130FF45A +:040286003D410300F3 +:040287000084559307 +:040288000FF5F593E6 +:040289000310051346 +:04028A00559335490A +:04028B00F5930104E2 +:04028C0005130FF552 +:04028D003D95032078 +:04028E00453D458124 +:04028F00458135BDB3 +:040290000C500513F6 +:0402910064DD359D56 +:040292003384879397 +:040293000137A42368 +:040294008493478583 +:040295004409338461 +:040296000363463286 +:04029700442900F9FD +:0402980082234785F1 +:0402990083A300F447 +:04029A004705008490 +:04029B00036347BDF5 +:04029C00478100E6B0 +:04029D0000F482A344 +:04029E000C000593B8 +:04029F000E00051335 +:0402A0004785352D2C +:0402A10005900513AC +:0402A2000AF91B63D7 +:0402A30075933539E1 +:0402A400E5930FC50A +:0402A5000513001528 +:0402A6003D01059081 +:0402A7003BF54511CD +:0402A8000EB5759387 +:0402A90035114511B5 +:0402AA000044C583C4 +:0402AB000E10051319 +:0402AC0005933BED8E +:0402AD0005130E40E7 +:0402AE003BC50E201E +:0402AF000054C583AF +:0402B0000E300513F4 +:0402B10033D589BDFB +:0402B2000E80059322 +:0402B3000E400513E1 +:0402B40045853BE958 +:0402B5003BD1453DB7 +:0402B6000513458166 +:0402B70033F1091006 +:0402B8000513458164 +:0402B90033D1092014 +:0402BA00051345855E +:0402BB003B75093056 +:0402BC000513458160 +:0402BD003B55094064 +:0402BE000C04659334 +:0402BF00098005139A +:0402C0004593336DC2 +:0402C10005920FF49F +:0402C20000B5E5930B +:0402C3000FB5F593EB +:0402C4000990051385 +:0402C50045813B59DB +:0402C6003B41453D36 +:0402C7000C1005937F +:0402C8000E0005130C +:0402C900079333590B +:0402CA008323FC107E +:0402CB0050B200F439 +:0402CC0054925422D2 +:0402CD0049F2590297 +:0402CE00614545053C +:0402CF003BB180823D +:0402D0000FC575934E +:0402D1001141BF898F +:0402D200C606451106 +:0402D300659333B943 +:0402D400F593008519 +:0402D50045110FF5CB +:0402D60040B23B896E +:0402D7000300059388 +:0402D80006100513F4 +:0402D900B39101419B +:0402DA004511114178 +:0402DB003335C606EB +:0402DC00659340B234 +:0402DD00F593004550 +:0402DE0045110FF5C2 +:0402DF00B3350141F1 +:0402E000C6061141FC +:0402E100E911C42239 +:0402E200051345813A +:0402E3003B310CD0CF +:0402E40040B24505DA +:0402E500014144226D +:0402E600842E808260 +:0402E700D9F54501FF +:0402E800453D4585C6 +:0402E90045833319FD +:0402EA0005130034C4 +:0402EB0039F505805C +:0402EC000044458302 +:0402ED000590051360 +:0402EE00458339CD3E +:0402EF00051300549F +:0402F00031E505A04F +:0402F10000644583DD +:0402F20005B005133B +:0402F300458339F90D +:0402F400051300747A +:0402F50039D105C036 +:0402F60000844583B8 +:0402F70005E0051306 +:0402F800458331E920 +:0402F9000513009455 +:0402FA0031C105F019 +:0402FB0000A4458393 +:0402FC0006000513E0 +:0402FD004583395D9F +:0402FE00051300B430 +:0402FF00317506103F +:0403000000C445836D +:0403010006200513BA +:040302004583314DB1 +:04030300051300D40A +:040304003961063025 +:0403050000E4458348 +:040306000640051395 +:040307004583317980 +:04030800051300F4E5 +:040309003151065018 +:04030A000034079321 +:04030B0004414581E3 +:04030C000007C7031C +:04030D008D9907853A +:04030E000FF5F5935F +:04030F00FEF41AE3FB +:0403100006F58593D6 +:040311000FF5F5935C +:0403120005D00513FA +:04031300458139B92E +:0403140039A1453D89 +:04031500BF15458D3E +:04031600C6061141C5 +:04031700E911C42202 +:040318000513458103 +:0403190031910CE032 +:04031A0040B24505A3 +:04031B000141442236 +:04031C00842E808229 +:04031D00D9F54501C8 +:04031E00453D45858F +:04031F004583313DA4 +:04032000051300348D +:04032100311506800C +:0403220000444583CB +:040323000690051328 +:0403240045833929AB +:040325000513006458 +:04032600390106B0E3 +:040327000074458396 +:0403280006C00513F3 +:0403290045833119BE +:04032A0047830034D1 +:04032B000513004472 +:04032C0097AE06D0B2 +:04032D00071005931D +:04032E0047838D9DD7 +:04032F008D9D00544C +:04033000006447839B +:0403310047838D9DD4 +:040332008D9D007429 +:040333000FF5F5933A +:0403340045813EE9D8 +:040335003ED1453D33 +:04033600B761458DD9 +:04033700C42211418A +:04033800842A45814D +:04033900C606453D72 +:04033A00051336C9A8 +:04033B0036750C10F7 +:04033C0000803433D6 +:04033D000FE57593C0 +:04033E0005138DC155 +:04033F0036750C10F3 +:0403400040B2442261 +:040341000513458DCE +:0403420001410C6009 +:040343007179BE7995 +:04034400D04AD226A3 +:04034500892A84AECF +:04034600451145F523 +:04034700CC52CE4E78 +:04034800D606C636D9 +:04034900CA56D4229A +:04034A0036418A327C +:04034B0069DD46B270 +:04034C003389871357 +:04034D0097938A8573 +:04034E0046830016CC +:04034F00899300C7C7 +:040350009AF533895E +:0403510006238EDD14 +:040352008A8900D7BD +:040353004505C29901 +:0403540005133771E5 +:04035500C4030700D6 +:0403560036810039B3 +:040357000034FA93E1 +:0403580075934785CD +:040359008663003582 +:04035A00478908FACD +:04035B0008FA8663B3 +:04035C00008477930F +:04035D00E593C399C8 +:04035E00779302058A +:04035F00C39900142A +:040360000105E5931B +:04036100002477936A +:04036200E593C399C3 +:040363008811008578 +:04036400E593C01944 +:040365000513004537 +:040366003E0107004D +:040367001A0A9B6370 +:04036800003A7A13CA +:040369000C63478555 +:04036A00478904FAC1 +:04036B001AFA136304 +:04036C0001849793DE +:04036D00440187E1DF +:04036E000007D4634D +:04036F000A00041369 +:040370000304F493FB +:0403710002000793EC +:0403720014F48C6390 +:0403730003000793E9 +:0403740004F48363A7 +:0403750065DD47C13A +:0403760016F4916385 +:040377008593460D17 +:04037800051326C57E +:040379003CC9073044 +:04037A00464965DDAE +:04037B00B4C58593ED +:04037C00E593A83528 +:04037D00BFAD040507 +:04037E000805E593F6 +:04037F009793BF95FC +:0403800087E101848C +:040381000404F41369 +:04038200FA07DCE3B7 +:04038300FA04641301 +:040384000FF47413EB +:0403850065DDB77506 +:040386008593460D08 +:04038700051327052E +:040388003C590730A5 +:04038900464965DD9F +:04038A00B60585939C +:04038B0007600513EF +:04038C00A89FF0EF47 +:04038D000513448987 +:04038E00F0EF072065 +:04038F007593A61F9D +:040390008C4501C5D2 +:0403910005138DC102 +:04039200F0EF072061 +:04039300C783A61F57 +:04039400458500C9D2 +:04039500E3918B89DC +:040396000513458185 +:04039700F0EF0C0077 +:0403980045D5A4DFC4 +:04039900F0EF45112B +:04039A0045C1A45F56 +:04039B000610051330 +:04039C00A3BFF0EF1C +:04039D001163478918 +:04039E0005930EF9BC +:04039F0005130880BA +:0403A000F0EF062054 +:0403A10045C1A29F11 +:0403A2000630051309 +:0403A300A1FFF0EFD7 +:0403A4000840059375 +:0403A50006400513F6 +:0403A600A13FF0EF94 +:0403A700F0EF450529 +:0403A8004511A57FD7 +:0403A9009F7FF0EF53 +:0403AA0001D5759371 +:0403AB00F0EF451119 +:0403AC0005139FDFB7 +:0403AD00F0EF064027 +:0403AE004511A3FF53 +:0403AF009DFFF0EFCF +:0403B00001557593EB +:0403B100F0EF451113 +:0403B20004139E5F33 +:0403B3004539064082 +:0403B4009CBFF0EF0B +:0403B500E901894190 +:0403B6000442147D6C +:0403B7008041450537 +:0403B800A15FF0EF62 +:0403B9004581F46D19 +:0403BA00F0EF4531EA +:0403BB0005939C1FEB +:0403BC0045350400BF +:0403BD009B7FF0EF43 +:0403BE0045394585F3 +:0403BF009AFFF0EFC2 +:0403C00045394581F5 +:0403C1009A7FF0EF40 +:0403C2009F9FF0EF1A +:0403C300542250B2BE +:0403C40059025492F4 +:0403C5004A6249F24D +:0403C60045054AD2CD +:0403C700808261458A +:0403C800460D65DD9C +:0403C90027058593EC +:0403CA0007300513E0 +:0403CB0098DFF0EFD8 +:0403CC00464965DD5C +:0403CD00B3858593DC +:0403CE00460DBDD546 +:0403CF0026C5859327 +:0403D00007300513DA +:0403D100975FF0EF53 +:0403D200464965DD56 +:0403D300B245859317 +:0403D4004401BDF132 +:0403D500B5C54481E5 +:0403D600051345E1E5 +:0403D700F0EF06201D +:0403D80045C194DFA8 +:0403D90006300513D2 +:0403DA00943FF0EF6D +:0403DB00B71D45B154 +:0403DC00C4221141E5 +:0403DD00842AC22686 +:0403DE00453D84AE67 +:0403DF00C606458188 +:0403E00092BFF0EFE9 +:0403E100059005136B +:0403E200913FF0EF68 +:0403E30002F5759317 +:0403E400041AE4997A +:0403E500F5938DC13E +:0403E600E5930FF597 +:0403E70044220105A6 +:0403E800449240B249 +:0403E9000590051363 +:0403EA00F06F01416E +:0403EB0067DD901F1B +:0403EC00A4878793C8 +:0403ED002423675D01 +:0403EE00A70334F736 +:0403EF0005130C875F +:0403F000CB01F380CA +:0403F1000D47A7030A +:0403F200100007935D +:0403F30000F7136399 +:0403F40080824501BD +:0403F50067DD11416E +:0403F60086AEC422E9 +:0403F70085AA842E21 +:0403F8003487A5039E +:0403F900C606C2264C +:0403FA00F0EF84B2EA +:0403FB004781BF4F28 +:0403FC000513C90913 +:0403FD00A801F370F0 +:0403FE0000F487334D +:0403FF0000070023D0 +:040400001BE307856E +:0404010040B2FEF413 +:0404020044924422BA +:0404030080820141B1 +:04040400CC221101F4 +:04040500CA2684324D +:040406007793CE0614 +:0404070086AA0FF4BE +:04040800062284AE96 +:040409004701C38D57 +:04040A0004971263DE +:04040B00A50367DD01 +:04040C007593348729 +:04040D0005A2F00450 +:04040E00A1EFF0EF7B +:04040F00C10D87AAEA +:04041000F35007930B +:0404110067DDA831CA +:04041200A503C62A4E +:0404130085B23487F3 +:04041400F0EFC4320F +:0404150007939B8F1F +:040416004622F36027 +:04041700D56146B2B3 +:04041800446240F208 +:04041900853E44D206 +:04041A008082610576 +:04041B0000E687B3BD +:04041C000007802332 +:04041D00BF4D0705C3 +:04041E00D4227179FA +:04041F00D04AD226C7 +:04042000D606CE4EE0 +:04042100893284AEEA +:0404220009934401F5 +:040423006E631000F4 +:0404240045010094FA +:040425000009046363 +:04042600F340051387 +:04042700542250B259 +:04042800590254928F +:04042900614549F2EE +:04042A0085B3808294 +:04042B00F4634084B2 +:04042C00059300B97B +:04042D0086361000FF +:04042E00C636852227 +:04042F00FD793F21F3 +:04043000100404139D +:04043100B7E146B237 +:04043200CC221101C6 +:04043300C62A645D14 +:0404340034C40513B4 +:0404350030EFCE06D0 +:0404360045B2444047 +:040437000713E909B5 +:0404380046812000D9 +:040439000513460160 +:04043A0030EF34C4A7 +:04043B0040F25780B4 +:04043C0061054462B0 +:04043D0065DD808277 +:04043E008593655DE0 +:04043F0005133A0562 +:04044000206F3605EE +:0404410065DD658090 +:040442008593655DDC +:040443000513400558 +:04044400206F3745A9 +:04044500114164807D +:04044600C606C42200 +:04044700F0EF842A24 +:040448004581A4BF87 +:04044900F0EF45018A +:04044A00E435B33FA3 +:04044B000713675DCF +:04044C0043483B479F +:04044D00019C07B750 +:04044E00CC078793BD +:04044F0002A7D7B376 +:0404500000A70603F8 +:04045100450345E931 +:0404520066DD01075B +:0404530049C686937D +:040454000413645DCC +:04045500063341C465 +:04045600458302B622 +:0404570087B3026401 +:0404580096B202A7AF +:0404590000E6D503E1 +:04045A0002744603DF +:04045B0002A787B3BA +:04045C0000C745038D +:04045D0087B3050557 +:04045E00450302A7A9 +:04045F00050500E7A8 +:0404600002F5053369 +:04046100847FF0EFB5 +:0404620002644503E8 +:0404630040B244223D +:04046400F06F0141F3 +:0404650040B2E36F4F +:0404660001414422EA +:04046700114180823D +:04046800892AC04AD3 +:04046900C606450579 +:04046A00C226C422C0 +:04046B00B31FF0EFDC +:04046C00997FF0EF95 +:04046D00450145817F +:04046E009C9FF0EF70 +:04046F0000193693A7 +:04047000458146017B +:04047100F0EF45055E +:040472001563B49FBB +:04047300645D0209B9 +:04047400041364DD2C +:0404750084933B44ED +:04047600470341C433 +:04047700C68300F444 +:0404780046010164D4 +:040479004501458173 +:04047A00D9CFF0EFF7 +:04047B000164C783CE +:04047C0002F406A3DD +:04047D003705854A70 +:04047E0040B2442222 +:04047F004902449258 +:0404800001414501F0 +:04048100AD9FF06FCC +:04048200C606114158 +:04048300ED2DC42275 +:04048400C78367DDE6 +:04048500472138577C +:0404860004E78C6398 +:0404870089634741FD +:04048800471100E731 +:0404890004E79E6383 +:04048A0034F020EF3B +:04048B00A0A14505E2 +:04048C000713675D8E +:04048D0043503B4756 +:04048E00019C07B70F +:04048F00CC0787937C +:0404900002C7D7B315 +:0404910000A70603B7 +:04049200675D45E974 +:0404930049C707133B +:04049400063386AAFB +:04049500973202B6E2 +:0404960000E7570321 +:0404970002E787B33E +:0404980001C9C73798 +:0404990037F7071317 +:04049A0000F76363A1 +:04049B00853646BD9F +:04049C00307020EFAD +:04049D004422450DA3 +:04049E00014140B226 +:04049F006C00206F5E +:0404A0002F7020EFAA +:0404A100BFC545018D +:0404A200871367DD78 +:0404A300468341C784 +:0404A40047090207FB +:0404A50041C78413B4 +:0404A6008363453DEA +:0404A700450100E625 +:0404A8002D7020EFA4 +:0404A900020447837F +:0404AA00450D4709AC +:0404AB00FCF775E302 +:0404AC008D1D451548 +:0404AD000FF57513BF +:0404AE007179BF7D24 +:0404AF00D60667897D +:0404B000D226D4225A +:0404B100CE4ED04A11 +:0404B200CA56CC5208 +:0404B300C65EC85AFF +:0404B400C266C462F6 +:0404B50071078793B1 +:0404B60000021737F2 +:0404B7000C07268385 +:0404B8000006D46303 +:0404B900FBFD17FD33 +:0404BA0053F020EFEC +:0404BB0087936BDDDB +:0404BC00C4833B4B6F +:0404BD008A2A00B7D0 +:0404BE003B4B84131D +:0404BF0017B7C4990E +:0404C000A48300020F +:0404C10080C10C07E3 +:0404C2000513888D09 +:0404C30020EF0370B3 +:0404C4008AAA6AC0D6 +:0404C5000380051398 +:0404C6006A2020EF99 +:0404C7001993678599 +:0404C8008793008591 +:0404C900F9B3F0078C +:0404CA00579300F94B +:0404CB008B850065B8 +:0404CC000513892A61 +:0404CD0004A30390F1 +:0404CE0020EF00F427 +:0404CF008CAA68008B +:0404D00003A005136D +:0404D100676020EF51 +:0404D200000217B756 +:0404D3000C07A783E8 +:0404D400E9B3470938 +:0404D5008B630159DB +:0404D600470302E4F2 +:0404D700E70900B47D +:0404D80018F00713FE +:0404D900033774630E +:0404DA009D634705D2 +:0404DB00D99300E4CD +:0404DC00F993012768 +:0404DD0009857FF915 +:0404DE001909B7132E +:0404DF0000174713A8 +:0404E000A8114C0112 +:0404E1000209791380 +:0404E200FE0908E324 +:0404E3000C89B713B6 +:0404E40000174713A3 +:0404E50046834C05F9 +:0404E60067DD00B41A +:0404E70087936ADDB0 +:0404E8008493328740 +:0404E9006B5D41CA3C +:0404EA0018069F63EE +:0404EB00380A006368 +:0404EC0036070E635E +:0404ED00000787037A +:0404EE00D163468907 +:0404EF00802318E668 +:0404F0004785000735 +:0404F10000F405A36B +:0404F200A783491182 +:0404F3001A133B4B52 +:0404F4006505008515 +:0404F500F0050513F6 +:0404F60000AA7A33AB +:0404F70000178693D1 +:0404F800019A6A33C8 +:0404F900ED63675DEB +:0404FA0086930136AE +:0404FB00E963001998 +:0404FC00405C00F66A +:0404FD0001479663BA +:0404FE0000844783AC +:0404FF0033878B6351 +:040500003E070EA301 +:0405010000C4C70368 +:0405020002344783F5 +:0405030008F71A6378 +:0405040000D4C70355 +:0405050002444783E2 +:0405060008F714637B +:0405070000E4C70342 +:0405080002544783CF +:0405090006F71E6370 +:04050A0000F4C7032F +:04050B0002644783BC +:04050C0006F7186373 +:04050D000104C7031B +:04050E0002744783A9 +:04050F0006F7126376 +:040510000074C703A9 +:0405110001E4478337 +:0405120004F71C636B +:040513000084C70396 +:0405140001F4478324 +:0405150004F716636E +:040516000094C70383 +:040517000204478310 +:0405180004F7106371 +:0405190000A4C70370 +:04051A0002144783FD +:04051B0002F71A6366 +:04051C0000B4C7035D +:04051D0002244783EA +:04051E0002F7146369 +:04051F000194C70379 +:040520000304478306 +:0405210000F71E635E +:0405220001A4C70366 +:0405230003144783F3 +:0405240000F7186361 +:040525000244C703C2 +:0405260003B4478350 +:0405270000F709636D +:0405280087CA47092E +:0405290000E973630F +:04052A00F9134789F1 +:04052B00C7030FF7FC +:04052C00478301748C +:04052D00086302E479 +:04052E00078302F746 +:04052F00476900A474 +:0405300002E787B3A4 +:040531000713675DE8 +:0405320097BA49C764 +:040533000107D703E2 +:0405340020D0079339 +:0405350000F719634F +:0405360087CA470920 +:0405370000E9736301 +:04053800F9134789E3 +:0405390047830FF7EE +:04053A00C3B1415BAD +:04053B0000A407030E +:04053C0067DD46E948 +:04053D0002D70733A7 +:04053E0049C787938F +:04053F00010445036B +:04054000010A159304 +:04054100460181C12D +:04054200D70397BA8A +:04054300053300E795 +:04054400470302E582 +:040545000542030464 +:04054600C70981411F +:040547000187D6034F +:040548008A05820599 +:04054900650020EF3A +:04054A00000913632E +:04054B00AA23490591 +:04054C0022233B3BF0 +:04054D00042301443E +:04054E00A03501844F +:04054F0080230705F9 +:04055000490100E776 +:040551000463B55931 +:040552001163000A27 +:0405530086831E0776 +:0405540056710007D5 +:040555001CC6D76386 +:0405560000078023F7 +:04055700000405A3F4 +:0405580014E349114E +:04055900C703E607E7 +:04055A00478341CAC8 +:04055B001E630174A6 +:04055C00C70306F7D4 +:04055D0047830014BC +:04055E001863018499 +:04055F00C70306F7D1 +:0405600047830024A9 +:04056100126301948C +:04056200C70306F7CE +:040563004783003496 +:040564001C6301A46F +:04056500C70304F7CD +:040566004783004483 +:04056700166301B462 +:04056800C70304F7CA +:040569004783005470 +:04056A00106301C455 +:04056B00C70304F7C7 +:04056C00478301249C +:04056D001A63029477 +:04056E00C70302F7C6 +:04056F004783013489 +:04057000146302A46A +:04057100C70302F7C3 +:040572004783014476 +:040573001E6302B44D +:04057400C70300F7C2 +:0405750047830114A3 +:040576001863028480 +:04057700C70300F7BF +:04057800478302545F +:04057900056303C44F +:04057A00136300F710 +:04057B004905000925 +:04057C000184C5032E +:04057D0002F44783BA +:04057E0000A78B63E4 +:04057F0001044583AB +:0405800001B020EFB7 +:0405810000A408A327 +:0405820000091363F6 +:04058300C50349055E +:04058400478301C4E4 +:040585008463033454 +:0405860020EF00A7BB +:04058700C503039015 +:04058800478301D4D0 +:040589008463034440 +:04058A0020EF00A7B7 +:04058B00C5034D0057 +:04058C00478301E4BC +:04058D00846303542C +:04058E0020EF00A7B3 +:04058F00C5034CA0B4 +:040590004783021487 +:04059100C583038497 +:040592009663022446 +:04059300478300A7F3 +:0405940084630394E5 +:0405950020EF00B79C +:04059600C5034860F1 +:04059700478301B4E1 +:040598008B6303244A +:0405990047E100A78F +:04059A0002F505332E +:04059B00879367D902 +:04059C00953E6A8797 +:04059D0060A020EF4B +:04059E000204C5038B +:04059F000374478317 +:0405A00000A78463C9 +:0405A100B85FF0EF60 +:0405A20001F4C50398 +:0405A3000364478323 +:0405A40000A78463C5 +:0405A500706020EF73 +:0405A60069DD65DDC9 +:0405A7008593461DD5 +:0405A80085133F4533 +:0405A90030EF445992 +:0405AA00C50913A0CC +:0405AB004459851317 +:0405AC0045C020EF37 +:0405AD000264C7031A +:0405AE0003D44783A8 +:0405AF0000F71863D6 +:0405B0000274C70307 +:0405B10003E4478395 +:0405B20000F70663E5 +:0405B3000154C50327 +:0405B400A47FF0EF41 +:0405B500C78367DDB4 +:0405B600CF81416749 +:0405B7000284C503F2 +:0405B80003F447837E +:0405B90000A78763AD +:0405BA000562155170 +:0405BB0010EF856157 +:0405BC0085937210A1 +:0405BD00051341CA17 +:0405BE0006130174AB +:0405BF0030EF031006 +:0405C00050B2112004 +:0405C1000AA3542213 +:0405C200854A400B1B +:0405C30059025492F3 +:0405C4004A6249F24C +:0405C5004B424AD289 +:0405C6004C224BB2C6 +:0405C70061454C92AC +:0405C80016FD80821A +:0405C90000D78023B4 +:0405CA00BD25490101 +:0405CB000007802382 +:0405CC004783BFE5BD +:0405CD0046853FD749 +:0405CE00CCD786E31D +:0405CF00F793078512 +:0405D0000EA30FF770 +:0405D1009FE33EF76F +:0405D2004709CAD734 +:0405D300736387CAFD +:0405D400478900E96A +:0405D5000FF7F91310 +:0405D600675DB17537 +:0405D700C6221141E6 +:0405D8003B47069304 +:0405D90000A68E03E7 +:0405DA0068DD45E9AA +:0405DB0002BE05B3A4 +:0405DC000176C503DC +:0405DD0049C88793EF +:0405DE000106C60349 +:0405DF00071346892F +:0405E00088933B477A +:0405E10097AE49C8C0 +:0405E2000147C30307 +:0405E30000C7D80372 +:0405E4000137C58393 +:0405E50018D51463AE +:0405E60001874683C0 +:0405E700F6930685FC +:0405E80055030FF6B2 +:0405E9004F830147F4 +:0405EA00479102270C +:0405EB0080050E93E6 +:0405EC00020E826316 +:0405ED008E936785FD +:0405EE00E56380073A +:0405EF0007931AAEA6 +:0405F0000963020099 +:0405F100E1631CF5B1 +:0405F2004EC118A737 +:0405F30003634791C6 +:0405F40087B201D5F4 +:0405F5004E83655D6F +:0405F600452138550E +:0405F70000AE966359 +:0405F80003420306B1 +:0405F9000103531394 +:0405FA00012745038D +:0405FB0078634F05CD +:0405FC000333006560 +:0405FD001F1340A3E5 +:0405FE005F13010383 +:0405FF004569010F3A +:0406000002AE05330E +:0406010040F602B30A +:0406020044054E0954 +:040603005E83954637 +:04060400450300A505 +:040605008333012515 +:0406060003B303D265 +:04060700433300AFCA +:040608004E0303C3D7 +:0406090082B302971F +:04060A000342025352 +:04060B000103531381 +:04060C00200003B710 +:04060D0003C78E335E +:04060E0093169E1A87 +:04060F0000D742834B +:0406100003420E4251 +:04061100010E5E1365 +:04061200010353137A +:04061300936302FAF1 +:040614004381008F8F +:0406150002A6053301 +:0406160000100FB70A +:04061700800F8F932E +:040618000E4E07C2B9 +:0406190005AE06EE36 +:04061A000EB34432A5 +:04061B00052E03D6CF +:04061C0001F575333C +:04061D0003E60633B7 +:04061E007FFEFE93CA +:04061F00005EEEB3D8 +:0406200001D5653368 +:040621000FF00EB711 +:0406220076330652D3 +:040623008E4901D625 +:0406240000766633C3 +:040625000002153783 +:040626000AC52823B6 +:04062700011746036E +:0406280001074E83F5 +:040629000EAA0636D9 +:04062A0001D666335C +:04062B00E7B38FD1D1 +:04062C00E7B301C768 +:04062D00202300671F +:04062E0047830AF5FF +:04062F00460300C7B7 +:04063000436901C752 +:04063100066A07F658 +:0406320046038FD11B +:04063300065202A7C2 +:0406340006038FD159 +:04063500063300A7E1 +:0406360098B202660E +:040637000158C6039D +:040638008FD1064612 +:04063900E6B38EDDB9 +:04063A008ECD01065A +:04063B0008D5282393 +:04063C0003C7478326 +:04063D0002B7468337 +:04063E0006AA07BA47 +:04063F0046838FD58A +:040640008FD501B79A +:0406410001A7468344 +:0406420001974703D2 +:040643008FD506A6A3 +:040644008FD9071231 +:0406450008F5202371 +:04064600808201416C +:0406470046814E8515 +:04064800E9D511E3FC +:040649000187D5034D +:04064A0000C746831C +:04064B00C50989054F +:04064C00E60689E352 +:04064D00B5B5468D6C +:04064E00E60685E354 +:04064F000177C683E6 +:0406500036B316F1B6 +:04065100BDB100D067 +:0406520010000E93F3 +:0406530003E3478DE9 +:040654000793E9D54A +:040655001EE3200080 +:040656004503E6F57D +:04065700479102873E +:04065800A8358F89A9 +:040659000F636E8934 +:04065A00E86303D579 +:04065B0012E300AEF8 +:04065C004503E6F577 +:04065D004795028734 +:04065E006791B7E504 +:04065F0002F50F632E +:0406600018E367A193 +:040661004503E4F574 +:04066200479902872B +:04066300F7938F89F1 +:04066400A8110FF7D3 +:0406650002874503C0 +:040666008F89478DA4 +:04066700F793078678 +:04066800BD0D0FF7BE +:0406690097E387B2DA +:04066A001821E20F62 +:04066B00084205B18B +:04066C00581305C258 +:04066D0081C101083E +:04066E004795BD31BE +:04066F001101B7EDD1 +:04067000C64E67DD2E +:0406710069DD4705F3 +:040672003EE78EA32E +:040673003B498793E5 +:0406740043C4CA268B +:04067500CE06CC22BF +:04067600C452C84A58 +:040677008413C256D0 +:040678008A633B490D +:04067900A783180437 +:04067A0086633B490F +:04067B00C83718075D +:04067C000813A0EED1 +:04067D005833B00836 +:04067E00478302F8B4 +:04067F000A370084B2 +:040680000A13019CBC +:040681005A33CC0A12 +:040682008463029AF1 +:0406830054B316074F +:0406840005130298C0 +:0406850010EF03B0BF +:04068600892A7A50F3 +:0406870003C0051394 +:0406880079B010EF46 +:04068900016447833E +:04068A00008446039F +:04068B00002797139A +:04068C00879367D910 +:04068D0097BA9F4732 +:04068E00A7034394E7 +:04068F0007933B4949 +:04069000E219070064 +:040691000690079335 +:0406920000016637C6 +:040693000613655D88 +:0406940045C5A98629 +:0406950037450513CD +:04069600691020EFD8 +:040697003E80069308 +:0406980006400813FD +:04069900655D47292B +:04069A00051345C53A +:04069B00763340056D +:04069C00DAB302DAF1 +:04069D0057330304C8 +:04069E00663702E6D3 +:04069F0087D60001F9 +:0406A000AA0606138D +:0406A1000304F83323 +:0406A20002DA56B36F +:0406A30065D020EF0F +:0406A400C78367DDC4 +:0406A500E3994997F5 +:0406A600E6EFF0EF9C +:0406A700C68364DDC5 +:0406A8004583414401 +:0406A900A503008421 +:0406AA0086563B49EC +:0406AB005C4020EFA0 +:0406AC00096357FD8A +:0406AD0005230CF520 +:0406AE00070300A49A +:0406AF0067DD00A45F +:0406B0008D2346E967 +:0406B100073334E7F0 +:0406B20067DD02D727 +:0406B30049C7879319 +:0406B4000104468374 +:0406B5004803405066 +:0406B60097BA0304E8 +:0406B7000167C5030F +:0406B8004144C703EF +:0406B900675D8D7973 +:0406BA0038A702A3B8 +:0406BB0000E7D583FC +:0406BC000FF97713A8 +:0406BD0002D585B32A +:0406BE000733468137 +:0406BF0005C202B7B7 +:0406C000573381C16A +:0406C100064202C724 +:0406C2000663824108 +:0406C300D6830008D2 +:0406C40082850187A3 +:0406C50077138A8598 +:0406C60020EF0FF71B +:0406C70045033AC0ED +:0406C800F0EF0374D8 +:0406C9004583EE6F08 +:0406CA0045030104DF +:0406CB0020EF02F426 +:0406CC0008A32EC091 +:0406CD00F0EF00A4A6 +:0406CE004783C25F3D +:0406CF00450302C419 +:0406D000458100E47C +:0406D1004583E791E5 +:0406D2008D8900F41A +:0406D3000015B593C6 +:0406D400C20FF0EF72 +:0406D50003A44783B0 +:0406D60002C4450312 +:0406D7004462CB8529 +:0406D80044D240F2D6 +:0406D90049B2494297 +:0406DA004A924A22D4 +:0406DB00F06F610556 +:0406DC000806E30F1A +:0406DD006485BD690A +:0406DE0084936A1186 +:0406DF000A1377047F +:0406E000BD41D54AF9 +:0406E1000523479115 +:0406E200BF0500F45C +:0406E3004703E10DDB +:0406E400468300F455 +:0406E500446202D495 +:0406E60044D240F2C8 +:0406E70049B2494289 +:0406E8004A924A22C6 +:0406E9004581460100 +:0406EA00E06F610557 +:0406EB0040F2BDBF5D +:0406EC0044D244624E +:0406ED0049B2494283 +:0406EE004A924A22C0 +:0406EF00808261059F +:0406F000C2261141CC +:0406F100C50364DDFC +:0406F200C42235D415 +:0406F30010EFC60638 +:0406F400842A7AE0FA +:0406F500C683E121B6 +:0406F60067DD35D4B3 +:0406F70088A3472964 +:0406F80067DD3AD7A9 +:0406F90044C7C783A8 +:0406FA0000E785632D +:0406FB000F23675D05 +:0406FC0067DD34F78B +:0406FD003B27C703CD +:0406FE00C701478168 +:0406FF00C78367DD69 +:04070000675D35E715 +:04070100390707139A +:04070200453D97BA20 +:0407030000D7802378 +:040704005E8010EF14 +:0407050040B2852257 +:0407060044924422B3 +:0407070080820141AA +:04070800C2261141B3 +:04070900C50364DDE3 +:04070A00C42235D4FC +:04070B0010EFC6061F +:04070C00842A5CA03F +:04070D00C683E51D9D +:04070E0067DD35D49A +:04070F003AD788A3AA +:04071000C70367DDD7 +:0407110047813B27BA +:0407120067DDC701D7 +:040713003CA7C783B5 +:040714000713675D03 +:0407150097BA39074F +:040716008023453DBA +:0407170010EF00D708 +:04071800852259A03D +:04071900442240B284 +:04071A0001414492C3 +:04071B0067DD808294 +:04071C0035A7C70333 +:04071D0067DD46E965 +:04071E003EE78E2301 +:04071F0002D70733C3 +:04072000879367DD77 +:0407210097BA49C773 +:0407220000E7D68393 +:040723001E23675DCD +:04072400C68338D779 +:04072500675D0147C4 +:0407260040D71C2379 +:040727000127C6835D +:040728001C23675DCA +:04072900D68334D768 +:04072A00675D00A760 +:04072B0038D7162382 +:04072C000157C68328 +:04072D001323675DCE +:04072E00C68338D76F +:04072F00675D0137CA +:0407300040D7192372 +:0407310000C7D70323 +:04073200952367DDC7 +:04073300808238E7A1 +:04073400C60367DDB4 +:0407350067DD3FC776 +:0407360039C7DF03DD +:04073700DE8367DD19 +:0407380067DD4187B1 +:040739003587CE032F +:04073A0067DD675DB3 +:04073B0038C7D303E5 +:04073C003B4707131D +:04073D0086AA67DD44 +:04073E003867D883BD +:04073F0000B74503B7 +:04074000C80367DDA6 +:0407410067DD412708 +:0407420038A7D5837C +:04074300879367DD54 +:04074400C92949C7AF +:0407450000A70703FF +:0407460004C716636B +:0407470007334769C4 +:04074800973E02E6F0 +:0407490000E755036D +:04074A0003E51A6346 +:04074B00014745031A +:04074C0003D5166358 +:04074D000127450338 +:04074E0003C512636A +:04074F0000A75503A7 +:0407500000651E63BF +:040751000157450304 +:0407520001151A6310 +:040753000137450322 +:040754000105166322 +:0407550000C757037F +:0407560000B706637F +:040757004505675D90 +:0407580040A70AA309 +:0407590006334769B3 +:04075A00655D02E6F1 +:04075B003A05051343 +:04075C00663797B2B3 +:04075D0096230001DE +:04075E00972300B726 +:04075F008A2301E701 +:04076000892301D711 +:04076100952301C714 +:040762008AA30067FF +:0407630089A301174E +:040764000613010770 +:0407650045C5690617 +:040766003510206FBB +:04076700C606114170 +:0407680047C5C4229B +:04076900000214373F +:04076A000EF4202346 +:04076B000E04202335 +:04076C000A04282330 +:04076D0028236509CF +:04076E000513080463 +:04076F00E0EF710541 +:040770000713B60FA6 +:0407710067DD1D1013 +:0407720038E79423AD +:040773000003153733 +:040774001D100793BA +:040775000EF420233B +:04077600D40505138E +:04077700B42FE0EFCC +:04077800000626371A +:04077900019C05B723 +:04077A00040405135B +:04077B00A8060613B3 +:04077C00CC05859390 +:04077D00EE6FE0EF4C +:04077E000C3010EF3C +:04077F002FD010EF78 +:04078000C94154799E +:0407810010EF45012F +:0407820007933B504E +:0407830054750FF0AA +:0407840008F5016310 +:040785007AF010EF07 +:04078600E0EF450952 +:0407870047CDA81F93 +:04078800186354712D +:04078900E0EF06F5A2 +:04078A0010EFAF1F9E +:04078B00C50900702C +:04078C00470567DDD9 +:04078D0040E78B2393 +:04078E00976FF0EF82 +:04078F00ED39842A92 +:0407900065D923956F +:040791000613655D89 +:040792008593031038 +:040793000513B40591 +:0407940020EF3CB561 +:0407950065D91BF017 +:040796000613655D84 +:0407970085930360E3 +:040798000513CB0575 +:0407990020EF450503 +:04079A00453D1AB00F +:04079B00510010EF0A +:04079C00C50367DD4D +:04079D0010EF3B1707 +:04079E0017B75060D9 +:04079F00A78300022A +:0407A00097130D0797 +:0407A100436300E7C7 +:0407A2002B99000788 +:0407A300F0EF45012D +:0407A4008522B10FEA +:0407A500442240B2F8 +:0407A600808201410B +:0407A700BFD5547DE9 +:0407A80067DD71395F +:0407A900C483DA2605 +:0407AA00DC2235B761 +:0407AB000485645D00 +:0407AC000FF4F493BF +:0407AD0038845783B2 +:0407AE0004C204B2CB +:0407AF00672180C17D +:0407B0008FD98FC589 +:0407B10038F41423E1 +:0407B200D84ADE063D +:0407B300D05AD452F2 +:0407B400CC62CE5EE7 +:0407B500C86ACA66DE +:0407B60000021737EF +:0407B700D256D64EF2 +:0407B8006637C66E6C +:0407B900695D000175 +:0407BA000EF72023F3 +:0407BB00A206061379 +:0407BC00051345C517 +:0407BD0020EF3A09E6 +:0407BE00F0EF1F3009 +:0407BF006C419FCF1B +:0407C0007B716A5D82 +:0407C10047857BF5F8 +:0407C200FFFC0C9399 +:0407C300450A0A13C6 +:0407C4001BFD1B7D81 +:0407C50000016D378B +:0407C60000021DB759 +:0407C7000D0DA983E8 +:0407C8000199F9B3E7 +:0407C9008263E3DD87 +:0407CA0057030A09BE +:0407CB005783016AE5 +:0407CC0016633884F4 +:0407CD00F7B30F3738 +:0407CE001423017778 +:0407CF00A02338F437 +:0407D00000230EFDF7 +:0407D100F0EF3A0902 +:0407D20025379B0F1D +:0407D3000513000604 +:0407D400E0EFA805A5 +:0407D50057839CCFDB +:0407D60067113884EB +:0407D7003E80051348 +:0407D8008FD98FC561 +:0407D90038F41423B9 +:0407DA000EFDA0234D +:0407DB00029020EF79 +:0407DC0020EF4D8538 +:0407DD0017B704D076 +:0407DE00166300029C +:0407DF00AA8301B533 +:0407E000D8E307074C +:0407E10020EFFE0AFD +:0407E2009713051054 +:0407E3008341010A43 +:0407E400FFF7079381 +:0407E50083C107C203 +:0407E600FFDC06939B +:0407E70002F6FE63B5 +:0407E800000166376F +:0407E900A2C606138B +:0407EA00051345C5E9 +:0407EB0020EF3A09B8 +:0407EC00F0EF13B067 +:0407ED005783944F4B +:0407EE0017373884FD +:0407EF0077B30002DA +:0407F000142300FBD3 +:0407F100202338F495 +:0407F20065090EF790 +:0407F3007105051374 +:0407F40094EFE0EFAF +:0407F500B78987CE6B +:0407F600D7936585AB +:0407F70015FD010AE1 +:0407F800064006139E +:0407F90056B38FED77 +:0407FA00773302C788 +:0407FB009C6302C732 +:0407FC00663700B7A5 +:0407FD0006130001DE +:0407FE0045C5A34604 +:0407FF003A0905139B +:040800000E9020EF47 +:04080100F833B77D94 +:0408020045C502C71F +:040803003A09051396 +:0408040002C7D7B39D +:04080500A40D061325 +:040806000D1020EFC2 +:040807005703BF597B +:040808001AE3018A64 +:0408090007D2F937E2 +:04080A00142383D15F +:04080B0050F238F47B +:04080C00A02354626F +:04080D0054D20EFDB6 +:04080E0059B2594240 +:04080F005A925A227D +:040810004BF25B024A +:040811004CD24C6217 +:040812004DB24D4254 +:040813006121450119 +:04081400114180828C +:04081500F0EFC60634 +:0408160010EF969FAA +:0408170067DD24D0A5 +:04081800C50340B222 +:04081900014143173F +:04081A00936FF06F79 +:04081B00DC52715DDD +:04081C00C686DA565C +:04081D00C2A6C4A209 +:04081E00DE4EC0CA20 +:04081F00D65ED85A6F +:04082000D266D46266 +:04082100CE6ED06A5D +:04082200D15FF0EFC3 +:040823006ADD6A5DC3 +:040824001005426316 +:040825000001663731 +:04082600052007138F +:0408270006134681ED +:0408280045C5A546D7 +:04082900374A051332 +:04082A00041020EFA7 +:04082B00000165B7AC +:04082C008593464525 +:04082D008513A84542 +:04082E0020EF400A6D +:04082F00F0EF756011 +:04083000A537848FD5 +:0408310005130007A4 +:04083200645D1205EA +:04083300E0EF64DDB1 +:040834004D01850FDE +:040835006B5D4901AD +:040836003B44041328 +:040837004C3D6BDDEC +:0408380041C48493A0 +:04083900000217B7EB +:04083A000D07A7837C +:04083B00000306B7F9 +:04083C00971365DDCC +:04083D0083410107EB +:04083E0048EB282338 +:04083F00FFF7C713E5 +:040840008F7583E14C +:040841000FF7F613A4 +:040842008C2366DDC0 +:04084300AA2348C5D7 +:0408440065DD48E640 +:040845000793CF81C5 +:04084600F793FFE63F +:04084700450D0FF755 +:0408480000F57663DE +:0408490048C5C78354 +:04084A0000C79463EC +:04084B00480B28230B +:04084C00862367DDBB +:04084D00A60348C5F1 +:04084E00A423488710 +:04084F00E24948E74B +:0408500069DD2EC56B +:040851004999C78377 +:04085200C7818CAA24 +:0408530000EF45016C +:0408540067DD5F50AD +:0408550035E7C703B9 +:040856000164468370 +:040857008D6385BE6A +:040858008A2312E6F7 +:04085900478D418BFB +:04085A0004E7FF634D +:04085B00F06347956A +:04085C004D8506E7D9 +:04085D004909468976 +:04085E00FFF7079306 +:04085F000FF7F79305 +:040860006A63462160 +:04086100665904F6DA +:040862000613078AE8 +:0408630097B29D06A5 +:040864008782439CA8 +:0408650000016637F1 +:04086600061386AA45 +:0408670045C5A68657 +:04086800374A0513F3 +:04086900744020EFC8 +:04086A00000165B76D +:04086B0085934645E6 +:04086C008513FEC52D +:04086D0020EF400A2E +:04086E00E0EF023085 +:04086F00A001F4DF11 +:040870004806AA2369 +:040871004D8DBFB535 +:04087200490146856D +:040873004D89B7757F +:04087400BFE5468115 +:0408750067DD4D09E5 +:040876003B27C783D2 +:0408770067DDC39DD9 +:040878003907879322 +:0408790067DD973E62 +:04087A00000745032B +:04087B003B17C7035D +:04087C0000A7096365 +:04087D0088A3C63650 +:04087E0010EF3AA796 +:04087F0046B2182045 +:04088000C78365DDE8 +:0408810005A335E5B1 +:040882004705000422 +:0408830000F40B234F +:04088400037447832F +:040885007663458DC4 +:04088600459500F79D +:04088700F5938D9DBB +:0408880085360FF5AD +:0408890074E010EF18 +:04088A00021010EF59 +:04088B0093DFE0EF28 +:04088C00C78367DDDA +:04088D00C781416777 +:04088E0010EF856E74 +:04088F0085EA3AE0DC +:0408900010EF854A96 +:0408910047835190B8 +:040892004645016472 +:04089300374A0513C8 +:04089400002797138F +:04089500879367D905 +:0408960097BA9F4727 +:040897002223438C49 +:0408980020EF000449 +:0408990065B7776068 +:04089A0046450001CE +:04089B00A785859315 +:04089C00400A851376 +:04089D00764020EF92 +:04089E004999C7832A +:04089F00E0EFE3990A +:0408A00067DDE89F89 +:0408A10035C7C7038D +:0408A200176347A9E8 +:0408A300856300F772 +:0408A400453D000CC2 +:0408A500765000EF9A +:0408A6000154C7032F +:0408A70002C44783BD +:0408A80002F70363ED +:0408A900468147013C +:0408AA00458146013D +:0408AB00E0EF450134 +:0408AC00C503CD6F44 +:0408AD00E0EF015423 +:0408AE00C783EE9F6F +:0408AF0022230154AB +:0408B0000623000417 +:0408B100C78302F403 +:0408B200E395015475 +:0408B3000164C68393 +:0408B40002D44783A0 +:0408B50000D78D6378 +:0408B60000F4470300 +:0408B7004581460130 +:0408B800E0EF450127 +:0408B900C783CA2FF8 +:0408BA0006A301642C +:0408BB00478302F479 +:0408BC00CFA901645B +:0408BD00854A85EAF9 +:0408BE00FC3FE0EF2C +:0408BF000D634789F5 +:0408C000479108F55F +:0408C10002F50463D5 +:0408C20011634785F2 +:0408C300478304F56E +:0408C400CF8D00B420 +:0408C500C46FF0EF1D +:0408C6004D0DA81517 +:0408C70047C1BD6DFB +:0408C80040FB8A2344 +:0408C900BD454D05D7 +:0408CA00B5754D01B2 +:0408CB0000B44783AB +:0408CC00675DC39D04 +:0408CD00388757838E +:0408CE000027E79385 +:0408CF0038F71423BF +:0408D00000021737D4 +:0408D1000EF72023DB +:0408D200D0BFF0EFB4 +:0408D30012C0051337 +:0408D400DCFFD0EF86 +:0408D5002223BB41DE +:0408D60010EF00041B +:0408D70047836EE005 +:0408D800464501642C +:0408D900374A051382 +:0408DA000027971349 +:0408DB00879367D9BF +:0408DC0097BA9F47E1 +:0408DD0020EF438C39 +:0408DE0065B7662074 +:0408DF004645000189 +:0408E000A7858593D0 +:0408E100400A851331 +:0408E200650020EF9E +:0408E3004999C783E5 +:0408E400E0EFFFD56D +:0408E500BF5DD75FBD +:0408E60000B4478390 +:0408E700F0EFDBC58E +:0408E800B76DE20FF7 +:0408E900655D65D90B +:0408EA00061311419F +:0408EB0085930310DE +:0408EC000513B40537 +:0408ED00C60641C535 +:0408EE00458020EF32 +:0408EF00655D65D905 +:0408F0002F2006139C +:0408F1006F05859377 +:0408F20049C50513DC +:0408F300444020EF6E +:0408F40067DD40B2CA +:0408F5008AA3470586 +:0408F600450140E791 +:0408F70080820141B9 +:0408F800C4A2715DC8 +:0408F900DE4EC2A667 +:0408FA0069DD64D977 +:0408FB00C0CA645DAE +:0408FC00D266DC5292 +:0408FD00DA56C6867B +:0408FE00D65ED85A90 +:0408FF00D06AD46285 +:040900004901CE6E6D +:0409010084934C810E +:040902008A4EC44411 +:040903004504041390 +:04090400000165B7D2 +:04090500859346454B +:040906008513CE8502 +:0409070020EF36099E +:0409080097135BA046 +:040909009726002C01 +:04090A00655D430CD8 +:04090B000513464545 +:04090C0020EF3A0599 +:04090D00E0EF5A605D +:04090E006AC1CC1FCF +:04090F008D134701FC +:040910006B5DFFFA22 +:040911006DB76BDD76 +:040912006C5D000117 +:04091300000216B711 +:040914000D06A583A4 +:04091500000305379F +:0409160001A5F6330E +:0409170048CB28237E +:040918000D06A6839F +:04091900FFF6C6938C +:04091A00AA238EE995 +:04091B00C21D48DBD6 +:04091C000326026349 +:04091D00001C969391 +:04091E00E32D96A28D +:04091F0000B690236B +:040920008593464530 +:040921000513CF0DDE +:0409220020EF360A82 +:04092300E0EF54E0CD +:040924004705C69F1E +:04092500488C2603D1 +:04092600494BA68310 +:040927009063E20DEA +:040928009F63035670 +:0409290065D9040C7C +:04092A00036006134D +:04092B00CB058593E0 +:04092C00C636852224 +:04092D0035C020EFC2 +:04092E00470946B27D +:04092F0024234CED44 +:04093000C63A48DC9F +:0409310029034689C7 +:040932000E63490BFC +:04093300650902D779 +:040934007105051331 +:04093500C4BFD0EF7C +:04093600BF8D4732F8 +:040937000006D6835D +:0409380009E347097F +:0409390067B7FAD6CC +:04093A00464500012D +:04093B00CF8785934A +:04093C00360A05135F +:04093D004E4020EF19 +:04093E00BFFFE0EF28 +:04093F00BF59470154 +:0409400047091CF94E +:040941000C85BF6DF5 +:0409420053E34769CB +:040943004426F197BE +:04094400449640B6DF +:0409450059F2490614 +:040946005AD25A62C5 +:040947005BB25B4202 +:040948005C925C223F +:040949004DF25D020C +:04094A006161453D65 +:04094B004CD0006F1D +:04094C000793711D7F +:04094D00CA3E00B1ED +:04094E00879367DD47 +:04094F00CC3E4287D1 +:04095000879367DD45 +:04095100CE3E4297BD +:04095200879367DD43 +:04095300D03E42A7A9 +:04095400879367DD41 +:04095500D23E42B795 +:0409560067DDD43E47 +:0409570042C7879379 +:0409580027B7D63EA9 +:0409590087930F036E +:04095A00C63EF0178E +:04095B00303007939E +:04095C0000F118236B +:04095D00675D478DFE +:04095E0000F1092378 +:04095F00CCA267DDE2 +:040960004907A6039A +:04096100450704132F +:04096200CAA6CE86CD +:04096300C6CEC8CA6A +:04096400C2D6C4D261 +:04096500DE5EC0DAB8 +:04096600000105A3E4 +:040967004507071326 +:0409680045E9478195 +:0409690000075683AA +:04096A0000D61C6334 +:04096B006363476912 +:04096C00675910F7C0 +:04096D000713078ADB +:04096E0097BAB74736 +:04096F008782439C9C +:04097000846307098C +:0409710007853AB705 +:040972004789BFF101 +:04097300D70366DD63 +:040974004713388667 +:0409750094231007B0 +:04097600675D38E69B +:040977004947268343 +:0409780000F69713DB +:0409790000075D63B3 +:04097A00C70367DD6B +:04097B0046253CA72A +:04097C000663478542 +:04097D00079300C715 +:04097E00F7930017D4 +:04097F0097130FF7C4 +:040980005E6300E6CC +:04098100675D0007A7 +:0409820041C74683A0 +:04098300460145855F +:0409840000D5E56352 +:04098500F6130685DA +:040986000E230FF637 +:04098700472940C7F5 +:04098800866345013C +:04098900675D00E7BF +:04098A0034F70F230C +:04098B0067DD4505DA +:04098C003887D703CE +:04098D00C68366DDDA +:04098E009B4D49969E +:04098F008341074257 +:0409900038E794238D +:0409910066DDEA81B4 +:0409920039A6C68339 +:040993008F55068AEC +:0409940038E7942389 +:040995003887D703C5 +:04099600446640F67D +:04099700000217B78C +:040998000EE7A023A3 +:04099900494644D6B1 +:04099A004A2649B6EA +:04099B004B064A9627 +:04099C0061255BF284 +:04099D00478D808280 +:04099E004791BF912D +:04099F004795BF8138 +:0409A0004799B7B10B +:0409A100479DB7A116 +:0409A20047A1B79121 +:0409A30047A5B7812C +:0409A400675DBF3597 +:0409A50049974783A4 +:0409A6000017B693ED +:0409A70048D70CA37E +:0409A8004683675DBE +:0409A900675D3B1734 +:0409AA0034D70EA38D +:0409AB004505E79186 +:0409AC00093000EF1F +:0409AD00BF1947A97E +:0409AE00A4FFE0EFD3 +:0409AF0064DDBFE55F +:0409B000000217B773 +:0409B1003B448493AC +:0409B2000C07A40387 +:0409B30000A4878392 +:0409B40066374769F2 +:0409B50087B3000103 +:0409B600675D02E790 +:0409B70049C7071312 +:0409B8000613655D60 +:0409B90045C5BE066C +:0409BA0036050513E6 +:0409BB0067DD973E1F +:0409BC003B17C6839C +:0409BD001F4020EFC8 +:0409BE0000B4C78337 +:0409BF006785C7B9C8 +:0409C0008007879392 +:0409C10076938FE1B9 +:0409C20037337FF454 +:0409C300068500F0B5 +:0409C40000E696B300 +:0409C500071396BAC4 +:0409C600C3990700CA +:0409C700069007137C +:0409C800880D8041D5 +:0409C90002A00793EE +:0409CA000793E01996 +:0409CB0016370200D9 +:0409CC0028030002FA +:0409CD00655D060658 +:0409CE000001663787 +:0409CF00BEC6061387 +:0409D000051345C501 +:0409D10020EF3A05D4 +:0409D200E0EF1A2018 +:0409D300B79D9ADF53 +:0409D4005783675D81 +:0409D500C793388705 +:0409D60014230107DE +:0409D700BF9938F795 +:0409D800C70367DD0D +:0409D900460541C7C7 +:0409DA00656346818A +:0409DB00070500E626 +:0409DC000FF7769308 +:0409DD0040D78E234E +:0409DE0067DDBF35DD +:0409DF0041C7871372 +:0409E00000174703B2 +:0409E10087934605AD +:0409E200468141C742 +:0409E30000E6656362 +:0409E40076930705FA +:0409E50080A30FF7E5 +:0409E600BF2900D74E +:0409E7000793675DAE +:0409E800C78341C7B9 +:0409E90007130047A9 +:0409EA00C78141C7B9 +:0409EB00F79317FD6A +:0409EC0002230FF7DC +:0409ED00BDFD00F755 +:0409EE000713675D27 +:0409EF00478341C732 +:0409F00046B90047BD +:0409F10000F6F363B6 +:0409F200078547B975 +:0409F30065B7B7DD50 +:0409F400655D00013C +:0409F500859346455B +:0409F6000513BFC561 +:0409F70020EF3605B2 +:0409F80065B71FA020 +:0409F9006ADD0001B2 +:0409FA008593464556 +:0409FB008513C0C5DB +:0409FC0020EF3A0AA4 +:0409FD0069411E60CE +:0409FE006A5D69DDE8 +:0409FF00E0EF648938 +:040A00001B378F9F72 +:040A0100197D000259 +:040A020089934BED9C +:040A03000A133B494E +:040A0400849349CAC4 +:040A0500270371044E +:040A060087A20D0BAB +:040A0700773346817A +:040A0800D6030127E9 +:040A09000663000779 +:040A0A00068500E677 +:040A0B009AE30789DA +:040A0C008783FF7667 +:040A0D00476900A98C +:040A0E0002E787B3C1 +:040A0F0097D247151E +:040A10000177C78320 +:040A110002F7646321 +:040A12004A634711DB +:040A1300181804D7D4 +:040A14004703973EBF +:040A15005733FDC78F +:040A16008B0540D735 +:040A1700078ACF116A +:040A180097BA181859 +:040A1900FE47A7836A +:040A1A0000D780235E +:040A1B0089BFE0EFC0 +:040A1C008CA367DD63 +:040A1D00BD3D48078C +:040A1E000001663736 +:040A1F00061306852F +:040A200045C5C18681 +:040A21003A0A8513F5 +:040A2200060020EFBB +:040A230086BFE0EFBB +:040A24000007A537EB +:040A2500120505139E +:040A2600887FD0EF06 +:040A270047B1BFC153 +:040A2800FCF686E36F +:040A2900D0EF85265F +:040A2A00B7B5879F36 +:040A2B00871367DDE9 +:040A2C00470341C774 +:040A2D00467901877E +:040A2E0041C78793A2 +:040A2F006563468134 +:040A3000070500E6D0 +:040A31000FF77693B2 +:040A320000D78C233A +:040A330067DDB3E5E3 +:040A340041C787131C +:040A350001874703EB +:040A360041C787939A +:040A3700D76D46FD34 +:040A3800B7CD177DA2 +:040A3900000165B79C +:040A3A004645655D6B +:040A3B00C285859358 +:040A3C003605051363 +:040A3D000E4020EF58 +:040A3E00000165B797 +:040A3F004645655D66 +:040A4000C385859352 +:040A41003A0505135A +:040A42000D0020EF94 +:040A43006489694118 +:040A4400FE6FE0EF72 +:040A4500000219B7DB +:040A46004A6D197D5F +:040A47004B314AA540 +:040A4800710484931E +:040A49000D09A6836A +:040A4A004781872237 +:040A4B000126F6B3D7 +:040A4C000007560346 +:040A4D0000D60A6362 +:040A4E000709078508 +:040A4F00FF479AE3E0 +:040A5000D0EF852638 +:040A5100BFF9FDCF1D +:040A520000FACC6377 +:040A530007854729A3 +:040A540002E7E7B31B +:040A55000EA3675D28 +:040A5600F0EF34F792 +:040A5700B739A66F96 +:040A5800FF6790E3C1 +:040A59004785B721F5 +:040A5A0047A9B19562 +:040A5B000113B1BD15 +:040A5C001808DA019B +:040A5D0024112E230F +:040A5E0024812C23A0 +:040A5F0024912A2391 +:040A60002521282301 +:040A610025312623F2 +:040A620025412423E3 +:040A630025512223D4 +:040A640025612023C5 +:040A650023712E23A8 +:040A660023812C2399 +:040A670023912A238A +:040A680023A128237B +:040A6900F24FE0EF79 +:040A6A0064DD892A94 +:040A6B005B1010EF1D +:040A6C001863440DBA +:040A6D004611140911 +:040A6E000808180C50 +:040A6F0001C020EFB3 +:040A7000000165B765 +:040A71008593461112 +:040A72000808E90582 +:040A73007D1010EFF3 +:040A7400064009131C +:040A750012051763EC +:040A7600034157835E +:040A7700059346217C +:040A780005130361FE +:040A79001A230161DA +:040A7A0010EF00F188 +:040A7B0057837EF02F +:040A7C00570303E138 +:040A7D000EA304219F +:040A7E00162300013A +:040A7F00578300F1A8 +:040A800006930401D4 +:040A810017231E20F9 +:040A820047B200F186 +:040A830000E1162355 +:040A840004415703CF +:040A85001799D03EAF +:040A860000E1172351 +:040A8700D23A4732E6 +:040A880004615703AB +:040A890000E116234F +:040A8A000481570389 +:040A8B0000E117234C +:040A8C00D43A4732DF +:040A8D0022C1270358 +:040A8E00D63AC63A54 +:040A8F000CF6E1631D +:040A900006600913E0 +:040A91006637EF5D78 +:040A9200645D00019E +:040A9300E9860613D7 +:040A9400051345C53C +:040A950010EF360424 +:040A960056926930DB +:040A970000016637BD +:040A9800EA860613D1 +:040A9900851345C5B7 +:040A9A0010EF3A041B +:040A9B00E0EF67F031 +:040A9C005A12E88F73 +:040A9D0049815AA28F +:040A9E0020000B1316 +:040A9F00E4636BDDC4 +:040AA00093630F4904 +:040AA1004783240A59 +:040AA2004683016125 +:040AA30047030141C3 +:040AA4008163015118 +:040AA50067B7100718 +:040AA6008793000131 +:040AA7006637DA874D +:040AA800081300012E +:040AA90006130161CE +:040AAA0045C5EB460D +:040AAB0036040513F5 +:040AAC00639010EF54 +:040AAD00000165B728 +:040AAE0085934645A1 +:040AAF008513F0C5F6 +:040AB00010EF3A0405 +:040AB10069C154F0D3 +:040AB20069096ADD87 +:040AB300E2AFE0EFDF +:040AB40000021A37EB +:040AB5008B1319FD89 +:040AB6000913450AD1 +:040AB7002783710917 +:040AB800D7030D0A49 +:040AB900F7B3450A40 +:040ABA000A63013793 +:040ABB0057030AF7DC +:040ABC000F63002B99 +:040ABD00854A1CF753 +:040ABE00E26FD0EF24 +:040ABF000913B7CD93 +:040AC00010EF0650DD +:040AC100478545B070 +:040AC20022F90563AD +:040AC3001F27C363C3 +:040AC400F350079351 +:040AC50022F90463AB +:040AC6001D27C063C5 +:040AC700F34007935E +:040AC80022F90363A9 +:040AC900000165B70C +:040ACA00DDC585936E +:040ACB008513464504 +:040ACC0010EF3A04E9 +:040ACD00E0EF6A707C +:040ACE004537DC0FBD +:040ACF000513000FFC +:040AD000D0EF24053A +:040AD1005963DDCFB9 +:040AD200576322093B +:040AD30066372280E0 +:040AD400655D00015B +:040AD500EFC606134F +:040AD600051345C5FA +:040AD70010EF3605E1 +:040AD800147D58B081 +:040AD9000733A0BD82 +:040ADA007463413AC6 +:040ADB00071300EB12 +:040ADC0089932000DA +:040ADD0007422009A3 +:040ADE004681834189 +:040ADF000099D61391 +:040AE0008513180C56 +:040AE10010EF34CB13 +:040AE200892A2DD060 +:040AE300EE0509E330 +:040AE400BF85440D79 +:040AE500000167B7EE +:040AE600FEC787932D +:040AE70000EFB7095C +:040AE800675D6AB02C +:040AE9003887578370 +:040AEA000513650982 +:040AEB00E793710517 +:040AEC0014230027A8 +:040AED00202338F793 +:040AEE00D0EF0EFA3D +:040AEF0065B7D64FC2 +:040AF00005130001E9 +:040AF100464536043C +:040AF200EC058593F7 +:040AF30060D010EFD0 +:040AF4006B37440D0B +:040AF5004645000171 +:040AF600ECCB0593AD +:040AF7003A04851325 +:040AF8005F9010EF0C +:040AF900D12FE0EF2A +:040AFA000C1349810F +:040AFB006CDD20008E +:040AFC0010000B9348 +:040AFD00E5635A1241 +:040AFE0065B7074988 +:040AFF0069DD0001AC +:040B0000859346454E +:040B01008513EDC5A6 +:040B020010EF3609B1 +:040B030046455CF017 +:040B0400ECCB05939E +:040B05003A04851316 +:040B06005C1010EF80 +:040B0700CDAFE0EF9F +:040B0800559256228A +:040B09004501181476 +:040B0A00C50FE0EF44 +:040B0B001AE3892A36 +:040B0C0010EFEC05F5 +:040B0D0065B732B0E6 +:040B0E004645000157 +:040B0F00F2058593D3 +:040B1000360985130A +:040B11003CD010EFD5 +:040B1200000165B7C2 +:040B1300859346453B +:040B14008513EEC592 +:040B150010EF3A049F +:040B1600E0EF583084 +:040B1700A001C9CFA1 +:040B1800413A0A3321 +:040B190074638AD2A5 +:040B1A000A93014CED +:040B1B008D13200016 +:040B1C009713200902 +:040B1D008341010A05 +:040B1E0056134681A3 +:040B1F00180C409DD1 +:040B200034CC851339 +:040B21001DF010EFC4 +:040B22000933C501CD +:040B2300BD9540A09C +:040B24004089D99398 +:040B2500F46385D61A +:040B26000593015BD7 +:040B2700864E1000E6 +:040B2800E0EF1808DA +:040B2900892AB6EF70 +:040B2A00E4051DE3DE +:040B2B00014BE46333 +:040B2C00B78989EA12 +:040B2D000019861312 +:040B2E00F00A8593B1 +:040B2F00E0EF1A08D1 +:040B3000892AB52F2A +:040B3100BD35D57584 +:040B32000913440D52 +:040B3300BD15067076 +:040B34000913440D50 +:040B3500B53506804C +:040B3600F3600793CE +:040B370006F90A634E +:040B3800F3700793BC +:040B3900E4F910E3E8 +:040B3A00000165B79A +:040B3B00E3C58593F6 +:040B3C000793BD3529 +:040B3D0002630660E9 +:040B3E00CF6306F982 +:040B3F0007930127F0 +:040B40000163064007 +:040B4100079306F917 +:040B42001DE3065059 +:040B430065B7E0F9B9 +:040B44008593000194 +:040B4500BD19DF45B2 +:040B4600067007939B +:040B470004F9096341 +:040B48000680079389 +:040B4900E0F910E3DC +:040B4A00000165B78A +:040B4B00E285859327 +:040B4C0065B7BBF5D9 +:040B4D00859300018B +:040B4E00BBCDDE45F8 +:040B4F00000165B785 +:040B5000E5C58593DF +:040B510065B7B3E5EC +:040B52008593000186 +:040B5300BBF9E6C53F +:040B5400000165B780 +:040B5500E4C58593DB +:040B560065B7BBD1F3 +:040B57008593000181 +:040B5800B3E9E045D8 +:040B5900000165B77B +:040B5A00E805859392 +:040B5B0065B7B3C106 +:040B5C00859300017C +:040B5D00BB5DE14556 +:040B5E0025C120830A +:040B5F0025812403C5 +:040B60002541248384 +:040B6100250129033E +:040B620024C12983FE +:040B630024812A03BC +:040B640024412A837B +:040B650024012B0339 +:040B660023C12B83F9 +:040B670023812C03B7 +:040B680023412C8376 +:040B690023012D0334 +:040B6A000113557DA1 +:040B6B00808226015D +:040B6C00663786AAB8 +:040B6D00655D0001C1 +:040B6E0068C606133C +:040B6F00051345C560 +:040B7000106F3A05C3 +:040B71000693327045 +:040B720006B3465030 +:040B7300079302D50D +:040B7400663706409A +:040B7500655D0001B9 +:040B7600FE0606135E +:040B7700051345C558 +:040B7800C6B33A05C1 +:040B7900106F02F601 +:040B7A0086AA3030E7 +:040B7B0000016637D8 +:040B7C000613655D9A +:040B7D0045C5F9C6AB +:040B7E003A0505131C +:040B7F002ED0106FF5 +:040B8000000F46B765 +:040B8100240686932D +:040B820002D5053360 +:040B83008793678964 +:040B84000713964776 +:040B850036B73E80C1 +:040B860086930063EF +:040B87006637EA06DD +:040B8800061300014F +:040B890045C5F9065F +:040B8A0002F557B366 +:040B8B0002E7F7B3D3 +:040B8C0056B34729EC +:040B8D00655D02D5CB +:040B8E003A0505130C +:040B8F0002E7D7336F +:040B90002A90106F28 +:040B91004670069311 +:040B920002D506B3CF +:040B9300064007937E +:040B940000016637BF +:040B95000613655D81 +:040B960045C56846A3 +:040B97003A05051303 +:040B980002F6C6B3E8 +:040B99002850106F61 +:040B9A00663786AA8A +:040B9B00655D000193 +:040B9C00FC860613BA +:040B9D00051345C532 +:040B9E00106F3A0595 +:040B9F00069326F0A3 +:040BA00006B3271061 +:040BA100079302D5DF +:040BA200663706406C +:040BA300655D00018B +:040BA400FE860613B0 +:040BA500051345C52A +:040BA600C6B33A0593 +:040BA700106F02F6D3 +:040BA800069324B0DC +:040BA9000505271007 +:040BAA0002D5053338 +:040BAB000640069367 +:040BAC0000016637A7 +:040BAD00FE860613A7 +:040BAE0046B345C540 +:040BAF00655D02D5A9 +:040BB0003A050513EA +:040BB1002250106F4F +:040BB2000185169310 +:040BB300663786E13A +:040BB400655D00017A +:040BB500061316D13C +:040BB60045C5F746F4 +:040BB7003A050513E3 +:040BB8002090106F0A +:040BB900053345E9D2 +:040BBA0065DD02B53E +:040BBB0049C5859310 +:040BBC0095AA46456B +:040BBD000513655D5A +:040BBE00106F3A0575 +:040BBF0017932DF06B +:040BC000655900254E +:040BC100FBC5051358 +:040BC200410C953E0F +:040BC3004645655DE1 +:040BC4003A050513D6 +:040BC5002C50106F31 +:040BC6000F6347A9C9 +:040BC700179300F58B +:040BC800655D002542 +:040BC900B745051314 +:040BCA00410C953E07 +:040BCB004645655DD9 +:040BCC003A050513CE +:040BCD002A50106F2B +:040BCE00000165B706 +:040BCF00FA8585938B +:040BD00067DDB7F531 +:040BD1004907A70326 +:040BD200879367DDC1 +:040BD30046AD4507DF +:040BD400D58346453A +:040BD50094630167BD +:040BD600F69306E5A7 +:040BD700E1190FF61B +:040BD80028070B637C +:040BD900C64E1101F2 +:040BDA00C80369DD06 +:040BDB00C84A329939 +:040BDC000793695DB5 +:040BDD00151317894C +:040BDE0097AA00389A +:040BDF00CC22CE0650 +:040BE000A883CA26F6 +:040BE100C7830007BF +:040BE2004751004730 +:040BE3000048A6031D +:040BE40002E787336A +:040BE500FF5685939F +:040BE6000FF5F5937F +:040BE7008993431596 +:040BE8000913329922 +:040BE900973217899F +:040BEA000047460377 +:040BEB0002B3686386 +:040BEC00058A6359BA +:040BED00F3430313B8 +:040BEE00418C959A07 +:040BEF000685858270 +:040BF00098E30789F6 +:040BF1004681F8C67B +:040BF200E399BF596B +:040BF3000008C783AC +:040BF400F79317FD5F +:040BF500954A0FF717 +:040BF60000F50223E1 +:040BF70044814401F0 +:040BF800C703A099F6 +:040BF9000785000864 +:040BFA00E7B3954A7E +:040BFB00B7ED02E769 +:040BFC000008066384 +:040BFD008023187DBC +:040BFE00B7CD010965 +:040BFF0040F244621A +:040C0000494244D24F +:040C010067DD49B2B0 +:040C020048078CA370 +:040C0300E06F610538 +:040C0400478D8F8FFA +:040C050004F60D6381 +:040C060011E347911E +:040C0700471CFCF694 +:040C08009782448506 +:040C0900C783842AEF +:040C0A00655D00091B +:040C0B00078E4645C5 +:040C0C004783993E43 +:040C0D0029830049EE +:040C0E00495100093F +:040C0F0003278933FB +:040C10000049A7836D +:040C1100360505138C +:040C1200438C97CAAE +:040C130018D010EFF6 +:040C14000049A78369 +:040C150097CA4691A3 +:040C16000047C703C9 +:040C170012E6EA6394 +:040C1800070A66D988 +:040C1900F4C6869304 +:040C1A0043189736AE +:040C1B004B1C8702E5 +:040C1C009782C39167 +:040C1D000009C78380 +:040C1E008593485121 +:040C1F00078E001725 +:040C200000F907339D +:040C2100470343142E +:040C220042D0004775 +:040C23000307073389 +:040C2400003596936E +:040C250000D90533BA +:040C2600000528039A +:040C270047189732A1 +:040C280000E8046379 +:040C2900000502239D +:040C2A00C78397CA1B +:040C2B0047510047E6 +:040C2C0087B396CA2A +:040C2D00802302E737 +:040C2E00963E00B935 +:040C2F00C29C461C01 +:040C30004791BF31F8 +:040C3100F0C7ECE339 +:040C3200879367D964 +:040C3300060AF607B0 +:040C3400421C963E8A +:040C3500470C87825F +:040C36004503483DED +:040C3700460300C7A9 +:040C3800C78300D797 +:040C39004703000568 +:040C3A009D6300E7CF +:040C3B0078630106D3 +:040C3C0017FD00F6AA +:040C3D000FF7F713A3 +:040C3E0000E580232A +:040C3F00FD6DB5C5CD +:040C4000BFDD87325B +:040C410000E7F8636D +:040C4200F613078519 +:040C430080230FF704 +:040C4400B5E900C549 +:040C4500863AFD6D81 +:040C46004710BFDDB7 +:040C4700578345BDCD +:040C48009C630006A3 +:040C4900570300B697 +:040C4A00756300C707 +:040C4B0017FD00F79A +:040C4C0083C107C297 +:040C4D0000F610237A +:040C4E005703B5553E +:040C4F00FBE300E7DC +:040C50000785FEE72F +:040C51004750B7F55C +:040C5200421845BD42 +:040C530000074783CC +:040C540000B69963EA +:040C550017FDC7813F +:040C56000FF7F7930A +:040C570000F700237F +:040C58004683BDB55D +:040C5900F5630046F9 +:040C5A00078500D733 +:040C5B000FF7F69306 +:040C5C0000D700239A +:040C5D004798B5A55A +:040C5E00655D4B9CE9 +:040C5F000007470340 +:040C600005134645ED +:040C6100070A3A053F +:040C6200438C97BA6E +:040C630004D010EFBA +:040C640040F24462B4 +:040C6500494244D2EA +:040C6600610549B229 +:040C6700F5BFD06F96 +:040C68004B9C4798C2 +:040C69000007450338 +:040C6A00B7DD9782D9 +:040C6B004B9C4798BF +:040C6C000007550325 +:040C6D00BFE99782C2 +:040C6E00802367DD9B +:040C6F00BFC93A07B8 +:040C7000C005C49562 +:040C7100000166B761 +:040C7200F846869327 +:040C730000016637DF +:040C74000613655DA1 +:040C750045C5F8C6B3 +:040C76003A05051323 +:040C770070C010EF4A +:040C780066B7BF4557 +:040C7900869300015D +:040C7A00B7CDF7C635 +:040C7B00D7E947DC92 +:040C7C00479C4398B6 +:040C7D008082BF456D +:040C7E00EF6347BD1C +:040C7F0057B716A7A6 +:040C8000712D44523C +:040C810035578793C9 +:040C820057B7C03E62 +:040C83008793004112 +:040C8400C23E441711 +:040C85008793679555 +:040C8600142320070C +:040C8700379300F1AE +:040C8800242300A57C +:040C890005231141ED +:040C8A000A1300F158 +:040C8B002E2301050E +:040C8C002C231011F4 +:040C8D002A23108185 +:040C8E002823109176 +:040C8F0026231121E6 +:040C900022231131D9 +:040C910020231151BA +:040C920047A5116100 +:040C9300F9630A22D5 +:040C940065DD08A76B +:040C9500044007937D +:040C960085934629D3 +:040C97000513390503 +:040C980005A300D1DF +:040C9900062300F13D +:040C9A0010EF000156 +:040C9B0067DD5A6057 +:040C9C0035E7C783EE +:040C9D00061365DDF8 +:040C9E000C230360C0 +:040C9F0067DD00F11C +:040CA00035C7C7830A +:040CA10045058593ED +:040CA20001B1051384 +:040CA30000F10CA3AD +:040CA400C78367DDBE +:040CA5000BA33B273B +:040CA60067DD00F115 +:040CA70039A7C7831F +:040CA80000F10D2327 +:040CA90056C010EF32 +:040CAA0005938652D6 +:040CAB00850A0510A1 +:040CAC00D61FD0EF90 +:040CAD0000A035333B +:040CAE0040A005332A +:040CAF0011C12083CC +:040CB0001181240387 +:040CB1001141248346 +:040CB2001101290300 +:040CB30010C12983C0 +:040CB40010812A037E +:040CB50010412A833D +:040CB60010012B03FB +:040CB70080826115C1 +:040CB800031007938B +:040CB90000F105A39E +:040CBA0057C965DDD4 +:040CBB0000F106A39B +:040CBC000310061308 +:040CBD00859347894B +:040CBE00051341C514 +:040CBF00072300F116 +:040CC0006ADD00F1F8 +:040CC1000001062305 +:040CC200508010EF5F +:040CC30049CA859302 +:040CC4000C00061307 +:040CC50010EF0088A4 +:040CC60086524FA063 +:040CC7001000059381 +:040CC800D0EF850ADA +:040CC9004905CEFF0C +:040CCA000C0009937E +:040CCB0023200413CB +:040CCC0010000B13F6 +:040CCD0049CA8A93F3 +:040CCE00746384A225 +:040CCF000493008BFF +:040CD00004C210004A +:040CD10085B380C1A6 +:040CD2008626013A37 +:040CD3008C05850AFD +:040CD4004C0010EFD1 +:040CD500044299A696 +:040CD6000149063397 +:040CD700850A85A65F +:040CD800090509C23F +:040CD900D0EF804197 +:040CDA00D993CABF21 +:040CDB00791301097F +:040CDC00F0790FF9A3 +:040CDD00B79945017D +:040CDE008082557D3E +:040CDF0026237169EE +:040CE00024231211A6 +:040CE1002223128137 +:040CE2002023129128 +:040CE3002E23132188 +:040CE4002C2311317B +:040CE5002A2311416C +:040CE600282311515D +:040CE700262311614E +:040CE800242311713F +:040CE90047BD118171 +:040CEA0002A7FA6300 +:040CEB00208359FD0C +:040CEC00240312C10A +:040CED00854E12819D +:040CEE001241248308 +:040CEF0012012903C2 +:040CF00011C1298382 +:040CF10011812A0340 +:040CF20011412A83FF +:040CF30011012B03BD +:040CF40010C12B837D +:040CF50010812C033B +:040CF6008082615542 +:040CF700010504935C +:040CF800860A04C2A2 +:040CF900100005934F +:040CFA00D0EF85268C +:040CFB00FD5DBEBF1E +:040CFC00000165B7D7 +:040CFD006A0585936C +:040CFE00D0EF850AA4 +:040CFF0089AAA0EF2F +:040D000014051B6358 +:040D010000814783A3 +:040D020014079963D6 +:040D03000091470311 +:040D0400052007932C +:040D050014F7136369 +:040D060000A147837E +:040D07004705CFC904 +:040D0800F8E797E38E +:040D09004703493221 +:040D0A00779300B12A +:040D0B0007A20FF933 +:040D0C0007138FD961 +:040D0D009CE3031050 +:040D0E005913F6E798 +:040D0F00094200890C +:040D10000109591369 +:040D11002F200793F5 +:040D1200F6F913E3F8 +:040D13000613655D01 +:040D14000593031030 +:040D1500051300F1D1 +:040D16006ADD41C58C +:040D17003B4010EF5E +:040D180004134A0175 +:040D19000B93040034 +:040D1A008A931000A8 +:040D1B000C1349CAA2 +:040D1C000B130F2086 +:040D1D008633100009 +:040D1E000533408BCE +:040D1F0005B3015ABD +:040D20008493008137 +:040D21000F63100448 +:040D220004330B8902 +:040D230010EF408B02 +:040D2400945238208D +:040D2500F0090913B5 +:040D260001041A1397 +:040D2700860A0942ED +:040D2800100005931F +:040D29005A138526AE +:040D2A005913010A4E +:040D2B00D0EF0109FB +:040D2C004401B27F4D +:040D2D004783B7C978 +:040D2E00470300C1B6 +:040D2F0007A200B166 +:040D300007138FD93D +:040D310094E3044003 +:040D320066DDEEE7A5 +:040D33004781870A63 +:040D34008693452538 +:040D350045A939068D +:040D360000D7460399 +:040D370000C566632A +:040D380000D7883325 +:040D390000C80023CB +:040D3A00070507851D +:040D3B00FEB796E386 +:040D3C000191478357 +:040D3D000E23675DBD +:040D3E00472534F71A +:040D3F0000F76663F0 +:040D40000F23675DB9 +:040D4100A02934F7BA +:040D42000181478361 +:040D4300FEF77AE35A +:040D440001714703EF +:040D4500655D67DDA4 +:040D46003AE78923DC +:040D4700C70367DD9A +:040D480067DD390723 +:040D4900036006132A +:040D4A003AE788A359 +:040D4B0001A14703B8 +:040D4C00059367DDC7 +:040D4D00051301B1D8 +:040D4E008D234505A7 +:040D4F0010EF38E782 +:040D5000B5B52D20E8 +:040D51000F20061356 +:040D52002C8010EFF2 +:040D5300470567DD0C +:040D540040E78AA347 +:040D55004985BDA966 +:040D56004989BD9971 +:040D57001141BD8900 +:040D58001437C42266 +:040D5900C2260002AC +:040D5A0084AA460120 +:040D5B0004A0059358 +:040D5C000404051373 +:040D5D00C0EFC60617 +:040D5E0085A6F8FF6F +:040D5F000513460131 +:040D6000C0EF0404D8 +:040D61000593FBDF1C +:040D6200051304A0D1 +:040D63004605040439 +:040D6400F75FC0EF86 +:040D6500040405136A +:040D660040B2442231 +:040D670045854492E8 +:040D6800C06F014116 +:040D69001141F83FFD +:040D6A001437C42254 +:040D6B00C22600029A +:040D6C0084AEC04A47 +:040D6D004601892A88 +:040D6E0004A0059345 +:040D6F000404051360 +:040D7000C0EFC60604 +:040D710085CAF43FFC +:040D7200051346011E +:040D7300C0EF0404C5 +:040D74000513F71F4D +:040D7500442204040C +:040D7600490240B23C +:040D7700449285A677 +:040D780001414605EA +:040D7900F5BFC06F93 +:040D7A00C42211413D +:040D7B0014334405E4 +:040D7C00741300A448 +:040D7D0085A20FF448 +:040D7E00C606451947 +:040D7F00FABFF0EFD8 +:040D8000442285A2E2 +:040D8100451D40B21A +:040D8200F06F0141CC +:040D83001141F9DF42 +:040D8400C42205067A +:040D85000FF57413DF +:040D8600450585A2F8 +:040D8700F0EFC606BD +:040D880085A2F89FA9 +:040D890040B244220E +:040D8A0001414509D5 +:040D8B00F7BFF06F4F +:040D8C0045151141B7 +:040D8D00F0EFC606B7 +:040D8E000713F29FB6 +:040D8F004781086030 +:040D900004E5136300 +:040D910009000593BD +:040D92000200051343 +:040D9300F5BFF0EFC9 +:040D9400051345817D +:040D9500F0EF021069 +:040D96004581F51F7F +:040D9700022005131E +:040D9800F47FF0EF05 +:040D99000513458D6C +:040D9A00F0EF023044 +:040D9B004581F3DFBC +:040D9C0002800513B9 +:040D9D00F33FF0EF41 +:040D9E0003000593B6 +:040D9F000710051321 +:040DA000F27FF0EFFF +:040DA10040B2478590 +:040DA2000141853E48 +:040DA3001101808238 +:040DA40000A107A300 +:040DA50000021537FC +:040DA6004605CC2210 +:040DA7000513842E7E +:040DA80005930405A6 +:040DA900CE0600F181 +:040DAA00EE1FC0EF89 +:040DAB00C0EF8522EE +:040DAC0040F2A71F4B +:040DAD006105446236 +:040DAE0011418082ED +:040DAF00645DC42299 +:040DB00038845783A9 +:040DB100C226C6068A +:040DB200F9F7F793C3 +:040DB30083C107C22F +:040DB40038F41423D8 +:040DB500000214B76D +:040DB6000EF4A02374 +:040DB700C0EF4551F3 +:040DB80045D1A41F5E +:040DB900038005139B +:040DBA00FA7FF0EFDD +:040DBB00051345D106 +:040DBC00F0EF0390C1 +:040DBD0045D1F9DF44 +:040DBE00F0EF4551BC +:040DBF0045D1F95FC2 +:040DC0000710051300 +:040DC100F8BFF0EF98 +:040DC200051345D1FF +:040DC300F0EF05E068 +:040DC40045D1F81FFE +:040DC50006D005133C +:040DC600F77FF0EFD4 +:040DC700453145D19C +:040DC800F6FFF0EF53 +:040DC900320005935C +:040DCA00F0EF4505FC +:040DCB0045D1F65FB9 +:040DCC00F0EF4519E6 +:040DCD000593F5DFB6 +:040DCE0045093200A1 +:040DCF00F53FF0EF0D +:040DD0003884578389 +:040DD100E79340B2B2 +:040DD20014230407DB +:040DD300442238F48A +:040DD4000EF4A02356 +:040DD5000141449202 +:040DD6001101808205 +:040DD700645DCC2269 +:040DD8003884578381 +:040DD900CA26CE0652 +:040DDA00F9F7F7939B +:040DDB0083C107C207 +:040DDC00C64EC84AED +:040DDD001423C452C5 +:040DDE0014B738F41A +:040DDF008A2A00025A +:040DE0000EF4A0234A +:040DE100892E45050D +:040DE2003200059343 +:040DE300F03FF0EFFE +:040DE4003884578375 +:040DE500855245C12D +:040DE6000207E79386 +:040DE70038F41423A5 +:040DE8000EF4A02342 +:040DE90026C010EF21 +:040DEA000FF57993F5 +:040DEB0000099B63FD +:040DEC00000175B7D6 +:040DED00859346455F +:040DEE008552A08505 +:040DEF0021C010EF20 +:040DF000448149856C +:040DF100009A07B3AA +:040DF2000007C5032E +:040DF300048545D15D +:040DF400EBFFF0EF32 +:040DF5000FF4F7936D +:040DF600FF37E6E3FA +:040DF7003884578362 +:040DF800000214B72A +:040DF900F79345D156 +:040DFA0007C2FDF738 +:040DFB00142383C179 +:040DFC00A02338F404 +:040DFD0005130EF4D8 +:040DFE00F0EF0C0006 +:040DFF005783E95FCE +:040E000045C138842C +:040E0100E793854AA4 +:040E020014230207AC +:040E0300A02338F4FC +:040E040010EF0EF4E9 +:040E050079931FE0DE +:040E06009B630FF5E6 +:040E070075B70009B2 +:040E0800464500015A +:040E0900A0858593A8 +:040E0A0010EF854A16 +:040E0B0049851AE01B +:040E0C0007B3448163 +:040E0D00C503009980 +:040E0E0045D10007C3 +:040E0F00F0EF048577 +:040E1000F793E51F50 +:040E1100E6E30FF411 +:040E12005783FF37CC +:040E130040F23884ED +:040E1400000217378A +:040E15000407E79354 +:040E160038F4142375 +:040E170020234462EE +:040E180044D20EF7BB +:040E190049B249424F +:040E1A0061054A2202 +:040E1B00114180827F +:040E1C001437C422A1 +:040E1D00C2260002E7 +:040E1E0084AA46015B +:040E1F0002C0059375 +:040E200004040513AE +:040E2100C0EFC60652 +:040E220085A6C7FFDB +:040E23000513460568 +:040E2400C0EF040413 +:040E25000593CADF88 +:040E2600051302C0EE +:040E27004605040474 +:040E2800C65FC0EFF2 +:040E290004040513A5 +:040E2A0040B244226C +:040E2B004585449223 +:040E2C00C06F014151 +:040E2D001141C73F69 +:040E2E001437C4228F +:040E2F00C2260002D5 +:040E300084AEC04A82 +:040E31004601892AC3 +:040E320002C0059362 +:040E3300040405139B +:040E3400C0EFC6063F +:040E350085CAC33F68 +:040E36000513460159 +:040E3700C0EF040400 +:040E38000513C61FB9 +:040E39004422040447 +:040E3A00490240B277 +:040E3B00449285A6B2 +:040E3C000141460525 +:040E3D00C4BFC06FFF +:040E3E000002153762 +:040E3F004601114116 +:040E400005134581D0 +:040E4100C6060405D8 +:040E4200C37FC0EFBB +:040E4300C0EF45298E +:040E440045E1811FE4 +:040E4500F0EF450580 +:040E460045E1FA1F69 +:040E4700F0EF45097A +:040E480045E1F99FE8 +:040E4900F0EF450D74 +:040E4A004505F91F42 +:040E4B00F43FF0EF91 +:040E4C00152140B27A +:040E4D000015351344 +:040E4E00808201415C +:040E4F00C422114167 +:040E50004505842AA6 +:040E5100F0EFC606F2 +:040E5200991DF29F55 +:040E53008C49040EB4 +:040E54000FF4741310 +:040E5500450585A228 +:040E5600F5FFF0EFC5 +:040E5700450985A222 +:040E5800F57FF0EF43 +:040E5900442285A208 +:040E5A00450D40B250 +:040E5B00F06F0141F2 +:040E5C001141F49FAD +:040E5D00842AC422FD +:040E5E00C60645057A +:040E5F00EF3FF0EF82 +:040E60007513478936 +:040E610013630D8585 +:040E6200641302F41F +:040E630085A200154F +:040E6400F0EF450561 +:040E650085A2F25F11 +:040E6600F0EF45095B +:040E670085A2F1DF90 +:040E680040B244222E +:040E69000141450DF1 +:040E6A00F0FFF06F36 +:040E6B008C49041694 +:040E6C000FF47413F8 +:040E6D0000446413C6 +:040E6E001141BFD996 +:040E6F001437C4224E +:040E7000C226000294 +:040E710084AA460108 +:040E720005C005931F +:040E7300040405135B +:040E7400C0EFC606FF +:040E7500F593B33FFF +:040E760046050FF42A +:040E77000404051357 +:040E7800B5FFC0EF13 +:040E790005C0059318 +:040E7A000404051354 +:040E7B00C0EF460579 +:040E7C000513B17F2A +:040E7D004422040403 +:040E7E00449240B2A8 +:040E7F000141458563 +:040E8000B25FC06F2E +:040E8100C422114135 +:040E8200000214371F +:040E8300C04AC22679 +:040E8400892A84AE85 +:040E8500059346018A +:040E8600051305C08B +:040E8700C606040493 +:040E8800AE5FC0EFAA +:040E89000FF9759355 +:040E8A000513460105 +:040E8B00C0EF0404AC +:040E8C000513B11F7A +:040E8D0044220404F3 +:040E8E00490240B223 +:040E8F00449285A65E +:040E900001414605D1 +:040E9100AFBFC06FC0 +:040E920005131141F2 +:040E9300C60602206D +:040E9400C226C4228C +:040E9500F67FF0EF05 +:040E96000FF57413CD +:040E9700455945CDA7 +:040E9800FA5FF0EF1E +:040E990085136489D0 +:040E9A00C0EF710430 +:040E9B00458DEB4F47 +:040E9C00F0EF455DD1 +:040E9D008513F93F81 +:040E9E00C0EF71042C +:040E9F006593EA4F1E +:040EA000051308042A +:040EA100F0EF02204C +:040EA2000513F7FF3E +:040EA300C0EF3E80DE +:040EA4007593E90F4A +:040EA500442207F4E8 +:040EA600449240B280 +:040EA700022005130D +:040EA800F06F0141A5 +:040EA9001141F63FBE +:040EAA006409C422F1 +:040EAB0071040513B6 +:040EAC00C0EFC606C7 +:040EAD0045C5E6CF82 +:040EAE00F0EF4559C3 +:040EAF000513F4BF74 +:040EB000C0EF71041A +:040EB100455DE5CFE7 +:040EB200F0EF45898F +:040EB3000513F3BF71 +:040EB400442271045F +:040EB500014140B205 +:040EB600E46FC06FB6 +:040EB700C4221141FF +:040EB80085AA842E55 +:040EB900C6064549DB +:040EBA00F1DFF0EF85 +:040EBB00442285A2A6 +:040EBC00454D40B2AE +:040EBD00F06F014190 +:040EBE0085AAF0FF12 +:040EBF0003D0051344 +:040EC000F05FF06F80 +:040EC100454585AA74 +:040EC200EFDFF06FFF +:040EC300C60611410D +:040EC4004783C4227A +:040EC500842A006516 +:040EC6009593456D4E +:040EC7008DDD004776 +:040EC8000FF5F5939A +:040EC900EE1FF0EF39 +:040ECA0000644583F8 +:040ECB00F0EF45718E +:040ECC004583ED7FEE +:040ECD00452900347F +:040ECE00ECDFF0EF76 +:040ECF000044458313 +:040ED000F0EF4525D5 +:040ED1004583EC3F2A +:040ED2004521005462 +:040ED300EB9FF0EFB2 +:040ED400000445834E +:040ED500F0EF4535C0 +:040ED6004583EAFF67 +:040ED700453100148D +:040ED800EA5FF0EFEE +:040ED9000024458329 +:040EDA0040B24422BC +:040EDB000141452D5F +:040EDC00E95FF06F6B +:040EDD00CC22110111 +:040EDE004511842A0C +:040EDF00C632CA2627 +:040EE000CE0684AE08 +:040EE100E37FF0EFCC +:040EE200759346328C +:040EE300CE4D0F855C +:040EE4007FF0079301 +:040EE5000A87EA632B +:040EE600E59345113A +:040EE700F0EF001513 +:040EE8001513E67F79 +:040EE90014130014CA +:040EEA00804101053D +:040EEB0000445593D7 +:040EEC000FF5F59376 +:040EED00F0EF4505D8 +:040EEE001593E4FF75 +:040EEF00F593004433 +:040EF00045090F059C +:040EF100E41FF0EF1B +:040EF200019C05B7A3 +:040EF300CC05859312 +:040EF4000295D5B3DB +:040EF5000225573744 +:040EF6000FF70713D8 +:040EF70085B34781F7 +:040EF800706302859C +:040EF900273702B7DE +:040EFA000713042CAA +:040EFB004785D7F759 +:040EFC0000B779635F +:040EFD00080BF7B730 +:040EFE00FBF78793E4 +:040EFF0000B7B7B3CE +:040F0000675D078999 +:040F0100310707139A +:040F02004503973ECE +:040F030007130007C9 +:040F0400053302802F +:040F0500571302E597 +:040F0600953A001404 +:040F070002854533E7 +:040F08007513471DF9 +:040F090075930FF5D8 +:040F0A0073630FF509 +:040F0B00459D00A759 +:040F0C0040F2446209 +:040F0D00058E44D237 +:040F0E008DDD079AD4 +:040F0F000F85F593C2 +:040F10006105450D25 +:040F1100DC1FF06F82 +:040F1200F0EF4511A6 +:040F1300BFB9DBBFC8 +:040F1400C4221141A1 +:040F15004569842A7C +:040F1600F0EFC6062C +:040F17004785D61F15 +:040F18000FA5759319 +:040F190000F41A6363 +:040F1A000025E59336 +:040F1B0040B244227A +:040F1C0001414569E1 +:040F1D00D91FF06F79 +:040F1E0000A5E593B2 +:040F1F005583BFC572 +:040F2000114100A5D6 +:040F210081A1C422C4 +:040F22000513842A05 +:040F2300C60604B04A +:040F2400D75FF0EFB4 +:040F250000A445835C +:040F260004A005130B +:040F2700D69FF0EF72 +:040F280000C4558329 +:040F290004D00513D8 +:040F2A00F0EF81A1C2 +:040F2B004583D5BF66 +:040F2C00051300C4E5 +:040F2D00F0EF04C01D +:040F2E005583D4FF14 +:040F2F00051300E4C2 +:040F300081A104F0A7 +:040F3100D41FF0EFEA +:040F320000E445830F +:040F330004E00513BE +:040F3400D35FF0EFA8 +:040F3500004455839C +:040F3600051005138A +:040F3700F0EF81A1B5 +:040F38004583D27F9C +:040F39000513004458 +:040F3A00F0EF0500CF +:040F3B005583D1BF4A +:040F3C000513006435 +:040F3D0081A1053059 +:040F3E00D0DFF0EF21 +:040F3F000064458382 +:040F40000520051370 +:040F4100D01FF0EFDE +:040F4200008455834F +:040F4300055005133D +:040F4400F0EF81A1A8 +:040F45004583CF3FD2 +:040F4600051300840B +:040F4700F0EF054082 +:040F48005583CE7F80 +:040F49000513010487 +:040F4A0081A105700C +:040F4B00CD9FF0EF57 +:040F4C0001044583D4 +:040F4D000560051323 +:040F4E00CCDFF0EF15 +:040F4F0001245583A1 +:040F500005900513F0 +:040F5100F0EF81A19B +:040F52004583CBFF09 +:040F5300051301245D +:040F5400F0EF058035 +:040F55005583CB3FB6 +:040F5600051301443A +:040F570081A105B0BF +:040F5800CA5FF0EF8D +:040F59000144458387 +:040F5A0040B244223B +:040F5B0005A00513D5 +:040F5C00F06F0141F0 +:040F5D001141C93F36 +:040F5E00842AC422FB +:040F5F0003F0051383 +:040F6000F0EFC606E2 +:040F61007593C39F22 +:040F62008DC10F0529 +:040F630040B2442232 +:040F640003F005137E +:040F6500F06F0141E7 +:040F66001141C6FF70 +:040F6700842AC422F2 +:040F6800C60645690B +:040F6900C17FF0EF65 +:040F6A000064159377 +:040F6B007513442294 +:040F6C0040B203F597 +:040F6D00F5938DC9A2 +:040F6E0045690FF5CD +:040F6F00F06F0141DD +:040F70001101C47F28 +:040F7100461D65DDD7 +:040F7200A4058593BA +:040F7300CE0600287E +:040F7400241000EF56 +:040F7500C75FF0EF73 +:040F7600F0EF450152 +:040F77004585E77F46 +:040F7800035005130A +:040F7900C21FF0EFB4 +:040F7A00051365599D +:040F7B00F0EF6A85A4 +:040F7C004501E91F23 +:040F7D00FA7FF0EF18 +:040F7E00F0EF451932 +:040F7F000513D01F67 +:040F8000F0EF04404A +:040F81004581D03F97 +:040F8200F0EF450542 +:040F83000028CD3F36 +:040F8400CFDFF0EFDC +:040F8500610540F2D0 +:040F86001101808253 +:040F8700842ACC22CA +:040F8800CE0645113B +:040F8900F0EFC62E91 +:040F8A0045B2B95F54 +:040F8B0002B4043375 +:040F8C0000757593E4 +:040F8D007793451100 +:040F8E00078E01F4D5 +:040F8F00F0EF8DDD15 +:040F90005513BC7FBA +:040F910040F2405496 +:040F9200751344622D +:040F930061050FF5F0 +:040F94001141808205 +:040F9500842AC422C4 +:040F9600C606454105 +:040F9700B5FFF0EFC3 +:040F98000034159379 +:040F9900891D442248 +:040F9A008DC940B20B +:040F9B000FF5F593C6 +:040F9C000141454189 +:040F9D00B91FF06F19 +:040F9E001141C13903 +:040F9F000513C2264E +:040FA00084AE0260B9 +:040FA10008000593AC +:040FA200C606C42299 +:040FA300F0EF8432B5 +:040FA4004785B77F47 +:040FA500009405931C +:040FA60000F4896367 +:040FA700059347A1C6 +:040FA800846305A4B5 +:040FA900059300F4B8 +:040FAA004422018458 +:040FAB00449240B27A +:040FAC000FF5F593B5 +:040FAD000310051315 +:040FAE00F06F01419E +:040FAF004581B4BF05 +:040FB00002600513C3 +:040FB1001101BFDD8E +:040FB200CA26CC225D +:040FB300C64EC84A14 +:040FB400CE06C4524F +:040FB500842A4785BE +:040FB60089B2892E45 +:040FB70084BA8A3638 +:040FB80000F50E63CF +:040FB900006347A1E9 +:040FBA00059306F5A0 +:040FBB00F593006743 +:040FBC0045150FF5D3 +:040FBD00B11FF0EF81 +:040FBE00A80945C178 +:040FBF00002705936F +:040FC0000FF5F593A1 +:040FC100F0EF4515F3 +:040FC2004599AFFF9F +:040FC300F0EF4519ED +:040FC40085A2AF7FD4 +:040FC5004505862632 +:040FC600F61FF0EF33 +:040FC70045B147C128 +:040FC80000F40363CB +:040FC900051345A126 +:040FCA00F0EF022022 +:040FCB004462ADBF10 +:040FCC0044D240F2D9 +:040FCD0085CE8652F5 +:040FCE0049B24A22B8 +:040FCF004942854AC4 +:040FD000F06F610558 +:040FD1000593C33F82 +:040FD200F593032769 +:040FD30045150FF5BC +:040FD400AB5FF0EF30 +:040FD500020005937E +:040FD6001141BF55B1 +:040FD700FFE5879318 +:040FD800C226C42247 +:040FD900F793C606BE +:040FDA0047050FF7C1 +:040FDB00842E84AA32 +:040FDC0006F7696348 +:040FDD0047814709F8 +:040FDE0000E51363B4 +:040FDF009713478994 +:040FE00095930024C1 +:040FE1008DD9004462 +:040FE2008DC5079A18 +:040FE300F5938DDD18 +:040FE40045650FF55B +:040FE500A71FF0EF63 +:040FE600F0EF4541A2 +:040FE700478DA21F71 +:040FE8000F85759369 +:040FE90000F4146399 +:040FEA000055E59336 +:040FEB00F0EF45419D +:040FEC004789A57F0D +:040FED0002F49D630A +:040FEE00EA634785E6 +:040FEF0005930287DD +:040FF0000463052071 +:040FF100059300F470 +:040FF2004539053048 +:040FF300A39FF0EFD9 +:040FF4003E80051323 +:040FF50094AFC0EF06 +:040FF600F0EF455182 +:040FF70045819E1F73 +:040FF8004789A03D48 +:040FF90037B3FD49C4 +:040FFA00078500B0B7 +:040FFB000593BF4952 +:040FFC00453905B0BE +:040FFD00A11FF0EF51 +:040FFE003E80051319 +:040FFF00922FC0EF7E +:04100000F0EF455177 +:04100100478D9B9FDD +:041002001AE345C1E7 +:041003004561FCF453 +:041004009F5FF0EF0B +:0410050040B244228F +:04100600458144924A +:04100700036005136A +:04100800F06F014143 +:0410090011019E3FF4 +:04100A00842ACC2246 +:04100B00C62E455157 +:04100C00F0EFCE062D +:04100D004709989F58 +:04100E000FF57793D0 +:04100F00156345B26E +:04101000470502E4AA +:0410110000E59C63F7 +:041012000905751344 +:04101300F7050513C5 +:04101400001535137B +:04101500446240F2FF +:04101600808261056E +:041017007513E591D7 +:04101800051308852F +:04101900B7EDF785B3 +:04101A000017D513D3 +:04101B00B7DD8905AF +:04101C00479171592E +:04101D0000F11E239D +:04101E00871367DDF0 +:04101F00D6A23B47D3 +:04102000D2CAD4A6B6 +:04102100CED2D0CE8D +:04102200CADACCD684 +:04102300C6E2C8DE7B +:04102400C2EAC4E672 +:04102500842AC0EE6B +:0410260001E7450396 +:041027004E0346210D +:04102800163302E792 +:04102900450300A6D5 +:04102A00661301F751 +:04102B001F230086F9 +:04102C00061300C1E6 +:04102D001633040072 +:04102E00450300A6D0 +:04102F001023020781 +:04103000061302C1E0 +:041031001633400032 +:04103200450300A6CC +:04103300112302176C +:04103400660902C186 +:0410350000A61633C8 +:0410360002C11223BE +:0410370001B10613EA +:04103800665DD432EB +:041039003D760613E7 +:04103A00665DD632E7 +:04103B003D860613D5 +:04103C00665DD832E3 +:04103D003D960613C3 +:04103E00665DDA32DF +:04103F003DA60613B1 +:041040006759DC32DE +:041041000613665DCF +:04104200635D3DB6F7 +:041043006D8707139B +:0410440004000F375E +:041045000DA3DE32E7 +:04104600061300018C +:04104700488149C3D0 +:041048003B47879308 +:0410490049C3031381 +:04104A000F93C63A00 +:04104B0062851000AA +:04104C0040000493C9 +:04104D002000091363 +:04104E00099343C1FE +:04104F000A1304007C +:041050000A930800F7 +:041051004B1102003D +:041052000C134BA18F +:041053004C8D20D0D0 +:041054000F134D0524 +:041055009513040FDC +:041056004775018851 +:0410570094638561B8 +:04105800557D00E8DA +:041059004703A27136 +:04105A004E95017638 +:04105B0001664803DF +:04105C000EEEE5634C +:04105D001E934DB2DF +:04105E009DF60027D4 +:04105F00000DAD8350 +:04106000D07A8D8233 +:0410610000D8783308 +:041062000C0809630A +:0410630004010D93E4 +:04106400AE839EEECB +:041065005803FE8EA0 +:04106600CE830186AE +:041067000E86000EE3 +:04106800DE839EEE97 +:041069007EB3FDCE87 +:04106A00886301D8BE +:04106B0048130A0E0E +:04106C007813FFF8FE +:04106D0012630018F2 +:04106E0058030AB861 +:04106F0008790106F5 +:0410700008886D631C +:041071009723480574 +:0410720088230007C8 +:0410730089230107C5 +:041074009A230007B4 +:041075008E6301D7AE +:04107600E96319FE13 +:0410770084630BDFA4 +:04107800E763167E96 +:04107900856309D3AF +:04107A0098630F6EFA +:04107B004685077E21 +:04107C0000D78623F0 +:04107D0003B7C6836C +:04107E0010069E6357 +:04107F0086B346E905 +:04108000969A02D862 +:0410810000E6D603AC +:04108200577006930A +:0410830010C6E4634C +:04108400FFF7061359 +:041085000FF67613D9 +:04108600ED63468947 +:0410870086A30EC668 +:04108800882300D7E2 +:04108900156300D714 +:04108A00C7030CD7B5 +:04108B0018630317CC +:04108C0047051007FD +:04108D0000E78723CE +:04108E005D83A86571 +:04108F0093E30106E0 +:041090004D83F58D0A +:041091009D63FFD686 +:041092001563019D44 +:041093007813000EC0 +:04109400BF0D0EF886 +:0410950017E34D8987 +:041096000885F3BE18 +:04109700BDE5066944 +:04109800000E1563CE +:041099000FB8781301 +:04109A001DE3BF3162 +:04109B00B7F5F1AE06 +:04109C000F3E81631F +:04109D000F4E8A6305 +:04109E00FF5E91E37D +:04109F002010071303 +:0410A00000E79623AC +:0410A1008823471940 +:0410A200A09D00E726 +:0410A3000E5E8B63EF +:0410A40001D2EF6323 +:0410A5000E9E8263B6 +:0410A600800E87131E +:0410A7009EE3C3659C +:0410A8000713FB2E01 +:0410A900962320204A +:0410AA00471500E7FF +:0410AB006711BFE921 +:0410AC000CEE8C6357 +:0410AD0082636721D2 +:0410AE0067090EEED2 +:0410AF00F8EE9FE3D5 +:0410B000962347112B +:0410B100071300E73A +:0410B2008923078007 +:0410B300A00D00E7A5 +:0410B4000007962378 +:0410B5000FD77713C7 +:0410B6001B634685ED +:0410B700C70300D794 +:0410B800C70D031746 +:0410B9008823470938 +:0410BA00470500E7FF +:0410BB0000E787A320 +:0410BC0054A65436AC +:0410BD0059865916E1 +:0410BE004AE64A763E +:0410BF004BC64B567B +:0410C0004CA64C36B8 +:0410C1004D864D16F5 +:0410C2008082616562 +:0410C3008723470533 +:0410C400BFE100E7A1 +:0410C500FFE70693A8 +:0410C600000786A3F6 +:0410C7000FD6F693B7 +:0410C8004695D689EA +:0410C900FCD716E357 +:0410CA0088B3476937 +:0410CB00071302E81D +:0410CC0093464AF00D +:0410CD0000E3568363 +:0410CE00EED779E3FD +:0410CF0086A3BF55E0 +:0410D00047090007C5 +:0410D1000713B789C1 +:0410D2009623201031 +:0410D300471100E7DA +:0410D4004689BF1D6D +:0410D50000D7962387 +:0410D6001BE3468D45 +:0410D700C703F8D77C +:0410D80008E303170F +:0410D900BFF1EC0770 +:0410DA0010200713C8 +:0410DB0000E7962371 +:0410DC000713B741FE +:0410DD00BFD120203F +:0410DE00BFE9468D93 +:0410DF0020300713A3 +:0410E0000713B7E952 +:0410E100B7052030FF +:0410E2002040071390 +:0410E30000E7962369 +:0410E4008823471501 +:0410E500476100E778 +:0410E6000713BF0D20 +:0410E70096232040EC +:0410E800471900E7BD +:0410E90000E7882371 +:0410EA00B7054751AE +:0410EB001793110145 +:0410EC00CC2201858C +:0410ED00CE06CA263B +:0410EE00842A87E1E8 +:0410EF00DD6384AE8B +:0410F000458100072F +:0410F100077005136C +:0410F20001A337D54A +:0410F300478500A18C +:0410F40006A7EE63FA +:0410F50007F4741375 +:0410F60045912651A9 +:0410F7002E81450100 +:0410F800D7932685DF +:0410F90002A30184C9 +:0410FA00D79300F197 +:0410FB0003230104C6 +:0410FC00D79300F195 +:0410FD0003A30084C5 +:0410FE00022300F1D8 +:0410FF000423008145 +:041100000713009140 +:04110100079304004C +:041102000963095024 +:04110300071300E4EA +:041104004785048097 +:0411050000E414638B +:0411060008700793D3 +:0411070000484599BE +:0411080000F104A34B +:0411090045152CED6F +:04110A00842A26B558 +:04110B0005134585FE +:04110C002CF500318D +:04110D000031078323 +:04110E000007D6639D +:04110F0047852649A1 +:04111000FEF506E3FF +:041111002E41E0117A +:041112000031450360 +:04111300446240F200 +:04111400610544D25B +:04111500713980822A +:041116004581DA260F +:04111700051384AA8E +:04111800DE0604905B +:04111900D84ADC22B2 +:04111A00F0EFD64ECE +:04111B00C909F43FCB +:04111C0050F2450147 +:04111D0054D25462F2 +:04111E0059B2594227 +:04111F008082612148 +:041120004515842AC3 +:04112100892A2E01E8 +:041122000FF009932E +:0411230005134585E6 +:041124002C7100B179 +:0411250000B147834B +:041126000137966394 +:041127004785260DC5 +:04112800FEF506E3E7 +:041129000009136343 +:04112A004703263D14 +:04112B00079300B175 +:04112C001FE30FE0CE +:04112D0045C9FAF7BF +:04112E002C95006894 +:04112F000044C7832E +:041130000027F7138A +:041131004503CF158E +:0411320047830121CD +:04113300470301313C +:04113400890D0161BF +:041135008D5D0522A5 +:0411360001414783A9 +:041137004403050A5E +:041138008399011185 +:0411390047838D5DFE +:04113A00831D0151BF +:04113B000786883D5E +:04113C008FD98B9923 +:04113D000505943ED2 +:04113E0015331465EC +:04113F00BF950085D3 +:04114000CB898B913B +:041141000141450320 +:04114200015147838D +:041143008D5D052297 +:04114400B7CD47C517 +:041145004501478198 +:041146007179BFF10B +:04114700D04A65D94C +:04114800892A462981 +:0411490099058593EC +:04114A00D226004861 +:04114B00CA56CE4E64 +:04114C00D422D606CD +:04114D00498DCC52AA +:04114E0044852CE1C7 +:04114F000AA00A9355 +:041150002C2922C95B +:0411510045A924B1D7 +:041152002AD1004856 +:04115300202345818F +:041154000513000976 +:04115500F0EF0400B3 +:04115600842AE57F83 +:041157000E9514637A +:041158001AA0059341 +:0411590004800513F6 +:04115A00E45FF0EF6F +:04115B00089513637D +:04115C00850A45912A +:04115D0047832A6D2D +:04115E009563002174 +:04115F0047830C971F +:041160009163003166 +:0411610005130D570E +:0411620024293E807E +:0411630019632C0DD3 +:0411640005B7009536 +:04116500051340002E +:04116600F0EF0E9008 +:04116700F57DE13FF2 +:0411680007632C39B4 +:0411690044010295A6 +:04116A0019FD243D0A +:04116B000FF9F993EC +:04116C0008098C637F +:04116D004785D4518D +:04116E0000890223CF +:04116F0000F9202340 +:04117000F0EF854ACD +:04117100157DE95FA0 +:0411720000A9242389 +:04117300A8B5226594 +:041174000513458199 +:04117500F0EF07A0F0 +:04117600F571DD7FB3 +:04117700850A45910F +:04117800478322B9CE +:0411790044310001FC +:04117A000407F793DC +:04117B004411FFD547 +:04117C004581BF6585 +:04117D000E900513B8 +:04117E00DB5FF0EF54 +:04117F0004100A133B +:0411800000A4E5637F +:041181000A13440900 +:0411820005130E90B3 +:0411830022590FA03E +:041184001763227D4E +:04118500458100950B +:04118600F0EF8552AF +:04118700F96DD93FE6 +:04118800E1112A79CE +:041189002A454401AE +:04118A000513458183 +:04118B00F0EF07B0CA +:04118C00C111D7FFB7 +:04118D000593440181 +:04118E000513200025 +:04118F00F0EF050078 +:04119000D525D6FF8C +:04119100B7954401C9 +:0411920028D5F43D2B +:0411930000143513FC +:04119400542250B2DF +:041195005902549215 +:041196004A6249F26E +:0411970061454AD292 +:04119800451C8082F0 +:04119900D422717972 +:04119A00D226D6067D +:04119B00CE4ED04A1A +:04119C00E463440DB7 +:04119D0084BA02C747 +:04119E00C305440D34 +:04119F00004547833D +:0411A000893689AE55 +:0411A100E3918BA1AA +:0411A20085B20626E6 +:0411A300051005131B +:0411A400D1DFF0EFB8 +:0411A5004409C91917 +:0411A6008522205D21 +:0411A700542250B2CC +:0411A8005902549202 +:0411A900614549F261 +:0411AA000513808227 +:0411AB0020DD0640FD +:0411AC000FF0041329 +:0411AD00051345855C +:0411AE00289500F18F +:0411AF0000F1478381 +:0411B00000879663BB +:0411B100478528ED59 +:0411B200FEF506E35D +:0411B30047032229A3 +:0411B400079300F1AC +:0411B50010E30FE054 +:0411B6000413FCF72B +:0411B70004332020BD +:0411B8008C0541243D +:0411B900804104422B +:0411BA0000090563C0 +:0411BB00450185CA9B +:0411BC0085A6283D9F +:0411BD002825854E0E +:0411BE00450185A2C0 +:0411BF004401280DB2 +:0411C0002637BF61AE +:0411C10005B7000668 +:0411C2001537019C40 +:0411C300061300020D +:0411C4008593A80661 +:0411C5000513CC053D +:0411C600B06F0205FF +:0411C700862EDC1F75 +:0411C800153785AAA8 +:0411C9000513000208 +:0411CA00B06F0205FB +:0411CB00862EE5FF88 +:0411CC00153785AAA4 +:0411CD000513000204 +:0411CE00B06F0205F7 +:0411CF008082E23FF9 +:0411D0005783675D7D +:0411D100F7933887D1 +:0411D20007C2F7F762 +:0411D300142383C19D +:0411D400173738F79A +:0411D50020230002D1 +:0411D60080820EF70E +:0411D7005783675D76 +:0411D800E7933887DA +:0411D90014230807CC +:0411DA00173738F794 +:0411DB0020230002CB +:0411DC0080820EF708 +:0411DD000029363778 +:0411DE00019C05B7B4 +:0411DF0000021537BE +:0411E0002E060613BE +:0411E100CC05859321 +:0411E20002050513EA +:0411E300D4FFB06F16 +:0411E400F73FF06F72 +:0411E5002783675D98 +:0411E600E38579071D +:0411E7008793679DE6 +:0411E80005339787AD +:0411E900114102F5B9 +:0411EA002823C606EA +:0411EB00B0EF78A742 +:0411EC0040B2995F15 +:0411ED000141450176 +:0411EE0045058082B1 +:0411EF0011418082A8 +:0411F000B0EFC60690 +:0411F10067DD9A5FBD +:0411F2007907A7834F +:0411F300353340B29E +:0411F400014100F5C0 +:0411F50067DD8082B0 +:0411F6007807A823AB +:0411F70000008082F2 +:0411F80000000713D9 +:0411F90000E6166393 +:0411FA0000000513D9 +:0411FB000000806709 +:0411FC0000E507B350 +:0411FD0000170713BD +:0411FE0000E586B3CF +:0411FF000007C7839B +:04120000FFF6C683AC +:04120100FED780E3B1 +:0412020040D7853319 +:041203000000806700 +:0412040000A5C7B3C7 +:041205000037F79324 +:0412060000C50733E5 +:0412070000079663E3 +:041208000030079318 +:0412090002C7E263D3 +:04120A000005079341 +:04120B000AE57C6311 +:04120C000005C68390 +:04120D0000178793AC +:04120E0000158593AF +:04120F00FED78FA3D4 +:04121000FEE7E8E32A +:0412110000008067F2 +:04121200003576939A +:041213000005079338 +:0412140000068E63DF +:041215000005C68387 +:0412160000178793A3 +:0412170000158593A6 +:04121800FED78FA3CB +:041219000037F69311 +:04121A00FE9FF06FD4 +:04121B00FFC7769300 +:04121C00FE06861331 +:04121D0006C7F463A9 +:04121E000005A383A1 +:04121F000045A28361 +:041220000085AF8313 +:0412210000C5AF0352 +:041222000105AE8391 +:041223000145AE03D0 +:041224000185A3039A +:0412250001C5A883D4 +:041226000245859365 +:041227000077A02389 +:04122800FFC5A80353 +:041229000057A223A5 +:04122A0001F7A42301 +:04122B0001E7A6230E +:04122C0001D7A8231B +:04122D0001C7AA2328 +:04122E000067AC2386 +:04122F000117AE23D2 +:041230000247879357 +:04123100FF07AE23E2 +:04123200FADFF06F80 +:041233000005A60309 +:041234000047879355 +:041235000045859358 +:04123600FEC7AE231E +:04123700FED7E8E313 +:04123800F4E7E8E30C +:0412390000008067CA +:04123A00F6010113A5 +:04123B0006912A23CB +:04123C0008F12A2368 +:04123D0006112E2345 +:04123E00000177B77D +:04123F0006812C23D5 +:0412400008D1262388 +:0412410008E1282375 +:0412420009012C234F +:0412430009112E233C +:041244002BC7A4838D +:041245000205D26369 +:0412460008B0079352 +:0412470000F4A023EC +:04124800FFF005139B +:0412490007C1208336 +:04124A0007812403F1 +:04124B0007412483B0 +:04124C000A0101137F +:04124D0000008067B6 +:04124E002080079362 +:04124F0000F11A236D +:0412500000A12423B2 +:0412510000A12C23A9 +:0412520000000793FE +:0412530000058463AB +:04125400FFF5879388 +:0412550000F1282359 +:0412560000F12E2352 +:0412570008C1069331 +:04125800FFF0079309 +:0412590000058413F5 +:04125A0000048513F4 +:04125B000081059376 +:04125C0000F11B235F +:04125D0000D1222377 +:04125E00484000EF15 +:04125F00FFF0079302 +:0412600000F55663DC +:0412610008B0079337 +:0412620000F4A023D1 +:04126300F8040CE39C +:04126400008127835B +:0412650000078023DB +:04126600F8DFF06F4E +:0412670002060A630E +:04126800FFF6061374 +:041269000000071367 +:04126A0000E507B3E1 +:04126B0000E586B361 +:04126C000007C7832D +:04126D000006C6832E +:04126E0000D79863AA +:04126F0000C706634B +:041270000017071349 +:04127100FE0792E3FF +:0412720040D78533A9 +:041273000000806790 +:04127400000005135E +:04127500000080678E +:0412760000050793D5 +:0412770000060E63FC +:041278000015859345 +:04127900FFF5C703B3 +:04127A00001787933F +:04127B00FFF6061361 +:04127C00FEE78FA357 +:04127D00FE0714E371 +:04127E0000C78633EC +:04127F0000C79463AD +:041280000000806783 +:041281000017879338 +:04128200FE078FA331 +:04128300FF1FF06FEA +:0412840000B505B3F9 +:0412850000050793C6 +:0412860000B78663C4 +:041287000007C70392 +:0412880000071663E2 +:0412890040A78533C2 +:04128A000000806779 +:04128B00001787932E +:04128C00FE9FF06F62 +:04128D001005846361 +:04128E00FFC5A7836E +:04128F00FF01011347 +:041290000081242392 +:0412910000112623FF +:041292000091222382 +:04129300FFC58413FC +:041294000007D46318 +:0412950000F404332A +:0412960000050493B8 +:0412970058D000EF3C +:0412980000017737A3 +:0412990032C72783AE +:04129A000007061330 +:04129B000207926351 +:04129C000004222305 +:04129D00328726234B +:04129E0000812403A4 +:04129F0000C12083E7 +:0412A00000048513AE +:0412A1000041248361 +:0412A2000101011332 +:0412A3005610006F72 +:0412A40002F4786375 +:0412A5000004268398 +:0412A60000D4073336 +:0412A70000E79A635F +:0412A8000007A70391 +:0412A9000047A783D0 +:0412AA0000D707332F +:0412AB0000E4202318 +:0412AC0000F4222305 +:0412AD00328626233C +:0412AE00FC1FF06FC2 +:0412AF00000707939A +:0412B0000047A70349 +:0412B10000070463CB +:0412B200FEE47AE3F9 +:0412B3000007A68307 +:0412B40000D78633A6 +:0412B5000286186332 +:0412B6000004260307 +:0412B70000C686B334 +:0412B80000D7A02398 +:0412B90000D78633A1 +:0412BA00F8C718E376 +:0412BB0000072603FF +:0412BC0000472703BD +:0412BD0000D606B39E +:0412BE0000D7A02392 +:0412BF0000E7A2237F +:0412C000F79FF06F35 +:0412C10000C478638A +:0412C20000C00793CE +:0412C30000F4A02370 +:0412C400F69FF06F32 +:0412C50000042603F8 +:0412C60000C406B3A7 +:0412C70000D71A63CF +:0412C8000007268372 +:0412C90000472703B0 +:0412CA0000C686B321 +:0412CB0000D4202308 +:0412CC0000E42223F5 +:0412CD000087A223D1 +:0412CE00F41FF06FAA +:0412CF000000806734 +:0412D000FE01011307 +:0412D10000912A233B +:0412D20000358493CC +:0412D300FFC4F493CD +:0412D40000112E23B4 +:0412D50000812C2345 +:0412D60001212823A7 +:0412D7000131262398 +:0412D8000084849377 +:0412D90000C00793B7 +:0412DA0006F4F863BB +:0412DB0000C00493B8 +:0412DC0006B4E6630B +:0412DD0000050913EC +:0412DE00471000EFC6 +:0412DF00000177B7DC +:0412E00032C7A70367 +:0412E10032C78693F7 +:0412E20000070413EA +:0412E30006041C637E +:0412E400000174375A +:0412E50033040413B7 +:0412E6000004278356 +:0412E70000079A63FF +:0412E800000005936A +:0412E90000090513E0 +:0412EA00375000EF8A +:0412EB0000A4202318 +:0412EC0000048593E2 +:0412ED0000090513DC +:0412EE00365000EF87 +:0412EF00FFF0099370 +:0412F0000B351A633D +:0412F10000C007939F +:0412F20000F92023BC +:0412F30000090513D6 +:0412F40041D000EFF6 +:0412F5000100006F85 +:0412F600F804DCE339 +:0412F70000C0079399 +:0412F80000F52023BA +:0412F90000000513D9 +:0412FA0001C120838B +:0412FB000181240346 +:0412FC000141248305 +:0412FD0001012903BF +:0412FE0000C129837F +:0412FF0002010113D4 +:041300000000806702 +:04130100000427833A +:04130200409787B3D6 +:041303000407CE63AA +:0413040000B006131C +:0413050000F67A6311 +:0413060000F42023AC +:0413070000F40433B7 +:04130800009420230A +:041309000100006F70 +:04130A0000442783F1 +:04130B0002871A63D8 +:04130C0000F6A02324 +:04130D0000090513BB +:04130E003B5000EF61 +:04130F0000B405130E +:0413100000440793FB +:04131100FF857513CC +:0413120040F5073368 +:04131300F8070EE3E6 +:0413140000E40433BA +:0413150040A787B3B3 +:0413160000F420239C +:04131700F8DFF06F9C +:0413180000F7222395 +:04131900FD1FF06F55 +:04131A0000040713B1 +:04131B000044240363 +:04131C00F1DFF06F9E +:04131D000035041380 +:04131E00FFC4741381 +:04131F00FA8502E366 +:0413200040A405B32D +:0413210000090513A7 +:04132200295000EF5F +:04132300F9351AE39B +:04132400F35FF06F14 +:04132500FE010113B1 +:041326000121282356 +:041327000085A90391 +:0413280000812C23F1 +:041329000141242337 +:04132A00016120231A +:04132B0000112E235C +:04132C0000912A23DF +:04132D000131262341 +:04132E000151222324 +:04132F00000584131E +:0413300000060B1395 +:0413310000068A1315 +:041332000D26EE6333 +:0413330000C5D78397 +:041334004807F7135C +:041335000C07066338 +:041336000004248308 +:041337000105A58384 +:041338000144270342 +:0413390000050A930E +:04133A0040B489B37F +:04133B0000300493E7 +:04133C0002E484B390 +:04133D000020071372 +:04133E0002E4C4B34E +:04133F0000168713FA +:041340000137073337 +:0413410000E4F4636D +:041342000007049309 +:041343004007F793D5 +:041344000C078463AB +:041345000004859388 +:04134600000A851301 +:04134700E25FF0EF82 +:041348000005091380 +:041349000405126322 +:04134A0000C0079345 +:04134B0000FAA023E1 +:04134C0000C45783FF +:04134D00FFF0051395 +:04134E000407E79316 +:04134F0000F416236D +:0413500001C1208334 +:0413510001812403EF +:0413520001412483AE +:041353000101290368 +:0413540000C1298328 +:0413550000812A03E6 +:0413560000412A83A5 +:0413570000012B0363 +:04135800020101137A +:0413590000008067A9 +:04135A0001042583E2 +:04135B0000098613EC +:04135C00AA1FF0EFE5 +:04135D0000C45783EE +:04135E00B7F7F79353 +:04135F000807E79301 +:0413600000F416235C +:041361000124282318 +:0413620000942A23A6 +:041363000139093310 +:04136400413484B3D9 +:04136500012420231C +:0413660000942423A8 +:04136700000A09135C +:04136800012A74637F +:04136900000A09135A +:04136A000004250353 +:04136B00000906135C +:04136C00000B0593DA +:04136D001D9000EFE0 +:04136E00008427834D +:04136F000000051362 +:04137000412787B3D7 +:0413710000F424233D +:0413720000042783C9 +:041373000127893392 +:04137400012420230D +:04137500F6DFF06F40 +:0413760000048613D6 +:04137700000A8513D0 +:04137800211000EF51 +:04137900000509134F +:04137A00F8051EE371 +:04137B0001042583C1 +:04137C00000A8513CB +:04137D00C41FF0EFAA +:04137E00F31FF06FFA +:04137F0000C5D7834B +:04138000F401011360 +:041381000A912A2380 +:041382000B212823F0 +:041383000B312623E1 +:041384000A112E23F9 +:041385000A812C238A +:041386000B412423D0 +:041387000B512223C1 +:041388000B612023B2 +:0413890009712E2395 +:04138A0009812C2386 +:04138B0009912A2377 +:04138C0009A1282368 +:04138D0009B1262359 +:04138E000807F793C2 +:04138F0000050993B9 +:0413900000058913B8 +:0413910000060493BB +:0413920006078C635B +:041393000105A78326 +:04139400060798634D +:0413950004000593B8 +:0413960000D1262339 +:04139700CE5FF0EF46 +:0413980000A9202365 +:0413990000A928235C +:04139A0000C12683E5 +:04139B0004051663CC +:04139C0000C00793F3 +:04139D0000F9A02390 +:04139E00FFF0051344 +:04139F000BC12083DB +:0413A0000B81240396 +:0413A1000B41248355 +:0413A2000B0129030F +:0413A3000AC12983CF +:0413A4000A812A038D +:0413A5000A412A834C +:0413A6000A012B030A +:0413A70009C12B83CA +:0413A80009812C0388 +:0413A90009412C8347 +:0413AA0009012D0305 +:0413AB0008C12D83C5 +:0413AC000C0101131C +:0413AD000000806755 +:0413AE00040007939D +:0413AF0000F92A23F4 +:0413B000020007939D +:0413B10002F10CA396 +:0413B20000000AB776 +:0413B3000300079399 +:0413B40002012A23E5 +:0413B50002F10D2311 +:0413B60000D12E2311 +:0413B70002500C9341 +:0413B80000017B377E +:0413B90000017BB7FD +:0413BA0000017D377A +:0413BB0000015C379A +:0413BC00000A8A9306 +:0413BD000004841391 +:0413BE00000447835D +:0413BF00000784633C +:0413C0000D979C6386 +:0413C10040940DB394 +:0413C200020D86632F +:0413C300000D869300 +:0413C4000004861388 +:0413C5000009059383 +:0413C6000009851382 +:0413C700D79FF0EFCD +:0413C800FFF0079398 +:0413C90024F506639E +:0413CA000341268332 +:0413CB0001B686B32E +:0413CC0002D12A23FD +:0413CD00000447834E +:0413CE0022078C6303 +:0413CF00FFF0079391 +:0413D000001404936E +:0413D10002012023D2 +:0413D20002012623CB +:0413D30002F12223DE +:0413D40002012423CB +:0413D500060101A369 +:0413D60006012C23BD +:0413D70000100D9362 +:0413D8000004C583C5 +:0413D90000500613A7 +:0413DA00A04B05130C +:0413DB007FC000EFE0 +:0413DC000014841362 +:0413DD00020127835F +:0413DE000605146389 +:0413DF000107F713F8 +:0413E0000007066399 +:0413E10002000713EC +:0413E20006E101A37C +:0413E3000087F71375 +:0413E4000007066395 +:0413E50002B0071338 +:0413E60006E101A378 +:0413E7000004C683B5 +:0413E80002A0071345 +:0413E90004E68C6327 +:0413EA0002C1278392 +:0413EB000004841363 +:0413EC000000069364 +:0413ED000090061353 +:0413EE0000A0051343 +:0413EF0000044703AC +:0413F000001405934D +:0413F100FD070713DA +:0413F20008E67C632A +:0413F3000406846305 +:0413F40002F12623B9 +:0413F5000400006F81 +:0413F60000140413C8 +:0413F700F1DFF06FC3 +:0413F800A04B0713EC +:0413F90040E5053393 +:0413FA0000AD95337A +:0413FB0000A7E7B3AD +:0413FC0002F12023B7 +:0413FD000004049351 +:0413FE00F69FF06FF7 +:0413FF0001C12703FE +:041400000047069308 +:0414010000072703B6 +:0414020000D12E23C4 +:041403000407406337 +:0414040002E12623B8 +:041405000004470395 +:0414060002E0079366 +:0414070008F7166369 +:041408000014470382 +:0414090002A00793A3 +:04140A0004F71A6366 +:04140B0001C1278371 +:04140C0000240413A1 +:04140D0000478713FA +:04140E000007A783A9 +:04140F0000E12E23A7 +:041410000207CA63A2 +:0414110002F122239F +:041412000600006F61 +:0414130040E007337B +:041414000027E79333 +:0414150002E12623A7 +:0414160002F120239C +:04141700FB9FF06FD8 +:0414180002A787B3ED +:041419000010069326 +:04141A000005841332 +:04141B0000E787B3AC +:04141C00F4DFF06F9A +:04141D00FFF0079342 +:04141E00FCDFF06F90 +:04141F00001404139E +:041420000201222380 +:04142100000006932E +:04142200000007932C +:04142300009006131C +:0414240000A005130C +:041425000004470375 +:041426000014059316 +:04142700FD070713A3 +:0414280008E67263FD +:04142900FA0690E34C +:04142A0000044583F2 +:04142B000030061374 +:04142C00A0CB8513B9 +:04142D006B4000EF21 +:04142E00020502634E +:04142F00A0CB879334 +:0414300040F505334B +:041431000400079319 +:0414320000A797B3C5 +:04143300020125038A +:041434000014041389 +:0414350000F5653326 +:0414360002A12023CC +:0414370000044583E5 +:041438000060061337 +:04143900A10D0513E9 +:04143A000014049303 +:04143B0002B10C23CB +:04143C00678000EFD6 +:04143D0008050A6331 +:04143E00040A9863A1 +:04143F00020127037C +:0414400001C127833C +:041441001007771306 +:041442000207086332 +:041443000047879344 +:0414440000F12E2362 +:0414450003412783B5 +:04144600014787B320 +:0414470002F12A2361 +:04144800DD5FF06F05 +:0414490002A787B3BC +:04144A0000100693F5 +:04144B000005841301 +:04144C0000E787B37B +:04144D00F61FF06F27 +:04144E000077879309 +:04144F00FF87F79389 +:0414500000878793F7 +:04145100FCDFF06F5D +:0414520001C10713BA +:04145300C94C0693E7 +:041454000009061372 +:0414550002010593F8 +:0414560000098513F1 +:04145700FFFEB0974D +:04145800EA4080E7FF +:04145900FFF0079306 +:04145A0000050A136C +:04145B00FAF514E3A7 +:04145C0000C95783E9 +:04145D00FFF0051384 +:04145E000407F793F5 +:04145F00D00790E33F +:04146000034125031C +:04146100CF9FF06FBA +:0414620001C10713AA +:04146300C94C0693D7 +:041464000009061362 +:0414650002010593E8 +:0414660000098513E1 +:041467001B8000EFF7 +:04146800FC5FF06FC6 +:04146900FD0101136D +:04146A0001512A23DF +:04146B000105A7834D +:04146C0000070A93D8 +:04146D000085A7034C +:04146E0002812423B0 +:04146F0002912223A1 +:0414700001312E23F5 +:0414710001412C23E6 +:04147200021126231A +:04147300032120230E +:0414740001612823C7 +:0414750001712623B8 +:0414760000050993D1 +:0414770000058413D5 +:0414780000060493D3 +:0414790000068A13CC +:04147A0000E7D46350 +:04147B0000070793CC +:04147C0000F4A023B5 +:04147D0004344703E9 +:04147E0000070663FA +:04147F000017879338 +:0414800000F4A023B1 +:0414810000042783B9 +:041482000207F793D3 +:041483000007886373 +:041484000004A78336 +:041485000027879322 +:0414860000F4A023AB +:041487000004290331 +:04148800006979136B +:0414890000091E63D5 +:04148A0001940B13AB +:04148B00FFF00B93D0 +:04148C0000C42783EE +:04148D000004A703AD +:04148E0040E787B3F9 +:04148F0008F94263B3 +:0414900000042783AA +:041491000434468356 +:041492000207F793C3 +:0414930000D036B39C +:041494000C0790634E +:041495000434061302 +:04149600000A0593B0 +:0414970000098513B0 +:04149800000A80E7DF +:04149900FFF00793C6 +:04149A0006F50863E8 +:04149B00000427839F +:04149C0000400613F3 +:04149D000004A7039D +:04149E000067F79359 +:04149F0000C42683DC +:0414A00000000493B1 +:0414A10000C7986385 +:0414A20040E684B3E9 +:0414A3000004D4630A +:0414A40000000493AD +:0414A5000084278315 +:0414A6000104270313 +:0414A70000F7566391 +:0414A80040E787B3DF +:0414A90000F484B314 +:0414AA000000091322 +:0414AB0001A4041381 +:0414AC00FFF00B132F +:0414AD00092490631B +:0414AE000000051322 +:0414AF000200006FC8 +:0414B000001006938F +:0414B100000B061313 +:0414B200000A059394 +:0414B3000009851394 +:0414B400000A80E7C3 +:0414B50003751A633E +:0414B600FFF005132B +:0414B70002C12083CB +:0414B8000281240386 +:0414B9000241248345 +:0414BA0002012903FF +:0414BB0001C12983BF +:0414BC0001812A037D +:0414BD0001412A833C +:0414BE0001012B03FA +:0414BF0000C12B83BA +:0414C0000301011310 +:0414C1000000806740 +:0414C20000190913F1 +:0414C300F25FF06F75 +:0414C40000D4073316 +:0414C5000300061307 +:0414C60004C701A3B3 +:0414C700045447037F +:0414C80000168793F0 +:0414C90000F407B371 +:0414CA0000268693DF +:0414CB0004E781A30E +:0414CC00F25FF06F6C +:0414CD000010069372 +:0414CE0000040613FD +:0414CF00000A059377 +:0414D0000009851377 +:0414D100000A80E7A6 +:0414D200F96508E3CD +:0414D30000190913E0 +:0414D400F65FF06F60 +:0414D500FD01011301 +:0414D6000281242348 +:0414D7000291222339 +:0414D80003212023A9 +:0414D90001312E238C +:0414DA0002112623B2 +:0414DB0001412C237C +:0414DC0001512A236D +:0414DD00016128235E +:0414DE0000068993E8 +:0414DF000185C6833A +:0414E00006E0079388 +:0414E10000060913E5 +:0414E200000504936A +:0414E3000005841369 +:0414E4000435861332 +:0414E50028F68663FC +:0414E60006D7EA63D8 +:0414E7000630079331 +:0414E8000AF68E630F +:0414E90000D7EE63D7 +:0414EA002A068A63E1 +:0414EB0005800793DE +:0414EC001EF68A63FB +:0414ED0004240A9336 +:0414EE0004D40123FE +:0414EF000B80006FFF +:0414F0000640079318 +:0414F10000F6866318 +:0414F20006900793C6 +:0414F300FEF694E38A +:0414F4000004278346 +:0414F50000072503C4 +:0414F6000807F6935A +:0414F7000045059314 +:0414F80008068E63F1 +:0414F9000005278340 +:0414FA0000B72023F4 +:0414FB00000176B7BF +:0414FC000007D863AA +:0414FD0002D00713FF +:0414FE0040F007B300 +:0414FF0004E401A35D +:04150000A1868693A7 +:0415010000A007132C +:041502000D80006FE9 +:041503000730079313 +:0415040024F68C63DA +:0415050002D7E263C4 +:0415060006F0079351 +:0415070006F68E63F3 +:04150800070007933E +:04150900F8F698E375 +:04150A000005A783AE +:04150B000207E79359 +:04150C0000F5A02323 +:04150D000140006F2A +:04150E0007500793E8 +:04150F0004F68E63ED +:0415100007800793B6 +:04151100F6F698E36F +:0415120007800793B4 +:04151300000176B7A6 +:0415140004F402A336 +:04151500A2C6869351 +:041516001580006FCD +:04151700000727831F +:0415180004258A9389 +:04151900004786936E +:04151A000007A7839C +:04151B0000D72023B2 +:04151C0004F581232E +:04151D000010079320 +:04151E002200006F38 +:04151F000407F69334 +:041520000005278318 +:0415210000B72023CC +:04152200F60682E364 +:041523000107979392 +:041524004107D79311 +:04152500F59FF06FCF +:041526000004258315 +:04152700000727830F +:041528000805F813A7 +:0415290000478513DF +:04152A00000808634A +:04152B0000A72023D2 +:04152C000007A7838A +:04152D000140006F0A +:04152E000405F59328 +:04152F0000A72023CE +:04153000FE0588E349 +:041531000007D78355 +:0415320006F0059327 +:041533000001773705 +:0415340012B68E63FA +:04153500A1870693F1 +:0415360000A00713F7 +:04153700040401A304 +:0415380000442583C3 +:0415390000B42423B3 +:04153A000005C8637D +:04153B000004250380 +:04153C00FFB575136F +:04153D0000A42023C3 +:04153E0000079663A9 +:04153F0000060A9305 +:0415400002058263BB +:0415410000060A9303 +:0415420002E7F5B314 +:04154300FFFA8A938E +:0415440000B685B3B5 +:041545000005C58355 +:0415460000BA802344 +:0415470002E7D5B32F +:041548000EE7FC634B +:041549000080079384 +:04154A0002F714632D +:04154B0000042783EE +:04154C000017F793FA +:04154D0000078E63A2 +:04154E00004427032B +:04154F0001042783E9 +:0415500000E7C86385 +:0415510003000793F9 +:04155200FEFA8FA36B +:04155300FFFA8A937E +:0415540041560633C3 +:0415550000C4282383 +:0415560000098713EE +:0415570000090693EE +:0415580000C10613B5 +:0415590000040593F2 +:04155A0000048513F1 +:04155B00C39FF0EF4B +:04155C00FFF00A137F +:04155D0013451863B7 +:04155E00FFF0051382 +:04155F0002C1208322 +:0415600002812403DD +:04156100024124839C +:041562000201290356 +:0415630001C1298316 +:0415640001812A03D4 +:0415650001412A8393 +:0415660001012B0351 +:041567000301011368 +:041568000000806798 +:0415690004D582A380 +:04156A00000176B74F +:04156B00A18686933C +:04156C0000042583CF +:04156D00000725034B +:04156E000805F81361 +:04156F0000052783C9 +:04157000004505131A +:041571000208086301 +:0415720000A720238B +:041573000015F71355 +:041574000007066303 +:041575000205E593F3 +:0415760000B420237A +:041577000100071355 +:04157800EE079EE3F9 +:0415790000042583C2 +:04157A00FDF5F593F3 +:04157B0000B4202375 +:04157C00EEDFF06F3F +:04157D000405F81356 +:04157E0000A720237F +:04157F00FC0808E379 +:041580000107979335 +:041581000107D793F4 +:04158200FC5FF06FAB +:04158300A1870693A3 +:0415840000800713C9 +:04158500EC9FF06F78 +:041586000005879342 +:04158700EEDFF06F34 +:041588000005A68331 +:0415890000072783AD +:04158A000145A583EF +:04158B000806F81343 +:04158C00004785137C +:04158D0000080A63E5 +:04158E0000A720236F +:04158F000007A78327 +:0415900000B7A023DD +:041591000180006F66 +:0415920000A720236B +:041593000406F693C1 +:041594000007A78322 +:04159500FE0686E3E5 +:0415960000B79023E7 +:041597000004282301 +:0415980000060A93AC +:04159900EF5FF06FA1 +:04159A00000727839C +:04159B000045A6035E +:04159C0000000593B3 +:04159D0000478693EA +:04159E0000D720232F +:04159F000007AA8314 +:0415A000000A8513A5 +:0415A1000E4000EF09 +:0415A20000050663D7 +:0415A3004155053376 +:0415A40000A422235A +:0415A5000044278354 +:0415A60000F4282302 +:0415A700040401A394 +:0415A800EB9FF06F56 +:0415A9000104268390 +:0415AA00000A86139A +:0415AB00000905939B +:0415AC00000485139F +:0415AD00000980E7CA +:0415AE00ED4500E324 +:0415AF00000427838A +:0415B0000027F79386 +:0415B1000407946334 +:0415B20000C12783CA +:0415B30000C4250348 +:0415B400EAF556E31B +:0415B5000007851393 +:0415B600EA5FF06F89 +:0415B7000010069387 +:0415B800000A86138C +:0415B900000905938D +:0415BA000004851391 +:0415BB00000980E7BC +:0415BC00E96504E3F6 +:0415BD00001A0A13F3 +:0415BE0000C42783BB +:0415BF0000C127033D +:0415C00040E787B3C6 +:0415C100FCFA4CE301 +:0415C200FC1FF06FAB +:0415C30000000A1307 +:0415C40001940A93F1 +:0415C500FFF00B1315 +:0415C600FE1FF06FA5 +:0415C700FF0101130C +:0415C8000081242357 +:0415C9000091222348 +:0415CA000001743771 +:0415CB000005049380 +:0415CC00000585137E +:0415CD0000112623C0 +:0415CE0078042A2350 +:0415CF00168000EF93 +:0415D000FFF007938E +:0415D10000F51863A6 +:0415D20079442783AE +:0415D3000007846326 +:0415D40000F4A0235C +:0415D50000C12083AE +:0415D6000081240369 +:0415D7000041248328 +:0415D80001010113F9 +:0415D9000000806727 +:0415DA000FF5F59381 +:0415DB0000C506330E +:0415DC0000C51663CD +:0415DD0000000513F2 +:0415DE000000806722 +:0415DF000005478339 +:0415E000FEB78CE3E3 +:0415E10000150513D9 +:0415E200FE9FF06F09 +:0415E30004A5FA63FE +:0415E40000C586B305 +:0415E50004D5766350 +:0415E600FFF6459334 +:0415E7000000079366 +:0415E800FFF78793EF +:0415E90000F5946312 +:0415EA000000806716 +:0415EB0000F687334C +:0415EC0000074803A9 +:0415ED0000C7873379 +:0415EE0000E50733DA +:0415EF0001070023CD +:0415F000FE1FF06F7B +:0415F10000F5873347 +:0415F2000007468325 +:0415F30000F50733C5 +:0415F40000178793C2 +:0415F50000D70023F8 +:0415F600FEF616E304 +:0415F7000000806709 +:0415F8000000079355 +:0415F900FF5FF06F31 +:0415FA000000806706 +:0415FB000000806705 +:0415FC0000059663ED +:0415FD00000605934C +:0415FE00B48FF06F47 +:0415FF00FE010113D5 +:0416000000812C2316 +:0416010000112E2383 +:0416020000912A2306 +:041603000121282376 +:041604000131262367 +:0416050000060413C4 +:04160600020616635F +:04160700A18FF0EFD0 +:0416080000000913C2 +:0416090001C1208378 +:04160A000181240333 +:04160B0000090513BA +:04160C0001412483F1 +:04160D0001012903AB +:04160E0000C129836B +:04160F0002010113C0 +:0416100000008067EF +:0416110000058493B9 +:041612000005099333 +:0416130003C000EF21 +:041614000004891332 +:04161500FC8578E3F5 +:041616000004059334 +:04161700000985132E +:04161800AE0FF0EF32 +:0416190000050913AC +:04161A00FA050EE3DC +:04161B0000048593AF +:04161C0000040613AD +:04161D00F9DFE0EF22 +:04161E0000048593AC +:04161F000009851326 +:041620009B4FF0EFFD +:04162100FA1FF06F4D +:04162200FFC5A783D6 +:04162300FFC7851365 +:041624000007D86380 +:0416250000A585B3E4 +:041626000005A78391 +:0416270000F5053392 +:0416280000008067D7 +:04162900FF010113A9 +:04162A0000812423F4 +:04162B00000174370F +:04162C003344278399 +:04162D000121202354 +:04162E00001126235E +:04162F0000912223E1 +:041630000005091395 +:041631003344041327 +:0416320004079A63AC +:04163300000005139B +:04163400000005931A +:041635000000061398 +:041636000000069317 +:041637000000071395 +:041638000D600893A6 +:04163900000000733A +:04163A000005049310 +:04163B0002055663EB +:04163C00084000EF73 +:04163D00409004B322 +:04163E0000952023D0 +:04163F00FFF00513A0 +:0416400000C1208342 +:0416410000812403FD +:0416420000412483BC +:041643000001290376 +:04164400010101138C +:0416450000008067BA +:0416460000A42023B9 +:041647000004250373 +:041648000000059306 +:041649000000061384 +:04164A0000A90533BB +:04164B000000069302 +:04164C000000071380 +:04164D0000000793FF +:04164E000D60089390 +:04164F000000007324 +:0416500000050493FA +:0416510000055A63D3 +:04165200409004B30D +:04165300028000EF22 +:0416540000952023BA +:04165500FFF004930B +:0416560000042783E2 +:04165700FFF0051388 +:0416580000F9093359 +:04165900F9249EE3EF +:04165A0000942023B5 +:04165B0000078513EC +:04165C00F91FF06F13 +:04165D00000177B75A +:04165E002BC7A503EE +:04165F0000008067A0 +:041660000000000086 +:041661000000000085 +:041662000000000084 +:041663000000000083 +:04166400FFFFFFFF86 +:04166500FFFFFFFF85 +:041666000000FFFF82 +:0416670000010494E6 +:041668000001049ADF +:04166900000104A0D8 +:04166A00000104A6D1 +:04166B00000104482E +:04166C00000104680D +:04166D00000104680C +:04166E00000104680B +:04166F00000104680A +:041670000001046809 +:041671000001046808 +:041672000001046C03 +:0416730000010472FC +:041674000001232826 +:04167500000121D47B +:041676000001231A32 +:041677000001231A31 +:04167800000121D478 +:041679000001231E2B +:04167A000001232820 +:04167B00000121D475 +:04167C000001231A2C +:04167D0000015AB856 +:04167E0000015AC845 +:04167F0000015AD438 +:0416800000015AE02B +:0416810000015AEC1E +:0416820000015AF811 +:0416830000015B0403 +:0416840000015B10F6 +:0416850000015B1CE9 +:0416860000015B28DC +:0416870000015B34CF +:0416880074204B4F30 +:041689006E69206FF7 +:04168A00000074697F +:04168B00656D6954CC +:04168C000074756F02 +:04168D00252E75256C +:04168E006D75322E16 +:04168F0000000073E4 +:04169000252E752569 +:041691006D75322E13 +:0416920075252F7318 +:04169300322E252EA0 +:0416940000736D75FD +:041695004353534F19 +:041696007766202033 +:041697007525202E67 +:04169800322E252E9B +:041699000000617577 +:04169A0074696E49B8 +:04169B0072726520E2 +:04169C002020726F29 +:04169D0000006425C0 +:04169E0020202020C8 +:04169F0053204F4E37 +:0416A00000434E595C +:0416A100343130327E +:0416A2003130322D84 +:0416A3006D2020385E +:0416A400737172618B +:0416A5000000000041 +:0416A6002520732563 +:0416A7000063257542 +:0416A800252E752551 +:0416A9006B75322EFD +:0416AA0025207A4835 +:0416AB002E252E7545 +:0416AC007A487532D1 +:0416AD000000000039 +:0416AE007473655498 +:0416AF0074617020D2 +:0416B0006E7265747D +:0416B1000000000035 +:0416B2003A31564132 +:0416B3004247522038 +:0416B40000000053DF +:0416B5003A3156412F +:0416B6007347522004 +:0416B70000000042ED +:0416B8003A3156412C +:0416B9006250592002 +:0416BA00000072506A +:0416BB003A32564128 +:0416BC0062505920FF +:0416BD000000725067 +:0416BE003A32564125 +:0416BF0073475220FB +:0416C00000000042E4 +:0416C1003A33564121 +:0416C2004247522029 +:0416C3000000564885 +:0416C4003A3356411E +:0416C5004247522026 +:0416C60000000053CD +:0416C7003A3356411B +:0416C80073475220F2 +:0416C90000000042DB +:0416CA003A33564118 +:0416CB0062505920F0 +:0416CC000000725058 +:0416CD007473614C85 +:0416CE0065737520AB +:0416CF0000000064B3 +:0416D0000000000016 +:0416D1000000000015 +:0416D2000000000113 +:0416D3000001010110 +:0416D4000000000111 +:0416D5000000000011 +:0416D60000000110FF +:0416D7000044060BBA +:0416D800000001000D +:0416D900000100000C +:0416DA008080800C80 +:0416DB00081A1A1AB5 +:0416DC000000000A00 +:0416DD000001296679 +:0416DE000001267A67 +:0416DF00000126825E +:0416E000000125CA16 +:0416E1000001267E60 +:0416E2000001268657 +:0416E3000001267666 +:0416E400000126B427 +:0416E5000001268A50 +:0416E6000001268E4B +:0416E7000001269246 +:0416E800000126B423 +:0416E900000126B422 +:0416EA00000126B421 +:0416EB00000126B420 +:0416EC00000126B41F +:0416ED00000126B41E +:0416EE00000126BE13 +:0416EF00000127507F +:0416F000000127606E +:0416F1000001277A53 +:0416F200000127B814 +:0416F3000001279C2F +:0416F400000127CEFC +:0416F500000128AC1C +:0416F600000128CEF9 +:0416F700000128E4E2 +:0416F800666F725057 +:0416F9002075252E05 +:0416FA00007339251B +:0416FB00756C3425B1 +:0416FC0063256325DA +:0416FD006C25202018 +:0416FE000000007573 +:0416FF00656E694C5F +:04170000746C756D23 +:04170100646F6D2084 +:0417020000003A6544 +:041703007365727028 +:041704002D312073F0 +:0417050000000035AB +:0417060020787525AD +:0417070075736E7513 +:04170800726F70701C +:04170900006465749F +:04170A00666F725044 +:04170B0020656C6980 +:04170C0064616F6C39 +:04170D000000003A9E +:04170E00736572701D +:04170F002D302073E6 +:04171000000000399C +:0417110000015D086E +:0417120000015D0C69 +:0417130000015D1064 +:0417140000015D145F +:0417150000015C145F +:0417160000015D1859 +:0417170000015D1C54 +:0417180000015D204F +:0417190000015C402F +:04171A000001659CC9 +:04171B0000015D2448 +:04171C0000015D2C3F +:04171D0000015D303A +:04171E0000015D3831 +:04171F0000015D3C2C +:0417200000015D4423 +:0417210000015D4C1A +:0417220000015D5411 +:0417230000015D5C08 +:0417240000015D6CF7 +:0417250000015D7CE6 +:0417260000015D8CD5 +:0417270000015D9CC4 +:0417280000015DACB3 +:0417290000015DBCA2 +:04172A0000015DC499 +:04172B0000015DCC90 +:04172C003EA93E296B +:04172D003EE93E69EA +:04172E003E993E1989 +:04172F003ED93E5908 +:041730003EC93E3937 +:041731003E1D3E4DCE +:041732003E2D3EED1D +:041733003EAD3ECDBC +:041734003E653E6D63 +:041735001C483E010D +:041736001C501C180F +:041737001CC81CD0DE +:041738005ED85E58C1 +:0417390000003EB9B5 +:04173A007365725011 +:04173B000000007337 +:04173C00666E6F4323 +:04173D00006D726960 +:04173E006D73694D11 +:04173F006863746106 +:041740006572202C82 +:041741000079727445 +:041742000000003172 +:041743000000003270 +:04174400000000336E +:04174500000000346C +:041746000000003669 +:041747000000003767 +:041748000000003865 +:04174900554E454D67 +:04174A00000000009B +:04174B0000004B4F00 +:04174C004B43414288 +:04174D000000000098 +:04174E0000005055F2 +:04174F004E574F445E +:041750000000000095 +:041751005446454C69 +:041752000000000093 +:041753004847495268 +:04175400000000543D +:041755004F464E4964 +:04175600000000008F +:041757005F44434C5C +:041758004B4341427C +:041759004847494C68 +:04175A000000005437 +:04175B004E41435365 +:04175C00454E494C61 +:04175D00444F4D5F49 +:04175E000000004542 +:04175F004E41435361 +:04176000454E494C5D +:041761005059545F28 +:04176200000000453E +:041763004E4143535D +:04176400454E494C59 +:04176500544E495F36 +:041766000000002B54 +:041767004E41435359 +:04176800454E494C55 +:04176900544E495F32 +:04176A000000002D4E +:04176B00454E494C52 +:04176C00544C554D37 +:04176D00444F4D5F39 +:04176E000000004532 +:04176F00534148504A +:0417700000002B4505 +:041771005341485048 +:0417720000002D4501 +:04177300464F52503B +:041774005F454C4938 +:041775004B544F483A +:0417760000005945D1 +:041777006F727245D6 +:0417780000000072FB +:0417790053206F4E3C +:04177A006163204443 +:04177B006420647210 +:04177C00002E746562 +:04177D0061766E49DA +:04177E002064696C0E +:04177F0064616568D4 +:04178000000072658E +:0417810061766E49D6 +:041782002064696C0A +:041783002072646804 +:041784000043524389 +:0417850061766E49D2 +:041786002064696C06 +:0417870061746164C4 +:041788004352432065 +:04178900000000005C +:04178A0061647055D1 +:04178B0063206574FE +:04178C0065636E61C2 +:04178D0064656C6CB7 +:04178E000000000057 +:04178F0073616C46D0 +:0417900065722068F6 +:04179100652064610A +:04179200000072726F +:0417930073616C46CC +:0417940072652068F2 +:0417950020657361F7 +:041796000072726506 +:0417970073616C46C8 +:0417980072772068DC +:0417990020657469EA +:04179A000072726502 +:04179B0073616C46C4 +:04179C0065762068E6 +:04179D0020666972E7 +:04179E006C696166AB +:04179F000000000046 +:0417A00061766E49B7 +:0417A1002064696CEB +:0417A20067616D69A5 +:0417A30000000065DD +:0417A4004353534F09 +:0417A5000000000040 +:0417A600696C6156B3 +:0417A700697461649C +:0417A8006420676EE4 +:0417A9000061746106 +:0417AA00622075251F +:0417AB007365747975 +:0417AC000000000039 +:0417AD00252E75254B +:0417AE002575322E3D +:0417AF00007325732B +:0417B00061647055AB +:0417B100676E697482 +:0417B2000057462076 +:0417B30061656C7090 +:0417B40077206573C2 +:0417B5002E746961C4 +:0417B60000002E2ED3 +:0417B7006972655698 +:0417B8006E69796677 +:0417B9006C662067D3 +:0417BA0000687361EF +:0417BB0061656C7088 +:0417BC0072206573BF +:0417BD00617473657B +:0417BE000000747241 +:0417BF007274655289 +:0417C000676E69796E +:0417C10064707520BB +:0417C20000657461E9 +:0417C3006164705598 +:0417C400203F6574E9 +:0417C5002C593D312D +:0417C6004E3D322042 +:0417C700000000001E +:0417C8006D7269468F +:0417C900657261776D +:0417CA0064707520B2 +:0417CB00646574617C +:0417CC000000000019 +:0417CD0000013012D5 +:0417CE0000012FF0F7 +:0417CF0000012FCA1C +:0417D00000012FE203 +:0417D100000130C221 +:0417D200000130C220 +:0417D300000131766A +:0417D400000131A03F +:0417D500000131AC32 +:0417D600000131ECF1 +:0417D700000131C01C +:0417D800000130D606 +:0417D900000130D605 +:0417DA000001311ABF +:0417DB000001314692 +:0417DC000001314691 +:0417DD0064206425FB +:0417DE0000000042C5 +:0417DF00656E6F4480 +:0417E0000000000005 +:0417E1006C69614688 +:0417E200000064653A +:0417E300000073256A +:0417E400252E752514 +:0417E5002075322E0B +:0417E6000000737517 +:0417E7006C207525D8 +:0417E80073656E694E +:0417E90000000000FC +:0417EA006C206F4EB2 +:0417EB00006B6E69B8 +:0417EC000001735B2A +:0417ED0000000002F6 +:0417EE0000012EFECA +:0417EF00000164741D +:0417F0000001648010 +:0417F1000001648807 +:0417F20070207525C9 +:0417F3006C65786940 +:0417F400000000737E +:0417F5000001735D1F +:0417F60000000009E6 +:0417F70000012DB010 +:0417F80064206425E0 +:0417F9000000676520 +:0417FA002525752507 +:0417FB0000000000EA +:0417FC006564695661 +:0417FD006E69206F82 +:0417FE006F72702076 +:0417FF003E20206305 +:0418000000000000E4 +:04180100706D615352 +:04180200676E696C38 +:0418030074706F206E +:041804003E20202E34 +:0418050000000000DF +:04180600636E795341 +:0418070074706F206A +:041808002020202E4E +:041809003E2020203D +:04180A0000000000DA +:04180B007074754F31 +:04180C006F20747560 +:04180D00202E7470A5 +:04180E003E20202038 +:04180F0000000000D5 +:0418100074736F502E +:041811006F72702D55 +:0418120020202E6301 +:041813003E20202033 +:0418140000000000D0 +:04181500706D6F4340 +:04181600626974612E +:0418170074696C691B +:041818003E202079D5 +:0418190000000000CB +:04181A006964754147 +:04181B00706F206F5B +:04181C006E6F69740E +:04181D003E202073D6 +:04181E0000000000C6 +:04181F007474655325 +:0418200073676E6913 +:0418210074706F2050 +:041822003E20202024 +:0418230000000000C1 +:04182400616F4C3C68 +:041825007270206459 +:041826006C69666F14 +:04182700003E2065FA +:041828007661533C56 +:041829007270206554 +:04182A006C69666F10 +:04182B00003E2065F6 +:04182C007365523C52 +:04182D00732074654B +:04182E006974746500 +:04182F003E73676E2F +:0418300000000000B4 +:041831006B6E694C25 +:041832006F72702041 +:04183300693E2D6677 +:041834007475706EE9 +:0418350000000000AF +:041836006B6E694C20 +:04183700706E692046 +:041838003E2D747558 +:04183900666F7270F4 +:04183A0000000000AA +:04183B0074696E4915 +:04183C00206C616952 +:04183D0075706E69EB +:04183E000000007432 +:04183F002044434CB2 +:0418400074204C4282 +:041841006F656D69F9 +:0418420000007475B9 +:041843002E77463C7A +:041844006470752037 +:041845002065746145 +:041846003E20202000 +:04184700000000009D +:041848006E776F4404 +:041849006D61732D2D +:04184A006E696C70E7 +:04184B000000006732 +:04184C0070617753FD +:04184D0066656C2040 +:04184E0069722F7418 +:04184F000074686752 +:041850002D65725040 +:0418510020434441AB +:041852006E696167F3 +:041853000000000091 +:041854006C6C7546FD +:0418550020585420A3 +:0418560075746573CD +:04185700000000701D +:0418580020335641A2 +:0418590065746E69DB +:04185A0063616C72E8 +:04185B0078696665DD +:04185C000000000088 +:04185D006E61635302 +:04185E00656E696CDE +:04185F000000007312 +:041860006E616353FF +:04186100656E696CDB +:041862007274732009 +:041863000000002E53 +:04186400202E6C5373 +:0418650072627968CA +:04186600732064691E +:04186700002E727469 +:041868006E616353F7 +:04186900656E696CD3 +:04186A0074656D2014 +:04186B0000646F683E +:04186C006E616353F3 +:04186D00656E696CCF +:04186E0070797420F9 +:04186F000000006510 +:041870006E616353EF +:04187100656E696CCB +:04187200696C61201C +:041873002E6D6E6701 +:041874000000000070 +:0418750069726F48DD +:04187600746E6F7AA3 +:041877006D206C6113 +:04187800006B73612D +:0418790074726556CA +:04187A006C616369D1 +:04187B0073616D2008 +:04187C000000006BFD +:04187D006B73614DDB +:04187E006972622009 +:04187F006E746867B4 +:041880000073736519 +:0418810065766552D1 +:0418820020657372F8 +:041883000046504C7F +:041884005949443C3E +:0418850074616C20FE +:041886006574202E37 +:04188700003E747338 +:041888007030343256 +:041889003838322F8A +:04188A0072702070E8 +:04188B000000636F87 +:04188C007034383349 +:04188D006F727020E6 +:04188E0000000063F3 +:04188F006930383450 +:041890003637352F83 +:0418910072702069E8 +:041892000000636F80 +:041893007030383445 +:041894003637352F7F +:0418950072702070DD +:041896000000636F7C +:041897006930363945 +:041898003830312F84 +:041899007020693022 +:04189A0000636F7206 +:04189B00656E694CC1 +:04189C006D20783211 +:04189D000065646F0F +:04189E00656E694CBE +:04189F006D2078330D +:0418A0000065646F0C +:0418A100656E694CBB +:0418A2006D20783409 +:0418A3000065646F09 +:0418A400656E694CB8 +:0418A5006D20783505 +:0418A6000065646F06 +:0418A700656E694CB5 +:0418A8006620783509 +:0418A900616D726F8C +:0418AA0000000074C6 +:0418AB007836353224 +:0418AC002030343282 +:0418AD00657073618E +:0418AE00000074635F +:0418AF006D205854FC +:0418B0000065646FFC +:0418B100494D444811 +:0418B2004354492032 +:0418B3000000000031 +:0418B4006C616E41B4 +:0418B5007320676FC6 +:0418B60020636E79C4 +:0418B7000046504C4B +:0418B8006C616E41B0 +:0418B9007320676FC2 +:0418BA0020636E79C0 +:0418BB0000687456F7 +:0418BC006E79734886 +:0418BD006F742063C1 +:0418BE006172656C82 +:0418BF000065636EEF +:0418C0006E79735674 +:0418C10068742063C4 +:0418C2006873657270 +:0418C30000646C6FE2 +:0418C4004C502D480F +:0418C5007250204CF1 +:0418C6006F432D65DA +:0418C70000747361D5 +:0418C8004C502D480B +:0418C9006F50204CF0 +:0418CA00432D7473C3 +:0418CB007473616F62 +:0418CC000000000018 +:0418CD00706D615386 +:0418CE00676E696C6C +:0418CF0061687020BC +:0418D000000065733C +:0418D1007030383407 +:0418D200206E6920FB +:0418D300706D617360 +:0418D4000072656CCD +:0418D5006F6C6C4187 +:0418D60056542077CD +:0418D7005048205005 +:0418D80078324C4CCA +:0418D900000000000B +:0418DA006F6C6C4182 +:0418DB00707520778D +:0418DC00706D617357 +:0418DD007832656C8C +:0418DE000000000006 +:0418DF007664413CAE +:0418E0006974202ED9 +:0418E100676E696D58 +:0418E2003E20202064 +:0418E3000000000001 +:0418E4006564695678 +:0418E500504C206FD4 +:0418E60000000046B8 +:0418E70050625059A2 +:0418E8006E69207293 +:0418E9006C6F4320BD +:0418EA0000617053D6 +:0418EB0072502F52B6 +:0418EC0066666F209D +:0418ED0000746573AB +:0418EE0020592F4707 +:0418EF007366666F47 +:0418F000000074651B +:0418F10062502F42D0 +:0418F20066666F2097 +:0418F30000746573A5 +:0418F40072502F52AD +:0418F500696167209E +:0418F6000000006E80 +:0418F70020592F47FE +:0418F8006E6961674D +:0418F90000000000EB +:0418FA0062502F42C7 +:0418FB006961672098 +:0418FC000000006E7A +:0418FD002D65725093 +:0418FE0020434441FE +:0418FF006E69614766 +:0419000000000000E3 +:0419010073202E48D9 +:041902006C706D6137 +:041903007461726534 +:04190400000000657A +:0419050073202E48D5 +:041906006C636E7927 +:0419070000006E6509 +:0419080062202E48E3 +:04190900706B63613B +:04190A006863726F2D +:04190B0000000000D8 +:04190C0061202E48E0 +:04190D007669746320 +:04190E000000006570 +:04190F0073202E56BD +:041910006C636E791D +:0419110000006E65FF +:0419120062202E56CB +:04191300706B636131 +:041914006863726F23 +:0419150000000000CE +:0419160061202E56C8 +:041917007669746316 +:041918000000006566 +:041919000066664FAF +:04191A000000733323 +:04191B0000733031F4 +:04191C0000733033F1 +:04191D002D706F5466 +:04191E007466656C1A +:04191F0000000000C4 +:04192000746E654339 +:0419210000007265EB +:0419220074746F4228 +:04192300722D6D6F45 +:041924007468676913 +:0419250000000000BE +:041926002066664F82 +:04192700207366289B +:041928003639203DEF +:04192900297A486B64 +:04192A0000000000B9 +:04192B0020207832CE +:04192C002073662896 +:04192D003834203DED +:04192E00297A486B5F +:04192F0000000000B4 +:0419300000706F5480 +:0419310074746F4219 +:0419320000006D6FD5 +:0419330069726F481E +:04193400746E6F7AE4 +:0419350000006C61E1 +:04193600747265560C +:041937006C61636913 +:0419380000000000AB +:0419390065746C4124 +:04193A0074616E72F4 +:04193B0000676E696A +:04193C00746C754D05 +:04193D00696C7069F8 +:04193E006974616304 +:04193F0000006E6FC7 +:041940007462755305 +:0419410074636172F8 +:04194200006E6F695B +:041943006F74754107 +:04194400000000009F +:04194500756E614D0D +:0419460000006C61D0 +:04194700494D44487A +:04194800000000009B +:0419490000495644B7 +:04194A0000373A38F0 +:04194B007373615001 +:04194C0075726874D4 +:04194D000000000096 +:04194E00656E694C0D +:04194F0028207832A2 +:0419500029626F6237 +:041951000000000092 +:04195200656E694C09 +:0419530000007832E6 +:04195400656E694C07 +:04195500282078339B +:041956006563616CF8 +:0419570000002964FF +:04195800656E694C03 +:041959002820783496 +:04195A0029626F622D +:04195B000000000088 +:04195C00656E694CFF +:04195D0000007833DB +:04195E00656E694CFD +:04195F0000007834D8 +:04196000656E694CFB +:0419610000007835D5 +:0419620030323931B5 +:04196300383031786F +:04196400000000304F +:0419650030303631B7 +:041966003032317872 +:04196700000000304C +:0419680030323931AF +:04196900303231786F +:04196A000000003049 +:04196B00656E6547F9 +:04196C002063697219 +:04196D0000333A34D5 +:04196E007830323368 +:04196F0020303432BE +:041970006974706FB7 +:0419710000002E6DD7 +:04197200783635325C +:0419730020303432BA +:041974006974706FB3 +:0419750000002E6DD3 +:04197600656E6547EE +:04197700206369720E +:04197800393A363191 +:04197900000000006A +:04197A004D352E3287 +:04197B0028207A485E +:04197C002978616DF8 +:04197D000000000066 +:04197E00484D30316F +:04197F006D28207A35 +:041980000029646571 +:04198100484D333367 +:041982006D28207A32 +:0419830000296E6960 +:041984002056544451 +:041985007030383452 +:04198600000000005D +:04198700415345562D +:0419880030343620A1 +:041989003038347846 +:04198A0000303640B3 +:04198B002E63655210 +:04198C0031303620A0 +:04198D000000000056 +:04198E002E6365520D +:04198F003930372094 +:041990000000000053 +:04199100484D35394F +:041992004828207A47 +:041993002056544442 +:041994000029494994 +:04199500484D353351 +:041996004828207A43 +:04199700205654443E +:0419980000002949D9 +:04199900484D36314E +:04199A004528207A42 +:04199B002956544431 +:04199C000000000047 +:04199D007A484D39FE +:04199E004453282066 +:04199F000029565471 +:0419A00000006E4F86 +:0419A1006D2064252C +:0419A20000000056EB +:0419A30020202020C0 +:0419A40000007525A5 +:0419A5000001735A70 +:0419A6000000001C21 +:0419A70000012EE429 +:0419A80044525355FD +:0419A9000041544164 +:0419AA000001662CA6 +:0419AB000000200018 +:0419AC0020002CE506 +:0419AD00E926F4FD36 +:0419AE0038BC200021 +:0419AF000000000034 +:0419B0000001663894 +:0419B1000000200012 +:0419B2002000323EA1 +:0419B300F113FA042E +:0419B4003B61200073 +:0419B500000000002E +:0419B6000001418467 +:0419B7000001418466 +:0419B8000001418465 +:0419B9000001418266 +:0419BA000001423AAC +:0419BB000001418462 +:0419BC003633353158 +:0419BD003034327818 +:0419BE00060000001F +:0419BF0007FE00F02F +:0419C0000FEA010623 +:0419C1000112039676 +:0419C200323120029C +:0419C300327830380E +:0419C40000003034BB +:0419C50000F0050029 +:0419C60001060618F8 +:0419C70003480FAA18 +:0419C80004420112C2 +:0419C9007830363903 +:0419CA000030343283 +:0419CB0003C0000055 +:0419CC00049200F091 +:0419CD000F80010680 +:0419CE0001120336C9 +:0419CF00323300822D +:0419D0003432783005 +:0419D10000000030E2 +:0419D20000F00140E0 +:0419D300010601AA5E +:0419D400031F0E31AE +:0419D50049100112A2 +:0419D60078363532F8 +:0419D7000030343276 +:0419D800010000000A +:0419D900015500F0C4 +:0419DA000E270106CD +:0419DB0001120319D9 +:0419DC0034329220EF +:0419DD000000703066 +:0419DE000000000005 +:0419DF0000F002D042 +:0419E0000106035A9F +:0419E100033E0F3979 +:0419E200000E0112E0 +:0419E3003633353131 +:0419E40030343278F1 +:0419E5000600004CAC +:0419E60007FE00F008 +:0419E70029EA0138B0 +:0419E800011203964F +:0419E9003231200275 +:0419EA0032783038E7 +:0419EB000000383888 +:0419EC0001200500D1 +:0419ED00013806189F +:0419EE0003480FAAF1 +:0419EF00044201129B +:0419F00078303639DC +:0419F1000038383250 +:0419F20003C000002E +:0419F3000492012039 +:0419F4000F80013827 +:0419F50001120336A2 +:0419F6003233008206 +:0419F70034327830DE +:0419F80000424C302D +:0419F90000F00140B9 +:0419FA00013801AA05 +:0419FB00031F29316C +:0419FC00491001127B +:0419FD0078363532D1 +:0419FE004C30343203 +:0419FF0001000042A1 +:041A0000015500F09C +:041A01002927013858 +:041A020001120319B1 +:041A030038329220C3 +:041A04000000703836 +:041A050000000000DD +:041A0600012002D0E9 +:041A0700013803603F +:041A0800033F134540 +:041A0900000E0112B8 +:041A0A0070343833C9 +:041A0B0000000000D7 +:041A0C0001F00000E5 +:041A0D0002800180D2 +:041A0E001D3201A7DD +:041A0F000204033E8C +:041A10003436000E5A +:041A110030347830C5 +:041A120000000030A0 +:041A130001900280BC +:041A140001C10320E9 +:041A15000260243017 +:041A1600000C0210AE +:041A170078303436B9 +:041A1800003438332B +:041A19000280000047 +:041A1A000320018024 +:041A1B003F3001EC6B +:041A1C000210026052 +:041A1D003834000E4B +:041A1E00000069302B +:041A1F0000000000C3 +:041A200000F002D000 +:041A2100020D035A55 +:041A2200033E0F3937 +:041A2300044F031257 +:041A240070303834B2 +:041A250000000000BD +:041A260002D00000EA +:041A2700035A01E07D +:041A28001E3C020D51 +:041A29000414063E5D +:041A2A003436000C42 +:041A2B0038347830A3 +:041A2C000000003086 +:041A2D0001E0028052 +:041A2E00020D032082 +:041A2F000260213000 +:041A3000000C04148E +:041A3100783034369F +:041A32000032313518 +:041A3300028000002D +:041A34000320020089 +:041A35001C30023827 +:041A36000414026032 +:041A37003735000C33 +:041A3800000069360B +:041A390000000000A9 +:041A3A00012002D0B5 +:041A3B0002710360D1 +:041A3C00033F13450C +:041A3D00044F03123D +:041A3E007036373592 +:041A3F0000000000A3 +:041A400002D00000D0 +:041A410003600240FC +:041A420027440271C2 +:041A43000404054052 +:041A44003038000C2A +:041A4500303678308F +:041A4600000000306C +:041A4700025803201E +:041A48000274042000 +:041A490004801758A6 +:041A4A000004001084 +:041A4B00703032378E +:041A4C000000000096 +:041A4D000500000090 +:041A4E00067202D04A +:041A4F0014DC02EEB3 +:041A5000001805284D +:041A5100303100042C +:041A5200377834327B +:041A53000000383621 +:041A54000300040087 +:041A5500032605401F +:041A560006881DA041 +:041A57000004001077 +:041A580030383231BF +:041A5900323031787E +:041A5A00050000344F +:041A5B0006980400E5 +:041A5C0026F8042A3A +:041A5D000010037002 +:041A5E003436000416 +:041A5F00363978306C +:041A600000006930E9 +:041A610001E002801E +:041A6200041A03203F +:041A630002602130CC +:041A6400000D051458 +:041A650030383031B4 +:041A66000000006913 +:041A670007800000F4 +:041A68000898021CBC +:041A6900109404656C +:041A6A000518052C2A +:041A6B003031000D09 +:041A6C00007030389E +:041A6D000000000075 +:041A6E0004380780B1 +:041A6F00046508986A +:041A7000052C249489 +:041A71000004001855 +:041A720030303631A9 +:041A73003032317864 +:041A740006400030F8 +:041A7500087004B041 +:041A76002EFF04E259 +:041A7700001003F167 +:041A78000000000466 +:041A79007665642FFB +:041A7A006370652F01 +:041A7B006F635F71C5 +:041A7C006F72746EA3 +:041A7D0072656C6CB6 +:041A7E00615F305F15 +:041A7F006D5F6C76B5 +:041A800000006D6590 +:041A81002B302D23B6 +:041A82000000002040 +:041A8300004C6C683F +:041A840045676665E7 +:041A850000004746D0 +:041A86003332313096 +:041A87003736353485 +:041A88004241393866 +:041A89004645444347 +:041A8A000000000058 +:041A8B003332313091 +:041A8C003736353480 +:041A8D006261393821 +:041A8E0066656463C2 +:041A8F000000000053 +:041A90001A808080B8 +:041A910000081A1A15 +:041A92000000000050 +:041A9300000000004F +:041A9400000169E400 +:041A9500000000004D +:041A9600000000004C +:041A97000001032A1D +:041A9800000103DE68 +:041A9900000101EE59 +:041A9A000001020A3B +:041A9B0000010256EE +:041A9C0000800000C6 +:041A9D0000800000C5 +:041A9E000000000044 +:041A9F000000000043 +:041AA0000000000042 +:041AA1000000000041 +:041AA2000000000040 +:041AA300000000003F +:041AA400000000003E +:041AA500000000003D +:041AA600000000003C +:041AA700000000003B +:041AA800000000003A +:041AA9000000000039 +:041AAA000000000038 +:041AAB000000000037 +:041AAC000000000036 +:041AAD000000000035 +:041AAE000000000034 +:041AAF000000000033 +:041AB0000000000032 +:041AB1000000000031 +:041AB2000000000030 +:041AB300000000002F +:041AB400000000002E +:041AB500000000002D +:041AB600000000002C +:041AB700000000002B +:041AB800000000002A +:041AB9000000000029 +:041ABA000000000028 +:041ABB000000000027 +:041ABC000000000026 +:041ABD000000000025 +:041ABE000000000024 +:041ABF00000101948D +:041AC00000800000A2 +:041AC1000100000020 +:041AC200000201001D +:041AC300008000009F +:041AC400000000011D +:041AC500000000809D +:041AC600000100001B +:041AC700000001001A +:041AC800000000001A +:041AC900020E0409FC +:041ACA003D0E00C805 +:041ACB003F6E0383E4 +:041ACC003ED03DAC1F +:041ACD00000003838F +:041ACE00026404B2F8 +:041ACF003C9300E95B +:041AD0003F56041663 +:041AD1003E9F3D49AE +:041AD20000000416F6 +:041AD300017804E5AD +:041AD4003CCE008183 +:041AD5003FAE03839A +:041AD6003F333D4914 +:041AD7000000038385 +:041AD80001B405B898 +:041AD9003C490093F1 +:041ADA003F9F041610 +:041ADB003F103CD9A3 +:041ADC0000000416EC +:041ADD0000015AB8F2 +:041ADE0000015AC8E1 +:041ADF0000015AD4D4 +:041AE00000015AE0C7 +:041AE10000015AECBA +:041AE20000015AF8AD +:041AE30000015B049F +:041AE40000015B1092 +:041AE50000015B1C85 +:041AE60000015B2878 +:041AE70000015B346B +:041AE800000165ACE8 +:041AE900000165B8DB +:041AEA00000165C8CA +:041AEB00000165D8B9 +:041AEC00000165ACE4 +:041AED00000165B8D7 +:041AEE00000165C8C6 +:041AEF000001658805 +:041AF00000016594F8 +:041AF100000165A0EB +:041AF2000001646427 +:041AF3000001646822 +:041AF4000001646C1D +:041AF5000001647018 +:041AF6000001640483 +:041AF70000000002E9 +:041AF8000001739CDA +:041AF90008FC012CB8 +:041AFA0000011CD0FB +:041AFB00000164146E +:041AFC0000000002E4 +:041AFD000001741858 +:041AFE0000FF000ADB +:041AFF0000011CD0F6 +:041B0000000164205C +:041B010000000002DE +:041B02000001735813 +:041B030000FF0001DE +:041B040000011CD0F0 +:041B05000001643047 +:041B060000000002D9 +:041B07000001738CDA +:041B0800078000C88A +:041B090000011CD0EB +:041B0A000001643C36 +:041B0B0000000002D4 +:041B0C0000017386DB +:041B0D0000070001CC +:041B0E0000011CD0E6 +:041B0F000001644825 +:041B100000000002CF +:041B11000001741249 +:041B1200003F00018F +:041B130000011CD0E1 +:041B14000001645810 +:041B150000000002CA +:041B16000001738ACD +:041B170004B000C84E +:041B180000011CD0DC +:041B19000001612046 +:041B1A0000000000C7 +:041B1B00000174420F +:041B1C0000010001C3 +:041B1D000001727CD5 +:041B1E000001613031 +:041B1F0000000000C2 +:041B20000001744309 +:041B210000010001BE +:041B220000017284C8 +:041B2300000161401C +:041B240000000001BC +:041B25000001744403 +:041B260000180000A3 +:041B270000012EC8C3 +:041B28000001615007 +:041B290000000000B8 +:041B2A000001743F03 +:041B2B0000010001B4 +:041B2C0000017284BE +:041B2D0000016160F2 +:041B2E0000000000B3 +:041B2F0000017440FD +:041B300000010001AF +:041B310000017284B9 +:041B320000015FF05F +:041B330000000003AB +:041B34000001730831 +:041B350000000000AC +:041B360000000000AB +:041B37000001600445 +:041B380000000003A6 +:041B3900000172F045 +:041B3A0000000000A7 +:041B3B0000000000A6 +:041B3C00000160182C +:041B3D0000000003A1 +:041B3E00000173002F +:041B3F0000000000A2 +:041B400000000000A1 +:041B41000001602C13 +:041B4200000000039C +:041B4300000172E04B +:041B4400000000009D +:041B4500000000009C +:041B460000016040FA +:041B47000000000397 +:041B4800000172E83E +:041B49000000000098 +:041B4A000000000097 +:041B4B0000016054E1 +:041B4C000000000392 +:041B4D00000172D051 +:041B4E000000000093 +:041B4F000000000092 +:041B500000016068C8 +:041B5100000000038D +:041B5200000172C854 +:041B5300000000008E +:041B5400000000008D +:041B55000001607CAF +:041B56000000000388 +:041B5700000172F81F +:041B58000000000089 +:041B59000000000088 +:041B5A000001622004 +:041B5B000000000086 +:041B5C0000017428E8 +:041B5D00000400017F +:041B5E000001719081 +:041B5F0000016230EF +:041B60000000000081 +:041B610000017429E2 +:041B6200000100017D +:041B63000001729477 +:041B64000001623CDE +:041B6500000000007C +:041B66000001742ADC +:041B67000003000176 +:041B6800000171A463 +:041B69000001624CC9 +:041B6A000000000077 +:041B6B000001742BD6 +:041B6C000001000173 +:041B6D00000172946D +:041B6E000001625CB4 +:041B6F000000000072 +:041B70000001742CD0 +:041B7100000100016E +:041B72000001728C70 +:041B73000001626C9F +:041B7400000000006D +:041B750000017423D4 +:041B76000002000168 +:041B770000016BA05E +:041B7800000162788E +:041B79000000000068 +:041B7A0000017424CE +:041B7B000003000162 +:041B7C0000016BAC4D +:041B7D00000162847D +:041B7E000000000063 +:041B7F0000017425C8 +:041B8000000200015E +:041B810000016BA054 +:041B8200000162906C +:041B8300000000005E +:041B840000017426C2 +:041B85000002000159 +:041B860000016BA04F +:041B87000001629C5B +:041B88000000000059 +:041B890000017427BC +:041B8A000002000154 +:041B8B0000016BBC2E +:041B8C00000162AC46 +:041B8D000000000054 +:041B8E000001742DB1 +:041B8F000001000150 +:041B9000000172746A +:041B9100000162BC31 +:041B9200000000004F +:041B930000017431A8 +:041B9400000100014B +:041B9500000172AC2D +:041B9600000162C424 +:041B9700000000004A +:041B980000017432A2 +:041B99000001000146 +:041B9A000001728450 +:041B9B000001617470 +:041B9C000000000045 +:041B9D000001741CB3 +:041B9E000002000140 +:041B9F00000171C010 +:041BA000000161805F +:041BA100000000013F +:041BA20000017420AA +:041BA300000F00002F +:041BA40000012EA26C +:041BA500000161904A +:041BA600000000013A +:041BA7000001741EA7 +:041BA800001C00001D +:041BA90000012E7E8B +:041BAA00000161A035 +:041BAB000000000036 +:041BAC000001741FA1 +:041BAD000001000132 +:041BAE00000172A41C +:041BAF00000161B020 +:041BB0000000000031 +:041BB1000001741D9E +:041BB200000200012C +:041BB300000171CCF0 +:041BB400000161C00B +:041BB500000000002C +:041BB6000001742195 +:041BB7000001000128 +:041BB8000001729C1A +:041BB900000161D4F2 +:041BBA000000000126 +:041BBB000001742E83 +:041BBC0000FF000026 +:041BBD0000012E688D +:041BBE00000161E4DD +:041BBF000000000121 +:041BC0000001742F7D +:041BC100003F0000E1 +:041BC20000012E6888 +:041BC300000161F4C8 +:041BC400000000011C +:041BC5000001743077 +:041BC600000F00000C +:041BC70000012DB03C +:041BC80000016204B2 +:041BC9000000000117 +:041BCA000001744161 +:041BCB00001F0000F7 +:041BCC0000012DB037 +:041BCD0000016210A1 +:041BCE00000000040F +:041BCF0000011EA053 +:041BD00000015FB001 +:041BD1000000000010 +:041BD2000001633477 +:041BD300000000010D +:041BD4000001743464 +:041BD500001F0001EC +:041BD60000012DC617 +:041BD7000001634462 +:041BD8000000000009 +:041BD9000001743360 +:041BDA000002000104 +:041BDB00000171B4E0 +:041BDC00000163544D +:041BDD000000000004 +:041BDE000001743559 +:041BDF000001000100 +:041BE000000172840A +:041BE1000001636834 +:041BE20000000000FF +:041BE3000001743653 +:041BE40000010001FB +:041BE5000001728405 +:041BE6000001637C1B +:041BE70000000003F7 +:041BE800000172C0C6 +:041BE90000016694FD +:041BEA0000011C6E6C +:041BEB000001609005 +:041BEC0000000004F1 +:041BED0000011BC018 +:041BEE0000015FD4BF +:041BEF0000000000F2 +:041BF000000160A0F0 +:041BF10000000004EC +:041BF20000011C20B2 +:041BF30000015FD4BA +:041BF40000000000ED +:041BF500000160B0DB +:041BF60000000004E7 +:041BF700000123A422 +:041BF80000000000E9 +:041BF90000000000E8 +:041BFA00000160C4C2 +:041BFB0000000001E5 +:041BFC000001744C24 +:041BFD00000A0101D8 +:041BFE0000012F189B +:041BFF00000160D8A9 +:041C000000000000E0 +:041C0100000173B2B9 +:041C020000010001DC +:041C030000017284E6 +:041C0400000160EC8F +:041C050000000000DB +:041C06000001735C0A +:041C0700000A0001CE +:041C080000016B74F8 +:041C0900000160FC7A +:041C0A0000000000D6 +:041C0B000001739AC7 +:041C0C0000030001D0 +:041C0D0000016BC89F +:041C0E000001610C64 +:041C0F0000000004CD +:041C10000001296E38 +:041C110000000000CF +:041C120000000000CE +:041C1300000162D09A +:041C140000000000CC +:041C15000001743B1B +:041C160000030001C6 +:041C1700000171D87F +:041C1800000162E085 +:041C190000000001C6 +:041C1A000001743819 +:041C1B00001F0000A6 +:041C1C0000012E4451 +:041C1D00000162F070 +:041C1E0000000001C1 +:041C1F000001743913 +:041C200000FF0000C1 +:041C210000012E0090 +:041C2200000163005A +:041C230000000001BC +:041C24000001743A0D +:041C250000C80A00E9 +:041C260000012E008B +:041C27000001631045 +:041C280000000001B7 +:041C29000001743D05 +:041C2A0000050000B1 +:041C2B0000012DEA9D +:041C2C000001632030 +:041C2D0000000001B2 +:041C2E000001743EFF +:041C2F0000050000AC +:041C300000012DEA98 +:041C310000016390BB +:041C320000000000AE +:041C33000001743CFC +:041C340000050001A6 +:041C3500000171E851 +:041C36000001639CAA +:041C370000000000A9 +:041C380000017437FC +:041C390000010001A5 +:041C3A00000172B47F +:041C3B00000163AC95 +:041C3C0000000001A3 +:041C3D0000017445E9 +:041C3E0000FF0000A3 +:041C3F0000012DB0C3 +:041C4000000163B884 +:041C4100000000019E +:041C420000017446E3 +:041C430000FF00009E +:041C440000012DB0BE +:041C4500000163C473 +:041C46000000000199 +:041C470000017447DD +:041C480000FF000099 +:041C490000012DB0B9 +:041C4A00000163D062 +:041C4B000000000194 +:041C4C0000017448D7 +:041C4D0000FF000094 +:041C4E0000012DB0B4 +:041C4F00000163DC51 +:041C5000000000018F +:041C510000017449D1 +:041C520000FF00008F +:041C530000012DB0AF +:041C5400000163E840 +:041C5500000000018A +:041C56000001744ACB +:041C570000FF00008A +:041C580000012DB0AA +:041C5900000163F42F +:041C5A000000000185 +:041C5B000001744BC5 +:041C5C00000F000075 +:041C5D0000012DB0A5 +:041C5E00000172D837 +:041C5F000000000081 +:041C60000000000080 +:041C6100000000007F +:041C6200000000007E +:041C6300000000007D +:041C64000001652CEA +:041C650000016548CD +:041C660000016570A4 +:041C6700000165789B +:041C68000001658092 +:041C69000001652CE5 +:041C6A0000016538D8 +:041C6B0000016550BF +:041C6C0000016560AE +:041C6D000001650C01 +:041C6E0000016610FB +:041C6F000001661CEE +:041C700000016464A7 +:041C71000001650CFD +:041C720000016514F4 +:041C7300000164CC3C +:041C7400000164D82F +:041C7500000164E422 +:041C7600000165E81C +:041C7700000165F80B +:041C780000016604FD +:041C7900000164649E +:041C7A000001650CF4 +:041C7B00000164649C +:041C7C0000016644B9 +:041C7D0000016654A8 +:041C7E000001666497 +:041C7F000001667486 +:041C80000000000060 +:041C8100000000005F +:041C8200000000005E +:041C8300000000005D +:041C8400000000005C +:041C8500000000005B +:041C8600000000005A +:041C87000000000059 +:041C88000000000058 +:041C89000000000057 +:041C8A000000000056 +:041C8B000000000055 +:041C8C000000000054 +:041C8D000000000053 +:041C8E000000000052 +:041C8F000000000051 +:041C90000000000050 +:041C9100000000004F +:041C9200000000004E +:041C9300000000004D +:041C9400000000004C +:041C9500000000004B +:041C9600000000004A +:041C97000000000049 +:041C9800000159747A +:041C99000001726470 +:041C9A00000172646F +:041C9B0000108010A5 +:041C9C0000008000C4 +:041C9D00000165B429 +:041C9E0000016528B4 +:041C9F000001649844 +:041CA000000164AC2F +:041CA1000001646476 +:041CA2000001668057 +:041CA3000001652CAB +:041CA400000165389E +:041CA5000001652CA9 +:041CA600000165488C +:041CA700000164C014 +:041CA800000164C40F +:041CA900000164F0E2 +:041CAA0000016500D0 +:041CAB000001651CB3 +:041CAC0000016524AA +:041CAD000001662CA0 +:041CAE000001663893 +:041CAF0000017200BE +:041CB0000000000729 +:041CB10000016BD8EB +:041CB200000000032B +:041CB30000016C645C +:041CB400000000022A +:041CB50000016CA01E +:041CB6000000000822 +:041CB70000016CC8F4 +:041CB8000000000D1B +:041CB90000016D6851 +:041CBA000000000B1B +:041CBB0000016E6C4A +:041CBC00000000051F +:041CBD0000016F486B +:041CBE00000000081A +:041CBF0000016FAC05 +:041CC000000000061A +:041CC1000001704C62 +:041CC2000000000915 +:041CC300000170C4E8 +:041CC400C896554B1E :00000001FF diff --git a/software/sys_controller/ossc/controls.c b/software/sys_controller/ossc/controls.c index 35b49df..65db3c1 100644 --- a/software/sys_controller/ossc/controls.c +++ b/software/sys_controller/ossc/controls.c @@ -163,7 +163,7 @@ int parse_control() ((fpga_status >> 16) & 0x3) ? '*' : ' ', fpga_v_hz_x100/100, fpga_v_hz_x100%100);*/ - sniprintf(menu_row2, LCD_ROW_LEN+1, "%4lu%c%c %u", (((fpga_status & 0x7ff)+1)<> 16) & 0x3) ? '*' : ' ', IORD_ALTERA_AVALON_PIO_DATA(PIO_8_BASE)); diff --git a/software/sys_controller/ossc/firmware.h b/software/sys_controller/ossc/firmware.h index d3307af..a7e2e76 100644 --- a/software/sys_controller/ossc/firmware.h +++ b/software/sys_controller/ossc/firmware.h @@ -24,7 +24,7 @@ #include "sysconfig.h" #define FW_VER_MAJOR 0 -#define FW_VER_MINOR 81 +#define FW_VER_MINOR 82 #ifdef ENABLE_AUDIO #define FW_SUFFIX1 "a" diff --git a/software/sys_controller/pulpino.h b/software/sys_controller/pulpino.h new file mode 100644 index 0000000..7ca19ef --- /dev/null +++ b/software/sys_controller/pulpino.h @@ -0,0 +1,91 @@ +// Copyright 2017 ETH Zurich and University of Bologna. +// Copyright and related rights are licensed under the Solderpad Hardware +// License, Version 0.51 (the “License”); you may not use this file except in +// compliance with the License. You may obtain a copy of the License at +// http://solderpad.org/licenses/SHL-0.51. Unless required by applicable law +// or agreed to in writing, software, hardware and materials distributed under +// this License is distributed on an “AS IS” BASIS, WITHOUT WARRANTIES OR +// CONDITIONS OF ANY KIND, either express or implied. See the License for the +// specific language governing permissions and limitations under the License. + +/** + * @file + * @brief Register mapping for PULPino peripherals. + * + * Contains event register mappings for the PULPino SOC as + * well as some general definitions for the overall system. + * + * @author Florian Zaruba + * + * @version 1.0 + * + * @date 2/10/2015 + * + */ +#ifndef PULPINO_H +#define PULPINO_H + +#define PULPINO_BASE_ADDR 0x10000000 + +/** SOC PERIPHERALS */ +#define SOC_PERIPHERALS_BASE_ADDR ( PULPINO_BASE_ADDR + 0xA100000 ) + +#define UART_BASE_ADDR ( SOC_PERIPHERALS_BASE_ADDR + 0x0000 ) +#define GPIO_BASE_ADDR ( SOC_PERIPHERALS_BASE_ADDR + 0x1000 ) +#define SPI_BASE_ADDR ( SOC_PERIPHERALS_BASE_ADDR + 0x2000 ) +#define TIMER_BASE_ADDR ( SOC_PERIPHERALS_BASE_ADDR + 0x3000 ) +#define EVENT_UNIT_BASE_ADDR ( SOC_PERIPHERALS_BASE_ADDR + 0x4000 ) +#define I2C_BASE_ADDR ( SOC_PERIPHERALS_BASE_ADDR + 0x5000 ) +#define FLL_BASE_ADDR ( SOC_PERIPHERALS_BASE_ADDR + 0x6000 ) +#define SOC_CTRL_BASE_ADDR ( SOC_PERIPHERALS_BASE_ADDR + 0x7000 ) + +/** STDOUT */ +#define STDOUT_BASE_ADDR ( SOC_PERIPHERALS_BASE_ADDR + 0x10000 ) +#define FPUTCHAR_BASE_ADDR ( STDOUT_BASE_ADDR + 0x1000 ) +#define FILE_CMD_BASE_ADDR ( STDOUT_BASE_ADDR + 0x2000 ) +#define STREAM_BASE_ADDR ( STDOUT_BASE_ADDR + 0x3000 ) + +/** Instruction RAM */ +#define INSTR_RAM_BASE_ADDR ( 0x00 ) +#define INSTR_RAM_START_ADDR ( 0x80 ) + +/** ROM */ +#define ROM_BASE_ADDR ( 0x10000 ) + +/** Data RAM */ +#define DATA_RAM_BASE_ADDR ( 0x00100000 ) + +/** Registers and pointers */ +#define REGP(x) ((volatile unsigned int*)(x)) +#define REG(x) (*((volatile unsigned int*)(x))) +#define REGP_8(x) (((volatile uint8_t*)(x))) + +/* pointer to mem of apb pulpino unit - PointerSocCtrl */ +#define __PSC__(a) *(unsigned volatile int*) (SOC_CTRL_BASE_ADDR + a) + +/** Peripheral Clock gating */ +#define CGREG __PSC__(0x04) + +/** Clock gate SPI */ +#define CGSPI 0x00 +/** Clock gate UART */ +#define CGUART 0x01 +/** Clock gate GPIO */ +#define CGGPIO 0x02 +/** Clock gate SPI Master */ +#define CGGSPIM 0x03 +/** Clock gate Timer */ +#define CGTIM 0x04 +/** Clock gate Event Unit */ +#define CGEVENT 0x05 +/** Clock gate I2C */ +#define CGGI2C 0x06 +/** Clock gate FLL */ +#define CGFLL 0x07 + +/** Boot address register */ +#define BOOTREG __PSC__(0x08) + +#define RES_STATUS __PSC__(0x14) + +#endif diff --git a/software/sys_controller_bsp/HAL/src/alt_busy_sleep.c b/software/sys_controller_bsp/HAL/src/alt_busy_sleep.c index 561c0be..4efd28e 100644 --- a/software/sys_controller_bsp/HAL/src/alt_busy_sleep.c +++ b/software/sys_controller_bsp/HAL/src/alt_busy_sleep.c @@ -57,77 +57,13 @@ unsigned int alt_busy_sleep (unsigned int us) * skipped to speed up simulation. */ #ifndef ALT_SIM_OPTIMIZE - int i; - int big_loops; - alt_u32 cycles_per_loop; - - if (!strcmp(NIOS2_CPU_IMPLEMENTATION,"tiny")) - { - cycles_per_loop = 9; - } - else - { - cycles_per_loop = 3; - } - + unsigned long i, loops; - big_loops = us / (INT_MAX/ - (ALT_CPU_FREQ/(cycles_per_loop * 1000000))); + // 1 loop >= 7 cyc + loops = ((ALT_CPU_FREQ/1000000)*us)/7; - if (big_loops) - { - for(i=0;i= ALT_PUTBUF_SIZE) + alt_putbufflush(); + return c; +} + +/* + * ALT putbufflush + * used only for smehosting + * Not thread safe! + * Dumps all the chars in the buffer to STDOUT + */ +int +alt_putbufflush() +{ + int results; + results = write(STDOUT_FILENO,buf,fill_index); + fill_index = 0; + return results; +} +#endif diff --git a/software/sys_controller_bsp/Makefile b/software/sys_controller_bsp/Makefile index 37e1e44..d40fbf4 100644 --- a/software/sys_controller_bsp/Makefile +++ b/software/sys_controller_bsp/Makefile @@ -256,6 +256,7 @@ hal_C_LIB_SRCS := \ $(hal_SRCS_ROOT)/src/alt_dev_llist_insert.c \ $(hal_SRCS_ROOT)/src/alt_errno.c \ $(hal_SRCS_ROOT)/src/alt_flash_dev.c \ + $(hal_SRCS_ROOT)/src/alt_main.c # i2c_opencores_driver sources root i2c_opencores_driver_SRCS_ROOT := drivers diff --git a/software/sys_controller_bsp/alt_sys_init.c b/software/sys_controller_bsp/alt_sys_init.c index ea8e0b6..a500582 100644 --- a/software/sys_controller_bsp/alt_sys_init.c +++ b/software/sys_controller_bsp/alt_sys_init.c @@ -83,11 +83,11 @@ I2C_OPENCORES_INSTANCE ( I2C_OPENCORES_1, i2c_opencores_1); * present for backwards-compatibility. */ -/*void alt_irq_init ( const void* base ) +void alt_irq_init ( const void* base ) { - ALTERA_NIOS2_GEN2_IRQ_INIT ( NIOS2_QSYS_0, nios2_qsys_0); - alt_irq_cpu_enable_interrupts(); -}*/ + /*ALTERA_NIOS2_GEN2_IRQ_INIT ( NIOS2_QSYS_0, nios2_qsys_0); + alt_irq_cpu_enable_interrupts();*/ +} /* * Initialize the non-interrupt controller devices. diff --git a/software/sys_controller_bsp/drivers/inc/Altera_UP_SD_Card_Avalon_Interface_mod.h b/software/sys_controller_bsp/drivers/inc/Altera_UP_SD_Card_Avalon_Interface_mod.h deleted file mode 100644 index 4e1dbef..0000000 --- a/software/sys_controller_bsp/drivers/inc/Altera_UP_SD_Card_Avalon_Interface_mod.h +++ /dev/null @@ -1,166 +0,0 @@ -#ifndef __ALTERA_UP_SD_CARD_AVALON_INTERFACE_H__ -#define __ALTERA_UP_SD_CARD_AVALON_INTERFACE_H__ - -#include -#include -#include - -#ifdef __cplusplus -extern "C" -{ -#endif /* __cplusplus */ - -#define SD_RAW_IFACE - -/* - * Device structure definition. Each instance of the driver uses one - * of these structures to hold its associated state. - */ -typedef struct alt_up_sd_card_dev { - /// @brief character mode device structure - /// @sa Developing Device Drivers for the HAL in Nios II Software Developer's Handbook - alt_dev dev; - /// @brief the base address of the device - unsigned int base; - -} alt_up_sd_card_dev; - -#ifndef bool - typedef enum e_bool { false = 0, true = 1 } bool; -#endif - -////////////////////////////////////////////////////////////////////////// -// HAL system functions - -alt_up_sd_card_dev* alt_up_sd_card_open_dev(const char *name); -/* Open an SD Card Interface if it is connected to the system. */ - - -bool alt_up_sd_card_is_Present(void); -/* Check if there is an SD Card insterted into the SD Card socket. - */ - -#ifndef SD_RAW_IFACE -bool alt_up_sd_card_is_FAT16(void); -/* This function reads the SD card data in an effort to determine if the card is formated as a FAT16 - * volume. Please note that FAT12 has a similar format, but will not be supported by this driver. - */ - - -short int alt_up_sd_card_fopen(char *name, bool create); -/* This function reads the SD card data in an effort to determine if the card is formated as a FAT16 - * volume. Please note that FAT12 has a similar format, but will not be supported by this driver. - * - * Inputs: - * name - a file name including a directory, relative to the root directory - * create - a flag set to true to create a file if it does not already exist - * Output: - * An index to the file record assigned to the specified file. -1 is returned if the file could not be opened. - */ - - -short int alt_up_sd_card_find_first(char *directory_to_search_through, char *file_name); -/* This function sets up a search algorithm to go through a given directory looking for files. - * If the search directory is valid, then the function searches for the first file it finds. - * Inputs: - * directory_to_search_through - name of the directory to search through - * file_name - an array to store a name of the file found. Must be 13 bytes long (12 bytes for file name and 1 byte of NULL termination). - * Outputs: - * 0 - success - * 1 - invalid directory - * 2 - No card or incorrect card format. - * - * To specify a directory give the name in a format consistent with the following regular expression: - * [{[valid_chars]+}/]*. - * - * In other words, give a path name starting at the root directory, where each directory name is followed by a '/'. - * Then, append a '.' to the directory name. Examples: - * "." - look through the root directory - * "first/." - look through a directory named "first" that is located in the root directory. - * "first/sub/." - look through a directory named "sub", that is located within the subdirectory named "first". "first" is located in the root directory. - * Invalid examples include: - * "/.", "/////." - this is not the root directory. - * "/first/." - the first character may not be a '/'. - */ - - - -short int alt_up_sd_card_find_next(char *file_name); -/* This function searches for the next file in a given directory, as specified by the find_first function. - * Inputs: - * file_name - an array to store a name of the file found. Must be 13 bytes long (12 bytes for file name and 1 byte of NULL termination). - * Outputs: - * -1 - end of directory. - * 0 - success - * 2 - No card or incorrect card format. - * 4 - find_first has not been called successfully. - */ - -void alt_up_sd_card_set_attributes(short int file_handle, short int attributes); -/* Set file attributes as needed. - */ - -short int alt_up_sd_card_get_attributes(short int file_handle); -/* Return file attributes, or -1 if the file_handle is invalid. - */ - - -short int alt_up_sd_card_read(short int file_handle); -/* Read a single character from the given file. Return -1 if at the end of a file. Any other negative number - * means that the file could not be read. A number between 0 and 255 is an ASCII character read from the SD Card. */ - - -bool alt_up_sd_card_write(short int file_handle, char byte_of_data); -/* Write a single character to a given file. Return true if successful, and false otherwise. */ - - -bool alt_up_sd_card_fclose(short int file_handle); -// This function closes an opened file and saves data to SD Card if necessary. - -#else -bool Write_Sector_Data(int sector_index, int partition_offset); -bool Save_Modified_Sector(); -bool Read_Sector_Data(int sector_index, int partition_offset); -#endif //SD_RAW_IFACE - -////////////////////////////////////////////////////////////////////////// -// file-like operation functions - -////////////////////////////////////////////////////////////////////////// -// direct operation functions - - -/* - * Macros used by alt_sys_init - */ -#define ALTERA_UP_SD_CARD_AVALON_INTERFACE_MOD_INSTANCE(name, device) \ - static alt_up_sd_card_dev device = \ - { \ - { \ - ALT_LLIST_ENTRY, \ - name##_NAME, \ - NULL , /* open */ \ - NULL , /* close */ \ - NULL, /* read */ \ - NULL, /* write */ \ - NULL , /* lseek */ \ - NULL , /* fstat */ \ - NULL , /* ioctl */ \ - }, \ - name##_BASE, \ - } - -#define ALTERA_UP_SD_CARD_AVALON_INTERFACE_MOD_INIT(name, device) \ -{ \ - alt_dev_reg(&device.dev); \ -} - - - -#ifdef __cplusplus -} -#endif /* __cplusplus */ - -#endif /* __ALTERA_UP_SD_CARD_AVALON_INTERFACE_H__ */ - - diff --git a/software/sys_controller_bsp/drivers/inc/altera_avalon_timer.h b/software/sys_controller_bsp/drivers/inc/altera_avalon_timer.h new file mode 100644 index 0000000..dae4193 --- /dev/null +++ b/software/sys_controller_bsp/drivers/inc/altera_avalon_timer.h @@ -0,0 +1,193 @@ +#ifndef __ALT_AVALON_TIMER_H__ +#define __ALT_AVALON_TIMER_H__ + +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include + +#include "alt_types.h" +#include "sys/alt_dev.h" +#include "sys/alt_warning.h" + +#ifdef __cplusplus +extern "C" +{ +#endif /* __cplusplus */ + + +#define __ALT_COUNTER_SIZE(name) name##_COUNTER_SIZE +#define _ALT_COUNTER_SIZE(name) __ALT_COUNTER_SIZE(name) + +#define ALT_SYS_CLK_COUNTER_SIZE _ALT_COUNTER_SIZE(ALT_SYS_CLK) +#define ALT_TIMESTAMP_COUNTER_SIZE _ALT_COUNTER_SIZE(ALT_TIMESTAMP_CLK) + +#if (ALT_SYS_CLK_COUNTER_SIZE == 64) +#define alt_sysclk_type alt_u64 +#else +#define alt_sysclk_type alt_u32 +#endif + +#if (ALT_TIMESTAMP_COUNTER_SIZE == 64) +#define alt_timestamp_type alt_u64 +#else +#define alt_timestamp_type alt_u32 +#endif + +/* + * The function alt_avalon_timer_sc_init() is the initialisation function for + * the system clock. It registers the timers interrupt handler, and then calls + * the system clock regestration function, alt_sysclk_init(). + */ + +extern void alt_avalon_timer_sc_init (void* base, alt_u32 irq_controller_id, + alt_u32 irq, alt_u32 freq); + +/* + * Variables used to store the timestamp parameters, when the device is to be + * accessed using the high resolution timestamp driver. + */ + +extern void* altera_avalon_timer_ts_base; +extern alt_u32 altera_avalon_timer_ts_freq; + +/* + * ALTERA_AVALON_TIMER_INSTANCE is the macro used by alt_sys_init() to + * allocate any per device memory that may be required. In this case no + * allocation is necessary. + */ + +#define ALTERA_AVALON_TIMER_INSTANCE(name, dev) extern int alt_no_storage + +/* + * Macro used to calculate the timer interrupt frequency. Although this is + * somewhat fearsome, when compiled with -O2 it will be resolved at compile + * time to a constant value. + */ + +#define ALTERA_AVALON_TIMER_FREQ(freq, period, units) \ + strcmp (units, "us") ? \ + (strcmp (units, "ms") ? \ + (strcmp (units, "s") ? \ + ((freq + (period - 1))/period) \ + : 1) \ + : (1000 + (period - 1))/period) \ + : ((1000000 + (period - 1))/period) + +/* + * Construct macros which contain the base address of the system clock and the + * timestamp device. These are used below to determine which driver to use for + * a given timer. + */ + +#define __ALT_CLK_BASE(name) name##_BASE +#define _ALT_CLK_BASE(name) __ALT_CLK_BASE(name) + +#define ALT_SYS_CLK_BASE _ALT_CLK_BASE(ALT_SYS_CLK) +#define ALT_TIMESTAMP_CLK_BASE _ALT_CLK_BASE(ALT_TIMESTAMP_CLK) + +/* + * If there is no system clock, then the above macro will result in + * ALT_SYS_CLK_BASE being set to none_BASE. We therefore need to provide an + * invalid value for this, so that no timer is wrongly identified as the system + * clock. + */ + +#define none_BASE 0xffffffff + +/* + * ALTERA_AVALON_TIMER_INIT is the macro used by alt_sys_init() to provide + * the run time initialisation of the device. In this case this translates to + * a call to alt_avalon_timer_sc_init() if the device is the system clock, i.e. + * if it has the name "sysclk". + * + * If the device is not the system clock, then it is used to provide the + * timestamp facility. + * + * To ensure as much as possible is evaluated at compile time, rather than + * compare the name of the device to "/dev/sysclk" using strcmp(), the base + * address of the device is compared to SYSCLK_BASE to determine whether it's + * the system clock. Since the base address of a device must be unique, these + * two aproaches are equivalent. + * + * This macro performs a sanity check to ensure that the interrupt has been + * connected for this device. If not, then an apropriate error message is + * generated at build time. + */ + + +#define ALTERA_AVALON_TIMER_INIT(name, dev) \ + if (name##_BASE == ALT_SYS_CLK_BASE) \ + { \ + if (name##_IRQ == ALT_IRQ_NOT_CONNECTED) \ + { \ + ALT_LINK_ERROR ("Error: Interrupt not connected for " #dev ". " \ + "The system clock driver requires an interrupt to be " \ + "connected. Please select an IRQ for this device in " \ + "SOPC builder."); \ + } \ + else \ + { \ + alt_avalon_timer_sc_init((void*) name##_BASE, \ + name##_IRQ_INTERRUPT_CONTROLLER_ID, \ + name##_IRQ, \ + ALTERA_AVALON_TIMER_FREQ(name##_FREQ, \ + name##_PERIOD, \ + name##_PERIOD_UNITS));\ + } \ + } \ + else if (name##_BASE == ALT_TIMESTAMP_CLK_BASE) \ + { \ + if (name##_SNAPSHOT) \ + { \ + altera_avalon_timer_ts_base = (void*) name##_BASE; \ + altera_avalon_timer_ts_freq = name##_FREQ; \ + } \ + else \ + { \ + ALT_LINK_ERROR ("Error: Snapshot register not available for " \ + #dev ". " \ + "The timestamp driver requires the snapshot register " \ + "to be readable. Please enable this register for this " \ + "device in SOPC builder."); \ + } \ + } + +/* + * + */ + +#ifdef __cplusplus +} +#endif /* __cplusplus */ + +#endif /* __ALT_AVALON_TIMER_H__ */ diff --git a/software/sys_controller_bsp/drivers/inc/altera_avalon_timer_regs.h b/software/sys_controller_bsp/drivers/inc/altera_avalon_timer_regs.h new file mode 100644 index 0000000..37bb44d --- /dev/null +++ b/software/sys_controller_bsp/drivers/inc/altera_avalon_timer_regs.h @@ -0,0 +1,202 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +******************************************************************************/ + +#ifndef __ALTERA_AVALON_TIMER_REGS_H__ +#define __ALTERA_AVALON_TIMER_REGS_H__ + +#include + +/* STATUS register */ +#define ALTERA_AVALON_TIMER_STATUS_REG 0 +#define IOADDR_ALTERA_AVALON_TIMER_STATUS(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_STATUS_REG) +#define IORD_ALTERA_AVALON_TIMER_STATUS(base) \ + IORD(base, ALTERA_AVALON_TIMER_STATUS_REG) +#define IOWR_ALTERA_AVALON_TIMER_STATUS(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_STATUS_REG, data) +#define ALTERA_AVALON_TIMER_STATUS_TO_MSK (0x1) +#define ALTERA_AVALON_TIMER_STATUS_TO_OFST (0) +#define ALTERA_AVALON_TIMER_STATUS_RUN_MSK (0x2) +#define ALTERA_AVALON_TIMER_STATUS_RUN_OFST (1) + +/* CONTROL register */ +#define ALTERA_AVALON_TIMER_CONTROL_REG 1 +#define IOADDR_ALTERA_AVALON_TIMER_CONTROL(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_CONTROL_REG) +#define IORD_ALTERA_AVALON_TIMER_CONTROL(base) \ + IORD(base, ALTERA_AVALON_TIMER_CONTROL_REG) +#define IOWR_ALTERA_AVALON_TIMER_CONTROL(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_CONTROL_REG, data) +#define ALTERA_AVALON_TIMER_CONTROL_ITO_MSK (0x1) +#define ALTERA_AVALON_TIMER_CONTROL_ITO_OFST (0) +#define ALTERA_AVALON_TIMER_CONTROL_CONT_MSK (0x2) +#define ALTERA_AVALON_TIMER_CONTROL_CONT_OFST (1) +#define ALTERA_AVALON_TIMER_CONTROL_START_MSK (0x4) +#define ALTERA_AVALON_TIMER_CONTROL_START_OFST (2) +#define ALTERA_AVALON_TIMER_CONTROL_STOP_MSK (0x8) +#define ALTERA_AVALON_TIMER_CONTROL_STOP_OFST (3) + +/* Period and SnapShot Register for COUNTER_SIZE = 32 */ +/*----------------------------------------------------*/ +/* PERIODL register */ +#define ALTERA_AVALON_TIMER_PERIODL_REG 2 +#define IOADDR_ALTERA_AVALON_TIMER_PERIODL(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_PERIODL_REG) +#define IORD_ALTERA_AVALON_TIMER_PERIODL(base) \ + IORD(base, ALTERA_AVALON_TIMER_PERIODL_REG) +#define IOWR_ALTERA_AVALON_TIMER_PERIODL(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_PERIODL_REG, data) +#define ALTERA_AVALON_TIMER_PERIODL_MSK (0xFFFF) +#define ALTERA_AVALON_TIMER_PERIODL_OFST (0) + +/* PERIODH register */ +#define ALTERA_AVALON_TIMER_PERIODH_REG 3 +#define IOADDR_ALTERA_AVALON_TIMER_PERIODH(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_PERIODH_REG) +#define IORD_ALTERA_AVALON_TIMER_PERIODH(base) \ + IORD(base, ALTERA_AVALON_TIMER_PERIODH_REG) +#define IOWR_ALTERA_AVALON_TIMER_PERIODH(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_PERIODH_REG, data) +#define ALTERA_AVALON_TIMER_PERIODH_MSK (0xFFFF) +#define ALTERA_AVALON_TIMER_PERIODH_OFST (0) + +/* SNAPL register */ +#define ALTERA_AVALON_TIMER_SNAPL_REG 4 +#define IOADDR_ALTERA_AVALON_TIMER_SNAPL(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_SNAPL_REG) +#define IORD_ALTERA_AVALON_TIMER_SNAPL(base) \ + IORD(base, ALTERA_AVALON_TIMER_SNAPL_REG) +#define IOWR_ALTERA_AVALON_TIMER_SNAPL(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_SNAPL_REG, data) +#define ALTERA_AVALON_TIMER_SNAPL_MSK (0xFFFF) +#define ALTERA_AVALON_TIMER_SNAPL_OFST (0) + +/* SNAPH register */ +#define ALTERA_AVALON_TIMER_SNAPH_REG 5 +#define IOADDR_ALTERA_AVALON_TIMER_SNAPH(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_SNAPH_REG) +#define IORD_ALTERA_AVALON_TIMER_SNAPH(base) \ + IORD(base, ALTERA_AVALON_TIMER_SNAPH_REG) +#define IOWR_ALTERA_AVALON_TIMER_SNAPH(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_SNAPH_REG, data) +#define ALTERA_AVALON_TIMER_SNAPH_MSK (0xFFFF) +#define ALTERA_AVALON_TIMER_SNAPH_OFST (0) + +/* Period and SnapShot Register for COUNTER_SIZE = 64 */ +/*----------------------------------------------------*/ +/* PERIOD_0 register */ +#define ALTERA_AVALON_TIMER_PERIOD_0_REG 2 +#define IOADDR_ALTERA_AVALON_TIMER_PERIOD_0(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_PERIOD_0_REG) +#define IORD_ALTERA_AVALON_TIMER_PERIOD_0(base) \ + IORD(base, ALTERA_AVALON_TIMER_PERIOD_0_REG) +#define IOWR_ALTERA_AVALON_TIMER_PERIOD_0(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_PERIOD_0_REG, data) +#define ALTERA_AVALON_TIMER_PERIOD_0_MSK (0xFFFF) +#define ALTERA_AVALON_TIMER_PERIOD_0_OFST (0) + +/* PERIOD_1 register */ +#define ALTERA_AVALON_TIMER_PERIOD_1_REG 3 +#define IOADDR_ALTERA_AVALON_TIMER_PERIOD_1(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_PERIOD_1_REG) +#define IORD_ALTERA_AVALON_TIMER_PERIOD_1(base) \ + IORD(base, ALTERA_AVALON_TIMER_PERIOD_1_REG) +#define IOWR_ALTERA_AVALON_TIMER_PERIOD_1(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_PERIOD_1_REG, data) +#define ALTERA_AVALON_TIMER_PERIOD_1_MSK (0xFFFF) +#define ALTERA_AVALON_TIMER_PERIOD_1_OFST (0) + +/* PERIOD_2 register */ +#define ALTERA_AVALON_TIMER_PERIOD_2_REG 4 +#define IOADDR_ALTERA_AVALON_TIMER_PERIOD_2(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_PERIOD_2_REG) +#define IORD_ALTERA_AVALON_TIMER_PERIOD_2(base) \ + IORD(base, ALTERA_AVALON_TIMER_PERIOD_2_REG) +#define IOWR_ALTERA_AVALON_TIMER_PERIOD_2(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_PERIOD_2_REG, data) +#define ALTERA_AVALON_TIMER_PERIOD_2_MSK (0xFFFF) +#define ALTERA_AVALON_TIMER_PERIOD_2_OFST (0) + +/* PERIOD_3 register */ +#define ALTERA_AVALON_TIMER_PERIOD_3_REG 5 +#define IOADDR_ALTERA_AVALON_TIMER_PERIOD_3(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_PERIOD_3_REG) +#define IORD_ALTERA_AVALON_TIMER_PERIOD_3(base) \ + IORD(base, ALTERA_AVALON_TIMER_PERIOD_3_REG) +#define IOWR_ALTERA_AVALON_TIMER_PERIOD_3(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_PERIOD_3_REG, data) +#define ALTERA_AVALON_TIMER_PERIOD_3_MSK (0xFFFF) +#define ALTERA_AVALON_TIMER_PERIOD_3_OFST (0) + +/* SNAP_0 register */ +#define ALTERA_AVALON_TIMER_SNAP_0_REG 6 +#define IOADDR_ALTERA_AVALON_TIMER_SNAP_0(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_SNAP_0_REG) +#define IORD_ALTERA_AVALON_TIMER_SNAP_0(base) \ + IORD(base, ALTERA_AVALON_TIMER_SNAP_0_REG) +#define IOWR_ALTERA_AVALON_TIMER_SNAP_0(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_SNAP_0_REG, data) +#define ALTERA_AVALON_TIMER_SNAP_0_MSK (0xFFFF) +#define ALTERA_AVALON_TIMER_SNAP_0_OFST (0) + +/* SNAP_1 register */ +#define ALTERA_AVALON_TIMER_SNAP_1_REG 7 +#define IOADDR_ALTERA_AVALON_TIMER_SNAP_1(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_SNAP_1_REG) +#define IORD_ALTERA_AVALON_TIMER_SNAP_1(base) \ + IORD(base, ALTERA_AVALON_TIMER_SNAP_1_REG) +#define IOWR_ALTERA_AVALON_TIMER_SNAP_1(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_SNAP_1_REG, data) +#define ALTERA_AVALON_TIMER_SNAP_1_MSK (0xFFFF) +#define ALTERA_AVALON_TIMER_SNAP_1_OFST (0) + +/* SNAP_2 register */ +#define ALTERA_AVALON_TIMER_SNAP_2_REG 8 +#define IOADDR_ALTERA_AVALON_TIMER_SNAP_2(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_SNAP_2_REG) +#define IORD_ALTERA_AVALON_TIMER_SNAP_2(base) \ + IORD(base, ALTERA_AVALON_TIMER_SNAP_2_REG) +#define IOWR_ALTERA_AVALON_TIMER_SNAP_2(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_SNAP_2_REG, data) +#define ALTERA_AVALON_TIMER_SNAP_2_MSK (0xFFFF) +#define ALTERA_AVALON_TIMER_SNAP_2_OFST (0) + +/* SNAP_3 register */ +#define ALTERA_AVALON_TIMER_SNAP_3_REG 9 +#define IOADDR_ALTERA_AVALON_TIMER_SNAP_3(base) \ + __IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_SNAP_3_REG) +#define IORD_ALTERA_AVALON_TIMER_SNAP_3(base) \ + IORD(base, ALTERA_AVALON_TIMER_SNAP_3_REG) +#define IOWR_ALTERA_AVALON_TIMER_SNAP_3(base, data) \ + IOWR(base, ALTERA_AVALON_TIMER_SNAP_3_REG, data) +#define ALTERA_AVALON_TIMER_SNAP_3_MSK (0xFFFF) +#define ALTERA_AVALON_TIMER_SNAP_3_OFST (0) + +#endif /* __ALTERA_AVALON_TIMER_REGS_H__ */ diff --git a/software/sys_controller_bsp/drivers/src/Altera_UP_SD_Card_Avalon_Interface_mod.c b/software/sys_controller_bsp/drivers/src/Altera_UP_SD_Card_Avalon_Interface_mod.c deleted file mode 100644 index b98e077..0000000 --- a/software/sys_controller_bsp/drivers/src/Altera_UP_SD_Card_Avalon_Interface_mod.c +++ /dev/null @@ -1,1908 +0,0 @@ -/****************************************************************************** -* * -* License Agreement * -* * -* Copyright (c) 2006 Altera Corporation, San Jose, California, USA. * -* All rights reserved. * -* * -* Permission is hereby granted, free of charge, to any person obtaining a * -* copy of this software and associated documentation files (the "Software"), * -* to deal in the Software without restriction, including without limitation * -* the rights to use, copy, modify, merge, publish, distribute, sublicense, * -* and/or sell copies of the Software, and to permit persons to whom the * -* Software is furnished to do so, subject to the following conditions: * -* * -* The above copyright notice and this permission notice shall be included in * -* all copies or substantial portions of the Software. * -* * -* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * -* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * -* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * -* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * -* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * -* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * -* DEALINGS IN THE SOFTWARE. * -* * -* This agreement shall be governed in all respects by the laws of the State * -* of California and by the laws of the United States of America. * -* * -******************************************************************************/ - -#include -#include -#include -#include -#include -#include "Altera_UP_SD_Card_Avalon_Interface_mod.h" - -/////////////////////////////////////////////////////////////////////////// -// Local Define Statements -/////////////////////////////////////////////////////////////////////////// - -#define CHAR_TO_UPPER(ch) ((char) (((ch >= 'a') && (ch <= 'z')) ? ((ch-'a')+'A'): ch)) - -// Data Buffer Address -#define SD_CARD_BUFFER(base, x) (base + x) -// 128-bit Card Identification Number -#define SD_CARD_CID(base, x) (base + 0x0200 + x) -// 128-bit Card Specific Data Register -#define SD_CARD_CSD(base, x) (base + 0x0210 + x) -// 32-bit Operating Conditions Register -#define SD_CARD_OCR(base) (base + 0x0220) -// 32-bit Card Status Register -#define SD_CARD_STATUS(base) (base + 0x0224) -// 16-bit Relative Card Address Register -#define SD_CARD_RCA(base) (base + 0x0228) -// 32-bit Card Argument Register -#define SD_CARD_ARGUMENT(base) (base + 0x022C) -// 16-bit Card Command Register -#define SD_CARD_COMMAND(base) (base + 0x0230) -// 16-bit Card Auxiliary Status Register -#define SD_CARD_AUX_STATUS(base) (base + 0x0234) -// 32-bit R1 Response Register -#define SD_CARD_R1_RESPONSE(base) (base + 0x0238) - -#define CMD_READ_BLOCK 17 -#define CMD_WRITE_BLOCK 24 - -// FAT 12/16 related stuff -//#define BOOT_SECTOR_DATA_SIZE 0x005A -#define MAX_FILES_OPENED 2 - -/******************************************************************************/ -/****** LOCAL DATA STRUCTURES ***********************************************/ -/******************************************************************************/ - - -typedef struct s_FAT_12_16_boot_sector { - unsigned char jump_instruction[3]; - char OEM_name[8]; - unsigned short int sector_size_in_bytes; - unsigned char sectors_per_cluster; - unsigned short int reserved_sectors; - unsigned char number_of_FATs; - unsigned short int max_number_of_dir_entires; - unsigned short int number_of_sectors_in_partition; - unsigned char media_descriptor; - unsigned short int number_of_sectors_per_table; - unsigned short int number_of_sectors_per_track; - unsigned short int number_of_heads; - unsigned int number_of_hidden_sectors; - unsigned int total_sector_count_if_above_32MB; - unsigned char drive_number; - unsigned char current_head; - unsigned char boot_signature; - unsigned char volume_id[4]; - char volume_label[11]; - unsigned char file_system_type[8]; - unsigned char bits_for_cluster_index; - unsigned int first_fat_sector_offset; - unsigned int second_fat_sector_offset; - unsigned int root_directory_sector_offset; - unsigned int data_sector_offset; -} t_FAT_12_16_boot_sector; - - -typedef struct s_file_record { - unsigned char name[8]; - unsigned char extension[3]; - unsigned char attributes; - unsigned short int create_time; - unsigned short int create_date; - unsigned short int last_access_date; - unsigned short int last_modified_time; - unsigned short int last_modified_date; - unsigned short int start_cluster_index; - unsigned int file_size_in_bytes; - /* The following fields are only used when a file has been created or opened. */ - unsigned int current_cluster_index; - unsigned int current_sector_in_cluster; - unsigned int current_byte_position; - // Absolute location of the file record on the SD Card. - unsigned int file_record_cluster; - unsigned int file_record_sector_in_cluster; - short int file_record_offset; - // Is this record in use and has the file been modified. - unsigned int home_directory_cluster; - bool modified; - bool in_use; -} t_file_record; - - -typedef struct s_find_data { - unsigned int directory_root_cluster; // 0 means root directory. - unsigned int current_cluster_index; - unsigned int current_sector_in_cluster; - short int file_index_in_sector; - bool valid; -} t_find_data; - - -/////////////////////////////////////////////////////////////////////////// -// Local Variables -/////////////////////////////////////////////////////////////////////////// - - -bool initialized = false; -bool is_sd_card_formated_as_FAT16 = false; -volatile short int *aux_status_register = NULL; -volatile int *status_register = NULL; -volatile short int *CSD_register_w0 = NULL; -volatile short int *command_register = NULL; -volatile int *command_argument_register = NULL; -volatile char *buffer_memory = NULL; -int fat_partition_offset_in_512_byte_sectors = 0; -int fat_partition_size_in_512_byte_sectors = 0; - -#ifndef SD_RAW_IFACE -t_FAT_12_16_boot_sector boot_sector_data; -#endif - -alt_up_sd_card_dev *device_pointer = NULL; - -#ifndef SD_RAW_IFACE -// Pointers to currently opened files. -t_file_record active_files[MAX_FILES_OPENED]; -#endif -bool current_sector_modified = false; -unsigned int current_sector_index = 0; - -#ifndef SD_RAW_IFACE -t_find_data search_data; -#endif - - -/////////////////////////////////////////////////////////////////////////// -// Local Functions -/////////////////////////////////////////////////////////////////////////// - -#ifndef SD_RAW_IFACE -static bool Write_Sector_Data(int sector_index, int partition_offset) -#else -bool Write_Sector_Data(int sector_index, int partition_offset) -#endif -// This function writes a sector at the specified address on the SD Card. -{ - bool result = false; - - if (alt_up_sd_card_is_Present()) - { - short int reg_state = 0xff; - - /* Multiply sector offset by sector size to get the address. Sector size is 512. Also, - * the SD card reads data in 512 byte chunks, so the address must be a multiple of 512. */ - IOWR_32DIRECT(command_argument_register, 0, (sector_index + partition_offset)*512); - IOWR_16DIRECT(command_register, 0, CMD_WRITE_BLOCK); - do { - reg_state = (short int) IORD_16DIRECT(aux_status_register,0); - } while ((reg_state & 0x04)!=0); - // Make sure the request did not time out. - if ((reg_state & 0x10) == 0) - { - result = true; - current_sector_modified = false; - current_sector_index = sector_index+partition_offset; - } - } - return result; -} - -#ifndef SD_RAW_IFACE -static bool Save_Modified_Sector() -#else -bool Save_Modified_Sector() -#endif -// If the sector has been modified, then save it to the SD Card. -{ - bool result = true; - if (current_sector_modified) - { - result = Write_Sector_Data(current_sector_index, 0); - } - return result; -} - -#ifndef SD_RAW_IFACE -static bool Read_Sector_Data(int sector_index, int partition_offset) -#else -bool Read_Sector_Data(int sector_index, int partition_offset) -#endif -// This function reads a sector at the specified address on the SD Card. -{ - bool result = false; - - if (alt_up_sd_card_is_Present()) - { - short int reg_state = 0xff; - - /* Write data to the SD card if the current buffer is out of date. */ - if (current_sector_modified) - { - if (Write_Sector_Data(current_sector_index, 0) == false) - { - return false; - } - } - /* Multiply sector offset by sector size to get the address. Sector size is 512. Also, - * the SD card reads data in 512 byte chunks, so the address must be a multiple of 512. */ - IOWR_32DIRECT(command_argument_register, 0, (sector_index + partition_offset)*512); - IOWR_16DIRECT(command_register, 0, CMD_READ_BLOCK); - do { - reg_state = (short int) IORD_16DIRECT(aux_status_register,0); - } while ((reg_state & 0x04)!=0); - // Make sure the request did not time out. - if ((reg_state & 0x10) == 0) - { - result = true; - current_sector_modified = false; - current_sector_index = sector_index+partition_offset; - } - } - return result; -} - -#ifndef SD_RAW_IFACE -static bool get_cluster_flag(unsigned int cluster_index, unsigned short int *flag) -// Read a cluster flag. -{ - unsigned int sector_index = (cluster_index / 256) + fat_partition_offset_in_512_byte_sectors; - - sector_index = sector_index + boot_sector_data.first_fat_sector_offset; - - if (sector_index != current_sector_index) - { - if (Read_Sector_Data(sector_index, 0) == false) - { - return false; - } - } - *flag = (unsigned short int) IORD_16DIRECT(device_pointer->base, 2*(cluster_index % 256)); - return true; -} - - -static bool mark_cluster(unsigned int cluster_index, short int flag, bool first_fat) -// Place a marker on the specified cluster in a given FAT. -{ - unsigned int sector_index = (cluster_index / 256) + fat_partition_offset_in_512_byte_sectors; - - if (first_fat) - { - sector_index = sector_index + boot_sector_data.first_fat_sector_offset; - } - else - { - sector_index = sector_index + boot_sector_data.second_fat_sector_offset; - } - - if (sector_index != current_sector_index) - { - if (Read_Sector_Data(sector_index, 0) == false) - { - return false; - } - } - IOWR_16DIRECT(device_pointer->base, 2*(cluster_index % 256), flag); - current_sector_modified = true; - return true; -} - - -static bool Check_for_Master_Boot_Record(void) -// This function reads the first 512 bytes on the SD Card. This data should -// contain the Master Boot Record. If it does, then print -// relevant information and return true. Otherwise, return false. -{ - bool result = false; - int index; - int end, offset, partition_size; - - /* Load the first 512 bytes of data from SD card. */ - if (Read_Sector_Data(0, 0)) - { - end = (short int) IORD_16DIRECT(device_pointer->base,0x1fe); - - // Check if the end of the sector contains an end string 0xaa55. - if ((end & 0x0000ffff) == 0x0000aa55) - { - // Check four partition entries and see if any are valid - for (index = 0; index < 4; index++) - { - int partition_data_offset = (index * 16) + 0x01be; - char type; - - // Read Partition type - type = (unsigned char) IORD_8DIRECT(device_pointer->base,partition_data_offset + 0x04); - - // Check if this is an FAT parition - if ((type == 1) || (type == 4) || (type == 6) || (type == 14)) - { - // Get partition offset and size. - offset = (((unsigned short int) IORD_16DIRECT(device_pointer->base,partition_data_offset + 0x0A)) << 16) | ((unsigned short int) IORD_16DIRECT(device_pointer->base,partition_data_offset + 0x08)); - partition_size = (((unsigned short int) IORD_16DIRECT(device_pointer->base,partition_data_offset + 0x0E)) << 16) | ((unsigned short int) IORD_16DIRECT(device_pointer->base,partition_data_offset + 0x0C)); - - // Check if the partition is valid - if (partition_size > 0) - { - result = true; - fat_partition_size_in_512_byte_sectors = partition_size; - fat_partition_offset_in_512_byte_sectors = offset; - break; - } - } - } - } - } - - return result; -} - - -static bool Read_File_Record_At_Offset(int offset, t_file_record *record, unsigned int cluster_index, unsigned int sector_in_cluster) -// This function reads a file record -{ - bool result = false; - if (((offset & 0x01f) == 0) && (alt_up_sd_card_is_Present()) && (is_sd_card_formated_as_FAT16)) - { - int counter; - - for (counter = 0; counter < 8; counter++) - { - record->name[counter] = (char) IORD_8DIRECT(device_pointer->base, offset+counter); - } - for (counter = 0; counter < 3; counter++) - { - record->extension[counter] = (char) IORD_8DIRECT(device_pointer->base, offset+counter+8); - } - record->attributes = (char) IORD_8DIRECT(device_pointer->base, offset+11); - /* Ignore reserved bytes at locations 12 and 13. */ - record->create_time = (unsigned short int) IORD_16DIRECT(device_pointer->base, offset+14); - record->create_date = (unsigned short int) IORD_16DIRECT(device_pointer->base, offset+16); - record->last_access_date = (unsigned short int) IORD_16DIRECT(device_pointer->base, offset+18); - /* Ignore reserved bytes at locations 20 and 21. */ - record->last_modified_time = (unsigned short int) IORD_16DIRECT(device_pointer->base, offset+22); - record->last_modified_date = (unsigned short int) IORD_16DIRECT(device_pointer->base, offset+24); - record->start_cluster_index = (unsigned short int) IORD_16DIRECT(device_pointer->base, offset+26); - record->file_size_in_bytes = (unsigned int) IORD_32DIRECT(device_pointer->base, offset+28); - record->file_record_cluster = cluster_index; - record->file_record_sector_in_cluster = sector_in_cluster; - record->file_record_offset = offset; - result = true; - } - return result; -} - - -static bool Write_File_Record_At_Offset(int offset, t_file_record *record) -// This function writes a file record at a given offset. The offset is given in bytes. -{ - bool result = false; - if (((offset & 0x01f) == 0) && (alt_up_sd_card_is_Present()) && (is_sd_card_formated_as_FAT16)) - { - int counter; - - for (counter = 0; counter < 8; counter=counter+2) - { - short int two_chars = (short int) record->name[counter+1]; - two_chars = two_chars << 8; - two_chars = two_chars | record->name[counter]; - IOWR_16DIRECT(device_pointer->base, offset+counter, two_chars); - } - for (counter = 0; counter < 3; counter++) - { - IOWR_8DIRECT(device_pointer->base, offset+counter+8, record->extension[counter]); - } - IOWR_8DIRECT(device_pointer->base, offset+11, record->attributes); - /* Ignore reserved bytes at locations 12 and 13. */ - IOWR_16DIRECT(device_pointer->base, offset+14, record->create_time); - IOWR_16DIRECT(device_pointer->base, offset+16, record->create_date); - IOWR_16DIRECT(device_pointer->base, offset+18, record->last_access_date); - /* Ignore reserved bytes at locations 20 and 21. */ - IOWR_16DIRECT(device_pointer->base, offset+22, record->last_modified_time); - IOWR_16DIRECT(device_pointer->base, offset+24, record->last_modified_date); - IOWR_16DIRECT(device_pointer->base, offset+26, record->start_cluster_index); - IOWR_32DIRECT(device_pointer->base, offset+28, record->file_size_in_bytes); - current_sector_modified = true; - result = true; - } - return result; -} - - -static bool Check_for_DOS_FAT(int FAT_partition_start_sector) -// This function reads the boot sector for the FAT file system on the SD Card. -// The offset_address should point to the sector on the card where the boot sector is located. -// The sector number is specified either in the master Boot Record, or is 0 by default for a purely FAT -// based file system. If the specified sector contains a FAT boot sector, then this function prints the -// relevant information and returns 1. Otherwise, it returns 0. -{ - bool result = false; - int counter = 0; - short int end; - - result = Read_Sector_Data(0, FAT_partition_start_sector); - end = (short int) IORD_16DIRECT(device_pointer->base, 0x1fe); - if (((end & 0x0000ffff) == 0x0000aa55) && (result)) - { - int num_clusters = 0; - - boot_sector_data.jump_instruction[0] = (char) IORD_8DIRECT(device_pointer->base, 0); - boot_sector_data.jump_instruction[1] = (char) IORD_8DIRECT(device_pointer->base, 1); - boot_sector_data.jump_instruction[2] = (char) IORD_8DIRECT(device_pointer->base, 2); - for (counter = 0; counter < 8; counter++) - { - boot_sector_data.OEM_name[counter] = (char) IORD_8DIRECT(device_pointer->base, 3+counter); - } - boot_sector_data.sector_size_in_bytes = (((unsigned char) IORD_8DIRECT(device_pointer->base, 12)) << 8 ) | ((char) IORD_8DIRECT(device_pointer->base, 11)); - boot_sector_data.sectors_per_cluster = ((unsigned char) IORD_8DIRECT(device_pointer->base, 13)); - boot_sector_data.reserved_sectors = ((unsigned short int) IORD_16DIRECT(device_pointer->base, 14)); - boot_sector_data.number_of_FATs = ((unsigned char) IORD_8DIRECT(device_pointer->base, 16)); - boot_sector_data.max_number_of_dir_entires = (((unsigned short int)(((unsigned char) IORD_8DIRECT(device_pointer->base, 18)))) << 8 ) | ((unsigned char) IORD_8DIRECT(device_pointer->base, 17)); - boot_sector_data.number_of_sectors_in_partition = (((unsigned short int)(((unsigned char) IORD_8DIRECT(device_pointer->base, 20)))) << 8 ) | ((unsigned char) IORD_8DIRECT(device_pointer->base, 19)); - boot_sector_data.media_descriptor = ((unsigned char) IORD_8DIRECT(device_pointer->base, 21)); - boot_sector_data.number_of_sectors_per_table = ((unsigned short int) IORD_16DIRECT(device_pointer->base, 22)); - boot_sector_data.number_of_sectors_per_track = ((unsigned short int) IORD_16DIRECT(device_pointer->base, 24)); - boot_sector_data.number_of_heads = ((unsigned short int) IORD_16DIRECT(device_pointer->base, 26)); - boot_sector_data.number_of_hidden_sectors = ((unsigned int) IORD_32DIRECT(device_pointer->base, 28)); - boot_sector_data.total_sector_count_if_above_32MB = ((unsigned int) IORD_32DIRECT(device_pointer->base, 32)); - boot_sector_data.drive_number = ((unsigned char) IORD_8DIRECT(device_pointer->base, 36)); - boot_sector_data.current_head = ((unsigned char) IORD_8DIRECT(device_pointer->base, 37)); - boot_sector_data.boot_signature = ((unsigned char) IORD_8DIRECT(device_pointer->base, 38)); - boot_sector_data.first_fat_sector_offset = boot_sector_data.reserved_sectors; - boot_sector_data.second_fat_sector_offset = boot_sector_data.first_fat_sector_offset + boot_sector_data.number_of_sectors_per_table; - boot_sector_data.root_directory_sector_offset = boot_sector_data.second_fat_sector_offset + boot_sector_data.number_of_sectors_per_table; - boot_sector_data.data_sector_offset = boot_sector_data.root_directory_sector_offset + (32*boot_sector_data.max_number_of_dir_entires / boot_sector_data.sector_size_in_bytes); - - if (boot_sector_data.number_of_sectors_in_partition > 0) - { - num_clusters = (boot_sector_data.number_of_sectors_in_partition / boot_sector_data.sectors_per_cluster); - } - else - { - num_clusters = (boot_sector_data.total_sector_count_if_above_32MB / boot_sector_data.sectors_per_cluster); - } - if (num_clusters < 4087) - { - boot_sector_data.bits_for_cluster_index = 12; - } - else if (num_clusters <= 65517) - { - boot_sector_data.bits_for_cluster_index = 16; - } - else - { - boot_sector_data.bits_for_cluster_index = 32; - } - - for (counter = 0; counter < 4; counter++) - { - boot_sector_data.volume_id[counter] = ((char) IORD_8DIRECT(device_pointer->base, 39+counter)); - } - for (counter = 0; counter < 11; counter++) - { - boot_sector_data.volume_label[counter] = ((char) IORD_8DIRECT(device_pointer->base, 43+counter)); - } - for (counter = 0; counter < 8; counter++) - { - boot_sector_data.file_system_type[counter] = ((char) IORD_8DIRECT(device_pointer->base, 54+counter)); - } - // Clear file records - for (counter = 0; counter < MAX_FILES_OPENED; counter++) - { - active_files[counter].in_use = false; - } - result = true; - } - else - { - result = false; - } - return result; -} - - -static bool Look_for_FAT16(void) -// Read the SD card to determine if it contains a FAT16 partition. -{ - bool result = false; - - if (alt_up_sd_card_is_Present()) - { - short int csd_file_format = *CSD_register_w0; - - fat_partition_offset_in_512_byte_sectors = 0; - fat_partition_size_in_512_byte_sectors = 0; - - if (((csd_file_format & 0x8000) == 0) && ((csd_file_format & 0x0c00) != 0x0c00)) - { - if ((csd_file_format & 0x0c00) == 0x0400) - { - /* SD Card contains files stored in a DOS FAT (floppy like) file format, without a partition table */ - result = Check_for_DOS_FAT(0); - } - if ((csd_file_format & 0x0c00) == 0x0000) - { - /* SD Card contains files stored in a Hard disk-like file format that contains a partition table */ - if (Check_for_Master_Boot_Record()) - { - result = Check_for_DOS_FAT(fat_partition_offset_in_512_byte_sectors); - } - } - if (result == true) - { - // Accept only FAT16, not FAT12. - if (boot_sector_data.bits_for_cluster_index != 16) - { - result = false; - } - else - { - fat_partition_size_in_512_byte_sectors = boot_sector_data.number_of_sectors_in_partition; - } - } - } - } - return result; -} - - -static void filename_to_upper_case(char *file_name) -// Change file name to upper case. -{ - int index; - int length = strlen(file_name); - - for (index = 0; index < length; index++) - { - if ((file_name[index] >= 'a') && (file_name[index] <= 'z')) - { - file_name[index] = (file_name[index] - 'a') + 'A'; - } - } -} - - -static bool check_file_name_for_FAT16_compliance(char *file_name) -// Check if the file complies with FAT16 naming convention. -{ - int length = strlen(file_name); - int index; - int last_dir_break_position = -1; - int last_period = -1; - bool result = true; - - for(index = 0; index < length; index++) - { - if ((file_name[index] == ' ') || - ((last_dir_break_position == (index - 1)) && ((file_name[index] == '\\') || (file_name[index] == '/'))) || - ((index - last_period == 9) && (file_name[index] != '.')) || - ((last_dir_break_position != last_period) && (index - last_period > 3) && - (file_name[index] != '\\') && (file_name[index] != '/')) - ) - { - result = false; - break; - } - if ((file_name[index] == '\\') || (file_name[index] == '/')) - { - last_period = index; - last_dir_break_position = index; - } - if (file_name[index] == '.') - { - last_period = index; - } - } - if ((file_name[length-1] == '\\') || (file_name[length-1] == '/')) - { - result = false; - } - return result; -} - - -static int get_dir_divider_location(char *name) -// Find a directory divider location. -{ - int index = 0; - int length = strlen(name); - - for(index = 0; index < length; index++) - { - if ((name[index] == '\\') || (name[index] == '/')) - { - break; - } - } - - if (index == length) - { - index = -1; - } - - return index; -} - - -static bool match_file_record_to_name_ext(t_file_record *file_record, char *name, char *extension) -/* See if the given name and extension match the file record. Return true if this is so, false otherwise. */ -{ - bool match = true; - int index; - - for (index = 0; index < 8; index++) - { - if (CHAR_TO_UPPER(file_record->name[index]) != CHAR_TO_UPPER(name[index])) - { - match = false; - break; - } - } - for (index = 0; index < 3; index++) - { - if (CHAR_TO_UPPER(file_record->extension[index]) != CHAR_TO_UPPER(extension[index])) - { - match = false; - break; - } - } - return match; -} - - -static bool get_home_directory_cluster_for_file(char *file_name, int *home_directory_cluster, t_file_record *file_record) -// Scan the directories in given in the file name and find the root directory for the file. -{ - bool result = false; - int home_dir_cluster = 0; - int location, index; - int start_location = 0; - - /* Get Next Directory. */ - location = get_dir_divider_location( file_name ); - while (location > 0) - { - char name[8] = { ' ', ' ', ' ', ' ', ' ', ' ', ' ', ' ' }; - char extension[3] = { ' ', ' ', ' ' }; - int ext_index = -1; - int new_cluster = home_dir_cluster; - - // Get the name of the directory in name/extension format. - for (index = 0; index < location; index++) - { - if (file_name[index+start_location] == '.') - { - ext_index = index; - } - else if (ext_index < 0) - { - name[index] = file_name[index+start_location]; - } - else - { - extension[index-ext_index] = file_name[index+start_location]; - } - } - - if (home_dir_cluster == 0) - { - /* We are in the root directory. Scan the directory (of predefined size) and see if you can find the specified file. */ - int max_root_dir_sectors = ((32*boot_sector_data.max_number_of_dir_entires) / boot_sector_data.sector_size_in_bytes); - int sector_index; - - for (sector_index = 0; sector_index < max_root_dir_sectors; sector_index++) - { - if (Read_Sector_Data(sector_index+boot_sector_data.root_directory_sector_offset, fat_partition_offset_in_512_byte_sectors)) - { - int file_counter; - - for (file_counter = 0; file_counter < 16; file_counter++) - { - - // Read file record. - Read_File_Record_At_Offset(file_counter*32, file_record, 0, sector_index); - if ((file_record->name[0] != 0xe5) && (file_record->name[0] != 0x00)) - { - bool match = match_file_record_to_name_ext(file_record, name, extension); - if (match) - { - new_cluster = file_record->start_cluster_index; - file_record->file_record_cluster = 1; // Home directory is a subdirectory in the root directory. - break; - } - } - } - } - else - { - break; - } - if (new_cluster != home_dir_cluster) - { - break; - } - } - if (new_cluster != home_dir_cluster) - { - // A valid directory is found, so go to it. - home_dir_cluster = new_cluster; - start_location = start_location+location+1; - } - else - { - // Directory path is invalid. - return false; - } - } else { - // This is a subdirectory that can have any number of elements. So scan through it as though it was a file - // and see if you can find the directory of interest. - int cluster = home_dir_cluster; - - do { - int start_sector = ( cluster - 2 ) * ( boot_sector_data.sectors_per_cluster ) + boot_sector_data.data_sector_offset; - int sector_index; - - for (sector_index = 0; sector_index < boot_sector_data.sectors_per_cluster; sector_index++) - { - if (Read_Sector_Data(sector_index + start_sector, fat_partition_offset_in_512_byte_sectors)) - { - int file_counter; - - for (file_counter = 0; file_counter < 16; file_counter++) - { - // Read file record. - Read_File_Record_At_Offset(file_counter*32, file_record, cluster, sector_index); - if ((file_record->name[0] != 0xe5) && (file_record->name[0] != 0x00)) - { - bool match = match_file_record_to_name_ext(file_record, name, extension); - if (match) - { - new_cluster = file_record->start_cluster_index; - break; - } - } - } - } - else - { - break; - } - if (new_cluster != home_dir_cluster) - { - break; - } - } - // If this is the end of the cluster and the directory has not been found, then see if there is another cluster - // that holds data for the current directory. - if (new_cluster == home_dir_cluster) - { - unsigned short int next_cluster; - - if (get_cluster_flag(new_cluster, &next_cluster)) - { - // The directory needs to be expanded to store more files. - if ((next_cluster & 0x0000fff8) == 0x0000fff8) - { - return false; - } - new_cluster = (next_cluster & 0x0000fff8); - } - else - { - // Directory path is invalid. - return false; - } - } - } while ((cluster < 0x0000fff8) && (new_cluster == home_dir_cluster)); - if (new_cluster != home_dir_cluster) - { - // A valid directory is found, so go to it. - home_dir_cluster = new_cluster; - start_location = start_location+location+1; - } - else - { - // Directory path is invalid. - return false; - } - } - location = get_dir_divider_location(&(file_name[start_location])); - if (location < 0) - { - // Directory has been located. - result = true; - } - } - - *home_directory_cluster = home_dir_cluster; - if (home_dir_cluster == 0) - { - file_record->file_record_cluster = 0; // Home directory is the root directory. - result = true; - } - return result; -} - - -static bool find_file_in_directory(int directory_start_cluster, char *file_name, t_file_record *file_record) -// Given a cluster and a file name, check if the file already exists. Return the file record if the file is found. -{ - int location = get_dir_divider_location( file_name ); - int last_dir_separator = 0; - char name[8] = { ' ', ' ', ' ', ' ', ' ', ' ', ' ', ' ' }; - char extension[3] = { ' ', ' ', ' ' }; - int ext_index = -1; - int cluster = directory_start_cluster; - int index; - int length = strlen(file_name); - bool result = false; - - // Skip through all directory separators. - while (location > 0) - { - last_dir_separator = last_dir_separator+location+1; - location = get_dir_divider_location( &(file_name[last_dir_separator]) ); - } - - // Get the name of the file in name/extension format. - for (index = last_dir_separator; index < length; index++) - { - if (file_name[index] == '.') - { - ext_index = index; - } - else if (ext_index < 0) - { - name[index-last_dir_separator] = file_name[index]; - } - else - { - extension[index-ext_index-1] = file_name[index]; - } - } - - // Look for the file. - if (directory_start_cluster == 0) - { - /* We are in the root directory. Scan the directory (of predefined size) and see if you can find the specified file. */ - int max_root_dir_sectors = ((32*boot_sector_data.max_number_of_dir_entires) / boot_sector_data.sector_size_in_bytes); - int sector_index; - - for (sector_index = 0; sector_index < max_root_dir_sectors; sector_index++) - { - if (Read_Sector_Data( sector_index + boot_sector_data.root_directory_sector_offset, - fat_partition_offset_in_512_byte_sectors)) - { - int file_counter; - - for (file_counter = 0; file_counter < 16; file_counter++) - { - // Read file record. - Read_File_Record_At_Offset(file_counter*32, file_record, 0, sector_index); - if ((file_record->name[0] != 0xe5) && (file_record->name[0] != 0x00)) - { - bool match = match_file_record_to_name_ext(file_record, name, extension); - - if (match) - { - result = true; - break; - } - } - } - } - else - { - break; - } - if (result) - { - break; - } - } - } - else - { - do { - int start_sector = ( cluster - 2 ) * ( boot_sector_data.sectors_per_cluster ) + boot_sector_data.data_sector_offset; - int sector_index; - - for (sector_index = 0; sector_index < boot_sector_data.sectors_per_cluster; sector_index++) - { - if (Read_Sector_Data(sector_index + start_sector, fat_partition_offset_in_512_byte_sectors)) - { - int file_counter; - - for (file_counter = 0; file_counter < 16; file_counter++) - { - // Read file record. - Read_File_Record_At_Offset(file_counter*32, file_record, cluster, sector_index); - if ((file_record->name[0] != 0xe5) && (file_record->name[0] != 0x00)) - { - bool match = match_file_record_to_name_ext(file_record, name, extension); - - if (match) - { - result = true; - break; - } - } - } - } - else - { - break; - } - if (result) - { - break; - } - } - // If this is the end of the cluster and the file has not been found, then see if there is another cluster - // that holds data for the current directory. - if (result == false) - { - unsigned short int new_cluster; - - if (get_cluster_flag(cluster, &new_cluster)) - { - // The directory needs to be expanded to store more files. - if ((new_cluster & 0x0000fff8) == 0x0000fff8) - { - return false; - } - cluster = (new_cluster & 0x0000fff8); - } - else - { - // Directory path is invalid. - return false; - } - } - } while ((cluster < 0x0000fff8) && (result == false)); - } - - return result; -} - - -static bool find_first_empty_cluster(unsigned int *cluster_number) -// Find the first empty cluster. It will be marked by a 0 entry in the File Allocation Table. -{ - unsigned int sector = boot_sector_data.first_fat_sector_offset; - unsigned int cluster_index = 2; - short int cluster = -1; - bool result = false; - unsigned max_cluster_index = 0; - unsigned int non_data_sectors = boot_sector_data.data_sector_offset; - unsigned int less_than_32 = boot_sector_data.number_of_sectors_in_partition; - unsigned int greater_than_32 = boot_sector_data.total_sector_count_if_above_32MB; - - if (less_than_32 > greater_than_32) - { - max_cluster_index = ((less_than_32 - non_data_sectors) / boot_sector_data.sectors_per_cluster) + 1; - } - else - { - max_cluster_index = ((greater_than_32 - non_data_sectors) / boot_sector_data.sectors_per_cluster) + 1; - } - // Find an empty cluster for the file. - while (sector != boot_sector_data.second_fat_sector_offset) - { - if (Read_Sector_Data( sector, fat_partition_offset_in_512_byte_sectors)) - { - do { - cluster = ((unsigned short int) IORD_16DIRECT(device_pointer->base, 2*(cluster_index % 256))); - if (cluster == 0) - { - // Free cluster found. - break; - } - else - { - cluster_index++; - } - } while ((cluster_index % 256) != 0); - } - if (cluster == 0) - { - break; - } - sector++; - } - if ((cluster == 0) && (cluster <= max_cluster_index)) - { - *cluster_number = cluster_index; - result = true; - } - return result; -} - - -static int find_first_empty_record_in_a_subdirectory(int start_cluster_index) -// Search for a free spot in a subdirectory. Return an encoded location for the file record. -{ - int result = -1; - int cluster = start_cluster_index; - do { - int start_sector = ( cluster - 2 ) * ( boot_sector_data.sectors_per_cluster ) + boot_sector_data.data_sector_offset; - int sector_index; - - for (sector_index = 0; sector_index < boot_sector_data.sectors_per_cluster; sector_index++) - { - if (Read_Sector_Data(sector_index + start_sector, fat_partition_offset_in_512_byte_sectors)) - { - int file_counter; - - for (file_counter = 0; file_counter < 16; file_counter++) - { - unsigned short int leading_char; - - // Read file record. - leading_char = ((unsigned char) IORD_8DIRECT(device_pointer->base, file_counter*32)); - if ((leading_char == 0x00e5) || (leading_char == 0)) - { - result = (cluster) | ((sector_index*16 + file_counter) << 16); - return result; - } - } - } - else - { - break; - } - } - // If this is the end of the cluster and the file has not been found, then see if there is another cluster - // that holds data for the current directory. - if (result < 0) - { - unsigned short int new_cluster; - if (get_cluster_flag(cluster, &new_cluster)) - { - // The directory needs to be expanded to store more files. - if ((new_cluster & 0x0000fff8) == 0x0000fff8) - { - unsigned int new_dir_cluster; - if (find_first_empty_cluster(&new_dir_cluster)) - { - // Add the new cluster to the linked list of the given directory. - if (mark_cluster(cluster, ((short int) (new_dir_cluster)), true) && - mark_cluster(new_dir_cluster, ((short int) (0xffff)), true) && - mark_cluster(cluster, ((short int) (new_dir_cluster)), false) && - mark_cluster(new_dir_cluster, ((short int) (0xffff)), false)) - { - Save_Modified_Sector(); - // The new file will begin at the first entry of the directory. - result = new_dir_cluster; - } - } - cluster = (new_cluster & 0x0000fff8); - } - } - else - { - // Error encountered. - result = -1; - } - } - } while ((cluster < 0x0000fff8) && (result == -1)); - return result; -} - - -static int find_first_empty_record_in_root_directory() -// Find a first unused record location to use. Return -1 if none is found. -{ - int max_root_dir_sectors = ((32*boot_sector_data.max_number_of_dir_entires) / boot_sector_data.sector_size_in_bytes); - int sector_index; - int result = -1; - - for (sector_index = 0; sector_index < max_root_dir_sectors; sector_index++) - { - if (Read_Sector_Data( sector_index + boot_sector_data.root_directory_sector_offset, - fat_partition_offset_in_512_byte_sectors)) - { - int file_counter; - - for (file_counter = 0; file_counter < 16; file_counter++) - { - unsigned short int leading_char; - - // Read first character of the file record. - leading_char = ((unsigned char) IORD_8DIRECT(device_pointer->base, file_counter*32)); - if ((leading_char == 0x00e5) || (leading_char == 0)) - { - result = (sector_index*16 + file_counter) << 16; - return result; - } - } - } - else - { - break; - } - } - return result; -} - -static void convert_filename_to_name_extension(char *filename, char *name, char *extension) -// This function converts the file name into a name . extension format. -{ - int counter; - int local = 0; - - for(counter = 0; counter < 8; counter++) - { - if (filename[local] != '.') - { - name[counter] = filename[local]; - if (filename[local] != 0) local++; - } - else - { - name[counter] = ' '; - } - } - if (filename[local] == '.') local++; - for(counter = 0; counter < 3; counter++) - { - if (filename[local] != 0) - { - extension[counter] = filename[local]; - local++; - } - else - { - extension[counter] = ' '; - } - } - -} - -static bool create_file(char *name, t_file_record *file_record, t_file_record *home_dir) -// Create a file in a given directory. Expand the directory if needed. -{ - unsigned int cluster_number; - bool result = false; - - if (find_first_empty_cluster(&cluster_number)) - { - int record_index; - - if (home_dir->file_record_cluster == 0) - { - // Put a file in the root directory. - record_index = find_first_empty_record_in_root_directory(); - } - else - { - // Put a file in a subdirectory. - record_index = find_first_empty_record_in_a_subdirectory(home_dir->start_cluster_index); - } - if (record_index >= 0) - { - unsigned int file_record_sector; - int location = get_dir_divider_location( name ); - int last_dir_separator = 0; - - // Skip through all directory separators. - while (location > 0) - { - last_dir_separator = last_dir_separator+location+1; - location = get_dir_divider_location( &(name[last_dir_separator]) ); - } - - convert_filename_to_name_extension(&(name[last_dir_separator]), (char *)file_record->name, (char *)file_record->extension); - - file_record->attributes = 0; - file_record->create_time = 0; - file_record->create_date = 0; - file_record->last_access_date = 0; - file_record->last_modified_time = 0; - file_record->last_modified_date = 0; - file_record->start_cluster_index = cluster_number; - file_record->file_size_in_bytes = 0; - file_record->current_cluster_index = cluster_number; - file_record->current_sector_in_cluster = 0; - file_record->current_byte_position = 0; - file_record->file_record_cluster = record_index & 0x0000ffff; - file_record->file_record_sector_in_cluster = ((record_index >> 16) & 0x0000ffff) / 16; - file_record->file_record_offset = (((record_index >> 16) & 0x0000ffff) % 16)*32; - file_record->home_directory_cluster = home_dir->start_cluster_index; - file_record->in_use = true; - file_record->modified = true; - // Now write the record at the specified location. - file_record_sector = (file_record->file_record_cluster == 0) ? - (boot_sector_data.root_directory_sector_offset + file_record->file_record_sector_in_cluster): - (boot_sector_data.data_sector_offset + (file_record->file_record_cluster-2)*boot_sector_data.sectors_per_cluster + - file_record->file_record_sector_in_cluster); - - if (Read_Sector_Data(file_record_sector, fat_partition_offset_in_512_byte_sectors)) - { - if (Write_File_Record_At_Offset(file_record->file_record_offset, file_record)) - { - Save_Modified_Sector(); - // Mark the first cluster of the file as the last cluster at first. - mark_cluster(cluster_number, ((short int) (0xffff)), true); - if (mark_cluster(cluster_number, ((short int) (0xffff)), false)) - { - result = true; - } - } - } - } - - } - return result; -} - - -static void copy_file_record_name_to_string(t_file_record *file_record, char *file_name) -/* Copy a file name from the file record to a given string */ -{ - int index; - int flength = 0; - - /* Copy file name.*/ - for (index = 0; index < 8; index++) - { - if (file_record->name[index] != ' ') - { - file_name[flength] = file_record->name[index]; - flength = flength + 1; - } - } - if (file_record->extension[0] != ' ') - { - file_name[flength] = '.'; - flength = flength + 1; - for (index = 0; index < 3; index++) - { - if (file_record->extension[index] != ' ') - { - file_name[flength] = file_record->extension[index]; - flength = flength + 1; - } - } - } - file_name[flength] = 0; -} -#endif //SD_RAW_IFACE - -/////////////////////////////////////////////////////////////////////////// -// Direct functions -/////////////////////////////////////////////////////////////////////////// - - -alt_up_sd_card_dev* alt_up_sd_card_open_dev(const char* name) -{ - // find the device from the device list - // (see altera_hal/HAL/inc/priv/alt_file.h - // and altera_hal/HAL/src/alt_find_dev.c - // for details) - alt_up_sd_card_dev *dev = (alt_up_sd_card_dev *) alt_find_dev(name, &alt_dev_list); - - if (dev != NULL) - { - aux_status_register = ((short int *) SD_CARD_AUX_STATUS(dev->base)); - status_register = ((int *) SD_CARD_STATUS(dev->base)); - CSD_register_w0 = ((short int *) SD_CARD_CSD(dev->base, 0)); - command_register = ((short int *) SD_CARD_COMMAND(dev->base)); - command_argument_register = ((int *) SD_CARD_ARGUMENT(dev->base)); - buffer_memory = (char *) SD_CARD_BUFFER(dev->base, 0); - device_pointer = dev; - initialized = false; -#ifndef SD_RAW_IFACE - is_sd_card_formated_as_FAT16 = false; - search_data.valid = false; -#endif - } - return dev; -} - - -bool alt_up_sd_card_is_Present(void) -// Check if there is an SD Card insterted into the SD Card socket. -{ - bool result = false; - - if ((device_pointer != NULL) && ((IORD_16DIRECT(aux_status_register,0) & 0x02) != 0)) - { - result = true; - } - else if (initialized == true) - { - int index; - - initialized = false; -#ifndef SD_RAW_IFACE - search_data.valid = false; - is_sd_card_formated_as_FAT16 = false; - - for(index = 0; index < MAX_FILES_OPENED; index++) - { - active_files[index].in_use = false; - active_files[index].modified = false; - } -#endif - } - return result; -} - -#ifndef SD_RAW_IFACE -bool alt_up_sd_card_is_FAT16(void) -/* This function reads the SD card data in an effort to determine if the card is formated as a FAT16 - * volume. Please note that FAT12 has a similar format, but will not be supported by this driver. - * If the card contains a FAT16 volume, the local data structures will be initialized to allow reading and writing - * to the SD card as though it was a hard drive. - */ -{ - bool result = false; - - if (alt_up_sd_card_is_Present()) - { - // Check if an SD Card is in the SD Card slot. - if (initialized == false) - { - // Now determine if the card is formatted as FAT 16. - is_sd_card_formated_as_FAT16 = Look_for_FAT16(); - initialized = is_sd_card_formated_as_FAT16; - search_data.valid = false; - } - result = is_sd_card_formated_as_FAT16; - } - else - { - // If not then you may as well not open the device. - initialized = false; - is_sd_card_formated_as_FAT16 = false; - } - - return result; -} - - -short int alt_up_sd_card_find_first(char *directory_to_search_through, char *file_name) -/* This function sets up a search algorithm to go through a given directory looking for files. - * If the search directory is valid, then the function searches for the first file it finds. - * Inputs: - * directory_to_search_through - name of the directory to search through - * file_name - an array to store a name of the file found. Must be 13 bytes long (12 bytes for file name and 1 byte of NULL termination). - * Outputs: - * 0 - success - * 1 - invalid directory - * 2 - No card or incorrect card format. - * - * To specify a directory give the name in a format consistent with the following regular expression: - * [{[valid_chars]+}/]*. - * - * In other words, give a path name starting at the root directory, where each directory name is followed by a '/'. - * Then, append a '.' to the directory name. Examples: - * "." - look through the root directory - * "first/." - look through a directory named "first" that is located in the root directory. - * "first/sub/." - look through a directory named "sub", that is located within the subdirectory named "first". "first" is located in the root directory. - * Invalid examples include: - * "/.", "/////." - this is not the root directory. - * "/first/." - the first character may not be a '/'. - */ -{ - short int result = 2; - if ((alt_up_sd_card_is_Present()) && (is_sd_card_formated_as_FAT16)) - { - int home_directory_cluster; - t_file_record file_record; - - if (get_home_directory_cluster_for_file(directory_to_search_through, &home_directory_cluster, &file_record)) - { - search_data.directory_root_cluster = home_directory_cluster; - search_data.current_cluster_index = home_directory_cluster; - search_data.current_sector_in_cluster = 0; - search_data.file_index_in_sector = -1; - search_data.valid = true; - result = alt_up_sd_card_find_next(file_name); - } - else - { - result = 1; - } - } - return result; -} - - -short int alt_up_sd_card_find_next(char *file_name) -/* This function searches for the next file in a given directory, as specified by the find_first function. - * Inputs: - * file_name - an array to store a name of the file found. Must be 13 bytes long (12 bytes for file name and 1 byte of NULL termination). - * Outputs: - * -1 - end of directory. - * 0 - success - * 2 - No card or incorrect card format. - * 3 - find_first has not been called successfully. - */ -{ - short int result = 2; - if ((alt_up_sd_card_is_Present()) && (is_sd_card_formated_as_FAT16)) - { - if (search_data.valid) - { - t_file_record file_record; - int cluster = search_data.current_cluster_index; - - if (cluster == 0) - { - // Searching through the root directory - int max_root_dir_sectors = ((32*boot_sector_data.max_number_of_dir_entires) / boot_sector_data.sector_size_in_bytes); - int sector_index = search_data.current_sector_in_cluster; - int file_counter = search_data.file_index_in_sector+1; - - for (; sector_index < max_root_dir_sectors; sector_index++) - { - if (Read_Sector_Data( sector_index + boot_sector_data.root_directory_sector_offset, - fat_partition_offset_in_512_byte_sectors)) - { - for (; file_counter < 16; file_counter++) - { - if (Read_File_Record_At_Offset(file_counter*32, &file_record, 0, sector_index)) - { - if ((file_record.name[0] != 0) && (file_record.name[0] != 0xe5)) - { - /* Update search structure. */ - search_data.file_index_in_sector = file_counter; - search_data.current_sector_in_cluster = sector_index; - - /* Copy file name.*/ - copy_file_record_name_to_string(&file_record, file_name); - return 0; - } - } - } - file_counter = 0; - } - else - { - break; - } - } - result = -1; - } - else - { - int file_counter = search_data.file_index_in_sector+1; - do - { - int start_sector = ( cluster - 2 ) * ( boot_sector_data.sectors_per_cluster ) + boot_sector_data.data_sector_offset; - int sector_index = search_data.current_sector_in_cluster; - - for (; sector_index < boot_sector_data.sectors_per_cluster; sector_index++) - { - if (Read_Sector_Data(sector_index + start_sector, fat_partition_offset_in_512_byte_sectors)) - { - for (; file_counter < 16; file_counter++) - { - if (Read_File_Record_At_Offset(file_counter*32, &file_record, cluster, sector_index)) - { - if ((file_record.name[0] != 0) && (file_record.name[0] != 0xe5)) - { - /* Update search structure. */ - search_data.current_cluster_index = cluster; - search_data.file_index_in_sector = file_counter; - search_data.current_sector_in_cluster = sector_index; - - /* Copy file name.*/ - copy_file_record_name_to_string(&file_record, file_name); - return 0; - } - } - } - file_counter = 0; - } - else - { - break; - } - } - // If this is the end of the cluster and the file has not been found, then see if there is another cluster - // that holds data for the current directory. - if (sector_index >= boot_sector_data.sectors_per_cluster) - { - unsigned short int new_cluster; - - if (get_cluster_flag(cluster, &new_cluster)) - { - if ((new_cluster & 0x0000fff8) == 0x0000fff8) - { - result = -1; - search_data.valid = false; - } - cluster = ((new_cluster) & 0x0000fff8); - } - else - { - // Error encountered. - result = -1; - } - } - } while (cluster < 0x0000fff8); - } - } - else - { - // Call Find_First first. - result = 3; - } - } - return result; -} - - -short int alt_up_sd_card_fopen(char *name, bool create) -/* This function reads the SD card data in an effort to determine if the card is formated as a FAT16 - * volume. Please note that FAT12 has a similar format, but will not be supported by this driver. - * - * Inputs: - * name - a file name including a directory, relative to the root directory - * create - a flag set to true to create a file if it does not already exist - * Output: - * An index to the file record assigned to the specified file. -1 is returned if the file could not be opened. - * Return -2 if the specified file has already been opened previously. - */ -{ - short int file_record_index = -1; - - if ((alt_up_sd_card_is_Present()) && (is_sd_card_formated_as_FAT16)) - { - unsigned int home_directory_cluster = 0; - t_file_record home_dir; - - /* First check the file name format. It should not be longer than 12 characters, including a period and the extension. - * Rules: - * - no spaces - * - at most 12 chatacters per name, with a period in 9th position. - * - a / or a \ every at most 12 characters. - */ - filename_to_upper_case(name); - if (check_file_name_for_FAT16_compliance(name)) - { - int index; - - /* Get home directory cluster location for the specified file. 0 means root directory. */ - if (!get_home_directory_cluster_for_file(name, (int *) &home_directory_cluster, &home_dir)) - { - return file_record_index; - } - - /* Find a free file slot to store file specs in. */ - for (index = 0; index < MAX_FILES_OPENED; index++) - { - if (active_files[index].in_use == false) - { - file_record_index = index; - break; - } - } - if (file_record_index >= 0) - { - /* If file record is found, then look for the specified file. If the create flag is set to true - * and the file is not found, then it should be created in the current directory. - */ - - if (find_file_in_directory(home_directory_cluster, name, &(active_files[file_record_index]))) - { - if (create) - { - /* Do not allow overwriting existing files for now. */ - return -1; - } - active_files[file_record_index].current_cluster_index = active_files[file_record_index].start_cluster_index; - active_files[file_record_index].current_sector_in_cluster = 0; - active_files[file_record_index].current_byte_position = 0; - active_files[file_record_index].in_use = true; - active_files[file_record_index].modified = false; - - /* Check if the file has already been opened. */ - for (index = 0; index < MAX_FILES_OPENED; index++) - { - if ((file_record_index != index) && (active_files[index].in_use == true)) - { - if ((active_files[file_record_index].file_record_cluster == active_files[index].file_record_cluster) && - (active_files[file_record_index].file_record_sector_in_cluster == active_files[index].file_record_sector_in_cluster) && - (active_files[file_record_index].file_record_offset == active_files[index].file_record_offset)) - { - // file already in use. - file_record_index = -2; - break; - } - } - } - - } - else if (create) - { - /* Create file if needed. */ - if (create_file(name, &(active_files[file_record_index]), &home_dir)) - { - active_files[file_record_index].in_use = true; - active_files[file_record_index].modified = true; - } - else - { - /* If file creation fails then return an invalid file handle. */ - file_record_index = -1; - } - } - else - { - /* Otherwise the file could not be opened.*/ - file_record_index = -1; - } - } - } - } - - return file_record_index; -} - - -void alt_up_sd_card_set_attributes(short int file_handle, short int attributes) -/* Return file attributes, or -1 if the file_handle is invalid. - */ -{ - if ((file_handle >= 0) && (file_handle < MAX_FILES_OPENED)) - { - if (active_files[file_handle].in_use) - { - active_files[file_handle].attributes = ((char)(attributes & 0x00ff)); - } - } -} - - -short int alt_up_sd_card_get_attributes(short int file_handle) -/* Return file attributes, or -1 if the file_handle is invalid. - */ -{ - short int result = -1; - if ((file_handle >= 0) && (file_handle < MAX_FILES_OPENED)) - { - if (active_files[file_handle].in_use) - { - result = ((active_files[file_handle].attributes) & 0x00ff); - } - } - return result; -} - -short int alt_up_sd_card_read(short int file_handle) -/* Read a single character from a given file. Return -1 if at the end of a file. Any other negative number - * means that the file could not be read. A number between 0 and 255 is an ASCII character read from the SD Card. */ -{ - short int ch = -1; - - if ((file_handle >= 0) && (file_handle < MAX_FILES_OPENED)) - { - if (active_files[file_handle].in_use) - { - if (active_files[file_handle].current_byte_position < active_files[file_handle].file_size_in_bytes) - { - int data_sector = boot_sector_data.data_sector_offset + (active_files[file_handle].current_cluster_index - 2)*boot_sector_data.sectors_per_cluster + - active_files[file_handle].current_sector_in_cluster; - - if ((active_files[file_handle].current_byte_position > 0) && ((active_files[file_handle].current_byte_position % 512) == 0)) - { - // Read in a new sector of data. - if (active_files[file_handle].current_sector_in_cluster == boot_sector_data.sectors_per_cluster - 1) - { - // Go to the next cluster. - unsigned short int next_cluster; - if (get_cluster_flag(active_files[file_handle].current_cluster_index, &next_cluster)) - { - if ((next_cluster & 0x0000fff8) == 0x0000fff8) - { - /* End of file */ - return -1; - } - else - { - active_files[file_handle].current_cluster_index = next_cluster; - active_files[file_handle].current_sector_in_cluster = 0; - data_sector = boot_sector_data.data_sector_offset + (active_files[file_handle].current_cluster_index - 2)*boot_sector_data.sectors_per_cluster + - active_files[file_handle].current_sector_in_cluster; - } - } - else - { - return -2; - } - } - else - { - active_files[file_handle].current_sector_in_cluster = active_files[file_handle].current_sector_in_cluster + 1; - data_sector = data_sector + 1; - } - } - // Reading te first byte of the file. - if (current_sector_index != (data_sector + fat_partition_offset_in_512_byte_sectors)) - { - if (!Read_Sector_Data(data_sector, fat_partition_offset_in_512_byte_sectors)) - { - return -2; - } - } - - ch = (unsigned char) IORD_8DIRECT(buffer_memory, (active_files[file_handle].current_byte_position % 512)); - active_files[file_handle].current_byte_position = active_files[file_handle].current_byte_position + 1; - } - } - } - - return ch; -} - - -bool alt_up_sd_card_write(short int file_handle, char byte_of_data) -/* Write a single character to a given file. Return true if successful, and false otherwise. */ -{ - bool result = false; - - if ((file_handle >= 0) && (file_handle < MAX_FILES_OPENED)) - { - if (active_files[file_handle].in_use) - { - int data_sector = boot_sector_data.data_sector_offset + (active_files[file_handle].current_cluster_index - 2)*boot_sector_data.sectors_per_cluster + - active_files[file_handle].current_sector_in_cluster; - short int buffer_offset = active_files[file_handle].current_byte_position % boot_sector_data.sector_size_in_bytes; - - if (active_files[file_handle].current_byte_position < active_files[file_handle].file_size_in_bytes) - { - if ((active_files[file_handle].current_byte_position > 0) && (buffer_offset == 0)) - { - // Read in a new sector of data. - if (active_files[file_handle].current_sector_in_cluster == boot_sector_data.sectors_per_cluster - 1) - { - // Go to the next cluster. - unsigned short int next_cluster; - if (get_cluster_flag(active_files[file_handle].current_cluster_index, &next_cluster)) - { - if (next_cluster < 0x0000fff8) - { - active_files[file_handle].current_cluster_index = next_cluster; - active_files[file_handle].current_sector_in_cluster = 0; - data_sector = boot_sector_data.data_sector_offset + (active_files[file_handle].current_cluster_index - 2)*boot_sector_data.sectors_per_cluster + - active_files[file_handle].current_sector_in_cluster; - } - } - else - { - return false; - } - } - else - { - active_files[file_handle].current_sector_in_cluster = active_files[file_handle].current_sector_in_cluster + 1; - data_sector = data_sector + 1; - } - } - } - else - { - /* You are adding data to the end of the file, so increment its size and look for an additional data cluster if needed. */ - if ((active_files[file_handle].current_byte_position > 0) && (buffer_offset == 0)) - { - if (active_files[file_handle].current_sector_in_cluster == boot_sector_data.sectors_per_cluster - 1) - { - /* Find a new cluster if possible. */ - unsigned int cluster_number; - - if (find_first_empty_cluster(&cluster_number)) - { - // mark clusters in both File Allocation Tables. - mark_cluster(active_files[file_handle].current_cluster_index, ((unsigned short int) (cluster_number & 0x0000ffff)), true); - mark_cluster(cluster_number, 0xffff, true); - mark_cluster(active_files[file_handle].current_cluster_index, ((unsigned short int) (cluster_number & 0x0000ffff)), false); - mark_cluster(cluster_number, 0xffff, false); - // Change cluster index and sector index to compute a new data sector. - active_files[file_handle].current_cluster_index = cluster_number; - active_files[file_handle].current_sector_in_cluster = 0; - } - else - { - return false; - } - } - else - { - /* Read the next sector in the cluster and modify it. We only need to change the data_sector value. The actual read happens a few lines below. */ - active_files[file_handle].current_sector_in_cluster = active_files[file_handle].current_byte_position / boot_sector_data.sector_size_in_bytes; - } - data_sector = boot_sector_data.data_sector_offset + (active_files[file_handle].current_cluster_index - 2)*boot_sector_data.sectors_per_cluster + - active_files[file_handle].current_sector_in_cluster; - } - } - // Reading a data sector into the buffer. Note that changes to the most recently modified sector will be saved before - // a new sector is read from the SD Card. - if (current_sector_index != data_sector + fat_partition_offset_in_512_byte_sectors) - { - if (!Read_Sector_Data(data_sector, fat_partition_offset_in_512_byte_sectors)) - { - return false; - } - } - // Write a byte of data to the buffer. - IOWR_8DIRECT(buffer_memory, buffer_offset, byte_of_data); - active_files[file_handle].current_byte_position = active_files[file_handle].current_byte_position + 1; - - // Modify the file record only when necessary. - if (active_files[file_handle].current_byte_position >= active_files[file_handle].file_size_in_bytes) - { - active_files[file_handle].file_size_in_bytes = active_files[file_handle].file_size_in_bytes + 1; - active_files[file_handle].modified = true; - } - // Invaldiate the buffer to ensure that the buffer contents are written to the SD card whe nthe file is closed. - current_sector_modified = true; - result = true; - } - } - - return result; -} - - -bool alt_up_sd_card_fclose(short int file_handle) -// This function closes an opened file and saves data to SD Card if necessary. -{ - bool result = false; - if ((alt_up_sd_card_is_Present()) && (is_sd_card_formated_as_FAT16)) - { - if (active_files[file_handle].in_use) - { - if (active_files[file_handle].modified) - { - unsigned int record_sector = active_files[file_handle].file_record_sector_in_cluster; - if (active_files[file_handle].file_record_cluster == 0) - { - record_sector = record_sector + boot_sector_data.root_directory_sector_offset; - } - else - { - record_sector = record_sector + boot_sector_data.data_sector_offset + - (active_files[file_handle].file_record_cluster - 2)*boot_sector_data.sectors_per_cluster; - } - if (Read_Sector_Data(record_sector, fat_partition_offset_in_512_byte_sectors)) - { - if (Write_File_Record_At_Offset(active_files[file_handle].file_record_offset, &(active_files[file_handle]))) - { - // Make sure that the Data has been saved to the SD Card. - result = Save_Modified_Sector(); - } - } - } - active_files[file_handle].in_use = false; - result = true; - } - } - - return result; -} - -#endif //SD_RAW_IFACE diff --git a/software/sys_controller_bsp/drivers/src/altera_avalon_timer_sc.c b/software/sys_controller_bsp/drivers/src/altera_avalon_timer_sc.c new file mode 100644 index 0000000..d9a7b85 --- /dev/null +++ b/software/sys_controller_bsp/drivers/src/altera_avalon_timer_sc.c @@ -0,0 +1,110 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include + +#include "sys/alt_alarm.h" +#include "sys/alt_irq.h" + +#include "altera_avalon_timer.h" +#include "altera_avalon_timer_regs.h" + +#include "alt_types.h" +#include "sys/alt_log_printf.h" + +/* + * alt_avalon_timer_sc_irq() is the interrupt handler used for the system + * clock. This is called periodically when a timer interrupt occurs. The + * function first clears the interrupt condition, and then calls the + * alt_tick() function to notify the system that a timer tick has occurred. + * + * alt_tick() increments the system tick count, and updates any registered + * alarms, see alt_tick.c for further details. + */ +#ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT +static void alt_avalon_timer_sc_irq (void* base) +#else +static void alt_avalon_timer_sc_irq (void* base, alt_u32 id) +#endif +{ + alt_irq_context cpu_sr; + + /* clear the interrupt */ + IOWR_ALTERA_AVALON_TIMER_STATUS (base, 0); + + /* + * Dummy read to ensure IRQ is negated before the ISR returns. + * The control register is read because reading the status + * register has side-effects per the register map documentation. + */ + IORD_ALTERA_AVALON_TIMER_CONTROL (base); + + /* ALT_LOG - see altera_hal/HAL/inc/sys/alt_log_printf.h */ + ALT_LOG_SYS_CLK_HEARTBEAT(); + + /* + * Notify the system of a clock tick. disable interrupts + * during this time to safely support ISR preemption + */ + cpu_sr = alt_irq_disable_all(); + alt_tick (); + alt_irq_enable_all(cpu_sr); +} + +/* + * alt_avalon_timer_sc_init() is called to initialise the timer that will be + * used to provide the periodic system clock. This is called from the + * auto-generated alt_sys_init() function. + */ + +void alt_avalon_timer_sc_init (void* base, alt_u32 irq_controller_id, + alt_u32 irq, alt_u32 freq) +{ + /* set the system clock frequency */ + + alt_sysclk_init (freq); + + /* set to free running mode */ + + IOWR_ALTERA_AVALON_TIMER_CONTROL (base, + ALTERA_AVALON_TIMER_CONTROL_ITO_MSK | + ALTERA_AVALON_TIMER_CONTROL_CONT_MSK | + ALTERA_AVALON_TIMER_CONTROL_START_MSK); + + /* register the interrupt handler, and enable the interrupt */ +#ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT + alt_ic_isr_register(irq_controller_id, irq, alt_avalon_timer_sc_irq, + base, NULL); +#else + alt_irq_register (irq, base, alt_avalon_timer_sc_irq); +#endif +} diff --git a/software/sys_controller_bsp/drivers/src/altera_avalon_timer_ts.c b/software/sys_controller_bsp/drivers/src/altera_avalon_timer_ts.c new file mode 100644 index 0000000..53988f6 --- /dev/null +++ b/software/sys_controller_bsp/drivers/src/altera_avalon_timer_ts.c @@ -0,0 +1,143 @@ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include + +#include "system.h" +#include "sys/alt_timestamp.h" + +#include "altera_avalon_timer.h" +#include "altera_avalon_timer_regs.h" + +#include "alt_types.h" + +/* + * These functions are only available if a timestamp device has been selected + * for this system. + */ + +#if (ALT_TIMESTAMP_CLK_BASE != none_BASE) + +/* + * The function alt_timestamp_start() can be called at application level to + * initialise the timestamp facility. In this case the period register is + * set to full scale, i.e. 0xffffffff, and then started running. Note that + * the period register may not be writable, depending on the hardware + * configuration, in which case this function does not reset the period. + * + * The timer is not run in continuous mode, so that the user can detect timer + * roll-over, i.e. alt_timestamp() returns 0. + * + * The return value of this function is 0 upon sucess and -1 if in timestamp + * device has not been registered. + */ + +int alt_timestamp_start(void) +{ + void* base = altera_avalon_timer_ts_base; + + if (!altera_avalon_timer_ts_freq) + { + return -1; + } + else + { + if(ALT_TIMESTAMP_COUNTER_SIZE == 64) { + IOWR_ALTERA_AVALON_TIMER_CONTROL (base,ALTERA_AVALON_TIMER_CONTROL_STOP_MSK); + IOWR_ALTERA_AVALON_TIMER_PERIOD_0 (base, 0xFFFF); + IOWR_ALTERA_AVALON_TIMER_PERIOD_1 (base, 0xFFFF);; + IOWR_ALTERA_AVALON_TIMER_PERIOD_2 (base, 0xFFFF); + IOWR_ALTERA_AVALON_TIMER_PERIOD_3 (base, 0xFFFF); + IOWR_ALTERA_AVALON_TIMER_CONTROL (base, ALTERA_AVALON_TIMER_CONTROL_START_MSK); + } else { + IOWR_ALTERA_AVALON_TIMER_CONTROL (base,ALTERA_AVALON_TIMER_CONTROL_STOP_MSK); + IOWR_ALTERA_AVALON_TIMER_PERIODL (base, 0xFFFF); + IOWR_ALTERA_AVALON_TIMER_PERIODH (base, 0xFFFF); + IOWR_ALTERA_AVALON_TIMER_CONTROL (base, ALTERA_AVALON_TIMER_CONTROL_START_MSK); + } + } + return 0; +} + +/* + * alt_timestamp() returns the current timestamp count. In the event that + * the timer has run full period, or there is no timestamp available, this + * function return -1. + * + * The returned timestamp counts up from the last time the period register + * was reset. + */ + +alt_timestamp_type alt_timestamp(void) +{ + + void* base = altera_avalon_timer_ts_base; + + if (!altera_avalon_timer_ts_freq) + { +#if (ALT_TIMESTAMP_COUNTER_SIZE == 64) + return 0xFFFFFFFFFFFFFFFFULL; +#else + return 0xFFFFFFFF; +#endif + } + else + { +#if (ALT_TIMESTAMP_COUNTER_SIZE == 64) + IOWR_ALTERA_AVALON_TIMER_SNAP_0 (base, 0); + alt_timestamp_type snap_0 = IORD_ALTERA_AVALON_TIMER_SNAP_0(base) & ALTERA_AVALON_TIMER_SNAP_0_MSK; + alt_timestamp_type snap_1 = IORD_ALTERA_AVALON_TIMER_SNAP_1(base) & ALTERA_AVALON_TIMER_SNAP_1_MSK; + alt_timestamp_type snap_2 = IORD_ALTERA_AVALON_TIMER_SNAP_2(base) & ALTERA_AVALON_TIMER_SNAP_2_MSK; + alt_timestamp_type snap_3 = IORD_ALTERA_AVALON_TIMER_SNAP_3(base) & ALTERA_AVALON_TIMER_SNAP_3_MSK; + + return (0xFFFFFFFFFFFFFFFFULL - ( (snap_3 << 48) | (snap_2 << 32) | (snap_1 << 16) | (snap_0) )); +#else + IOWR_ALTERA_AVALON_TIMER_SNAPL (base, 0); + alt_timestamp_type lower = IORD_ALTERA_AVALON_TIMER_SNAPL(base) & ALTERA_AVALON_TIMER_SNAPL_MSK; + alt_timestamp_type upper = IORD_ALTERA_AVALON_TIMER_SNAPH(base) & ALTERA_AVALON_TIMER_SNAPH_MSK; + + return (0xFFFFFFFF - ((upper << 16) | lower)); +#endif + } +} + +/* + * Return the number of timestamp ticks per second. This will be 0 if no + * timestamp device has been registered. + */ + +alt_u32 alt_timestamp_freq(void) +{ + return altera_avalon_timer_ts_freq; +} + +#endif /* timestamp available */ diff --git a/ip/nios2_hw_crc/HAL/inc/ci_crc.h b/software/sys_controller_bsp/drivers/src/altera_avalon_timer_vars.c similarity index 82% rename from ip/nios2_hw_crc/HAL/inc/ci_crc.h rename to software/sys_controller_bsp/drivers/src/altera_avalon_timer_vars.c index ba4d983..cb8b197 100644 --- a/ip/nios2_hw_crc/HAL/inc/ci_crc.h +++ b/software/sys_controller_bsp/drivers/src/altera_avalon_timer_vars.c @@ -1,38 +1,45 @@ -/****************************************************************************** -* * -* License Agreement * -* * -* Copyright (c) 2008 Altera Corporation, San Jose, California, USA. * -* All rights reserved. * -* * -* Permission is hereby granted, free of charge, to any person obtaining a * -* copy of this software and associated documentation files (the "Software"), * -* to deal in the Software without restriction, including without limitation * -* the rights to use, copy, modify, merge, publish, distribute, sublicense, * -* and/or sell copies of the Software, and to permit persons to whom the * -* Software is furnished to do so, subject to the following conditions: * -* * -* The above copyright notice and this permission notice shall be included in * -* all copies or substantial portions of the Software. * -* * -* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * -* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * -* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * -* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * -* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * -* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * -* DEALINGS IN THE SOFTWARE. * -* * -* This agreement shall be governed in all respects by the laws of the State * -* of California and by the laws of the United States of America. * -* Altera does not recommend, suggest or require that this reference design * -* file be used in conjunction or combination with any other product. * -******************************************************************************/ - - -#ifndef _CRCCI_H_ -#define _CRCCI_H_ - -unsigned long crcCI(unsigned char * input_data, unsigned long input_data_length, int do_initialize); - -#endif //_CRCCI_H_ +/****************************************************************************** +* * +* License Agreement * +* * +* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. * +* All rights reserved. * +* * +* Permission is hereby granted, free of charge, to any person obtaining a * +* copy of this software and associated documentation files (the "Software"), * +* to deal in the Software without restriction, including without limitation * +* the rights to use, copy, modify, merge, publish, distribute, sublicense, * +* and/or sell copies of the Software, and to permit persons to whom the * +* Software is furnished to do so, subject to the following conditions: * +* * +* The above copyright notice and this permission notice shall be included in * +* all copies or substantial portions of the Software. * +* * +* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * +* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * +* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * +* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * +* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * +* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * +* DEALINGS IN THE SOFTWARE. * +* * +* This agreement shall be governed in all respects by the laws of the State * +* of California and by the laws of the United States of America. * +* * +* Altera does not recommend, suggest or require that this reference design * +* file be used in conjunction or combination with any other product. * +******************************************************************************/ + +#include + +#include "altera_avalon_timer.h" +#include "alt_types.h" + +/* + * Variables used to store the timestamp parameters. These are initialised + * from alt_sys_init() using the ALTERA_AVALON_TIMER_INIT macro + * defined in altera_avalon_timer.h. + */ + +void* altera_avalon_timer_ts_base = (void*) 0; +alt_u32 altera_avalon_timer_ts_freq = 0; diff --git a/software/sys_controller_bsp/libhal_bsp.a b/software/sys_controller_bsp/libhal_bsp.a index b07326cbd18f87a400ce0f0653d752f077231182..e7736052b6d22d44a6303750e714cd3d67826e29 100644 GIT binary patch delta 2088 zcmZ`)O>7%g5T3Wr#`$rG*NN@KPKjm5u~S8HY$x$H4oXrfDy4zu7Z8X1r%_NbZc{3n zi>($AAhl{Uhn`T>1N4A|DlbwGNXZF=Kq!!kzY3KKwW0^06{4p|6*KGIt&_sY^WHb} zX5PM^?~MyL#KzC!qCcmq@kD2j8VGa-SW4SKAl{kk(cOWWDgfvJC_e^Jxe8G8DuB`o zQ2$#|8*T#l|9-52_W?qm?AGW(fc@Jv&0hfVTL4{zkEJ#MO0}ORW_{KJaO4rdQA#p( zf)>E~Qt$uk5{*Bpm;Sid{Mzht24;_+nqJJD&gx+HlKb(Y);e@`% zxi?nMOs^bYT+DKSgVZS(LmRMJIVXHL?G8yz0&jP=iWl&{5}|gzh1-5tM9kpUk%;_& zGTQK{CnUPCKir5d)rz^RwWwDcuimzqHt$k<Rgicc-O5kPW#Mr)3Wunf^X+> z#>lW&`+DDM*{&((g8xW9z6`#Vj@M&4%i`ThgN{f^ws<^&8=mO%UrM`=xQY&WP!U7r zUtFf`{GJCe`|EZ9+edcb{@jPfzA#`TG$_0_A_mw{v4=6A7{hnG&SpME7UC1Q=qB8{ zRcxF9IO=T7m654{3@Y`EO?!}VMTt%Emgv~p-MoH(7X3_)>E4wyD>~tH6DPL95&)D=cx%`HovFU%Bu&BRnQtk(gonFF zvrFsLnP%AI9yNb9i%;giK-noG=aQ**I zQBB6JGdBH9NuN=ro}j0}hEjSm_54QsZW_}3HISoWfFP;)0j8ddXC^77AwLyiycaID zLg5I222#QGm!yeQ)aeicjE$Py!q}(;pEBkZvUFgycMYf9Eus&9?Tv^9w5KED4#v_E zX+You#e#0f(FK!{4WULW}*N9 delta 1936 zcmZ`)TWDNW6y4{}JDE)8k(r5^sdGDtnMqADub9j-mKo08?E%w`;AIh9OiRawqg0YD3YH40EoUh4($-UJA| zTJnZPfL-rYJemf0XaXSi6F{p3(Ed9>ig3rBiuW7?80X;tB_E`Kq0Wkr(L>k|xBtIS z(fWV=viKRD(wH(Qxg--L68MTah8N8?8N&S)ZiO6?QQYnF6lPEAkGQx-m|+geH&XKX9sBxCJ6^e}dm z^dZJvdt{^JZPhTK5{?zxW+!7F$m+?3wmHnWhE={)!dfCexp3iaTNd-v7Vp&b@pH42 z{HhBlPfwmaGxHpdwPo;3v`&oUh`rGfm#98zj~zdZM#K`{?TpdbR~5wG8M~GrV2L7< zn5YZl+>EnjUf)Big`6*&Uy*NxON|fA_8CSRV>RCpa+Az2?#85cM>sZWv~ zxwS3Al*)GDy~dW%Jbfu+A3CWMSLu?wf{WOd9iuKS;-%~|-mbM zqwop5k$bAZSL>x5JnQBrz}Kf6^C_Ls%)F@aA8Gc02`+1#ugwECxTs`XU>?nX8{d05jK>pIs_0~Zw;V_mN!+`s}E zV_oMy2x;+*bzLSLc9xzGO!S2&!d|Vg8S6T~gy4aUA0YT7WNOoSN?2_={{148$%T&* z0Ot|BiR=?{;a4F5ZrGaf$$h6qD>Yja{<1G7^62Z0iLbD`HzxH6?5_%Nr#aHvjvl-~ z%g>-f%XxuvV{^?}`n-8^?(iy%I6~HMsdWZuuOlkeTkyuA0M7MQO1a+?RIc>BxxI3S z_fKxCOB~*RQ+fN~rSiXqk{rBe+!9n0L(N8k`eWplv5V@J{NZ1>SO476jat1=)pj^G Ho45Q6MJO_1 diff --git a/software/sys_controller_bsp/linker.h b/software/sys_controller_bsp/linker.h index c045a5f..ca0486b 100644 --- a/software/sys_controller_bsp/linker.h +++ b/software/sys_controller_bsp/linker.h @@ -4,7 +4,7 @@ * Machine generated for CPU 'nios2_qsys_0' in SOPC Builder design 'sys' * SOPC Builder design path: ../../sys.sopcinfo * - * Generated: Sun Oct 16 12:18:06 EEST 2016 + * Generated: Fri Oct 05 19:59:02 EEST 2018 */ /* @@ -65,11 +65,11 @@ * */ -#define EPCQ_CONTROLLER_0_AVL_MEM_REGION_BASE 0x0 +#define EPCQ_CONTROLLER_0_AVL_MEM_REGION_BASE 0x800000 #define EPCQ_CONTROLLER_0_AVL_MEM_REGION_SPAN 8388608 -#define ONCHIP_MEMORY2_0_REGION_BASE 0x810020 -#define ONCHIP_MEMORY2_0_REGION_SPAN 40928 -#define RESET_REGION_BASE 0x810000 +#define ONCHIP_MEMORY2_0_REGION_BASE 0x10020 +#define ONCHIP_MEMORY2_0_REGION_SPAN 36832 +#define RESET_REGION_BASE 0x10000 #define RESET_REGION_SPAN 32 diff --git a/software/sys_controller_bsp/linker.x b/software/sys_controller_bsp/linker.x index bb7d4db..7e01d5e 100644 --- a/software/sys_controller_bsp/linker.x +++ b/software/sys_controller_bsp/linker.x @@ -4,7 +4,7 @@ * Machine generated for CPU 'nios2_qsys_0' in SOPC Builder design 'sys' * SOPC Builder design path: ../../sys.sopcinfo * - * Generated: Sun Oct 16 12:18:06 EEST 2016 + * Generated: Fri Oct 05 19:59:02 EEST 2018 */ /* @@ -50,14 +50,14 @@ MEMORY { - epcq_controller_0_avl_mem : ORIGIN = 0x0, LENGTH = 8388608 - reset : ORIGIN = 0x810000, LENGTH = 32 - onchip_memory2_0 : ORIGIN = 0x810020, LENGTH = 40928 + reset : ORIGIN = 0x10000, LENGTH = 32 + onchip_memory2_0 : ORIGIN = 0x10020, LENGTH = 36832 + epcq_controller_0_avl_mem : ORIGIN = 0x800000, LENGTH = 8388608 } /* Define symbols for each memory base-address */ -__alt_mem_epcq_controller_0_avl_mem = 0x0; -__alt_mem_onchip_memory2_0 = 0x810000; +__alt_mem_onchip_memory2_0 = 0x10000; +__alt_mem_epcq_controller_0_avl_mem = 0x800000; OUTPUT_FORMAT( "elf32-littlenios2", "elf32-littlenios2", @@ -309,24 +309,7 @@ SECTIONS * */ - .epcq_controller_0_avl_mem : AT ( LOADADDR (.bss) + SIZEOF (.bss) ) - { - PROVIDE (_alt_partition_epcq_controller_0_avl_mem_start = ABSOLUTE(.)); - *(.epcq_controller_0_avl_mem .epcq_controller_0_avl_mem. epcq_controller_0_avl_mem.*) - . = ALIGN(4); - PROVIDE (_alt_partition_epcq_controller_0_avl_mem_end = ABSOLUTE(.)); - } > epcq_controller_0_avl_mem - - PROVIDE (_alt_partition_epcq_controller_0_avl_mem_load_addr = LOADADDR(.epcq_controller_0_avl_mem)); - - /* - * - * This section's LMA is set to the .text region. - * crt0 will copy to this section's specified mapped region virtual memory address (VMA) - * - */ - - .onchip_memory2_0 LOADADDR (.epcq_controller_0_avl_mem) + SIZEOF (.epcq_controller_0_avl_mem) : AT ( LOADADDR (.epcq_controller_0_avl_mem) + SIZEOF (.epcq_controller_0_avl_mem) ) + .onchip_memory2_0 LOADADDR (.bss) + SIZEOF (.bss) : AT ( LOADADDR (.bss) + SIZEOF (.bss) ) { PROVIDE (_alt_partition_onchip_memory2_0_start = ABSOLUTE(.)); *(.onchip_memory2_0 .onchip_memory2_0. onchip_memory2_0.*) @@ -339,6 +322,23 @@ SECTIONS PROVIDE (_alt_partition_onchip_memory2_0_load_addr = LOADADDR(.onchip_memory2_0)); + /* + * + * This section's LMA is set to the .text region. + * crt0 will copy to this section's specified mapped region virtual memory address (VMA) + * + */ + + .epcq_controller_0_avl_mem : AT ( LOADADDR (.onchip_memory2_0) + SIZEOF (.onchip_memory2_0) ) + { + PROVIDE (_alt_partition_epcq_controller_0_avl_mem_start = ABSOLUTE(.)); + *(.epcq_controller_0_avl_mem .epcq_controller_0_avl_mem. epcq_controller_0_avl_mem.*) + . = ALIGN(4); + PROVIDE (_alt_partition_epcq_controller_0_avl_mem_end = ABSOLUTE(.)); + } > epcq_controller_0_avl_mem + + PROVIDE (_alt_partition_epcq_controller_0_avl_mem_load_addr = LOADADDR(.epcq_controller_0_avl_mem)); + /* * Stabs debugging sections. * @@ -386,7 +386,7 @@ SECTIONS /* * Don't override this, override the __alt_stack_* symbols instead. */ -__alt_data_end = 0x81a000; +__alt_data_end = 0x19000; /* * The next two symbols define the location of the default stack. You can @@ -402,4 +402,4 @@ PROVIDE( __alt_stack_limit = __alt_stack_base ); * Override this symbol to put the heap in a different memory. */ PROVIDE( __alt_heap_start = end ); -PROVIDE( __alt_heap_limit = 0x81a000 ); +PROVIDE( __alt_heap_limit = 0x19000 ); diff --git a/software/sys_controller_bsp/mem_init.mk b/software/sys_controller_bsp/mem_init.mk index dbb339c..014f1e9 100644 --- a/software/sys_controller_bsp/mem_init.mk +++ b/software/sys_controller_bsp/mem_init.mk @@ -161,7 +161,7 @@ ACDS_VERSION := 17.1 SIM_OPTIMIZE ?= 0 # The CPU reset address as needed by elf2flash -RESET_ADDRESS ?= 0x00810000 +RESET_ADDRESS ?= 0x00010000 # The specific Nios II ELF file format to use. NIOS2_ELF_FORMAT ?= elf32-littlenios2 @@ -175,8 +175,8 @@ MEM_0 := epcq_controller_0 $(MEM_0)_NAME := epcq_controller_0 HEX_FILES += $(MEM_INIT_DIR)/$(MEM_0).hex MEM_INIT_INSTALL_FILES += $(MEM_INIT_INSTALL_DIR)/$(MEM_0).hex -$(MEM_0)_START := 0x00000000 -$(MEM_0)_END := 0x007fffff +$(MEM_0)_START := 0x00800000 +$(MEM_0)_END := 0x00ffffff $(MEM_0)_SPAN := 0x00800000 $(MEM_0)_HIERARCHICAL_PATH := epcq_controller_0 $(MEM_0)_WIDTH := 32 @@ -198,9 +198,9 @@ DAT_FILES += $(HDL_SIM_DIR)/$(MEM_1).dat HDL_SIM_INSTALL_FILES += $(HDL_SIM_INSTALL_DIR)/$(MEM_1).dat SYM_FILES += $(HDL_SIM_DIR)/$(MEM_1).sym HDL_SIM_INSTALL_FILES += $(HDL_SIM_INSTALL_DIR)/$(MEM_1).sym -$(MEM_1)_START := 0x00810000 -$(MEM_1)_END := 0x00819fff -$(MEM_1)_SPAN := 0x0000a000 +$(MEM_1)_START := 0x00010000 +$(MEM_1)_END := 0x00018fff +$(MEM_1)_SPAN := 0x00009000 $(MEM_1)_HIERARCHICAL_PATH := onchip_memory2_0 $(MEM_1)_WIDTH := 32 $(MEM_1)_HEX_DATA_WIDTH := 32 @@ -304,10 +304,10 @@ ELF_TO_HEX_CMD = $(strip $(if $(flash_mem_boot_loader_flag), \ $(ELF_TO_HEX_CMD_NO_BOOTLOADER) \ )) -$(HEX_FILES): %.hex: $(ELF) - $(post-process-info) - @$(MKDIR) $(@D) - $(ELF_TO_HEX_CMD) +#$(HEX_FILES): %.hex: $(ELF) +# $(post-process-info) +# @$(MKDIR) $(@D) +# $(ELF_TO_HEX_CMD) $(SYM_FILES): %.sym: $(ELF) $(post-process-info) diff --git a/software/sys_controller_bsp/memory.gdb b/software/sys_controller_bsp/memory.gdb index 41fd763..5197bae 100644 --- a/software/sys_controller_bsp/memory.gdb +++ b/software/sys_controller_bsp/memory.gdb @@ -3,7 +3,7 @@ # Machine generated for CPU 'nios2_qsys_0' in SOPC Builder design 'sys' # SOPC Builder design path: ../../sys.sopcinfo # -# Generated: Sun Oct 16 12:18:06 EEST 2016 +# Generated: Fri Oct 05 19:59:02 EEST 2018 # DO NOT MODIFY THIS FILE # @@ -46,8 +46,8 @@ # The cache attribute is specified which improves GDB performance # by allowing GDB to cache memory contents on the host. -# epcq_controller_0_avl_mem -memory 0x0 0x800000 cache - # onchip_memory2_0 -memory 0x810000 0x81a000 cache +memory 0x10000 0x19000 cache + +# epcq_controller_0_avl_mem +memory 0x800000 0x1000000 cache diff --git a/software/sys_controller_bsp/settings.bsp b/software/sys_controller_bsp/settings.bsp index 6657c70..5b765a8 100644 --- a/software/sys_controller_bsp/settings.bsp +++ b/software/sys_controller_bsp/settings.bsp @@ -2,8 +2,8 @@ hal default - Sep 25, 2018 9:18:49 PM - 1537899529022 + Oct 5, 2018 8:21:01 PM + 1538758741996 ./ settings.bsp ../../sys.sopcinfo @@ -898,101 +898,101 @@ false - - epcq_controller_0_avl_mem - 0x00000000 - 0x007FFFFF - 8388608 - flash, memory, non-volatile - onchip_memory2_0 - 0x00810000 - 0x00819FFF + 0x00010000 - 0x00019FFF 40960 memory timer_0 - 0x00821000 - 0x0082101F + 0x00020000 - 0x0002001F 32 timer + + jtag_uart_0 + 0x00020020 - 0x00020027 + 8 + printable + epcq_controller_0_avl_csr - 0x00821020 - 0x0082103F + 0x00020100 - 0x0002011F 32 i2c_opencores_1 - 0x00821040 - 0x0082105F + 0x00021020 - 0x0002103F 32 i2c_opencores_0 - 0x00821060 - 0x0082107F + 0x00021040 - 0x0002105F 32 pio_8 - 0x00821080 - 0x0082108F + 0x00021060 - 0x0002106F 16 pio_7 - 0x00821090 - 0x0082109F + 0x00021070 - 0x0002107F 16 pio_6 - 0x008210A0 - 0x008210AF + 0x00021080 - 0x0002108F 16 pio_5 - 0x008210B0 - 0x008210BF + 0x00021090 - 0x0002109F 16 pio_4 - 0x008210C0 - 0x008210CF + 0x000210A0 - 0x000210AF 16 pio_3 - 0x008210D0 - 0x008210DF + 0x000210B0 - 0x000210BF 16 pio_2 - 0x008210E0 - 0x008210EF + 0x000210C0 - 0x000210CF 16 pio_1 - 0x008210F0 - 0x008210FF + 0x000210D0 - 0x000210DF 16 pio_0 - 0x00821100 - 0x0082110F + 0x000210E0 - 0x000210EF 16 - jtag_uart_0 - 0x00821110 - 0x00821117 - 8 - printable + epcq_controller_0_avl_mem + 0x00800000 - 0x00FFFFFF + 8388608 + flash, memory, non-volatile .text @@ -1018,4 +1018,4 @@ .stack onchip_memory2_0 - \ No newline at end of file + diff --git a/software/sys_controller_bsp/system.h b/software/sys_controller_bsp/system.h index e07c2c7..3f10d63 100644 --- a/software/sys_controller_bsp/system.h +++ b/software/sys_controller_bsp/system.h @@ -4,7 +4,7 @@ * Machine generated for CPU 'nios2_qsys_0' in SOPC Builder design 'sys' * SOPC Builder design path: ../../sys.sopcinfo * - * Generated: Sun Mar 25 16:51:03 EEST 2018 + * Generated: Fri Oct 05 19:59:02 EEST 2018 */ /* @@ -62,7 +62,7 @@ #define ALT_CPU_ARCHITECTURE "altera_nios2_gen2" #define ALT_CPU_BIG_ENDIAN 0 -#define ALT_CPU_BREAK_ADDR 0x00820820 +#define ALT_CPU_BREAK_ADDR 0x00000820 #define ALT_CPU_CPU_ARCH_NIOS2_R1 #define ALT_CPU_CPU_FREQ 27000000u #define ALT_CPU_CPU_ID_SIZE 1 @@ -72,7 +72,7 @@ #define ALT_CPU_DCACHE_LINE_SIZE 0 #define ALT_CPU_DCACHE_LINE_SIZE_LOG2 0 #define ALT_CPU_DCACHE_SIZE 0 -#define ALT_CPU_EXCEPTION_ADDR 0x00810020 +#define ALT_CPU_EXCEPTION_ADDR 0x00010020 #define ALT_CPU_FLASH_ACCELERATOR_LINES 0 #define ALT_CPU_FLASH_ACCELERATOR_LINE_SIZE 0 #define ALT_CPU_FLUSHDA_SUPPORTED @@ -87,10 +87,10 @@ #define ALT_CPU_ICACHE_LINE_SIZE 0 #define ALT_CPU_ICACHE_LINE_SIZE_LOG2 0 #define ALT_CPU_ICACHE_SIZE 0 -#define ALT_CPU_INST_ADDR_WIDTH 0x18 +#define ALT_CPU_INST_ADDR_WIDTH 0x11 #define ALT_CPU_NAME "nios2_qsys_0" #define ALT_CPU_OCI_VERSION 1 -#define ALT_CPU_RESET_ADDR 0x00810000 +#define ALT_CPU_RESET_ADDR 0x00010000 /* @@ -99,7 +99,7 @@ */ #define NIOS2_BIG_ENDIAN 0 -#define NIOS2_BREAK_ADDR 0x00820820 +#define NIOS2_BREAK_ADDR 0x00000820 #define NIOS2_CPU_ARCH_NIOS2_R1 #define NIOS2_CPU_FREQ 27000000u #define NIOS2_CPU_ID_SIZE 1 @@ -109,7 +109,7 @@ #define NIOS2_DCACHE_LINE_SIZE 0 #define NIOS2_DCACHE_LINE_SIZE_LOG2 0 #define NIOS2_DCACHE_SIZE 0 -#define NIOS2_EXCEPTION_ADDR 0x00810020 +#define NIOS2_EXCEPTION_ADDR 0x00010020 #define NIOS2_FLASH_ACCELERATOR_LINES 0 #define NIOS2_FLASH_ACCELERATOR_LINE_SIZE 0 #define NIOS2_FLUSHDA_SUPPORTED @@ -123,9 +123,9 @@ #define NIOS2_ICACHE_LINE_SIZE 0 #define NIOS2_ICACHE_LINE_SIZE_LOG2 0 #define NIOS2_ICACHE_SIZE 0 -#define NIOS2_INST_ADDR_WIDTH 0x18 +#define NIOS2_INST_ADDR_WIDTH 0x11 #define NIOS2_OCI_VERSION 1 -#define NIOS2_RESET_ADDR 0x00810000 +#define NIOS2_RESET_ADDR 0x00010000 /* @@ -140,7 +140,7 @@ #define ALT_CI_NIOS_CUSTOM_INSTR_BITSWAP_0_N 0x9 //#define ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0(A) __builtin_custom_ini(ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0_N,(A)) #define ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0_N 0x8 - + #define ALT_CI_NIOS2_HW_CRC32_0(n,A) n #define ALT_CI_NIOS_CUSTOM_INSTR_BITSWAP_0(A) A #define ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0(A) A @@ -179,19 +179,19 @@ #define ALT_NUM_INTERNAL_INTERRUPT_CONTROLLERS 1 #define ALT_NUM_INTERRUPT_CONTROLLERS 1 #define ALT_STDERR "/dev/jtag_uart_0" -#define ALT_STDERR_BASE 0x821110 +#define ALT_STDERR_BASE 0x20020 #define ALT_STDERR_DEV jtag_uart_0 #define ALT_STDERR_IS_JTAG_UART #define ALT_STDERR_PRESENT #define ALT_STDERR_TYPE "altera_avalon_jtag_uart" #define ALT_STDIN "/dev/jtag_uart_0" -#define ALT_STDIN_BASE 0x821110 +#define ALT_STDIN_BASE 0x20020 #define ALT_STDIN_DEV jtag_uart_0 #define ALT_STDIN_IS_JTAG_UART #define ALT_STDIN_PRESENT #define ALT_STDIN_TYPE "altera_avalon_jtag_uart" #define ALT_STDOUT "/dev/jtag_uart_0" -#define ALT_STDOUT_BASE 0x821110 +#define ALT_STDOUT_BASE 0x20020 #define ALT_STDOUT_DEV jtag_uart_0 #define ALT_STDOUT_IS_JTAG_UART #define ALT_STDOUT_PRESENT @@ -205,7 +205,7 @@ */ #define ALT_MODULE_CLASS_epcq_controller_0_avl_csr altera_epcq_controller_mod -#define EPCQ_CONTROLLER_0_AVL_CSR_BASE 0x821020 +#define EPCQ_CONTROLLER_0_AVL_CSR_BASE 0x20100 #define EPCQ_CONTROLLER_0_AVL_CSR_FLASH_TYPE "EPCS64" #define EPCQ_CONTROLLER_0_AVL_CSR_IRQ 2 #define EPCQ_CONTROLLER_0_AVL_CSR_IRQ_INTERRUPT_CONTROLLER_ID 0 @@ -225,7 +225,7 @@ */ #define ALT_MODULE_CLASS_epcq_controller_0_avl_mem altera_epcq_controller_mod -#define EPCQ_CONTROLLER_0_AVL_MEM_BASE 0x0 +#define EPCQ_CONTROLLER_0_AVL_MEM_BASE 0x800000 #define EPCQ_CONTROLLER_0_AVL_MEM_FLASH_TYPE "EPCS64" #define EPCQ_CONTROLLER_0_AVL_MEM_IRQ -1 #define EPCQ_CONTROLLER_0_AVL_MEM_IRQ_INTERRUPT_CONTROLLER_ID -1 @@ -255,7 +255,7 @@ */ #define ALT_MODULE_CLASS_i2c_opencores_0 i2c_opencores -#define I2C_OPENCORES_0_BASE 0x821060 +#define I2C_OPENCORES_0_BASE 0x21040 #define I2C_OPENCORES_0_IRQ 3 #define I2C_OPENCORES_0_IRQ_INTERRUPT_CONTROLLER_ID 0 #define I2C_OPENCORES_0_NAME "/dev/i2c_opencores_0" @@ -269,7 +269,7 @@ */ #define ALT_MODULE_CLASS_i2c_opencores_1 i2c_opencores -#define I2C_OPENCORES_1_BASE 0x821040 +#define I2C_OPENCORES_1_BASE 0x21020 #define I2C_OPENCORES_1_IRQ 4 #define I2C_OPENCORES_1_IRQ_INTERRUPT_CONTROLLER_ID 0 #define I2C_OPENCORES_1_NAME "/dev/i2c_opencores_1" @@ -283,7 +283,7 @@ */ #define ALT_MODULE_CLASS_jtag_uart_0 altera_avalon_jtag_uart -#define JTAG_UART_0_BASE 0x821110 +#define JTAG_UART_0_BASE 0x20020 #define JTAG_UART_0_IRQ 1 #define JTAG_UART_0_IRQ_INTERRUPT_CONTROLLER_ID 0 #define JTAG_UART_0_NAME "/dev/jtag_uart_0" @@ -303,7 +303,7 @@ #define ALT_MODULE_CLASS_onchip_memory2_0 altera_avalon_onchip_memory2 #define ONCHIP_MEMORY2_0_ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR 0 #define ONCHIP_MEMORY2_0_ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE 0 -#define ONCHIP_MEMORY2_0_BASE 0x810000 +#define ONCHIP_MEMORY2_0_BASE 0x10000 #define ONCHIP_MEMORY2_0_CONTENTS_INFO "" #define ONCHIP_MEMORY2_0_DUAL_PORT 0 #define ONCHIP_MEMORY2_0_GUI_RAM_BLOCK_TYPE "AUTO" @@ -330,7 +330,7 @@ */ #define ALT_MODULE_CLASS_pio_0 altera_avalon_pio -#define PIO_0_BASE 0x821100 +#define PIO_0_BASE 0x210e0 #define PIO_0_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_0_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_0_CAPTURE 0 @@ -357,7 +357,7 @@ */ #define ALT_MODULE_CLASS_pio_1 altera_avalon_pio -#define PIO_1_BASE 0x8210f0 +#define PIO_1_BASE 0x210d0 #define PIO_1_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_1_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_1_CAPTURE 0 @@ -384,7 +384,7 @@ */ #define ALT_MODULE_CLASS_pio_2 altera_avalon_pio -#define PIO_2_BASE 0x8210e0 +#define PIO_2_BASE 0x210c0 #define PIO_2_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_2_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_2_CAPTURE 0 @@ -411,7 +411,7 @@ */ #define ALT_MODULE_CLASS_pio_3 altera_avalon_pio -#define PIO_3_BASE 0x8210d0 +#define PIO_3_BASE 0x210b0 #define PIO_3_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_3_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_3_CAPTURE 0 @@ -438,7 +438,7 @@ */ #define ALT_MODULE_CLASS_pio_4 altera_avalon_pio -#define PIO_4_BASE 0x8210c0 +#define PIO_4_BASE 0x210a0 #define PIO_4_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_4_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_4_CAPTURE 0 @@ -465,7 +465,7 @@ */ #define ALT_MODULE_CLASS_pio_5 altera_avalon_pio -#define PIO_5_BASE 0x8210b0 +#define PIO_5_BASE 0x21090 #define PIO_5_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_5_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_5_CAPTURE 0 @@ -492,7 +492,7 @@ */ #define ALT_MODULE_CLASS_pio_6 altera_avalon_pio -#define PIO_6_BASE 0x8210a0 +#define PIO_6_BASE 0x21080 #define PIO_6_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_6_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_6_CAPTURE 0 @@ -519,7 +519,7 @@ */ #define ALT_MODULE_CLASS_pio_7 altera_avalon_pio -#define PIO_7_BASE 0x821090 +#define PIO_7_BASE 0x21070 #define PIO_7_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_7_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_7_CAPTURE 0 @@ -546,7 +546,7 @@ */ #define ALT_MODULE_CLASS_pio_8 altera_avalon_pio -#define PIO_8_BASE 0x821080 +#define PIO_8_BASE 0x21060 #define PIO_8_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_8_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_8_CAPTURE 0 @@ -574,7 +574,7 @@ #define ALT_MODULE_CLASS_timer_0 altera_avalon_timer #define TIMER_0_ALWAYS_RUN 0 -#define TIMER_0_BASE 0x821000 +#define TIMER_0_BASE 0x20000 #define TIMER_0_COUNTER_SIZE 32 #define TIMER_0_FIXED_PERIOD 0 #define TIMER_0_FREQ 27000000 diff --git a/sys.qsys b/sys.qsys index c109206..39f9b68 100644 --- a/sys.qsys +++ b/sys.qsys @@ -21,23 +21,33 @@ { datum _sortIndex { - value = "8"; + value = "6"; type = "int"; } } element epcq_controller_0.avl_csr { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8523808"; + value = "131328"; type = "String"; } } element epcq_controller_0.avl_mem { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "0"; + value = "8388608"; type = "String"; } } @@ -45,15 +55,20 @@ { datum _sortIndex { - value = "9"; + value = "7"; type = "int"; } } element i2c_opencores_0.avalon_slave_0 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8523872"; + value = "135232"; type = "String"; } } @@ -61,15 +76,20 @@ { datum _sortIndex { - value = "10"; + value = "8"; type = "int"; } } element i2c_opencores_1.avalon_slave_0 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8523840"; + value = "135200"; type = "String"; } } @@ -77,51 +97,24 @@ { datum _sortIndex { - value = "7"; + value = "5"; type = "int"; } } element jtag_uart_0.avalon_jtag_slave { - datum baseAddress - { - value = "8524048"; - type = "String"; - } - } - element nios2_hw_crc32_0 - { - datum _sortIndex - { - value = "2"; - type = "int"; - } - } - element nios2_qsys_0 - { - datum _sortIndex + datum _lockedAddress { value = "1"; - type = "int"; + type = "boolean"; } - } - element nios2_qsys_0.debug_mem_slave - { datum baseAddress { - value = "8521728"; + value = "131104"; type = "String"; } } - element nios_custom_instr_bitswap_0 - { - datum _sortIndex - { - value = "4"; - type = "int"; - } - } - element nios_custom_instr_endianconverter_0 + element master_0 { datum _sortIndex { @@ -133,15 +126,33 @@ { datum _sortIndex { - value = "5"; + value = "2"; type = "int"; } } element onchip_memory2_0.s1 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8454144"; + value = "65536"; + type = "String"; + } + } + element onchip_memory2_0.s2 + { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } + datum baseAddress + { + value = "65536"; type = "String"; } } @@ -149,15 +160,20 @@ { datum _sortIndex { - value = "11"; + value = "9"; type = "int"; } } element pio_0.s1 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8524032"; + value = "135392"; type = "String"; } } @@ -165,15 +181,20 @@ { datum _sortIndex { - value = "12"; + value = "10"; type = "int"; } } element pio_1.s1 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8524016"; + value = "135376"; type = "String"; } } @@ -181,15 +202,20 @@ { datum _sortIndex { - value = "13"; + value = "11"; type = "int"; } } element pio_2.s1 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8524000"; + value = "135360"; type = "String"; } } @@ -197,15 +223,20 @@ { datum _sortIndex { - value = "14"; + value = "12"; type = "int"; } } element pio_3.s1 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8523984"; + value = "135344"; type = "String"; } } @@ -213,15 +244,20 @@ { datum _sortIndex { - value = "15"; + value = "13"; type = "int"; } } element pio_4.s1 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8523968"; + value = "135328"; type = "String"; } } @@ -229,15 +265,20 @@ { datum _sortIndex { - value = "16"; + value = "14"; type = "int"; } } element pio_5.s1 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8523952"; + value = "135312"; type = "String"; } } @@ -245,15 +286,20 @@ { datum _sortIndex { - value = "17"; + value = "15"; type = "int"; } } element pio_6.s1 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8523936"; + value = "135296"; type = "String"; } } @@ -261,15 +307,20 @@ { datum _sortIndex { - value = "18"; + value = "16"; type = "int"; } } element pio_7.s1 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8523920"; + value = "135280"; type = "String"; } } @@ -277,31 +328,57 @@ { datum _sortIndex { - value = "19"; + value = "17"; type = "int"; } } element pio_8.s1 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8523904"; + value = "135264"; type = "String"; } } + element pulpino_0 + { + datum _sortIndex + { + value = "1"; + type = "int"; + } + } + element pulpino_0.avalon_slave_debug + { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } + } element timer_0 { datum _sortIndex { - value = "6"; + value = "4"; type = "int"; } } element timer_0.s1 { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } datum baseAddress { - value = "8523776"; + value = "131072"; type = "String"; } } @@ -337,6 +414,11 @@ internal="i2c_opencores_1.export" type="conduit" dir="end" /> + + @@ -436,210 +523,18 @@ - - - - - - - - - - - - - - nios2_qsys_0.jtag_debug_module - - - - - - ]]> - - - - - - - ]]> - - - - - - - - - - - - - - - - - - - - - - ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 - - - - - - - - - - - - - - - - - ]]> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 - + @@ -666,7 +561,7 @@ - + @@ -799,6 +694,18 @@ + + + + + + + + + + + + @@ -814,175 +721,174 @@ + + + + + - + - + - + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + start="master_0.master" + end="onchip_memory2_0.s2"> - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - @@ -994,11 +900,17 @@ + + - - - + + + - - - - - - + start="pulpino_0.interrupt_receiver" + end="jtag_uart_0.irq"> + - nios_custom_instr_endianconverter_0 - - - - - nios_custom_instr_bitswap_0 - - - + start="clk_27.clk_reset" + end="master_0.clk_reset" /> - + diff --git a/sys.sopcinfo b/sys.sopcinfo index 9194afe..8f6d1fe 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1524077647 + 1538769758 false true false @@ -330,12 +330,6 @@ parameters are a RESULT of the module parameters. --> 1 clk - - false - nios2_qsys_0 - clk - nios2_qsys_0.clk - false jtag_uart_0 @@ -402,12 +396,24 @@ parameters are a RESULT of the module parameters. --> clk pio_8.clk + + false + master_0 + clk + master_0.clk + false onchip_memory2_0 clk1 onchip_memory2_0.clk1 + + false + pulpino_0 + clk_sink + pulpino_0.clk_sink + false i2c_opencores_0 @@ -3632,1949 +3638,59 @@ parameters are a RESULT of the module parameters. --> - - - int - 32 - false - true - true - true - - - java.math.BigInteger - 4294967295 - false - true - true - true - - - java.math.BigInteger - 79764919 - false - true - true - true - - - int - 1 - false - true - true - true - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 4294967295 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - java.lang.String - - true - true - false - true - - - int - 3 - true - true - false - true - - - int - 0 - false - false - true - true - - - com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType - VARIABLE - true - true - true - true - - - int - 0 - true - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 1 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios_custom_instruction - false - - clk - Input - 1 - clk - - - clk_en - Input - 1 - clk_en - - - dataa - Input - 32 - dataa - - - done - Output - 1 - done - - - n - Input - 3 - n - - - reset - Input - 1 - reset - - - result - Output - 32 - result - - - start - Input - 1 - start - - - - + path="master_0"> debug.hostConnection - type jtag id 70:34|110:135 + type jtag id 110:132 - - embeddedsw.CMacro.BIG_ENDIAN + + int 0 - - - embeddedsw.CMacro.BREAK_ADDR - 0x00820820 - - - embeddedsw.CMacro.CPU_ARCH_NIOS2_R1 - - - - embeddedsw.CMacro.CPU_FREQ - 27000000u - - - embeddedsw.CMacro.CPU_ID_SIZE - 1 - - - embeddedsw.CMacro.CPU_ID_VALUE - 0x00000000 - - - embeddedsw.CMacro.CPU_IMPLEMENTATION - "tiny" - - - embeddedsw.CMacro.DATA_ADDR_WIDTH - 24 - - - embeddedsw.CMacro.DCACHE_LINE_SIZE - 0 - - - embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2 - 0 - - - embeddedsw.CMacro.DCACHE_SIZE - 0 - - - embeddedsw.CMacro.EXCEPTION_ADDR - 0x00810020 - - - embeddedsw.CMacro.FLASH_ACCELERATOR_LINES - 0 - - - embeddedsw.CMacro.FLASH_ACCELERATOR_LINE_SIZE - 0 - - - embeddedsw.CMacro.FLUSHDA_SUPPORTED - - - - embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT - 0 - - - embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT - 0 - - - embeddedsw.CMacro.HARDWARE_MULX_PRESENT - 0 - - - embeddedsw.CMacro.HAS_DEBUG_CORE - 1 - - - embeddedsw.CMacro.HAS_DEBUG_STUB - - - - embeddedsw.CMacro.HAS_ILLEGAL_INSTRUCTION_EXCEPTION - - - - embeddedsw.CMacro.HAS_JMPI_INSTRUCTION - - - - embeddedsw.CMacro.ICACHE_LINE_SIZE - 0 - - - embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2 - 0 - - - embeddedsw.CMacro.ICACHE_SIZE - 0 - - - embeddedsw.CMacro.INST_ADDR_WIDTH - 24 - - - embeddedsw.CMacro.OCI_VERSION - 1 - - - embeddedsw.CMacro.RESET_ADDR - 0x00810000 - - - embeddedsw.configuration.DataCacheVictimBufImpl - ram - - - embeddedsw.configuration.HDLSimCachesCleared - 1 - - - embeddedsw.configuration.breakOffset - 32 - - - embeddedsw.configuration.breakSlave - nios2_qsys_0.debug_mem_slave - - - embeddedsw.configuration.cpuArchitecture - Nios II - - - embeddedsw.configuration.exceptionOffset - 32 - - - embeddedsw.configuration.exceptionSlave - onchip_memory2_0.s1 - - - embeddedsw.configuration.resetOffset - 0 - - - embeddedsw.configuration.resetSlave - onchip_memory2_0.s1 - - - embeddedsw.dts.compatible - altr,nios2-1.1 - - - embeddedsw.dts.group - cpu - - - embeddedsw.dts.name - nios2 - - - embeddedsw.dts.params.altr,exception-addr - 0x00810020 - - - embeddedsw.dts.params.altr,implementation - "tiny" - - - embeddedsw.dts.params.altr,reset-addr - 0x00810000 - - - embeddedsw.dts.params.clock-frequency - 27000000u - - - embeddedsw.dts.params.dcache-line-size - 0 - - - embeddedsw.dts.params.dcache-size - 0 - - - embeddedsw.dts.params.icache-line-size - 0 - - - embeddedsw.dts.params.icache-size - 0 - - - embeddedsw.dts.vendor - altr - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false false true true true - - boolean - false - false - true - true - true - - - boolean - false + + int + 50000 false false true true - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - true - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 1 - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - true - false - true - false - true - - + int 0 false - false - true - true - - - int - 0 - false - false - true - true - - - boolean - true - false - false - true - true - - - boolean - true - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - true - false - true - false - true - - - boolean - true - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - false - true - true - - - boolean - false - false - false - true - true - - - boolean - false - false - false - true - true - - - boolean - true - false - false - true - true - - - boolean - false - false - true - true - true - - - boolean - true - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - false - true - true - - - int - 8 - false - false - true - true - - - int - 8 - false - false - true - true - - - int - 0 - false - false - true - true - - - int - 0 - false - true - true - true - - - int - 32 - false - true - true - true - - - int - 0 - false - true - true - true - - - int - 32 - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - onchip_memory2_0.s1 - false - true - true - true - - - java.lang.String - None - false - false - true - true - - - java.lang.String - onchip_memory2_0.s1 - false - true - true - true - - - java.lang.String - nios2_qsys_0.jtag_debug_module - false - true - false - true - - - java.lang.String - Internal - false - false - true - true - - - java.lang.String - Dynamic - false - false - true - true - - - int - 8 - false - false - true - true - - - int - 1 - false - true - false - true - - - boolean - false - true - true - false - true - - - java.lang.String - medium_le_shift - true - true - false - true - - - java.lang.String - no_mul - true - true - false - true - - - int - 0 - false - false - true - true - - - int - 2 - false - false - true - true - - - int - 0 - false - false - true - true - - - int - 1 - false - false - true - true - - - java.lang.String - no_div - false - false - true - true - - - int - 12 - false - false - true - true - - - int - 12 - false - false - true - true - - - int - 4 - false - false - true - true - - - int - 6 - false - false - true - true - - - int - 7 - false - false - true - true - - - int - 16 - false - false - true - true - - - int - 8 - false - false - true - true - - - java.lang.String - Tiny - false - true - true - true - - - int - 0 - false - false - true - true - - - int - 2 - false - false - true - true - - - int - 0 - false - false - true - true - - - java.lang.String - Automatic - false - true - false - true - - - java.lang.String - Automatic - false - true - false - true - - - int - 0 - false - false - true - true - - - java.lang.String - None - false - false - true - true - - - java.lang.String - false - false - false - true - true - - - java.lang.String - ram - false - false - true - true - - - int - 0 - false - false - true - true - - - java.lang.String - Automatic - false - true - false - true - - - java.lang.String - Automatic - false - true - false - true - - - int - 0 - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - boolean - true - false - true - false - true - - - boolean - true - false - true - false - true - - - boolean - true - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - java.lang.String - Automatic - false - true - false - true - - - java.lang.String - Automatic - false - true - false - true - - - boolean - false - false - true - false - true - - - java.lang.String - Automatic - false - true - false - true - - - java.lang.String - Automatic - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - true - false - true - true - true - - - boolean - true - false - true - false - true - - - boolean - false - false - false - true - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - java.lang.String - _128 - false - false - true - true - - - int - 0 - false - false - true - true - - - int - 0 - false - false - true - true - - - java.lang.String - none - false - false - true - true - - - java.lang.String - onchip_trace - false - false - true - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - long - 0 - false - true - false - true - - - int - 8454144 - true - true - true - true - - - int - 8454176 - true - true - true - true - - - int - 8521760 - true - true - false - true - - - int - 0 - true - true - true - true - - - java.lang.String - false - true - true - false - true - - - int - 0 - true - true - false - true - - - java.lang.String - nios2_qsys_0.debug_mem_slave - true - true - false - true - - - int - 32 - true - true - false - true - - - boolean - false - true - true - false - true - - - boolean - false - true - true - false - true - - - java.lang.String - "synthesis translate_on" - true - true - false - true - - - java.lang.String - "synthesis translate_off" - true - true - false - true - - - boolean - false - true - true - false - true - - - boolean - false - true - true - false - true - - - boolean - false - true - true - false - true - - - boolean - false - true - true - false - true - - - int - 24 - false - true - false - true - ADDRESS_WIDTH - instruction_master - - - int - 1 - false - true - false - true - ADDRESS_WIDTH - flash_instruction_master - - - int - 24 - false - true - false - true - ADDRESS_WIDTH - data_master - - - int - 1 - false - true - false - true - ADDRESS_WIDTH - tightly_coupled_data_master_0 - - - int - 1 - false - true - false - true - ADDRESS_WIDTH - tightly_coupled_data_master_1 - - - int - 1 - false - true - false - true - ADDRESS_WIDTH - tightly_coupled_data_master_2 - - - int - 1 - false - true - false - true - ADDRESS_WIDTH - tightly_coupled_data_master_3 - - - int - 1 - false - true - false - true - ADDRESS_WIDTH - tightly_coupled_instruction_master_0 - - - int - 1 - false - true - false - true - ADDRESS_WIDTH - tightly_coupled_instruction_master_1 - - - int - 1 - false - true - false - true - ADDRESS_WIDTH - tightly_coupled_instruction_master_2 - - - int - 1 - false - true - false - true - ADDRESS_WIDTH - tightly_coupled_instruction_master_3 - - - int - 1 - false - true - false - true - ADDRESS_WIDTH - data_master_high_performance - - - int - 1 - false - true - false - true - ADDRESS_WIDTH - instruction_master_high_performance - - - java.lang.String - ]]> - false - true - false - true - ADDRESS_MAP - instruction_master - - - java.lang.String - - false - true - false - true - ADDRESS_MAP - flash_instruction_master - - - java.lang.String - ]]> - false - true - false - true - ADDRESS_MAP - data_master - - - java.lang.String - - false - true - false - true - ADDRESS_MAP - tightly_coupled_data_master_0 - - - java.lang.String - - false - true - false - true - ADDRESS_MAP - tightly_coupled_data_master_1 - - - java.lang.String - - false - true - false - true - ADDRESS_MAP - tightly_coupled_data_master_2 - - - java.lang.String - - false - true - false - true - ADDRESS_MAP - tightly_coupled_data_master_3 - - - java.lang.String - - false - true - false - true - ADDRESS_MAP - tightly_coupled_instruction_master_0 - - - java.lang.String - - false - true - false - true - ADDRESS_MAP - tightly_coupled_instruction_master_1 - - - java.lang.String - - false - true - false - true - ADDRESS_MAP - tightly_coupled_instruction_master_2 - - - java.lang.String - - false - true - false - true - ADDRESS_MAP - tightly_coupled_instruction_master_3 - - - java.lang.String - - false - true - false - true - ADDRESS_MAP - data_master_high_performance - - - java.lang.String - - false - true - false - true - ADDRESS_MAP - instruction_master_high_performance - - - long - 27000000 - false true false true CLOCK_RATE - clk + clock - + + int + 0 + false + true + true + true + + + int + 2 + false + false + true + true + + java.lang.String CYCLONEIVE false @@ -5583,65 +3699,6 @@ the requested settings for a module instance. --> true DEVICE_FAMILY - - long - 31 - false - true - false - true - INTERRUPTS_USED - irq - - - java.lang.String - ]]> - false - true - false - true - CUSTOM_INSTRUCTION_SLAVES - custom_instruction_master - - - java.lang.String - ]]> - false - true - false - true - CUSTOM_INSTRUCTION_SLAVES - custom_instruction_master_a - - - java.lang.String - ]]> - false - true - false - true - CUSTOM_INSTRUCTION_SLAVES - custom_instruction_master_b - - - java.lang.String - ]]> - false - true - false - true - CUSTOM_INSTRUCTION_SLAVES - custom_instruction_master_c - - - java.lang.String - ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 - false - true - false - true - DEVICE_FEATURES - java.lang.String EP4CE15E22C8 @@ -5660,33 +3717,14 @@ the requested settings for a module instance. --> true DEVICE_SPEEDGRADE - - java.lang.Integer - 1 - false - true - false - true - CLOCK_DOMAIN - clk - - - java.lang.Integer - 1 - false - true - false - true - RESET_DOMAIN - clk - java.lang.String - UNKNOWN + Cyclone IV E false true - true + false true + DEVICE_FAMILY boolean @@ -5732,38 +3770,22 @@ parameters are a RESULT of the module parameters. --> true true - - java.lang.Boolean - true - true - true - false - true - - - java.lang.Long - 27000000 - true - true - false - true - clock false - clk + clk_clk Input 1 clk - + java.lang.String - clk + false true true @@ -5771,7 +3793,7 @@ parameters are a RESULT of the module parameters. --> com.altera.sopcmodel.reset.Reset$Edges - DEASSERT + NONE false true true @@ -5796,19 +3818,13 @@ parameters are a RESULT of the module parameters. --> reset false - reset_n + clk_reset_reset Input 1 - reset_n - - - reset_req - Input - 1 - reset_req + reset - + @@ -5816,6 +3832,10 @@ parameters are a RESULT of the module parameters. --> debug.providesServices master + + debug.visible + true + com.altera.entityinterfaces.IConnectionPoint @@ -5826,7 +3846,7 @@ parameters are a RESULT of the module parameters. --> int - 1 + 0 false true false @@ -5858,7 +3878,7 @@ parameters are a RESULT of the module parameters. --> java.lang.String - reset + clk_reset false true true @@ -5874,7 +3894,7 @@ parameters are a RESULT of the module parameters. --> boolean - true + false false true true @@ -6018,7 +4038,7 @@ parameters are a RESULT of the module parameters. --> boolean - true + false false true false @@ -6075,606 +4095,79 @@ parameters are a RESULT of the module parameters. --> avalon true - d_address + master_address Output - 24 + 32 address - d_byteenable - Output - 4 - byteenable - - - d_read - Output - 1 - read - - - d_readdata + master_readdata Input 32 readdata - d_waitrequest - Input + master_read + Output 1 - waitrequest + read - d_write + master_write Output 1 write - d_writedata + master_writedata Output 32 writedata - debug_mem_slave_debugaccess_to_roms - Output - 1 - debugaccess - - - false - jtag_uart_0 - avalon_jtag_slave - jtag_uart_0.avalon_jtag_slave - 8524048 - 8 - - - false - i2c_opencores_0 - avalon_slave_0 - i2c_opencores_0.avalon_slave_0 - 8523872 - 32 - - - false - i2c_opencores_1 - avalon_slave_0 - i2c_opencores_1.avalon_slave_0 - 8523840 - 32 - - - false - epcq_controller_0 - avl_csr - epcq_controller_0.avl_csr - 8523808 - 32 - - - false - epcq_controller_0 - avl_mem - epcq_controller_0.avl_mem - 0 - 8388608 - - - false - nios2_qsys_0 - debug_mem_slave - nios2_qsys_0.debug_mem_slave - 8521728 - 2048 - - - false - onchip_memory2_0 - s1 - onchip_memory2_0.s1 - 8454144 - 40960 - - - false - pio_0 - s1 - pio_0.s1 - 8524032 - 16 - - - false - pio_1 - s1 - pio_1.s1 - 8524016 - 16 - - - false - pio_2 - s1 - pio_2.s1 - 8524000 - 16 - - - false - pio_3 - s1 - pio_3.s1 - 8523984 - 16 - - - false - pio_4 - s1 - pio_4.s1 - 8523968 - 16 - - - false - timer_0 - s1 - timer_0.s1 - 8523776 - 32 - - - false - pio_5 - s1 - pio_5.s1 - 8523952 - 16 - - - false - pio_6 - s1 - pio_6.s1 - 8523936 - 16 - - - false - pio_7 - s1 - pio_7.s1 - 8523920 - 16 - - - false - pio_8 - s1 - pio_8.s1 - 8523904 - 16 - - - - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - int - 1 - false - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - SYMBOLS - false - true - true - true - - - boolean - true - false - true - false - true - - - java.lang.String - clk - false - true - true - true - - - java.lang.String - reset - false - true - true - true - - - int - 8 - false - true - true - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - boolean - false - false - true - true - true - - - int - 0 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - true - false - true - true - true - - - int - 32 - false - true - false - true - - - int - 0 - false - true - true - true - - - int - 0 - false - true - true - true - - - int - 0 - false - true - true - true - - - int - 1 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - true - true - true - - - int - 0 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - true - - i_address - Output - 24 - address - - - i_read - Output - 1 - read - - - i_readdata - Input - 32 - readdata - - - i_waitrequest + master_waitrequest Input 1 waitrequest + + master_readdatavalid + Input + 1 + readdatavalid + + + master_byteenable + Output + 4 + byteenable + false - nios2_qsys_0 - debug_mem_slave - nios2_qsys_0.debug_mem_slave - 8521728 - 2048 + pulpino_0 + avalon_slave_debug + pulpino_0.avalon_slave_debug + 0 + 8192 false onchip_memory2_0 - s1 - onchip_memory2_0.s1 - 8454144 + s2 + onchip_memory2_0.s2 + 65536 40960 - + - - com.altera.entityinterfaces.IConnectionPoint - nios2_qsys_0.data_master - false - true - true - true - - java.lang.String - clk - false - true - false - true - - - java.lang.String - reset - false - true - false - true - - java.lang.String false true - false - true - - - com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme - INDIVIDUAL_REQUESTS - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - interrupt - true - - irq - Input - 32 - irq - - - false - i2c_opencores_0 - interrupt_sender - i2c_opencores_0.interrupt_sender - 3 - - - false - epcq_controller_0 - interrupt_sender - epcq_controller_0.interrupt_sender - 2 - - - false - i2c_opencores_1 - interrupt_sender - i2c_opencores_1.interrupt_sender - 4 - - - false - jtag_uart_0 - irq - jtag_uart_0.irq - 1 - - - false - timer_0 - irq - timer_0.irq - 0 - - - - - - java.lang.String - clk - false - true true true @@ -6696,7 +4189,7 @@ parameters are a RESULT of the module parameters. --> com.altera.sopcmodel.reset.Reset$Edges - DEASSERT + NONE false true true @@ -6721,869 +4214,12 @@ parameters are a RESULT of the module parameters. --> reset true - debug_reset_request + master_reset_reset Output 1 reset - - - - embeddedsw.configuration.hideDevice - 1 - - - qsys.ui.connect - instruction_master,data_master - - - com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment - DYNAMIC - false - true - false - true - - - int - 0 - false - true - false - true - - - java.math.BigInteger - 2048 - true - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clk - false - true - true - true - - - java.lang.String - reset - false - true - true - true - - - int - 8 - false - true - true - true - - - java.math.BigInteger - 0 - false - true - false - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.math.BigInteger - 0 - false - true - true - true - - - int - 0 - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - int - 0 - false - false - true - true - - - int - 0 - false - false - true - true - - - int - 1 - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - int - 1 - false - true - false - true - - - int - 1 - false - false - true - true - - - boolean - true - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - false - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - false - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - false - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - false - - debug_mem_slave_address - Input - 9 - address - - - debug_mem_slave_byteenable - Input - 4 - byteenable - - - debug_mem_slave_debugaccess - Input - 1 - debugaccess - - - debug_mem_slave_read - Input - 1 - read - - - debug_mem_slave_readdata - Output - 32 - readdata - - - debug_mem_slave_waitrequest - Output - 1 - waitrequest - - - debug_mem_slave_write - Input - 1 - write - - - debug_mem_slave_writedata - Input - 32 - writedata - - - - - - java.lang.String - - true - true - false - true - - - int - 8 - false - true - false - true - - - int - 0 - false - false - true - true - - - boolean - false - false - true - false - true - - - int - 8 - false - true - false - true - - - int - 0 - true - true - false - true - - - boolean - true - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios_custom_instruction - true - - E_ci_multi_done - Input - 1 - done - - - E_ci_multi_clk_en - Output - 1 - clk_en - - - E_ci_multi_start - Output - 1 - start - - - E_ci_result - Input - 32 - result - - - D_ci_a - Output - 5 - a - - - D_ci_b - Output - 5 - b - - - D_ci_c - Output - 5 - c - - - D_ci_n - Output - 8 - n - - - D_ci_readra - Output - 1 - readra - - - D_ci_readrb - Output - 1 - readrb - - - D_ci_writerc - Output - 1 - writerc - - - E_ci_dataa - Output - 32 - dataa - - - E_ci_datab - Output - 32 - datab - - - E_ci_multi_clock - Output - 1 - clk - - - E_ci_multi_reset - Output - 1 - reset - - - E_ci_multi_reset_req - Output - 1 - reset_req - - - W_ci_estatus - Output - 1 - estatus - - - W_ci_ipending - Output - 32 - ipending - - - false - nios2_hw_crc32_0 - nios_custom_instruction_slave - nios2_hw_crc32_0.nios_custom_instruction_slave - 0 - nios2_hw_crc32_0 - - - false - nios_custom_instr_endianconverter_0 - s1 - nios_custom_instr_endianconverter_0.s1 - 8 - nios_custom_instr_endianconverter_0 - - - false - nios_custom_instr_bitswap_0 - s1 - nios_custom_instr_bitswap_0.s1 - 9 - nios_custom_instr_bitswap_0 - - - - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - - - - java.lang.String - - true - true - false - true - - - int - 0 - true - true - false - true - - - int - 1 - false - false - true - true - - - com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType - COMBINATORIAL - true - true - true - true - - - int - 0 - true - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 1 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios_custom_instruction - false - - dataa - Input - 32 - dataa - - - datab - Input - 32 - datab - - - result - Output - 32 - result - - - - - - - java.lang.String - CYCLONEIVE - false - true - false - true - DEVICE_FAMILY - - - java.lang.String - Cyclone IV E - false - true - false - true - DEVICE_FAMILY - - - boolean - false - false - true - true - true - - - - - java.lang.String - - true - true - false - true - - - int - 0 - true - true - false - true - - - int - 1 - false - false - true - true - - - com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType - COMBINATORIAL - true - true - true - true - - - int - 0 - true - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 1 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios_custom_instruction - false - - dataa - Input - 32 - dataa - - - datab - Input - 32 - datab - - - result - Output - 32 - result - - embeddedsw.CMacro.DUAL_PORT - 0 + 1 embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE @@ -7638,7 +4274,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.SINGLE_CLOCK_OP - 0 + 1 embeddedsw.CMacro.SIZE_MULTIPLE @@ -7722,7 +4358,7 @@ the requested settings for a module instance. --> boolean - false + true false true true @@ -7732,7 +4368,7 @@ the requested settings for a module instance. --> boolean false false - false + true true true @@ -7788,7 +4424,7 @@ the requested settings for a module instance. --> java.lang.String DONT_CARE false - false + true true true @@ -7810,15 +4446,15 @@ the requested settings for a module instance. --> boolean - false + true false - false + true true true boolean - false + true true true false @@ -7836,7 +4472,7 @@ the requested settings for a module instance. --> int 1 false - false + true true true @@ -7979,51 +4615,6 @@ the requested settings for a module instance. --> true true - - - - boolean - false - false - true - false - true - - - java.lang.String - - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clock - false - - clk - Input - 1 - clk - - int - 0 + 1 false true false @@ -8401,6 +4992,428 @@ parameters are a RESULT of the module parameters. --> byteenable + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 1 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + DYNAMIC + false + true + false + true + + + int + 1 + false + true + false + true + + + java.math.BigInteger + 40960 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk1 + false + true + true + true + + + java.lang.String + reset1 + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 40960 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 1 + false + true + true + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address2 + Input + 14 + address + + + chipselect2 + Input + 1 + chipselect + + + clken2 + Input + 1 + clken + + + write2 + Input + 1 + write + + + readdata2 + Output + 32 + readdata + + + writedata2 + Input + 32 + writedata + + + byteenable2 + Input + 4 + byteenable + + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clock + false + + clk + Input + 1 + clk + + + + + + int + 32 + false + true + true + true + + + int + 32 + false + true + true + true + + + int + 10 + false + true + true + true + + + int + 10 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + true + false + true + true + true + + + boolean + false + false + true + true + true + + + boolean + true + false + true + true + true + + + boolean + false + false + true + true + true + + + java.math.BigInteger + 31 + false + true + false + true + INTERRUPTS_USED + interrupt_receiver + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + java.lang.String + clk_sink + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + rst_n + Input + 1 + reset_n + + + + + + java.lang.String + clk_sink + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + testmode_i + Input + 1 + testmode_i + + + fetch_enable_i + Input + 1 + fetch_enable_i + + + clock_gating_i + Input + 1 + clock_gating_i + + + boot_addr_i + Input + 32 + boot_addr_i + + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clock + false + + clk + Input + 1 + clk + + + + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + true + true + + + java.lang.String + clk_sink + false + true + false + true + + + java.lang.String + reset_sink + false + true + false + true + + + java.lang.String + + false + true + false + true + + + com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme + INDIVIDUAL_REQUESTS + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + interrupt + true + + irq_i + Input + 32 + irq + + + false + epcq_controller_0 + interrupt_sender + epcq_controller_0.interrupt_sender + 2 + + + false + i2c_opencores_0 + interrupt_sender + i2c_opencores_0.interrupt_sender + 3 + + + false + i2c_opencores_1 + interrupt_sender + i2c_opencores_1.interrupt_sender + 4 + + + false + timer_0 + irq + timer_0.irq + 0 + + + false + jtag_uart_0 + irq + jtag_uart_0.irq + 1 + + + + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + int + 0 + false + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + SYMBOLS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk_sink + false + true + true + true + + + java.lang.String + reset_sink + false + true + true + true + + + int + 8 + false + true + true + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 32 + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + true + + instr_addr + Output + 32 + address + + + instr_rdata + Input + 32 + readdata + + + instr_read + Output + 1 + read + + + instr_rvalid + Input + 1 + readdatavalid + + + instr_busy + Input + 1 + waitrequest + + + false + onchip_memory2_0 + s1 + onchip_memory2_0.s1 + 65536 + 40960 + + + + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + int + 0 + false + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + SYMBOLS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk_sink + false + true + true + true + + + java.lang.String + reset_sink + false + true + true + true + + + int + 8 + false + true + true + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 32 + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + true + + lsu_addr + Output + 32 + address + + + lsu_rdata + Input + 32 + readdata + + + lsu_read + Output + 1 + read + + + lsu_rvalid + Input + 1 + readdatavalid + + + lsu_busy + Input + 1 + waitrequest + + + lsu_write + Output + 1 + write + + + lsu_be + Output + 4 + byteenable + + + lsu_wdata + Output + 32 + writedata + + + lsu_resp + Input + 2 + response + + + lsu_wrespvalid + Input + 1 + writeresponsevalid + + + false + jtag_uart_0 + avalon_jtag_slave + jtag_uart_0.avalon_jtag_slave + 131104 + 8 + + + false + i2c_opencores_0 + avalon_slave_0 + i2c_opencores_0.avalon_slave_0 + 135232 + 32 + + + false + i2c_opencores_1 + avalon_slave_0 + i2c_opencores_1.avalon_slave_0 + 135200 + 32 + + + false + epcq_controller_0 + avl_csr + epcq_controller_0.avl_csr + 131328 + 32 + + + false + epcq_controller_0 + avl_mem + epcq_controller_0.avl_mem + 8388608 + 8388608 + + + false + timer_0 + s1 + timer_0.s1 + 131072 + 32 + + + false + pio_0 + s1 + pio_0.s1 + 135392 + 16 + + + false + pio_1 + s1 + pio_1.s1 + 135376 + 16 + + + false + pio_2 + s1 + pio_2.s1 + 135360 + 16 + + + false + pio_3 + s1 + pio_3.s1 + 135344 + 16 + + + false + pio_4 + s1 + pio_4.s1 + 135328 + 16 + + + false + pio_5 + s1 + pio_5.s1 + 135312 + 16 + + + false + pio_6 + s1 + pio_6.s1 + 135296 + 16 + + + false + pio_7 + s1 + pio_7.s1 + 135280 + 16 + + + false + pio_8 + s1 + pio_8.s1 + 135264 + 16 + + + false + onchip_memory2_0 + s2 + onchip_memory2_0.s2 + 65536 + 40960 + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + DYNAMIC + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 8192 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + SYMBOLS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk_sink + false + true + true + true + + + java.lang.String + reset_sink + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 8192 + false + true + true + true + + + int + 0 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 1 + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + false + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + false + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + debug_addr + Input + 15 + address + + + debug_rdata + Output + 32 + readdata + + + debug_read + Input + 1 + read + + + debug_rvalid + Output + 1 + readdatavalid + + + debug_busy + Output + 1 + waitrequest + + + debug_write + Input + 1 + write + + + debug_wdata + Input + 32 + writedata + + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00010000 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_instr + onchip_memory2_0 + s1 + + int @@ -16145,7 +14697,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00821110 + 0x00020020 false true true @@ -16175,16 +14727,16 @@ parameters are a RESULT of the module parameters. --> true true - nios2_qsys_0 - data_master + pulpino_0 + avalon_master_lsu jtag_uart_0 avalon_jtag_slave int @@ -16196,7 +14748,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00821060 + 0x00021040 false true true @@ -16226,16 +14778,16 @@ parameters are a RESULT of the module parameters. --> true true - nios2_qsys_0 - data_master + pulpino_0 + avalon_master_lsu i2c_opencores_0 avalon_slave_0 int @@ -16247,7 +14799,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00821040 + 0x00021020 false true true @@ -16277,16 +14829,16 @@ parameters are a RESULT of the module parameters. --> true true - nios2_qsys_0 - data_master + pulpino_0 + avalon_master_lsu i2c_opencores_1 avalon_slave_0 int @@ -16298,7 +14850,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00821020 + 0x00020100 false true true @@ -16328,16 +14880,16 @@ parameters are a RESULT of the module parameters. --> true true - nios2_qsys_0 - data_master + pulpino_0 + avalon_master_lsu epcq_controller_0 avl_csr int @@ -16347,6 +14899,618 @@ parameters are a RESULT of the module parameters. --> true true + + java.math.BigInteger + 0x00800000 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + epcq_controller_0 + avl_mem + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00020000 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + timer_0 + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x000210e0 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + pio_0 + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x000210d0 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + pio_1 + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x000210c0 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + pio_2 + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x000210b0 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + pio_3 + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x000210a0 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + pio_4 + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00021090 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + pio_5 + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00021080 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + pio_6 + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00021070 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + pio_7 + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00021060 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + pio_8 + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00010000 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + onchip_memory2_0 + s2 + + + + int + 1 + false + true + true + true + java.math.BigInteger 0x0000 @@ -16379,17 +15543,17 @@ parameters are a RESULT of the module parameters. --> true true - nios2_qsys_0 - data_master - epcq_controller_0 - avl_mem + master_0 + master + pulpino_0 + avalon_slave_debug + start="master_0.master" + end="onchip_memory2_0.s2"> int 1 @@ -16400,7 +15564,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00820800 + 0x00010000 false true true @@ -16430,700 +15594,10 @@ parameters are a RESULT of the module parameters. --> true true - nios2_qsys_0 - data_master - nios2_qsys_0 - debug_mem_slave - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00810000 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - data_master + master_0 + master onchip_memory2_0 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00821100 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - data_master - pio_0 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x008210f0 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - data_master - pio_1 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x008210e0 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - data_master - pio_2 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x008210d0 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - data_master - pio_3 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x008210c0 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - data_master - pio_4 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00821000 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - data_master - timer_0 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x008210b0 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - data_master - pio_5 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x008210a0 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - data_master - pio_6 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00821090 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - data_master - pio_7 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00821080 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - data_master - pio_8 - s1 - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00820800 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - instruction_master - nios2_qsys_0 - debug_mem_slave - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00810000 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - instruction_master - onchip_memory2_0 - s1 - - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk - nios2_qsys_0 - clk + s2 pio_8 clk + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_27 + clk + master_0 + clk + onchip_memory2_0 clk1 + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_27 + clk + pulpino_0 + clk_sink + clock_sink - - int - 3 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - irq - i2c_opencores_0 - interrupt_sender - - int @@ -17595,16 +16088,51 @@ parameters are a RESULT of the module parameters. --> true true - nios2_qsys_0 - irq + pulpino_0 + interrupt_receiver epcq_controller_0 interrupt_sender + + int + 3 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + interrupt_receiver + i2c_opencores_0 + interrupt_sender + + int @@ -17630,51 +16158,16 @@ parameters are a RESULT of the module parameters. --> true true - nios2_qsys_0 - irq + pulpino_0 + interrupt_receiver i2c_opencores_1 interrupt_sender - - int - 1 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - irq - jtag_uart_0 - irq - - int @@ -17700,54 +16193,22 @@ parameters are a RESULT of the module parameters. --> true true - nios2_qsys_0 - irq + pulpino_0 + interrupt_receiver timer_0 irq - - java.lang.String - nios2_hw_crc32_0 - false - true - true - true - - - java.lang.String - - true - true - true - true - - + start="pulpino_0.interrupt_receiver" + end="jtag_uart_0.irq"> + int 1 false true - false - true - - - long - 0 - false - true - true - true - - - int - -1 - true - true true true @@ -17767,57 +16228,17 @@ parameters are a RESULT of the module parameters. --> true true - nios2_qsys_0 - custom_instruction_master - nios2_hw_crc32_0 - nios_custom_instruction_slave + pulpino_0 + interrupt_receiver + jtag_uart_0 + irq - - java.lang.String - nios_custom_instr_endianconverter_0 - false - true - true - true - - - java.lang.String - - true - true - true - true - - - int - 1 - false - true - false - true - - - long - 8 - false - true - true - true - - - int - -1 - true - true - true - true - + start="clk_27.clk_reset" + end="master_0.clk_reset"> java.lang.String UNKNOWN @@ -17834,77 +16255,10 @@ parameters are a RESULT of the module parameters. --> true true - nios2_qsys_0 - custom_instruction_master - nios_custom_instr_endianconverter_0 - s1 - - - - java.lang.String - nios_custom_instr_bitswap_0 - false - true - true - true - - - java.lang.String - - true - true - true - true - - - int - 1 - false - true - false - true - - - long - 9 - false - true - true - true - - - int - -1 - true - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - nios2_qsys_0 - custom_instruction_master - nios_custom_instr_bitswap_0 - s1 + clk_27 + clk_reset + master_0 + clk_reset i2c_opencores_1 clock_reset - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - clk_27 - clk_reset - nios2_qsys_0 - reset - onchip_memory2_0 reset1 + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_27 + clk_reset + pulpino_0 + reset_sink + 1 clock_source @@ -18387,7 +16741,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 16 + 17 clock_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -18395,7 +16749,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 16 + 17 reset_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -18403,7 +16757,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 17 + 18 avalon_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -18427,7 +16781,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 11 + 12 conduit_end com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -18444,44 +16798,20 @@ parameters are a RESULT of the module parameters. --> 1 - nios2_hw_crc32 + altera_jtag_avalon_master com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - nios2_hw_crc32 + JTAG to Avalon Master Bridge 17.1 3 - nios_custom_instruction_slave - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Custom Instruction Slave - 17.1 - - - 1 - altera_nios2_gen2 - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Nios II Processor - 17.1 - - - 2 avalon_master com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Master 17.1 - - 1 - interrupt_receiver - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Interrupt Receiver - 17.1 - 1 reset_source @@ -18490,30 +16820,6 @@ parameters are a RESULT of the module parameters. --> Reset Output 17.1 - - 1 - nios_custom_instruction_master - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IMutableConnectionPoint - Custom Instruction Master - 17.1 - - - 1 - altera_nios_custom_instr_bitswap - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Bitswap - 17.1 - - - 1 - altera_nios_custom_instr_endianconverter - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IModule - Endian Converter - 17.1 - 1 altera_avalon_onchip_memory2 @@ -18530,6 +16836,22 @@ parameters are a RESULT of the module parameters. --> PIO (Parallel I/O) 17.1 + + 1 + pulpino + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + pulpino + 1.0 + + + 1 + interrupt_receiver + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Interrupt Receiver + 17.1 + 1 altera_avalon_timer @@ -18547,7 +16869,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 16 + 17 clock com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection @@ -18563,15 +16885,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 3 - nios_custom_instruction - com.altera.entityinterfaces.IElementClass - com.altera.entityinterfaces.IConnection - Nios II Custom Instruction Connection - 17.1 - - - 16 + 17 reset com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection diff --git a/tools/bin2hex.c b/tools/bin2hex.c new file mode 100644 index 0000000..df206fb --- /dev/null +++ b/tools/bin2hex.c @@ -0,0 +1,53 @@ +#include +#include +#include +#include +#include +#include +#include + +#define MEMBLK 1024 + +int main(int argc, char **argv) +{ + unsigned char block[4]; + unsigned int csum; + + int fd_i; + FILE *fd_o; + struct stat fileinfo; + + unsigned int i; + + if (argc != 3) { + printf("usage: %s binfile hexfile\n", argv[0]); + return -1; + } + + if ((fd_i = open(argv[1], O_RDONLY)) == -1 || fstat(fd_i, &fileinfo) == -1) { + printf("Couldn't open file\n"); + return -1; + } + + printf("size: %u bytes\n", fileinfo.st_size); + + if ((fd_o = fopen(argv[2], "w")) == NULL) { + printf("invalid outfile\n"); + return -1; + } + + for (i=0; i>8)+((i/4)&0xff)+block[3]+block[2]+block[1]+block[0]; + csum &= 0xff; + csum = (~csum+1)&0xff; + fprintf(fd_o, ":04%.4X00%.2X%.2X%.2X%.2X%.2X\n", i/4, block[3],block[2],block[1],block[0],csum); + } + + fprintf(fd_o, ":00000001FF\n"); + + fclose(fd_o); + close(fd_i); + + return 0; +} From 0a747cbce6de8b82866093a1f4a96b75675bd914 Mon Sep 17 00:00:00 2001 From: marqs Date: Sun, 7 Oct 2018 23:34:29 +0300 Subject: [PATCH 03/21] i2c_opencores: fix compilation warnings --- ip/i2c_opencores/HAL/src/i2c_opencores.c | 6 +++--- software/sys_controller_bsp/drivers/src/i2c_opencores.c | 6 +++--- 2 files changed, 6 insertions(+), 6 deletions(-) diff --git a/ip/i2c_opencores/HAL/src/i2c_opencores.c b/ip/i2c_opencores/HAL/src/i2c_opencores.c index aa8e81b..49b2a9e 100644 --- a/ip/i2c_opencores/HAL/src/i2c_opencores.c +++ b/ip/i2c_opencores/HAL/src/i2c_opencores.c @@ -69,7 +69,7 @@ int I2C_start(alt_u32 base, alt_u32 add, alt_u32 read) IOWR_I2C_OPENCORES_CR(base, I2C_OPENCORES_CR_STA_MSK | I2C_OPENCORES_CR_WR_MSK ); /* wait for the trnasaction to be over.*/ - while( IORD_I2C_OPENCORES_SR(base) & I2C_OPENCORES_SR_TIP_MSK); + while (IORD_I2C_OPENCORES_SR(base) & I2C_OPENCORES_SR_TIP_MSK) {} /* now check to see if the address was acknowledged */ if(IORD_I2C_OPENCORES_SR(base) & I2C_OPENCORES_SR_RXNACK_MSK) @@ -119,7 +119,7 @@ alt_u32 I2C_read(alt_u32 base,alt_u32 last) IOWR_I2C_OPENCORES_CR(base, I2C_OPENCORES_CR_RD_MSK ); } /* wait for the trnasaction to be over.*/ - while( IORD_I2C_OPENCORES_SR(base) & I2C_OPENCORES_SR_TIP_MSK); + while (IORD_I2C_OPENCORES_SR(base) & I2C_OPENCORES_SR_TIP_MSK) {} /* now read the data */ return (IORD_I2C_OPENCORES_RXR(base)); @@ -162,7 +162,7 @@ alt_u32 I2C_write(alt_u32 base,alt_u8 data, alt_u32 last) IOWR_I2C_OPENCORES_CR(base, I2C_OPENCORES_CR_WR_MSK ); } /* wait for the trnasaction to be over.*/ - while( IORD_I2C_OPENCORES_SR(base) & I2C_OPENCORES_SR_TIP_MSK); + while (IORD_I2C_OPENCORES_SR(base) & I2C_OPENCORES_SR_TIP_MSK) {} /* now check to see if the address was acknowledged */ if(IORD_I2C_OPENCORES_SR(base) & I2C_OPENCORES_SR_RXNACK_MSK) diff --git a/software/sys_controller_bsp/drivers/src/i2c_opencores.c b/software/sys_controller_bsp/drivers/src/i2c_opencores.c index aa8e81b..49b2a9e 100644 --- a/software/sys_controller_bsp/drivers/src/i2c_opencores.c +++ b/software/sys_controller_bsp/drivers/src/i2c_opencores.c @@ -69,7 +69,7 @@ int I2C_start(alt_u32 base, alt_u32 add, alt_u32 read) IOWR_I2C_OPENCORES_CR(base, I2C_OPENCORES_CR_STA_MSK | I2C_OPENCORES_CR_WR_MSK ); /* wait for the trnasaction to be over.*/ - while( IORD_I2C_OPENCORES_SR(base) & I2C_OPENCORES_SR_TIP_MSK); + while (IORD_I2C_OPENCORES_SR(base) & I2C_OPENCORES_SR_TIP_MSK) {} /* now check to see if the address was acknowledged */ if(IORD_I2C_OPENCORES_SR(base) & I2C_OPENCORES_SR_RXNACK_MSK) @@ -119,7 +119,7 @@ alt_u32 I2C_read(alt_u32 base,alt_u32 last) IOWR_I2C_OPENCORES_CR(base, I2C_OPENCORES_CR_RD_MSK ); } /* wait for the trnasaction to be over.*/ - while( IORD_I2C_OPENCORES_SR(base) & I2C_OPENCORES_SR_TIP_MSK); + while (IORD_I2C_OPENCORES_SR(base) & I2C_OPENCORES_SR_TIP_MSK) {} /* now read the data */ return (IORD_I2C_OPENCORES_RXR(base)); @@ -162,7 +162,7 @@ alt_u32 I2C_write(alt_u32 base,alt_u8 data, alt_u32 last) IOWR_I2C_OPENCORES_CR(base, I2C_OPENCORES_CR_WR_MSK ); } /* wait for the trnasaction to be over.*/ - while( IORD_I2C_OPENCORES_SR(base) & I2C_OPENCORES_SR_TIP_MSK); + while (IORD_I2C_OPENCORES_SR(base) & I2C_OPENCORES_SR_TIP_MSK) {} /* now check to see if the address was acknowledged */ if(IORD_I2C_OPENCORES_SR(base) & I2C_OPENCORES_SR_RXNACK_MSK) From e8d5097ecbe9866e52f425639531786676a9dd24 Mon Sep 17 00:00:00 2001 From: marqs Date: Sun, 7 Oct 2018 23:34:58 +0300 Subject: [PATCH 04/21] replace nios crcCI with hw_crc32 qsys module --- ip/hw_crc32_qsys/CRC_Component.v | 314 +++++++++ ip/hw_crc32_qsys/hw_crc32_hw.tcl | 105 +++ software/sys_controller/memory/flash.c | 8 +- software/sys_controller/ossc/firmware.c | 14 +- software/sys_controller/ossc/utils.c | 80 +++ software/sys_controller/ossc/utils.h | 31 + .../sys_controller_bsp/drivers/inc/ci_crc.h | 38 -- software/sys_controller_bsp/drivers/inc/crc.h | 109 --- .../sys_controller_bsp/drivers/src/ci_crc.c | 97 --- software/sys_controller_bsp/drivers/src/crc.c | 265 -------- software/sys_controller_bsp/system.h | 19 +- sys.qsys | 63 +- sys.sopcinfo | 630 +++++++++++++++++- 13 files changed, 1226 insertions(+), 547 deletions(-) create mode 100644 ip/hw_crc32_qsys/CRC_Component.v create mode 100644 ip/hw_crc32_qsys/hw_crc32_hw.tcl create mode 100644 software/sys_controller/ossc/utils.c create mode 100644 software/sys_controller/ossc/utils.h delete mode 100644 software/sys_controller_bsp/drivers/inc/ci_crc.h delete mode 100644 software/sys_controller_bsp/drivers/inc/crc.h delete mode 100644 software/sys_controller_bsp/drivers/src/ci_crc.c delete mode 100644 software/sys_controller_bsp/drivers/src/crc.c diff --git a/ip/hw_crc32_qsys/CRC_Component.v b/ip/hw_crc32_qsys/CRC_Component.v new file mode 100644 index 0000000..5c67836 --- /dev/null +++ b/ip/hw_crc32_qsys/CRC_Component.v @@ -0,0 +1,314 @@ +/* + Legal Notice: (C)2006 Altera Corporation. All rights reserved. Your + use of Altera Corporation's design tools, logic functions and other + software and tools, and its AMPP partner logic functions, and any + output files any of the foregoing (including device programming or + simulation files), and any associated documentation or information are + expressly subject to the terms and conditions of the Altera Program + License Subscription Agreement or other applicable license agreement, + including, without limitation, that your use is for the sole purpose + of programming logic devices manufactured by Altera and sold by Altera + or its authorized distributors. Please refer to the applicable + agreement for further details. +*/ + +/* + This component supports 8, 16, 24, and 32 bit little endian data + and any CRC standard between 1 to 128 bits. Through parameterization + you can change the CRC standard which will take effect after you + regenerate your system in SOPC Builder. + + Register Map: + 000 -> reset the CRC peripheral to the inital value (data and byte enables ignored) + 001 -> data write between 1-32 bits + 010 -> reserved + 011 -> reserved + 100 -> read bits 1-32 of the crc result + 101 -> read bits 33-64 of the crc result (where applicable) + 110 -> read bits 65-96 of the crc result (where applicable) + 111 -> read bits 97-128 of the crc result (where applicable) + + Write latency = 0 + Read latency = 1 + + Note: This component uses four blocks of eight bits of data in cascade. + To improve the timing of logic you can create seperate cascades + for 8, 16, 24, and 32 bit data which will allow for smaller area + and a shorter combinational depth. Since CRC logic consumes power + even when not in use you can also add a logic disable feature using + the chipselect signal. Even though the registered CRC value is + held constant when the circuit is not in use the input data will + change during this time and cause the CRC cascade logic to react. +*/ + + +module CRC_Component (clk, + reset, + address, + writedata, + byteenable, + write, + read, + chipselect, + readdata); + +/* + Using these parameters you can create any CRC ranging from one bit (parity checker) + up to 128 bits. The following list describes the function of each parameter: + + crc_width: + The width of the registered CRC result, this value is typically apart of + the name of the standard (CRC32 is 32 bits wide). Adjusting this value + will impact the logic resource usage. + + polynomial_initial: + The initial value set for the CRC result register. By writing any data to address 0 + this value will be stored in the result register thereby clearing any previously existing + value. This value must be the same width as 'crc_width' + + polynomial: + This is the divisor value used on the input data. Typically shown in polynomial format + the value symbolizes the placement of xor operation on the input data. In synthesis, the + polynomial bits that are '1' will create a not gate, whereas the bits that are '0' will + simply create a wire. Even with 32 stages of these operations cascaded, the simple logic + will not become a significant factor on logic utilization or fmax. This value must be the + same width as 'crc_width' + + reflected_input: + Some CRC standards require that all the input bits be reflected around the center point. + This option is enabled with '1' and disabled with '0'. Typically this option is enabled + or disabled with 'reflected_output'. + + reflected_output: + Some CRC standards require that all the output bits be reflected around the center point. + This operation occurs before the final optional xor output step. This option is enabled + with '1' and disabled with '0'. Typically this option is enabled or disabled with + 'reflected_input' (to undo the input reversal typically). + + xor_output: + This is the value used to bitwise xor the CRC result. Most standards use either all zeros + or all ones for this value. When zeros are used the CRC result is passed directly and when + ones are used the CRC result is inverted. Since it's no mandatory that this value be all + ones or zeros, this operation occurs before the output reflection when applicable. +*/ + + parameter crc_width = 32; + parameter polynomial_inital = 32'hFFFFFFFF; + parameter polynomial = 32'h04C11DB7; + parameter reflected_input = 1; + parameter reflected_output = 1; + parameter xor_output = 32'hFFFFFFFF; + + + input clk; + input reset; + input [2:0] address; + input [31:0] writedata; + input [3:0] byteenable; + input write; + input read; + input chipselect; + output [31:0] readdata; + + reg [crc_width-1:0] crc_value; + wire [crc_width-1:0] poly = polynomial; + wire [crc_width-1:0] cascade [3:0]; + wire [7:0] block0_data, block1_data, block2_data, block3_data; + wire [crc_width-1:0] result, result_xored; + wire [31:0] mux_result; + reg [31:0] readdata; + + + /* + Some standards like CRC16 and CRC32 require this bitreversal for serial + devices like ethernet, uarts, usb, etc... + */ + genvar index; + generate if (reflected_input == 1) + begin + for(index = 0; index < 8; index = index + 1) + begin: input_reflection + assign block0_data[index] = writedata[7-index]; + assign block1_data[index] = writedata[15-index]; + assign block2_data[index] = writedata[23-index]; + assign block3_data[index] = writedata[31-index]; + end + end + else + begin + assign block0_data = writedata[7:0]; + assign block1_data = writedata[15:8]; + assign block2_data = writedata[23:16]; + assign block3_data = writedata[31:24]; + end + endgenerate + + + /* + Control for the registered events. It assumes that either 8, 16, 24, or 32 + bit data is being written using byte enables. It is important that the data + be in little endian format and no gaps of byte enables be present (like + 1011 or 1101 for example) + */ + always @ (posedge clk or posedge reset) + begin + if(reset == 1) + begin + crc_value <= 0; + end + else + begin + if(write && chipselect && (address == 3'b000)) + begin + crc_value <= polynomial_inital; // reset the crc to the initial value + end + else if(write && chipselect && (address == 3'b001)) + begin + if(byteenable == 4'b0001) // 8 bit data input + begin + crc_value <= cascade[0]; + end + else if(byteenable == 4'b0011) // 16 bit data input + begin + crc_value <= cascade[1]; + end + else if(byteenable == 4'b0111) // 24 bit data input + begin + crc_value <= cascade[2]; + end + else if(byteenable == 4'b1111) // 32 bit data input + begin + crc_value <= cascade[3]; + end + end + end + end + + + /* four stages of cascade blocks (each block is crc_width x 8 bits) */ + XOR_Shift_Block cascade_block0(.block_input(crc_value), .poly(poly), .data_input(block0_data), .block_output(cascade[0])); + defparam cascade_block0.crc_width = crc_width; + XOR_Shift_Block cascade_block1(.block_input(cascade[0]), .poly(poly), .data_input(block1_data), .block_output(cascade[1])); + defparam cascade_block1.crc_width = crc_width; + XOR_Shift_Block cascade_block2(.block_input(cascade[1]), .poly(poly), .data_input(block2_data), .block_output(cascade[2])); + defparam cascade_block2.crc_width = crc_width; + XOR_Shift_Block cascade_block3(.block_input(cascade[2]), .poly(poly), .data_input(block3_data), .block_output(cascade[3])); + defparam cascade_block3.crc_width = crc_width; + + + + /* + Some standards like CRC16 and CRC32 require this bitreversal. + This is to better support serial devices like uarts, ethernet, usb, etc...) + */ + generate if (reflected_output == 1) + begin + for(index = 0; index < crc_width; index = index + 1) + begin: output_reflection32 + assign result[index] = crc_value[(crc_width-1)-index]; + end + end + else + begin + assign result = crc_value; + end + endgenerate + + + /* This final xor operation occurs after the bit swap */ + assign result_xored = result ^ xor_output; + + + /* Generates the appropriate MUX logic depending on the CRC width */ + generate if((crc_width > 32) && (crc_width < 65)) + begin + assign mux_result = (address == 3'b100)? result_xored[31:0] : result_xored[crc_width-1:32]; + end + else if((crc_width > 64) && (crc_width < 97)) + begin + assign mux_result = (address == 3'b100)? result_xored[31:0] : + ((address == 3'b101)? result_xored[63:32] : result_xored[crc_width-1:64]); + end + else if((crc_width > 96) && (crc_width < 129)) + begin + assign mux_result = (address == 3'b100)? result_xored[31:0] : + ((address == 3'b101)? result_xored[63:32] : + ((address == 3'b110)? result_xored[95:64] : result_xored[crc_width-1:96])); + end + else + begin + assign mux_result = result_xored; + end + endgenerate + + + /* Registering the return path of the CRC data (32 bits of it) */ + always @ (posedge clk or posedge reset) + begin + if(reset == 1) + begin + readdata <= 0; + end + else if((read == 1) && (chipselect == 1)) + begin + readdata <= mux_result; + end + end + +endmodule + + + +/* a single cascade block of width: crc_width and a length of eight input bits */ +module XOR_Shift_Block(block_input, + poly, + data_input, + block_output); + parameter crc_width = 32; + + input [(crc_width-1):0] block_input; + input [(crc_width-1):0] poly; + input [7:0] data_input; + output [(crc_width-1):0] block_output; + + wire [(crc_width-1):0] cascade [7:0]; + + XOR_Shift bit_0(.stage_input(block_input), .poly(poly), .new_bit(data_input[7]), .stage_output(cascade[0])); + defparam bit_0.crc_width = crc_width; + XOR_Shift bit_1(.stage_input(cascade[0]), .poly(poly), .new_bit(data_input[6]), .stage_output(cascade[1])); + defparam bit_1.crc_width = crc_width; + XOR_Shift bit_2(.stage_input(cascade[1]), .poly(poly), .new_bit(data_input[5]), .stage_output(cascade[2])); + defparam bit_2.crc_width = crc_width; + XOR_Shift bit_3(.stage_input(cascade[2]), .poly(poly), .new_bit(data_input[4]), .stage_output(cascade[3])); + defparam bit_3.crc_width = crc_width; + XOR_Shift bit_4(.stage_input(cascade[3]), .poly(poly), .new_bit(data_input[3]), .stage_output(cascade[4])); + defparam bit_4.crc_width = crc_width; + XOR_Shift bit_5(.stage_input(cascade[4]), .poly(poly), .new_bit(data_input[2]), .stage_output(cascade[5])); + defparam bit_5.crc_width = crc_width; + XOR_Shift bit_6(.stage_input(cascade[5]), .poly(poly), .new_bit(data_input[1]), .stage_output(cascade[6])); + defparam bit_6.crc_width = crc_width; + XOR_Shift bit_7(.stage_input(cascade[6]), .poly(poly), .new_bit(data_input[0]), .stage_output(cascade[7])); + defparam bit_7.crc_width = crc_width; + + assign block_output = cascade[7]; + +endmodule + + +/* performs the 'new_bit' stuffing, shifting, and XOR operations for a single input bit */ +module XOR_Shift (stage_input, + poly, + new_bit, + stage_output); + + parameter crc_width = 32; + + input [crc_width-1:0] stage_input; + input [crc_width-1:0] poly; + input new_bit; + output [crc_width-1:0] stage_output; + + assign stage_output[0] = new_bit ^ stage_input[crc_width-1]; + assign stage_output[crc_width-1:1] = stage_input[crc_width-2:0] ^ ({crc_width-1{stage_output[0]}} & poly[crc_width-1:1]); + +endmodule diff --git a/ip/hw_crc32_qsys/hw_crc32_hw.tcl b/ip/hw_crc32_qsys/hw_crc32_hw.tcl new file mode 100644 index 0000000..558a1e0 --- /dev/null +++ b/ip/hw_crc32_qsys/hw_crc32_hw.tcl @@ -0,0 +1,105 @@ +# +# request TCL package from ACDS 16.1 +# +package require -exact qsys 16.1 + + +# +# module pulpino +# +set_module_property DESCRIPTION "HW CRC32" +set_module_property NAME hw_crc32 +#set_module_property VERSION 1.0 +set_module_property INTERNAL false +set_module_property OPAQUE_ADDRESS_MAP true +set_module_property GROUP "DSP" +set_module_property AUTHOR "" +set_module_property DISPLAY_NAME hw_crc32 +set_module_property INSTANTIATE_IN_SYSTEM_MODULE true +set_module_property EDITABLE true +set_module_property REPORT_TO_TALKBACK false +set_module_property ALLOW_GREYBOX_GENERATION false +set_module_property REPORT_HIERARCHY false + + +set adv_dbg_if false + +# +# file sets +# +add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" +set_fileset_property QUARTUS_SYNTH TOP_LEVEL CRC_Component +set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false +add_fileset_file CRC_Component.v SYSTEM_VERILOG PATH CRC_Component.v TOP_LEVEL_FILE + + +add_fileset sim_verilog SIM_VERILOG "" "Verilog Simulation" +set_fileset_property SIM_VERILOG ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property SIM_VERILOG ENABLE_FILE_OVERWRITE_MODE false +set_fileset_property SIM_VERILOG TOP_LEVEL CRC_Component +add_fileset_file CRC_Component.v SYSTEM_VERILOG PATH CRC_Component.v TOP_LEVEL_FILE + + + +# +# connection point clk_sink +# +add_interface clk_sink clock end +set_interface_property clk_sink ENABLED true +set_interface_property clk_sink EXPORT_OF "" +set_interface_property clk_sink PORT_NAME_MAP "" +set_interface_property clk_sink CMSIS_SVD_VARIABLES "" +set_interface_property clk_sink SVD_ADDRESS_GROUP "" + +add_interface_port clk_sink clk clk Input 1 + + + +# +# connection point reset_sink +# +add_interface reset_sink reset end +set_interface_property reset_sink associatedClock clk_sink +set_interface_property reset_sink synchronousEdges DEASSERT +set_interface_property reset_sink ENABLED true +set_interface_property reset_sink EXPORT_OF "" +set_interface_property reset_sink PORT_NAME_MAP "" +set_interface_property reset_sink CMSIS_SVD_VARIABLES "" +set_interface_property reset_sink SVD_ADDRESS_GROUP "" + +add_interface_port reset_sink reset reset Input 1 + + + +# +# connection point avalon_slave +# +add_interface avalon_slave avalon end +set_interface_property avalon_slave addressUnits WORDS +set_interface_property avalon_slave associatedClock clk_sink +set_interface_property avalon_slave associatedReset reset_sink +set_interface_property avalon_slave bitsPerSymbol 8 +set_interface_property avalon_slave burstOnBurstBoundariesOnly false +set_interface_property avalon_slave burstcountUnits WORDS +set_interface_property avalon_slave explicitAddressSpan 0 +set_interface_property avalon_slave holdTime 0 +set_interface_property avalon_slave linewrapBursts false +set_interface_property avalon_slave maximumPendingReadTransactions 0 +set_interface_property avalon_slave readLatency 1 +set_interface_property avalon_slave readWaitTime 1 +set_interface_property avalon_slave setupTime 0 +set_interface_property avalon_slave timingUnits Cycles +set_interface_property avalon_slave writeWaitTime 0 +set_interface_property avalon_slave ENABLED true +set_interface_property avalon_slave EXPORT_OF "" +set_interface_property avalon_slave PORT_NAME_MAP "" +set_interface_property avalon_slave CMSIS_SVD_VARIABLES "" +set_interface_property avalon_slave SVD_ADDRESS_GROUP "" +add_interface_port avalon_slave address address Input 3 +add_interface_port avalon_slave readdata readdata Output 32 +add_interface_port avalon_slave read read Input 1 +add_interface_port avalon_slave chipselect chipselect Input 1 +add_interface_port avalon_slave byteenable byteenable Input 4 +add_interface_port avalon_slave write write Input 1 +add_interface_port avalon_slave writedata writedata Input 32 diff --git a/software/sys_controller/memory/flash.c b/software/sys_controller/memory/flash.c index df146f8..4561bf4 100644 --- a/software/sys_controller/memory/flash.c +++ b/software/sys_controller/memory/flash.c @@ -21,7 +21,7 @@ #include #include "system.h" #include "flash.h" -#include "ci_crc.h" +#include "utils.h" extern alt_epcq_controller_dev epcq_controller_0; @@ -50,7 +50,7 @@ int read_flash(alt_u32 offset, alt_u32 length, alt_u8 *dstbuf) return -FLASH_READ_ERROR; for (i=0; i> 24; + dstbuf[i] = bitswap8(dstbuf[i]); return 0; } @@ -71,7 +71,7 @@ int write_flash_page(alt_u8 *pagedata, alt_u32 length, alt_u32 pagenum) // Bit-reverse bytes for flash for (i=0; i> 24; + pagedata[i] = bitswap8(pagedata[i]); retval = alt_epcq_controller_write_block(&epcq_controller_dev->dev, (pagenum/PAGES_PER_SECTOR)*PAGES_PER_SECTOR*PAGESIZE, pagenum*PAGESIZE, pagedata, length); @@ -95,7 +95,7 @@ int verify_flash(alt_u32 offset, alt_u32 length, alt_u32 golden_crc, alt_u8 *tmp if (retval != 0) return retval; - //crcval = crcCI(tmpbuf, bytes_to_read, (i==0)); + crcval = crc32(tmpbuf, bytes_to_read, (i==0)); } if (crcval != golden_crc) diff --git a/software/sys_controller/ossc/firmware.c b/software/sys_controller/ossc/firmware.c index 6f490f9..e480591 100644 --- a/software/sys_controller/ossc/firmware.c +++ b/software/sys_controller/ossc/firmware.c @@ -26,7 +26,7 @@ #include "tvp7002.h" #include "av_controller.h" #include "lcd.h" -#include "ci_crc.h" +#include "utils.h" #include "altera_avalon_pio_regs.h" extern char menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; @@ -48,19 +48,19 @@ static int check_fw_header(alt_u8 *databuf, fw_hdr *hdr) hdr->version_suffix[7] = 0; memcpy(&tmp, databuf+14, 4); - hdr->hdr_len = ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0(tmp); + hdr->hdr_len = bswap32(tmp); memcpy(&tmp, databuf+18, 4); - hdr->data_len = ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0(tmp); + hdr->data_len = bswap32(tmp); memcpy(&tmp, databuf+22, 4); - hdr->data_crc = ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0(tmp); + hdr->data_crc = bswap32(tmp); // Always at bytes [508-511] memcpy(&tmp, databuf+508, 4); - hdr->hdr_crc = ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0(tmp); + hdr->hdr_crc = bswap32(tmp); if (hdr->hdr_len < 26 || hdr->hdr_len > 508) return FW_HDR_ERROR; - //crcval = crcCI(databuf, hdr->hdr_len, 1); + crcval = crc32(databuf, hdr->hdr_len, 1); if (crcval != hdr->hdr_crc) return FW_HDR_CRC_ERROR; @@ -81,7 +81,7 @@ static int check_fw_image(alt_u32 offset, alt_u32 size, alt_u32 golden_crc, alt_ if (retval != SD_OK) return retval; - //crcval = crcCI(tmpbuf, bytes_to_read, (i==0)); + crcval = crc32(tmpbuf, bytes_to_read, (i==0)); } if (crcval != golden_crc) diff --git a/software/sys_controller/ossc/utils.c b/software/sys_controller/ossc/utils.c new file mode 100644 index 0000000..db7b984 --- /dev/null +++ b/software/sys_controller/ossc/utils.c @@ -0,0 +1,80 @@ +// +// Copyright (C) 2018 Markus Hiienkari +// +// This file is part of Open Source Scan Converter project. +// +// This program is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program. If not, see . +// + +#include "utils.h" +#include "system.h" +#include "io.h" + +unsigned char bitswap8(unsigned char v) +{ + return ((v * 0x0802LU & 0x22110LU) | + (v * 0x8020LU & 0x88440LU)) * 0x10101LU >> 16; +} + +alt_u32 bswap32(alt_u32 w) +{ + return (((w << 24) & 0xff000000) | + ((w << 8) & 0x00ff0000) | + ((w >> 8) & 0x0000ff00) | + ((w >> 24) & 0x000000ff)); +} + +unsigned long crc32(unsigned char *input_data, unsigned long input_data_length, int do_initialize) +{ + unsigned long index; + + /* copy of the data buffer pointer so that it can advance by different widths */ + void * input_data_copy = (void *)input_data; + + /* The custom instruction CRC will initialize to the inital remainder value */ + if (do_initialize) + IOWR_32DIRECT(HW_CRC32_0_BASE, 0x0, 0x0); + + /* Write 32 bit data to the custom instruction. If the buffer does not end + * on a 32 bit boundary then the remaining data will be sent to the custom + * instruction in the 'if' statement below. + */ + for(index = 0; index < (input_data_length & 0xFFFFFFFC); index+=4) + { + IOWR_32DIRECT(HW_CRC32_0_BASE, 0x4, *(unsigned long *)input_data_copy); + input_data_copy += 4; /* void pointer, must move by 4 for each word */ + } + + /* Write the remainder of the buffer if it does not end on a word boundary */ + if((input_data_length & 0x3) == 0x3) /* 3 bytes left */ + { + IOWR_16DIRECT(HW_CRC32_0_BASE, 0x4, *(unsigned short *)input_data_copy); + input_data_copy += 2; + IOWR_8DIRECT(HW_CRC32_0_BASE, 0x4, *(unsigned char *)input_data_copy); + } + else if((input_data_length & 0x3) == 0x2) /* 2 bytes left */ + { + IOWR_16DIRECT(HW_CRC32_0_BASE, 0x4, *(unsigned short *)input_data_copy); + } + else if((input_data_length & 0x3) == 0x1) /* 1 byte left */ + { + IOWR_8DIRECT(HW_CRC32_0_BASE, 0x4, *(unsigned char *)input_data_copy); + } + + /* There are 4 registers in the CRC custom instruction. Since + * this example uses CRC-32 only the first register must be read + * in order to receive the full result. + */ + return IORD_32DIRECT(HW_CRC32_0_BASE, 0x10); +} diff --git a/software/sys_controller/ossc/utils.h b/software/sys_controller/ossc/utils.h new file mode 100644 index 0000000..80a81e6 --- /dev/null +++ b/software/sys_controller/ossc/utils.h @@ -0,0 +1,31 @@ +// +// Copyright (C) 2018 Markus Hiienkari +// +// This file is part of Open Source Scan Converter project. +// +// This program is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program. If not, see . +// + +#ifndef UTILS_H_ +#define UTILS_H_ + +#include + +unsigned char bitswap8(unsigned char v); + +alt_u32 bswap32(alt_u32 w); + +unsigned long crc32(unsigned char *input_data, unsigned long input_data_length, int do_initialize); + +#endif diff --git a/software/sys_controller_bsp/drivers/inc/ci_crc.h b/software/sys_controller_bsp/drivers/inc/ci_crc.h deleted file mode 100644 index ba4d983..0000000 --- a/software/sys_controller_bsp/drivers/inc/ci_crc.h +++ /dev/null @@ -1,38 +0,0 @@ -/****************************************************************************** -* * -* License Agreement * -* * -* Copyright (c) 2008 Altera Corporation, San Jose, California, USA. * -* All rights reserved. * -* * -* Permission is hereby granted, free of charge, to any person obtaining a * -* copy of this software and associated documentation files (the "Software"), * -* to deal in the Software without restriction, including without limitation * -* the rights to use, copy, modify, merge, publish, distribute, sublicense, * -* and/or sell copies of the Software, and to permit persons to whom the * -* Software is furnished to do so, subject to the following conditions: * -* * -* The above copyright notice and this permission notice shall be included in * -* all copies or substantial portions of the Software. * -* * -* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * -* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * -* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * -* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * -* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * -* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * -* DEALINGS IN THE SOFTWARE. * -* * -* This agreement shall be governed in all respects by the laws of the State * -* of California and by the laws of the United States of America. * -* Altera does not recommend, suggest or require that this reference design * -* file be used in conjunction or combination with any other product. * -******************************************************************************/ - - -#ifndef _CRCCI_H_ -#define _CRCCI_H_ - -unsigned long crcCI(unsigned char * input_data, unsigned long input_data_length, int do_initialize); - -#endif //_CRCCI_H_ diff --git a/software/sys_controller_bsp/drivers/inc/crc.h b/software/sys_controller_bsp/drivers/inc/crc.h deleted file mode 100644 index 4db516e..0000000 --- a/software/sys_controller_bsp/drivers/inc/crc.h +++ /dev/null @@ -1,109 +0,0 @@ -/****************************************************************************** -* * -* License Agreement * -* * -* Copyright (c) 2008 Altera Corporation, San Jose, California, USA. * -* All rights reserved. * -* * -* Permission is hereby granted, free of charge, to any person obtaining a * -* copy of this software and associated documentation files (the "Software"), * -* to deal in the Software without restriction, including without limitation * -* the rights to use, copy, modify, merge, publish, distribute, sublicense, * -* and/or sell copies of the Software, and to permit persons to whom the * -* Software is furnished to do so, subject to the following conditions: * -* * -* The above copyright notice and this permission notice shall be included in * -* all copies or substantial portions of the Software. * -* * -* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * -* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * -* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * -* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * -* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * -* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * -* DEALINGS IN THE SOFTWARE. * -* * -* This agreement shall be governed in all respects by the laws of the State * -* of California and by the laws of the United States of America. * -* Altera does not recommend, suggest or require that this reference design * -* file be used in conjunction or combination with any other product. * -******************************************************************************/ - - -/********************************************************************** - * - * Filename: crc.h - * - * Description: A header file describing the various CRC standards. - * - * Notes: - * - * - * Copyright (c) 2000 by Michael Barr. This software is placed into - * the public domain and may be used for any purpose. However, this - * notice must not be changed or removed and no warranty is either - * expressed or implied by its publication or distribution. - **********************************************************************/ - -#ifndef _crc_h -#define _crc_h - - -#define FALSE 0 -#define TRUE !FALSE - -/* - * Select the CRC standard from the list that follows. - */ -#define CRC32 - - -#if defined(CRC_CCITT) - -typedef unsigned short crc; - -#define CRC_NAME "CRC-CCITT" -#define POLYNOMIAL 0x1021 -#define INITIAL_REMAINDER 0xFFFF -#define FINAL_XOR_VALUE 0x0000 -#define REFLECT_DATA FALSE -#define REFLECT_REMAINDER FALSE -#define CHECK_VALUE 0x29B1 - -#elif defined(CRC16) - -typedef unsigned short crc; - -#define CRC_NAME "CRC-16" -#define POLYNOMIAL 0x8005 -#define INITIAL_REMAINDER 0x0000 -#define FINAL_XOR_VALUE 0x0000 -#define REFLECT_DATA TRUE -#define REFLECT_REMAINDER TRUE -#define CHECK_VALUE 0xBB3D - -#elif defined(CRC32) - -typedef unsigned long crc; - -#define CRC_NAME "CRC-32" -#define POLYNOMIAL 0x04C11DB7 -#define INITIAL_REMAINDER 0xFFFFFFFF -#define FINAL_XOR_VALUE 0xFFFFFFFF -#define REFLECT_DATA TRUE -#define REFLECT_REMAINDER TRUE -#define CHECK_VALUE 0xCBF43926 - -#else - -#error "One of CRC_CCITT, CRC16, or CRC32 must be #define'd." - -#endif - - -void crcInit(void); -crc crcSlow(unsigned char const message[], int nBytes); -crc crcFast(unsigned char const message[], int nBytes); - - -#endif /* _crc_h */ diff --git a/software/sys_controller_bsp/drivers/src/ci_crc.c b/software/sys_controller_bsp/drivers/src/ci_crc.c deleted file mode 100644 index 7f9b0f0..0000000 --- a/software/sys_controller_bsp/drivers/src/ci_crc.c +++ /dev/null @@ -1,97 +0,0 @@ -/****************************************************************************** -* * -* License Agreement * -* * -* Copyright (c) 2008 Altera Corporation, San Jose, California, USA. * -* All rights reserved. * -* * -* Permission is hereby granted, free of charge, to any person obtaining a * -* copy of this software and associated documentation files (the "Software"), * -* to deal in the Software without restriction, including without limitation * -* the rights to use, copy, modify, merge, publish, distribute, sublicense, * -* and/or sell copies of the Software, and to permit persons to whom the * -* Software is furnished to do so, subject to the following conditions: * -* * -* The above copyright notice and this permission notice shall be included in * -* all copies or substantial portions of the Software. * -* * -* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * -* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * -* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * -* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * -* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * -* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * -* DEALINGS IN THE SOFTWARE. * -* * -* This agreement shall be governed in all respects by the laws of the State * -* of California and by the laws of the United States of America. * -* Altera does not recommend, suggest or require that this reference design * -* file be used in conjunction or combination with any other product. * -******************************************************************************/ - - -/********************************************************************** - * - * Filename: ci_crc.c - * - * Description: Custom instruction implementations of the CRC. - * - * Notes: A macro is defined that is used to access the CRC custom - * instruction. - *********************************************************************/ - -#include "system.h" - -/*The n values and their corresponding operation are as follow: - * n = 0, Initialize the custom instruction to the initial remainder value - * n = 1, Write 8 bits data to custom instruction - * n = 2, Write 16 bits data to custom instruction - * n = 3, Write 32 bits data to custom instruction - * n = 4, Read 32 bits data from the custom instruction - * n = 5, Read 64 bits data from the custom instruction - * n = 6, Read 96 bits data from the custom instruction - * n = 7, Read 128 bits data from the custom instruction*/ -#define CRC_CI_MACRO(n, A) __builtin_custom_ini(ALT_CI_NIOS2_HW_CRC32_0_N + (n & 0x7), (A)) - -unsigned long crcCI(unsigned char * input_data, unsigned long input_data_length, int do_initialize) -{ - unsigned long index; - /* copy of the data buffer pointer so that it can advance by different widths */ - void * input_data_copy = (void *)input_data; - - /* The custom instruction CRC will initialize to the inital remainder value */ - if (do_initialize) - CRC_CI_MACRO(0,0); - - /* Write 32 bit data to the custom instruction. If the buffer does not end - * on a 32 bit boundary then the remaining data will be sent to the custom - * instruction in the 'if' statement below. - */ - for(index = 0; index < (input_data_length & 0xFFFFFFFC); index+=4) - { - CRC_CI_MACRO(3, *(unsigned long *)input_data_copy); - input_data_copy += 4; /* void pointer, must move by 4 for each word */ - } - - /* Write the remainder of the buffer if it does not end on a word boundary */ - if((input_data_length & 0x3) == 0x3) /* 3 bytes left */ - { - CRC_CI_MACRO(2, *(unsigned short *)input_data_copy); - input_data_copy += 2; - CRC_CI_MACRO(1, *(unsigned char *)input_data_copy); - } - else if((input_data_length & 0x3) == 0x2) /* 2 bytes left */ - { - CRC_CI_MACRO(2, *(unsigned short *)input_data_copy); - } - else if((input_data_length & 0x3) == 0x1) /* 1 byte left */ - { - CRC_CI_MACRO(1, *(unsigned char *)input_data_copy); - } - - /* There are 4 registers in the CRC custom instruction. Since - * this example uses CRC-32 only the first register must be read - * in order to receive the full result. - */ - return CRC_CI_MACRO(4, 0); -} diff --git a/software/sys_controller_bsp/drivers/src/crc.c b/software/sys_controller_bsp/drivers/src/crc.c deleted file mode 100644 index f00568d..0000000 --- a/software/sys_controller_bsp/drivers/src/crc.c +++ /dev/null @@ -1,265 +0,0 @@ -/****************************************************************************** -* * -* License Agreement * -* * -* Copyright (c) 2008 Altera Corporation, San Jose, California, USA. * -* All rights reserved. * -* * -* Permission is hereby granted, free of charge, to any person obtaining a * -* copy of this software and associated documentation files (the "Software"), * -* to deal in the Software without restriction, including without limitation * -* the rights to use, copy, modify, merge, publish, distribute, sublicense, * -* and/or sell copies of the Software, and to permit persons to whom the * -* Software is furnished to do so, subject to the following conditions: * -* * -* The above copyright notice and this permission notice shall be included in * -* all copies or substantial portions of the Software. * -* * -* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * -* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * -* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * -* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * -* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * -* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER * -* DEALINGS IN THE SOFTWARE. * -* * -* This agreement shall be governed in all respects by the laws of the State * -* of California and by the laws of the United States of America. * -* Altera does not recommend, suggest or require that this reference design * -* file be used in conjunction or combination with any other product. * -******************************************************************************/ - - -/********************************************************************** - * - * Filename: crc.c - * - * Description: Slow and fast implementations of the CRC standards. - * - * Notes: The parameters for each supported CRC standard are - * defined in the header file crc.h. The implementations - * here should stand up to further additions to that list. - * - * - * Copyright (c) 2000 by Michael Barr. This software is placed into - * the public domain and may be used for any purpose. However, this - * notice must not be changed or removed and no warranty is either - * expressed or implied by its publication or distribution. - **********************************************************************/ - -#include "crc.h" - - -/* - * Derive parameters from the standard-specific parameters in crc.h. - */ -#define WIDTH (8 * sizeof(crc)) -#define TOPBIT (1 << (WIDTH - 1)) - -#if (REFLECT_DATA == TRUE) -#undef REFLECT_DATA -#define REFLECT_DATA(X) ((unsigned char) reflect((X), 8)) -#else -#undef REFLECT_DATA -#define REFLECT_DATA(X) (X) -#endif - -#if (REFLECT_REMAINDER == TRUE) -#undef REFLECT_REMAINDER -#define REFLECT_REMAINDER(X) ((crc) reflect((X), WIDTH)) -#else -#undef REFLECT_REMAINDER -#define REFLECT_REMAINDER(X) (X) -#endif - - -/********************************************************************* - * - * Function: reflect() - * - * Description: Reorder the bits of a binary sequence, by reflecting - * them about the middle position. - * - * Notes: No checking is done that nBits <= 32. - * - * Returns: The reflection of the original data. - * - *********************************************************************/ -static unsigned long -reflect(unsigned long data, unsigned char nBits) -{ - unsigned long reflection = 0x00000000; - unsigned char bit; - - /* - * Reflect the data about the center bit. - */ - for (bit = 0; bit < nBits; ++bit) - { - /* - * If the LSB bit is set, set the reflection of it. - */ - if (data & 0x01) - { - reflection |= (1 << ((nBits - 1) - bit)); - } - - data = (data >> 1); - } - - return (reflection); - -} /* reflect() */ - - -/********************************************************************* - * - * Function: crcSlow() - * - * Description: Compute the CRC of a given message. - * - * Notes: - * - * Returns: The CRC of the message. - * - *********************************************************************/ -crc -crcSlow(unsigned char const message[], int nBytes) -{ - crc remainder = INITIAL_REMAINDER; - int byte; - unsigned char bit; - - - /* - * Perform modulo-2 division, a byte at a time. - */ - for (byte = 0; byte < nBytes; ++byte) - { - /* - * Bring the next byte into the remainder. - */ - remainder ^= (REFLECT_DATA(message[byte]) << (WIDTH - 8)); - - /* - * Perform modulo-2 division, a bit at a time. - */ - for (bit = 8; bit > 0; --bit) - { - /* - * Try to divide the current data bit. - */ - if (remainder & TOPBIT) - { - remainder = (remainder << 1) ^ POLYNOMIAL; - } - else - { - remainder = (remainder << 1); - } - } - } - - /* - * The final remainder is the CRC result. - */ - return (REFLECT_REMAINDER(remainder) ^ FINAL_XOR_VALUE); - -} /* crcSlow() */ - - -crc crcTable[256]; - - -/********************************************************************* - * - * Function: crcInit() - * - * Description: Populate the partial CRC lookup table. - * - * Notes: This function must be rerun any time the CRC standard - * is changed. If desired, it can be run "offline" and - * the table results stored in an embedded system's ROM. - * - * Returns: None defined. - * - *********************************************************************/ -void -crcInit(void) -{ - crc remainder; - int dividend; - unsigned char bit; - - - /* - * Compute the remainder of each possible dividend. - */ - for (dividend = 0; dividend < 256; ++dividend) - { - /* - * Start with the dividend followed by zeros. - */ - remainder = dividend << (WIDTH - 8); - - /* - * Perform modulo-2 division, a bit at a time. - */ - for (bit = 8; bit > 0; --bit) - { - /* - * Try to divide the current data bit. - */ - if (remainder & TOPBIT) - { - remainder = (remainder << 1) ^ POLYNOMIAL; - } - else - { - remainder = (remainder << 1); - } - } - - /* - * Store the result into the table. - */ - crcTable[dividend] = remainder; - } - -} /* crcInit() */ - - -/********************************************************************* - * - * Function: crcFast() - * - * Description: Compute the CRC of a given message. - * - * Notes: crcInit() must be called first. - * - * Returns: The CRC of the message. - * - *********************************************************************/ -crc -crcFast(unsigned char const message[], int nBytes) -{ - crc remainder = INITIAL_REMAINDER; - unsigned char data; - int byte; - - - /* - * Divide the message by the polynomial, a byte at a time. - */ - for (byte = 0; byte < nBytes; ++byte) - { - data = REFLECT_DATA(message[byte]) ^ (remainder >> (WIDTH - 8)); - remainder = crcTable[data] ^ (remainder << 8); - } - - /* - * The final remainder is the CRC. - */ - return (REFLECT_REMAINDER(remainder) ^ FINAL_XOR_VALUE); - -} /* crcFast() */ diff --git a/software/sys_controller_bsp/system.h b/software/sys_controller_bsp/system.h index 3f10d63..b0d4636 100644 --- a/software/sys_controller_bsp/system.h +++ b/software/sys_controller_bsp/system.h @@ -133,17 +133,13 @@ * */ -//#define ALT_CI_NIOS2_HW_CRC32_0(n,A) __builtin_custom_ini(ALT_CI_NIOS2_HW_CRC32_0_N+(n&ALT_CI_NIOS2_HW_CRC32_0_N_MASK),(A)) +/*#define ALT_CI_NIOS2_HW_CRC32_0(n,A) __builtin_custom_ini(ALT_CI_NIOS2_HW_CRC32_0_N+(n&ALT_CI_NIOS2_HW_CRC32_0_N_MASK),(A)) #define ALT_CI_NIOS2_HW_CRC32_0_N 0x0 #define ALT_CI_NIOS2_HW_CRC32_0_N_MASK ((1<<3)-1) -//#define ALT_CI_NIOS_CUSTOM_INSTR_BITSWAP_0(A) __builtin_custom_ini(ALT_CI_NIOS_CUSTOM_INSTR_BITSWAP_0_N,(A)) +#define ALT_CI_NIOS_CUSTOM_INSTR_BITSWAP_0(A) __builtin_custom_ini(ALT_CI_NIOS_CUSTOM_INSTR_BITSWAP_0_N,(A)) #define ALT_CI_NIOS_CUSTOM_INSTR_BITSWAP_0_N 0x9 -//#define ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0(A) __builtin_custom_ini(ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0_N,(A)) -#define ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0_N 0x8 - -#define ALT_CI_NIOS2_HW_CRC32_0(n,A) n -#define ALT_CI_NIOS_CUSTOM_INSTR_BITSWAP_0(A) A -#define ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0(A) A +#define ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0(A) __builtin_custom_ini(ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0_N,(A)) +#define ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0_N 0x8*/ /* @@ -323,6 +319,13 @@ #define ONCHIP_MEMORY2_0_TYPE "altera_avalon_onchip_memory2" #define ONCHIP_MEMORY2_0_WRITABLE 1 +/* + * hw_crc32_0 configuration + * + */ +#define ALT_MODULE_CLASS_hw_crc32_0 hw_crc32 +#define HW_CRC32_0_BASE 0x21000 + /* * pio_0 configuration diff --git a/sys.qsys b/sys.qsys index 39f9b68..673ccbe 100644 --- a/sys.qsys +++ b/sys.qsys @@ -51,7 +51,7 @@ type = "String"; } } - element i2c_opencores_0 + element hw_crc32_0 { datum _sortIndex { @@ -59,6 +59,27 @@ type = "int"; } } + element hw_crc32_0.avalon_slave + { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } + datum baseAddress + { + value = "135168"; + type = "String"; + } + } + element i2c_opencores_0 + { + datum _sortIndex + { + value = "8"; + type = "int"; + } + } element i2c_opencores_0.avalon_slave_0 { datum _lockedAddress @@ -76,7 +97,7 @@ { datum _sortIndex { - value = "8"; + value = "9"; type = "int"; } } @@ -160,7 +181,7 @@ { datum _sortIndex { - value = "9"; + value = "10"; type = "int"; } } @@ -181,7 +202,7 @@ { datum _sortIndex { - value = "10"; + value = "11"; type = "int"; } } @@ -202,7 +223,7 @@ { datum _sortIndex { - value = "11"; + value = "12"; type = "int"; } } @@ -223,7 +244,7 @@ { datum _sortIndex { - value = "12"; + value = "13"; type = "int"; } } @@ -244,7 +265,7 @@ { datum _sortIndex { - value = "13"; + value = "14"; type = "int"; } } @@ -265,7 +286,7 @@ { datum _sortIndex { - value = "14"; + value = "15"; type = "int"; } } @@ -286,7 +307,7 @@ { datum _sortIndex { - value = "15"; + value = "16"; type = "int"; } } @@ -307,7 +328,7 @@ { datum _sortIndex { - value = "16"; + value = "17"; type = "int"; } } @@ -328,7 +349,7 @@ { datum _sortIndex { - value = "17"; + value = "18"; type = "int"; } } @@ -489,6 +510,7 @@ ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 + + + + + + + + diff --git a/sys.sopcinfo b/sys.sopcinfo index 8f6d1fe..5548d6b 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1538769758 + 1538869480 false true false @@ -414,6 +414,12 @@ parameters are a RESULT of the module parameters. --> clk_sink pulpino_0.clk_sink + + false + hw_crc32_0 + clk_sink + hw_crc32_0.clk_sink + false i2c_opencores_0 @@ -1636,6 +1642,493 @@ parameters are a RESULT of the module parameters. --> + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk_sink + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset + Input + 1 + reset + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + DYNAMIC + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 32 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk_sink + false + true + true + true + + + java.lang.String + reset_sink + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 1 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 3 + address + + + readdata + Output + 32 + readdata + + + read + Input + 1 + read + + + chipselect + Input + 1 + chipselect + + + byteenable + Input + 4 + byteenable + + + write + Input + 1 + write + + + writedata + Input + 32 + writedata + + + 131104 8 + + false + hw_crc32_0 + avalon_slave + hw_crc32_0.avalon_slave + 135168 + 32 + false i2c_opencores_0 @@ -14732,6 +15233,57 @@ parameters are a RESULT of the module parameters. --> jtag_uart_0 avalon_jtag_slave + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00021000 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + hw_crc32_0 + avalon_slave + pulpino_0 clk_sink + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_27 + clk + hw_crc32_0 + clk_sink + pulpino_0 reset_sink + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_27 + clk_reset + hw_crc32_0 + reset_sink + 1 clock_source @@ -16741,7 +17347,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 17 + 18 clock_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -16749,7 +17355,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 17 + 18 reset_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -16757,7 +17363,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 18 + 19 avalon_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -16772,6 +17378,14 @@ parameters are a RESULT of the module parameters. --> Interrupt Sender 17.1 + + 1 + hw_crc32 + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + hw_crc32 + 1.0 + 2 i2c_opencores @@ -16861,7 +17475,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 19 + 20 avalon com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection @@ -16869,7 +17483,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 17 + 18 clock com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection @@ -16885,7 +17499,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 17 + 18 reset com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection From 7914a2ee83e4880e9cb481519027284b262d56b8 Mon Sep 17 00:00:00 2001 From: marqs Date: Mon, 8 Oct 2018 00:37:42 +0300 Subject: [PATCH 05/21] clean up and update README --- README.md | 59 +- ossc.qsf | 3 +- ossc_sw_bsp.project | 8 +- rtl/ossc.v | 41 +- software/ossc_sw.project | 4 +- software/sys_controller/Makefile | 1 + .../mem_init/sys_onchip_memory2_0.hex | 12788 ++++++++-------- .../sys_controller_bsp/HAL/src/alt_main.c | 4 +- software/sys_controller_bsp/Makefile | 19 +- software/sys_controller_bsp/libhal_bsp.a | Bin 27838 -> 27838 bytes sys.sopcinfo | 4 +- 11 files changed, 6504 insertions(+), 6427 deletions(-) diff --git a/README.md b/README.md index 4757b1a..e209e38 100644 --- a/README.md +++ b/README.md @@ -12,6 +12,7 @@ Requirements for building and debugging firmware * Software * [Altera Quartus II + Cyclone IV support](http://dl.altera.com/?edition=lite) (v 16.1 or higher - free Lite Edition suffices) + * [RISC-V GNU Compiler Toolchain](https://github.com/riscv/riscv-gnu-toolchain) * GCC (or another C compiler) for host architecture (for building a SD card image) * Make * [iconv](https://en.wikipedia.org/wiki/Iconv) (for building with JP lang menu) @@ -19,31 +20,33 @@ Requirements for building and debugging firmware Architecture ------------------------------ -* [Reference board schematics](https://www.niksula.hut.fi/~mhiienka/ossc/diy-v1.5/ossc_v1.5-diy_schematic.pdf) +* [Reference board schematics](https://github.com/marqs85/ossc_pcb/raw/v1.6/ossc_board.pdf) * [Reference PCB project](https://github.com/marqs85/ossc_pcb) +SW toolchain build procedure +-------------------------- +1. Download, configure, build and install RISC-V toolchain with Newlib + multilib support: +~~~~ +git clone --recursive https://github.com/riscv/riscv-gnu-toolchain +cd riscv-gnu-toolchain +./configure --prefix=/opt/riscv --enable-multilib +make +make install +~~~~ +2. Compile custom binary to IHEX converter: +~~~~ +gcc tools/bin2hex.c -o tools/bin2hex +~~~~ + + Building software image -------------------------- -1. Enter BSP directory: -~~~~ -cd software/sys_controller_bsp -~~~~ -2. (Optionally) edit BSP settings: -~~~~ -nios2-bsp-editor -~~~~ -3. Generate BSP: -~~~~ -nios2-bsp-generate-files --bsp-dir . --settings settings.bsp -~~~~ -NOTE: the previous step must be done every time after RTL/bitstream is built - -4. Enter software root directory: +1. Enter software root directory: ~~~~ cd software/sys_controller ~~~~ -5. Build SW for target configuration: +2. Build SW for target configuration: ~~~~ make [OPTIONS] [TARGET] ~~~~ @@ -52,21 +55,25 @@ OPTIONS may include following definitions: * ENABLE_AUDIO=y (Includes audio setup code for v1.6 PCB / DIY audio add-on board) TARGET is typically one of the following: -* all (Default target. Compiles an ELF for direct downloading to Nios2 during testing) +* all (Default target. Compiles an ELF for direct downloading to CPU during testing) * generate_hex (Generates a memory initialization file required for bitstream) * clean (cleans ELF and intermediate files. Should be invoked every time OPTIONS are changed between compilations, expect with generate_hex where it is done automatically) -6. Optionally test updated SW by downloading ELF to Nios2 CPU via JTAG (RTL-SW interface in active FW must be compatible new SW BSP configuration) +3. Optionally test updated SW by directly downloading ELF to CPU via JTAG ~~~~ -nios2-download -g --accept-bad-sysid sys_controller.elf +make rv-reprogram ~~~~ -Building RTL / bitstream +Building RTL (bitstream) -------------------------- -1. Load the project (ossc.qpf) in Quartus -2. Generate the FPGA bitstream (Processing -> Start Compilation). NOTE: make sure software image (software/sys_controller/mem_init/sys_onchip_memory2_0.hex) is up to date before generating bitstream. -3. Ensure that there are no severe timing violations by looking into Timing Analyzer report +1. Initialize pulpino submodules (once after cloning ossc project) +~~~~ +git submodule update --init --recursive ip/pulpino_qsys +~~~~ +2. Load the project (ossc.qpf) in Quartus +3. Generate the FPGA bitstream (Processing -> Start Compilation). NOTE: make sure software hex image (software/sys_controller/mem_init/sys_onchip_memory2_0.hex) is up to date before generating bitstream. +4. Ensure that there are no severe timing violations by looking into Timing Analyzer report If only software image is updated, bitstream can be quickly rebuilt by running "Processing->Update Memory Initialization File" and "Processing->Start->Start Assembler" in Quartus. @@ -105,8 +112,8 @@ make clean && make APP_CFLAGS_DEBUG_LEVEL="-DDEBUG" ~~~~ NOTE: Fw update functionality via SD card is disabled in debug builds due to code space limitations. If audio support is enabled on debug build, other functionality needs to be disabled as well. -2. Program Nios2 CPU via JTAG and open terminal for UART +2. Program CPU via JTAG and open terminal for UART ~~~~ -nios2-download -g --accept-bad-sysid sys_controller.elf && nios2-terminal +make rv-reprogram && nios2-terminal ~~~~ Remember to close nios2-terminal after debug session, otherwise any JTAG transactions will hang/fail. diff --git a/ossc.qsf b/ossc.qsf index 9b5e5b3..f072bc6 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -57,7 +57,7 @@ set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" set_location_assignment PIN_25 -to clk27 -set_location_assignment PIN_99 -to reset_n +set_location_assignment PIN_99 -to hw_reset_n set_location_assignment PIN_23 -to ir_rx #============================================================ @@ -245,4 +245,5 @@ set_global_assignment -name QIP_FILE rtl/mux5.qip set_global_assignment -name CDF_FILE output_files/Chain1.cdf set_global_assignment -name SIGNALTAP_FILE output_files/ossc_la.stp + set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/ossc_sw_bsp.project b/ossc_sw_bsp.project index 8916c24..157fd75 100644 --- a/ossc_sw_bsp.project +++ b/ossc_sw_bsp.project @@ -1,5 +1,5 @@ - + @@ -280,10 +280,9 @@ - nios2-bsp-editor make clean - nios2-bsp-generate-files --bsp-dir . --settings settings.bsp + make @@ -320,10 +319,9 @@ - nios2-bsp-editor make clean - nios2-bsp-generate-files --bsp-dir ./ --settings settings.bsp + make diff --git a/rtl/ossc.v b/rtl/ossc.v index 1918ef3..3d5e61a 100644 --- a/rtl/ossc.v +++ b/rtl/ossc.v @@ -19,7 +19,7 @@ //`define DEBUG `define VIDEOGEN -`define CPU_RESET_WIDTH 27 //1us +`define PO_RESET_WIDTH 27 //1us module ossc ( input clk27, @@ -43,7 +43,7 @@ module ossc ( output HDMI_TX_PCLK, input HDMI_TX_INT_N, input HDMI_TX_MODE, - output reset_n, + output hw_reset_n, output LED_G, output LED_R, output LCD_RS, @@ -81,9 +81,10 @@ wire PCLK_out_videogen; wire DE_out_videogen; -reg [7:0] cpu_reset_ctr = 0; -reg cpu_reset_n = 1'b0; -wire ext_reset_req; +reg [7:0] po_reset_ctr = 0; +reg po_reset_n = 1'b0; +wire jtagm_reset_req; +wire sys_reset_n = (po_reset_n & ~jtagm_reset_req); reg [7:0] R_in_L, G_in_L, B_in_L; reg HSYNC_in_L, VSYNC_in_L, FID_in_L; @@ -106,9 +107,9 @@ wire lcd_bl_timeout; // Latch inputs from TVP7002 (synchronized to PCLK_in) -always @(posedge PCLK_in or negedge reset_n) +always @(posedge PCLK_in or negedge hw_reset_n) begin - if (!reset_n) begin + if (!hw_reset_n) begin R_in_L <= 8'h00; G_in_L <= 8'h00; B_in_L <= 8'h00; @@ -126,9 +127,9 @@ begin end // Insert synchronizers to async inputs (synchronize to CPU clock) -always @(posedge clk27 or negedge cpu_reset_n) +always @(posedge clk27 or negedge po_reset_n) begin - if (!cpu_reset_n) begin + if (!po_reset_n) begin btn_L <= 2'b00; btn_LL <= 2'b00; ir_rx_L <= 1'b0; @@ -149,16 +150,16 @@ begin end end -// CPU reset pulse generation (is this really necessary?) +// Power-on reset pulse generation (not strictly necessary) always @(posedge clk27) begin - if (cpu_reset_ctr == `CPU_RESET_WIDTH) - cpu_reset_n <= 1'b1; + if (po_reset_ctr == `PO_RESET_WIDTH) + po_reset_n <= 1'b1; else - cpu_reset_ctr <= cpu_reset_ctr + 1'b1; + po_reset_ctr <= po_reset_ctr + 1'b1; end -assign reset_n = sys_ctrl[0]; //HDMI_TX_RST_N in v1.2 PCB +assign hw_reset_n = sys_ctrl[0]; //HDMI_TX_RST_N in v1.2 PCB `ifdef DEBUG @@ -172,7 +173,7 @@ assign LED_G = (ir_code == 0); assign SD_DAT[3] = sys_ctrl[7]; //SD_SPI_SS_N assign LCD_CS_N = sys_ctrl[6]; assign LCD_RS = sys_ctrl[5]; -wire lcd_bl_on = sys_ctrl[4]; //reset_n in v1.2 PCB +wire lcd_bl_on = sys_ctrl[4]; //hw_reset_n in v1.2 PCB wire [1:0] lcd_bl_time = sys_ctrl[3:2]; assign LCD_BL = lcd_bl_on ? (~lcd_bl_timeout | lt_active) : 1'b0; @@ -227,12 +228,12 @@ end sys sys_inst( .clk_clk (clk27), - .reset_reset_n (cpu_reset_n & ~ext_reset_req), + .reset_reset_n (sys_reset_n), .pulpino_0_config_testmode_i (1'b0), .pulpino_0_config_fetch_enable_i (1'b1), .pulpino_0_config_clock_gating_i (1'b0), .pulpino_0_config_boot_addr_i (32'h00010000), - .master_0_master_reset_reset (ext_reset_req), + .master_0_master_reset_reset (jtagm_reset_req), .i2c_opencores_0_export_scl_pad_io (scl), .i2c_opencores_0_export_sda_pad_io (sda), .i2c_opencores_0_export_spi_miso_pad_i (1'b0), @@ -251,7 +252,7 @@ sys sys_inst( ); scanconverter scanconverter_inst ( - .reset_n (reset_n), + .reset_n (hw_reset_n), .PCLK_in (PCLK_in), .clk27 (clk27), .HSYNC_in (HSYNC_in_L), @@ -285,7 +286,7 @@ scanconverter scanconverter_inst ( ir_rcv ir0 ( .clk27 (clk27), - .reset_n (cpu_reset_n), + .reset_n (po_reset_n), .ir_rx (ir_rx_LL), .ir_code (ir_code), .ir_code_ack (), @@ -310,7 +311,7 @@ lat_tester lt0 ( `ifdef VIDEOGEN videogen vg0 ( .clk27 (clk27), - .reset_n (cpu_reset_n & videogen_sel), + .reset_n (po_reset_n & videogen_sel), .lt_active (lt_active), .lt_mode (lt_mode_synced), .R_out (R_out_videogen), diff --git a/software/ossc_sw.project b/software/ossc_sw.project index 5d8bca9..3ad82d8 100644 --- a/software/ossc_sw.project +++ b/software/ossc_sw.project @@ -121,7 +121,7 @@ - + @@ -135,6 +135,7 @@ make ENABLE_AUDIO=y APP_CFLAGS_DEBUG_LEVEL="-DDEBUG" + cd ../sys_controller_bsp && touch public.mk Makefile make clean make APP_CFLAGS_DEBUG_LEVEL="-DDEBUG" @@ -180,6 +181,7 @@ make generate_hex make OSDLANG=JP make ENABLE_AUDIO=y + cd ../sys_controller_bsp && touch public.mk Makefile make clean make diff --git a/software/sys_controller/Makefile b/software/sys_controller/Makefile index e5b48fc..02bc1f1 100644 --- a/software/sys_controller/Makefile +++ b/software/sys_controller/Makefile @@ -165,6 +165,7 @@ else C_SRCS += ossc/menu.c endif C_SRCS += ossc/userdata.c +C_SRCS += ossc/utils.c C_SRCS += ulibSD/sd_io.c C_SRCS += ulibSD/spi_io.c CXX_SRCS := diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index e569cf8..85881a6 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -53,9 +53,9 @@ :040034000000911720 :04003500F3010113BF :0400360000007D1732 -:0400370023CD0D13B5 +:0400370037CD0D13A1 :0400380000007D97B0 -:040039006B8D8D93AB +:040039007F8D8D9397 :04003A0001BD57634A :04003B00000D202371 :04003C00DDE30D11E2 @@ -64,41 +64,41 @@ :04003F00C6064501AB :040040002005200572 :04004100A60367DDCE -:0400420067DD3147FE -:040043003187A583D9 +:0400420067DD4547EA +:040043004587A583C5 :0400440040B267DD82 -:0400450031C7A50317 +:0400450045C7A50303 :04004600106F0141F5 -:04004700A941753026 +:04004700A94178F063 :0400480067DD80826E :040049000002073773 -:04004A0032E7A223D4 +:04004A0046E7A223C0 :04004B0007B7655D31 :04004C00675D019C4F :04004D00CC078793C2 -:04004E00A48505136D -:04004F0032F7202341 +:04004E00B885051359 +:04004F0046F720232D :04005000675DACE953 -:040051003207270348 +:040051004607270334 :04005200A78367DD3C -:04005300557D32475E +:04005300557D46474A :040054004721CB1164 :040055006741C3D864 :04005600C798177DB3 :040057004711C7D8AE :040058004501C3D8C3 :0400590067DD80825D -:04005A003207A7833F +:04005A004607A7832B :04005B00CF91557D6F :04005C00A70367DDB2 -:04005D0028233247DB +:04005D0028234647C7 :04005E004B1C000730 :04005F0007C24B4841 :0400600083C1054211 :0400610045138D5D59 :040062008082FFF5A4 :04006300A50367DDAD -:04006400808232075D +:040064008082460749 :040065002703C9396B :0400660011410C0533 :0400670000859793E6 @@ -183,7 +183,7 @@ :0400B600013685B3D7 :0400B700953E8626C6 :0400B800C436C63A4A -:0400B90052C040EF02 +:0400B90066C040EFEE :0400BA000B89278304 :0400BB004732467210 :0400BC00C39097A2B4 @@ -256,7 +256,7 @@ :0400FF00114100C8E3 :04010000853A863680 :0401010040EFC606FF -:0401020040B240A027 +:0401020040B254A013 :04010300853E47816D :0401040080820141B3 :04010500853E57A933 @@ -270,7 +270,7 @@ :04010D00E963FEB7ED :04010E0066D902F6B6 :04010F008693078A42 -:0401100097B699C63F +:0401100097B6ADC62B :040111008782439C02 :04011200200007932F :040113000D0526832D @@ -303,7 +303,7 @@ :04012E00D918DD5CA3 :04012F0002052A2378 :04013000C134DD10E9 -:040131002645859347 +:040131003A45859333 :0401320040B22201B4 :04013300853E47813D :040134008082014183 @@ -370,7 +370,7 @@ :04017100BFD507856A :04017200451CC1194E :0401730067DDEF91C4 -:040174002607A78330 +:040174003A07A7831C :040175001141C395DC :040176009782C606A0 :0401770047D940B272 @@ -380,8 +380,8 @@ :04017B00419CC11CC6 :04017C00C188C3C8AB :04017D008082450136 -:04017E000513655DA3 -:04017F0047D979459E +:04017E00051365619F +:04017F0047D98D458A :040180005529C11C20 :040181000000808278 :0401820000B567332A @@ -581,7 +581,7 @@ :04024400014106105E :040245001141BF594B :04024600645DC4220D -:0402470033840413E5 +:0402470047840413D1 :0402480000244583C6 :04024900C60645158B :04024A004783374966 @@ -656,10 +656,10 @@ :04028F00458135BDB3 :040290000C500513F6 :0402910064DD359D56 -:040292003384879397 +:040292004784879383 :040293000137A42368 :040294008493478583 -:040295004409338461 +:04029500440947844D :040296000363463286 :04029700442900F9FD :0402980082234785F1 @@ -842,11 +842,11 @@ :04034900CA56D4229A :04034A0036418A327C :04034B0069DD46B270 -:04034C003389871357 +:04034C004789871343 :04034D0097938A8573 :04034E0046830016CC :04034F00899300C7C7 -:040350009AF533895E +:040350009AF547894A :0403510006238EDD14 :040352008A8900D7BD :040353004505C29901 @@ -886,10 +886,10 @@ :0403750065DD47C13A :0403760016F4916385 :040377008593460D17 -:04037800051326C57E +:0403780005133AC56A :040379003CC9073044 :04037A00464965DDAE -:04037B00B4C58593ED +:04037B00C8C58593D9 :04037C00E593A83528 :04037D00BFAD040507 :04037E000805E593F6 @@ -901,10 +901,10 @@ :040384000FF47413EB :0403850065DDB77506 :040386008593460D08 -:04038700051327052E +:0403870005133B051A :040388003C590730A5 :04038900464965DD9F -:04038A00B60585939C +:04038A00CA05859388 :04038B0007600513EF :04038C00A89FF0EF47 :04038D000513448987 @@ -967,17 +967,17 @@ :0403C60045054AD2CD :0403C700808261458A :0403C800460D65DD9C -:0403C90027058593EC +:0403C9003B058593D8 :0403CA0007300513E0 :0403CB0098DFF0EFD8 :0403CC00464965DD5C -:0403CD00B3858593DC +:0403CD00C7858593C8 :0403CE00460DBDD546 -:0403CF0026C5859327 +:0403CF003AC5859313 :0403D00007300513DA :0403D100975FF0EF53 :0403D200464965DD56 -:0403D300B245859317 +:0403D300C645859303 :0403D4004401BDF132 :0403D500B5C54481E5 :0403D600051345E1E5 @@ -1002,9 +1002,9 @@ :0403E9000590051363 :0403EA00F06F01416E :0403EB0067DD901F1B -:0403EC00A4878793C8 +:0403EC00B8878793B4 :0403ED002423675D01 -:0403EE00A70334F736 +:0403EE00A70348F722 :0403EF0005130C875F :0403F000CB01F380CA :0403F1000D47A7030A @@ -1012,6355 +1012,6435 @@ :0403F30000F7136399 :0403F40080824501BD :0403F50067DD11416E -:0403F60086AEC422E9 -:0403F70085AA842E21 -:0403F8003487A5039E -:0403F900C606C2264C -:0403FA00F0EF84B2EA -:0403FB004781BF4F28 -:0403FC000513C90913 -:0403FD00A801F370F0 -:0403FE0000F487334D -:0403FF0000070023D0 -:040400001BE307856E -:0404010040B2FEF413 -:0404020044924422BA -:0404030080820141B1 -:04040400CC221101F4 -:04040500CA2684324D -:040406007793CE0614 -:0404070086AA0FF4BE -:04040800062284AE96 -:040409004701C38D57 -:04040A0004971263DE -:04040B00A50367DD01 -:04040C007593348729 -:04040D0005A2F00450 -:04040E00A1EFF0EF7B -:04040F00C10D87AAEA -:04041000F35007930B -:0404110067DDA831CA -:04041200A503C62A4E -:0404130085B23487F3 -:04041400F0EFC4320F -:0404150007939B8F1F -:040416004622F36027 -:04041700D56146B2B3 -:04041800446240F208 -:04041900853E44D206 -:04041A008082610576 -:04041B0000E687B3BD -:04041C000007802332 -:04041D00BF4D0705C3 -:04041E00D4227179FA -:04041F00D04AD226C7 -:04042000D606CE4EE0 -:04042100893284AEEA -:0404220009934401F5 -:040423006E631000F4 -:0404240045010094FA -:040425000009046363 -:04042600F340051387 -:04042700542250B259 -:04042800590254928F -:04042900614549F2EE -:04042A0085B3808294 -:04042B00F4634084B2 -:04042C00059300B97B -:04042D0086361000FF -:04042E00C636852227 -:04042F00FD793F21F3 -:04043000100404139D -:04043100B7E146B237 -:04043200CC221101C6 -:04043300C62A645D14 -:0404340034C40513B4 -:0404350030EFCE06D0 -:0404360045B2444047 -:040437000713E909B5 -:0404380046812000D9 -:040439000513460160 -:04043A0030EF34C4A7 -:04043B0040F25780B4 -:04043C0061054462B0 -:04043D0065DD808277 -:04043E008593655DE0 -:04043F0005133A0562 -:04044000206F3605EE -:0404410065DD658090 -:040442008593655DDC -:040443000513400558 -:04044400206F3745A9 -:04044500114164807D -:04044600C606C42200 -:04044700F0EF842A24 -:040448004581A4BF87 -:04044900F0EF45018A -:04044A00E435B33FA3 -:04044B000713675DCF -:04044C0043483B479F -:04044D00019C07B750 -:04044E00CC078793BD -:04044F0002A7D7B376 -:0404500000A70603F8 -:04045100450345E931 -:0404520066DD01075B -:0404530049C686937D -:040454000413645DCC -:04045500063341C465 -:04045600458302B622 -:0404570087B3026401 -:0404580096B202A7AF -:0404590000E6D503E1 -:04045A0002744603DF -:04045B0002A787B3BA -:04045C0000C745038D -:04045D0087B3050557 -:04045E00450302A7A9 -:04045F00050500E7A8 -:0404600002F5053369 -:04046100847FF0EFB5 -:0404620002644503E8 -:0404630040B244223D -:04046400F06F0141F3 -:0404650040B2E36F4F -:0404660001414422EA -:04046700114180823D -:04046800892AC04AD3 -:04046900C606450579 -:04046A00C226C422C0 -:04046B00B31FF0EFDC -:04046C00997FF0EF95 -:04046D00450145817F -:04046E009C9FF0EF70 -:04046F0000193693A7 -:04047000458146017B -:04047100F0EF45055E -:040472001563B49FBB -:04047300645D0209B9 -:04047400041364DD2C -:0404750084933B44ED -:04047600470341C433 -:04047700C68300F444 -:0404780046010164D4 -:040479004501458173 -:04047A00D9CFF0EFF7 -:04047B000164C783CE -:04047C0002F406A3DD -:04047D003705854A70 -:04047E0040B2442222 -:04047F004902449258 -:0404800001414501F0 -:04048100AD9FF06FCC -:04048200C606114158 -:04048300ED2DC42275 -:04048400C78367DDE6 -:04048500472138577C -:0404860004E78C6398 -:0404870089634741FD -:04048800471100E731 -:0404890004E79E6383 -:04048A0034F020EF3B -:04048B00A0A14505E2 -:04048C000713675D8E -:04048D0043503B4756 -:04048E00019C07B70F -:04048F00CC0787937C -:0404900002C7D7B315 -:0404910000A70603B7 -:04049200675D45E974 -:0404930049C707133B -:04049400063386AAFB -:04049500973202B6E2 -:0404960000E7570321 -:0404970002E787B33E -:0404980001C9C73798 -:0404990037F7071317 -:04049A0000F76363A1 -:04049B00853646BD9F -:04049C00307020EFAD -:04049D004422450DA3 -:04049E00014140B226 -:04049F006C00206F5E -:0404A0002F7020EFAA -:0404A100BFC545018D -:0404A200871367DD78 -:0404A300468341C784 -:0404A40047090207FB -:0404A50041C78413B4 -:0404A6008363453DEA -:0404A700450100E625 -:0404A8002D7020EFA4 -:0404A900020447837F -:0404AA00450D4709AC -:0404AB00FCF775E302 -:0404AC008D1D451548 -:0404AD000FF57513BF -:0404AE007179BF7D24 -:0404AF00D60667897D -:0404B000D226D4225A -:0404B100CE4ED04A11 -:0404B200CA56CC5208 -:0404B300C65EC85AFF -:0404B400C266C462F6 -:0404B50071078793B1 -:0404B60000021737F2 -:0404B7000C07268385 -:0404B8000006D46303 -:0404B900FBFD17FD33 -:0404BA0053F020EFEC -:0404BB0087936BDDDB -:0404BC00C4833B4B6F -:0404BD008A2A00B7D0 -:0404BE003B4B84131D -:0404BF0017B7C4990E -:0404C000A48300020F -:0404C10080C10C07E3 -:0404C2000513888D09 -:0404C30020EF0370B3 -:0404C4008AAA6AC0D6 -:0404C5000380051398 -:0404C6006A2020EF99 -:0404C7001993678599 -:0404C8008793008591 -:0404C900F9B3F0078C -:0404CA00579300F94B -:0404CB008B850065B8 -:0404CC000513892A61 -:0404CD0004A30390F1 -:0404CE0020EF00F427 -:0404CF008CAA68008B -:0404D00003A005136D -:0404D100676020EF51 -:0404D200000217B756 -:0404D3000C07A783E8 -:0404D400E9B3470938 -:0404D5008B630159DB -:0404D600470302E4F2 -:0404D700E70900B47D -:0404D80018F00713FE -:0404D900033774630E -:0404DA009D634705D2 -:0404DB00D99300E4CD -:0404DC00F993012768 -:0404DD0009857FF915 -:0404DE001909B7132E -:0404DF0000174713A8 -:0404E000A8114C0112 -:0404E1000209791380 -:0404E200FE0908E324 -:0404E3000C89B713B6 -:0404E40000174713A3 -:0404E50046834C05F9 -:0404E60067DD00B41A -:0404E70087936ADDB0 -:0404E8008493328740 -:0404E9006B5D41CA3C -:0404EA0018069F63EE -:0404EB00380A006368 -:0404EC0036070E635E -:0404ED00000787037A -:0404EE00D163468907 -:0404EF00802318E668 -:0404F0004785000735 -:0404F10000F405A36B -:0404F200A783491182 -:0404F3001A133B4B52 -:0404F4006505008515 -:0404F500F0050513F6 -:0404F60000AA7A33AB -:0404F70000178693D1 -:0404F800019A6A33C8 -:0404F900ED63675DEB -:0404FA0086930136AE -:0404FB00E963001998 -:0404FC00405C00F66A -:0404FD0001479663BA -:0404FE0000844783AC -:0404FF0033878B6351 -:040500003E070EA301 -:0405010000C4C70368 -:0405020002344783F5 -:0405030008F71A6378 -:0405040000D4C70355 -:0405050002444783E2 -:0405060008F714637B -:0405070000E4C70342 -:0405080002544783CF -:0405090006F71E6370 -:04050A0000F4C7032F -:04050B0002644783BC -:04050C0006F7186373 -:04050D000104C7031B -:04050E0002744783A9 -:04050F0006F7126376 -:040510000074C703A9 -:0405110001E4478337 -:0405120004F71C636B -:040513000084C70396 -:0405140001F4478324 -:0405150004F716636E -:040516000094C70383 -:040517000204478310 -:0405180004F7106371 -:0405190000A4C70370 -:04051A0002144783FD -:04051B0002F71A6366 -:04051C0000B4C7035D -:04051D0002244783EA -:04051E0002F7146369 -:04051F000194C70379 -:040520000304478306 -:0405210000F71E635E -:0405220001A4C70366 -:0405230003144783F3 -:0405240000F7186361 -:040525000244C703C2 -:0405260003B4478350 -:0405270000F709636D -:0405280087CA47092E -:0405290000E973630F -:04052A00F9134789F1 -:04052B00C7030FF7FC -:04052C00478301748C -:04052D00086302E479 -:04052E00078302F746 -:04052F00476900A474 -:0405300002E787B3A4 -:040531000713675DE8 -:0405320097BA49C764 -:040533000107D703E2 -:0405340020D0079339 -:0405350000F719634F -:0405360087CA470920 -:0405370000E9736301 -:04053800F9134789E3 -:0405390047830FF7EE -:04053A00C3B1415BAD -:04053B0000A407030E -:04053C0067DD46E948 -:04053D0002D70733A7 -:04053E0049C787938F -:04053F00010445036B -:04054000010A159304 -:04054100460181C12D -:04054200D70397BA8A -:04054300053300E795 -:04054400470302E582 -:040545000542030464 -:04054600C70981411F -:040547000187D6034F -:040548008A05820599 -:04054900650020EF3A -:04054A00000913632E -:04054B00AA23490591 -:04054C0022233B3BF0 -:04054D00042301443E -:04054E00A03501844F -:04054F0080230705F9 -:04055000490100E776 -:040551000463B55931 -:040552001163000A27 -:0405530086831E0776 -:0405540056710007D5 -:040555001CC6D76386 -:0405560000078023F7 -:04055700000405A3F4 -:0405580014E349114E -:04055900C703E607E7 -:04055A00478341CAC8 -:04055B001E630174A6 -:04055C00C70306F7D4 -:04055D0047830014BC -:04055E001863018499 -:04055F00C70306F7D1 -:0405600047830024A9 -:04056100126301948C -:04056200C70306F7CE -:040563004783003496 -:040564001C6301A46F -:04056500C70304F7CD -:040566004783004483 -:04056700166301B462 -:04056800C70304F7CA -:040569004783005470 -:04056A00106301C455 -:04056B00C70304F7C7 -:04056C00478301249C -:04056D001A63029477 -:04056E00C70302F7C6 -:04056F004783013489 -:04057000146302A46A -:04057100C70302F7C3 -:040572004783014476 -:040573001E6302B44D -:04057400C70300F7C2 -:0405750047830114A3 -:040576001863028480 -:04057700C70300F7BF -:04057800478302545F -:04057900056303C44F -:04057A00136300F710 -:04057B004905000925 -:04057C000184C5032E -:04057D0002F44783BA -:04057E0000A78B63E4 -:04057F0001044583AB -:0405800001B020EFB7 -:0405810000A408A327 -:0405820000091363F6 -:04058300C50349055E -:04058400478301C4E4 -:040585008463033454 -:0405860020EF00A7BB -:04058700C503039015 -:04058800478301D4D0 -:040589008463034440 -:04058A0020EF00A7B7 -:04058B00C5034D0057 -:04058C00478301E4BC -:04058D00846303542C -:04058E0020EF00A7B3 -:04058F00C5034CA0B4 -:040590004783021487 -:04059100C583038497 -:040592009663022446 -:04059300478300A7F3 -:0405940084630394E5 -:0405950020EF00B79C -:04059600C5034860F1 -:04059700478301B4E1 -:040598008B6303244A -:0405990047E100A78F -:04059A0002F505332E -:04059B00879367D902 -:04059C00953E6A8797 -:04059D0060A020EF4B -:04059E000204C5038B -:04059F000374478317 -:0405A00000A78463C9 -:0405A100B85FF0EF60 -:0405A20001F4C50398 -:0405A3000364478323 -:0405A40000A78463C5 -:0405A500706020EF73 -:0405A60069DD65DDC9 -:0405A7008593461DD5 -:0405A80085133F4533 -:0405A90030EF445992 -:0405AA00C50913A0CC -:0405AB004459851317 -:0405AC0045C020EF37 -:0405AD000264C7031A -:0405AE0003D44783A8 -:0405AF0000F71863D6 -:0405B0000274C70307 -:0405B10003E4478395 -:0405B20000F70663E5 -:0405B3000154C50327 -:0405B400A47FF0EF41 -:0405B500C78367DDB4 -:0405B600CF81416749 -:0405B7000284C503F2 -:0405B80003F447837E -:0405B90000A78763AD -:0405BA000562155170 -:0405BB0010EF856157 -:0405BC0085937210A1 -:0405BD00051341CA17 -:0405BE0006130174AB -:0405BF0030EF031006 -:0405C00050B2112004 -:0405C1000AA3542213 -:0405C200854A400B1B -:0405C30059025492F3 -:0405C4004A6249F24C -:0405C5004B424AD289 -:0405C6004C224BB2C6 -:0405C70061454C92AC -:0405C80016FD80821A -:0405C90000D78023B4 -:0405CA00BD25490101 -:0405CB000007802382 -:0405CC004783BFE5BD -:0405CD0046853FD749 -:0405CE00CCD786E31D -:0405CF00F793078512 -:0405D0000EA30FF770 -:0405D1009FE33EF76F -:0405D2004709CAD734 -:0405D300736387CAFD -:0405D400478900E96A -:0405D5000FF7F91310 -:0405D600675DB17537 -:0405D700C6221141E6 -:0405D8003B47069304 -:0405D90000A68E03E7 -:0405DA0068DD45E9AA -:0405DB0002BE05B3A4 -:0405DC000176C503DC -:0405DD0049C88793EF -:0405DE000106C60349 -:0405DF00071346892F -:0405E00088933B477A -:0405E10097AE49C8C0 -:0405E2000147C30307 -:0405E30000C7D80372 -:0405E4000137C58393 -:0405E50018D51463AE -:0405E60001874683C0 -:0405E700F6930685FC -:0405E80055030FF6B2 -:0405E9004F830147F4 -:0405EA00479102270C -:0405EB0080050E93E6 -:0405EC00020E826316 -:0405ED008E936785FD -:0405EE00E56380073A -:0405EF0007931AAEA6 -:0405F0000963020099 -:0405F100E1631CF5B1 -:0405F2004EC118A737 -:0405F30003634791C6 -:0405F40087B201D5F4 -:0405F5004E83655D6F -:0405F600452138550E -:0405F70000AE966359 -:0405F80003420306B1 -:0405F9000103531394 -:0405FA00012745038D -:0405FB0078634F05CD -:0405FC000333006560 -:0405FD001F1340A3E5 -:0405FE005F13010383 -:0405FF004569010F3A -:0406000002AE05330E -:0406010040F602B30A -:0406020044054E0954 -:040603005E83954637 -:04060400450300A505 -:040605008333012515 -:0406060003B303D265 -:04060700433300AFCA -:040608004E0303C3D7 -:0406090082B302971F -:04060A000342025352 -:04060B000103531381 -:04060C00200003B710 -:04060D0003C78E335E -:04060E0093169E1A87 -:04060F0000D742834B -:0406100003420E4251 -:04061100010E5E1365 -:04061200010353137A -:04061300936302FAF1 -:040614004381008F8F -:0406150002A6053301 -:0406160000100FB70A -:04061700800F8F932E -:040618000E4E07C2B9 -:0406190005AE06EE36 -:04061A000EB34432A5 -:04061B00052E03D6CF -:04061C0001F575333C -:04061D0003E60633B7 -:04061E007FFEFE93CA -:04061F00005EEEB3D8 -:0406200001D5653368 -:040621000FF00EB711 -:0406220076330652D3 -:040623008E4901D625 -:0406240000766633C3 -:040625000002153783 -:040626000AC52823B6 -:04062700011746036E -:0406280001074E83F5 -:040629000EAA0636D9 -:04062A0001D666335C -:04062B00E7B38FD1D1 -:04062C00E7B301C768 -:04062D00202300671F -:04062E0047830AF5FF -:04062F00460300C7B7 -:04063000436901C752 -:04063100066A07F658 -:0406320046038FD11B -:04063300065202A7C2 -:0406340006038FD159 -:04063500063300A7E1 -:0406360098B202660E -:040637000158C6039D -:040638008FD1064612 -:04063900E6B38EDDB9 -:04063A008ECD01065A -:04063B0008D5282393 -:04063C0003C7478326 -:04063D0002B7468337 -:04063E0006AA07BA47 -:04063F0046838FD58A -:040640008FD501B79A -:0406410001A7468344 -:0406420001974703D2 -:040643008FD506A6A3 -:040644008FD9071231 -:0406450008F5202371 -:04064600808201416C -:0406470046814E8515 -:04064800E9D511E3FC -:040649000187D5034D -:04064A0000C746831C -:04064B00C50989054F -:04064C00E60689E352 -:04064D00B5B5468D6C -:04064E00E60685E354 -:04064F000177C683E6 -:0406500036B316F1B6 -:04065100BDB100D067 -:0406520010000E93F3 -:0406530003E3478DE9 -:040654000793E9D54A -:040655001EE3200080 -:040656004503E6F57D -:04065700479102873E -:04065800A8358F89A9 -:040659000F636E8934 -:04065A00E86303D579 -:04065B0012E300AEF8 -:04065C004503E6F577 -:04065D004795028734 -:04065E006791B7E504 -:04065F0002F50F632E -:0406600018E367A193 -:040661004503E4F574 -:04066200479902872B -:04066300F7938F89F1 -:04066400A8110FF7D3 -:0406650002874503C0 -:040666008F89478DA4 -:04066700F793078678 -:04066800BD0D0FF7BE -:0406690097E387B2DA -:04066A001821E20F62 -:04066B00084205B18B -:04066C00581305C258 -:04066D0081C101083E -:04066E004795BD31BE -:04066F001101B7EDD1 -:04067000C64E67DD2E -:0406710069DD4705F3 -:040672003EE78EA32E -:040673003B498793E5 -:0406740043C4CA268B -:04067500CE06CC22BF -:04067600C452C84A58 -:040677008413C256D0 -:040678008A633B490D -:04067900A783180437 -:04067A0086633B490F -:04067B00C83718075D -:04067C000813A0EED1 -:04067D005833B00836 -:04067E00478302F8B4 -:04067F000A370084B2 -:040680000A13019CBC -:040681005A33CC0A12 -:040682008463029AF1 -:0406830054B316074F -:0406840005130298C0 -:0406850010EF03B0BF -:04068600892A7A50F3 -:0406870003C0051394 -:0406880079B010EF46 -:04068900016447833E -:04068A00008446039F -:04068B00002797139A -:04068C00879367D910 -:04068D0097BA9F4732 -:04068E00A7034394E7 -:04068F0007933B4949 -:04069000E219070064 -:040691000690079335 -:0406920000016637C6 -:040693000613655D88 -:0406940045C5A98629 -:0406950037450513CD -:04069600691020EFD8 -:040697003E80069308 -:0406980006400813FD -:04069900655D47292B -:04069A00051345C53A -:04069B00763340056D -:04069C00DAB302DAF1 -:04069D0057330304C8 -:04069E00663702E6D3 -:04069F0087D60001F9 -:0406A000AA0606138D -:0406A1000304F83323 -:0406A20002DA56B36F -:0406A30065D020EF0F -:0406A400C78367DDC4 -:0406A500E3994997F5 -:0406A600E6EFF0EF9C -:0406A700C68364DDC5 -:0406A8004583414401 -:0406A900A503008421 -:0406AA0086563B49EC -:0406AB005C4020EFA0 -:0406AC00096357FD8A -:0406AD0005230CF520 -:0406AE00070300A49A -:0406AF0067DD00A45F -:0406B0008D2346E967 -:0406B100073334E7F0 -:0406B20067DD02D727 -:0406B30049C7879319 -:0406B4000104468374 -:0406B5004803405066 -:0406B60097BA0304E8 -:0406B7000167C5030F -:0406B8004144C703EF -:0406B900675D8D7973 -:0406BA0038A702A3B8 -:0406BB0000E7D583FC -:0406BC000FF97713A8 -:0406BD0002D585B32A -:0406BE000733468137 -:0406BF0005C202B7B7 -:0406C000573381C16A -:0406C100064202C724 -:0406C2000663824108 -:0406C300D6830008D2 -:0406C40082850187A3 -:0406C50077138A8598 -:0406C60020EF0FF71B -:0406C70045033AC0ED -:0406C800F0EF0374D8 -:0406C9004583EE6F08 -:0406CA0045030104DF -:0406CB0020EF02F426 -:0406CC0008A32EC091 -:0406CD00F0EF00A4A6 -:0406CE004783C25F3D -:0406CF00450302C419 -:0406D000458100E47C -:0406D1004583E791E5 -:0406D2008D8900F41A -:0406D3000015B593C6 -:0406D400C20FF0EF72 -:0406D50003A44783B0 -:0406D60002C4450312 -:0406D7004462CB8529 -:0406D80044D240F2D6 -:0406D90049B2494297 -:0406DA004A924A22D4 -:0406DB00F06F610556 -:0406DC000806E30F1A -:0406DD006485BD690A -:0406DE0084936A1186 -:0406DF000A1377047F -:0406E000BD41D54AF9 -:0406E1000523479115 -:0406E200BF0500F45C -:0406E3004703E10DDB -:0406E400468300F455 -:0406E500446202D495 -:0406E60044D240F2C8 -:0406E70049B2494289 -:0406E8004A924A22C6 -:0406E9004581460100 -:0406EA00E06F610557 -:0406EB0040F2BDBF5D -:0406EC0044D244624E -:0406ED0049B2494283 -:0406EE004A924A22C0 -:0406EF00808261059F -:0406F000C2261141CC -:0406F100C50364DDFC -:0406F200C42235D415 -:0406F30010EFC60638 -:0406F400842A7AE0FA -:0406F500C683E121B6 -:0406F60067DD35D4B3 -:0406F70088A3472964 -:0406F80067DD3AD7A9 -:0406F90044C7C783A8 -:0406FA0000E785632D -:0406FB000F23675D05 -:0406FC0067DD34F78B -:0406FD003B27C703CD -:0406FE00C701478168 -:0406FF00C78367DD69 -:04070000675D35E715 -:04070100390707139A -:04070200453D97BA20 -:0407030000D7802378 -:040704005E8010EF14 -:0407050040B2852257 -:0407060044924422B3 -:0407070080820141AA -:04070800C2261141B3 -:04070900C50364DDE3 -:04070A00C42235D4FC -:04070B0010EFC6061F -:04070C00842A5CA03F -:04070D00C683E51D9D -:04070E0067DD35D49A -:04070F003AD788A3AA -:04071000C70367DDD7 -:0407110047813B27BA -:0407120067DDC701D7 -:040713003CA7C783B5 -:040714000713675D03 -:0407150097BA39074F -:040716008023453DBA -:0407170010EF00D708 -:04071800852259A03D -:04071900442240B284 -:04071A0001414492C3 -:04071B0067DD808294 -:04071C0035A7C70333 -:04071D0067DD46E965 -:04071E003EE78E2301 -:04071F0002D70733C3 -:04072000879367DD77 -:0407210097BA49C773 -:0407220000E7D68393 -:040723001E23675DCD -:04072400C68338D779 -:04072500675D0147C4 -:0407260040D71C2379 -:040727000127C6835D -:040728001C23675DCA -:04072900D68334D768 -:04072A00675D00A760 -:04072B0038D7162382 -:04072C000157C68328 -:04072D001323675DCE -:04072E00C68338D76F -:04072F00675D0137CA -:0407300040D7192372 -:0407310000C7D70323 -:04073200952367DDC7 -:04073300808238E7A1 -:04073400C60367DDB4 -:0407350067DD3FC776 -:0407360039C7DF03DD -:04073700DE8367DD19 -:0407380067DD4187B1 -:040739003587CE032F -:04073A0067DD675DB3 -:04073B0038C7D303E5 -:04073C003B4707131D -:04073D0086AA67DD44 -:04073E003867D883BD -:04073F0000B74503B7 -:04074000C80367DDA6 -:0407410067DD412708 -:0407420038A7D5837C -:04074300879367DD54 -:04074400C92949C7AF -:0407450000A70703FF -:0407460004C716636B -:0407470007334769C4 -:04074800973E02E6F0 -:0407490000E755036D -:04074A0003E51A6346 -:04074B00014745031A -:04074C0003D5166358 -:04074D000127450338 -:04074E0003C512636A -:04074F0000A75503A7 -:0407500000651E63BF -:040751000157450304 -:0407520001151A6310 -:040753000137450322 -:040754000105166322 -:0407550000C757037F -:0407560000B706637F -:040757004505675D90 -:0407580040A70AA309 -:0407590006334769B3 -:04075A00655D02E6F1 -:04075B003A05051343 -:04075C00663797B2B3 -:04075D0096230001DE -:04075E00972300B726 -:04075F008A2301E701 -:04076000892301D711 -:04076100952301C714 -:040762008AA30067FF -:0407630089A301174E -:040764000613010770 -:0407650045C5690617 -:040766003510206FBB -:04076700C606114170 -:0407680047C5C4229B -:04076900000214373F -:04076A000EF4202346 -:04076B000E04202335 -:04076C000A04282330 -:04076D0028236509CF -:04076E000513080463 -:04076F00E0EF710541 -:040770000713B60FA6 -:0407710067DD1D1013 -:0407720038E79423AD -:040773000003153733 -:040774001D100793BA -:040775000EF420233B -:04077600D40505138E -:04077700B42FE0EFCC -:04077800000626371A -:04077900019C05B723 -:04077A00040405135B -:04077B00A8060613B3 -:04077C00CC05859390 -:04077D00EE6FE0EF4C -:04077E000C3010EF3C -:04077F002FD010EF78 -:04078000C94154799E -:0407810010EF45012F -:0407820007933B504E -:0407830054750FF0AA -:0407840008F5016310 -:040785007AF010EF07 -:04078600E0EF450952 -:0407870047CDA81F93 -:04078800186354712D -:04078900E0EF06F5A2 -:04078A0010EFAF1F9E -:04078B00C50900702C -:04078C00470567DDD9 -:04078D0040E78B2393 -:04078E00976FF0EF82 -:04078F00ED39842A92 -:0407900065D923956F -:040791000613655D89 -:040792008593031038 -:040793000513B40591 -:0407940020EF3CB561 -:0407950065D91BF017 -:040796000613655D84 -:0407970085930360E3 -:040798000513CB0575 -:0407990020EF450503 -:04079A00453D1AB00F -:04079B00510010EF0A -:04079C00C50367DD4D -:04079D0010EF3B1707 -:04079E0017B75060D9 -:04079F00A78300022A -:0407A00097130D0797 -:0407A100436300E7C7 -:0407A2002B99000788 -:0407A300F0EF45012D -:0407A4008522B10FEA -:0407A500442240B2F8 -:0407A600808201410B -:0407A700BFD5547DE9 -:0407A80067DD71395F -:0407A900C483DA2605 -:0407AA00DC2235B761 -:0407AB000485645D00 -:0407AC000FF4F493BF -:0407AD0038845783B2 -:0407AE0004C204B2CB -:0407AF00672180C17D -:0407B0008FD98FC589 -:0407B10038F41423E1 -:0407B200D84ADE063D -:0407B300D05AD452F2 -:0407B400CC62CE5EE7 -:0407B500C86ACA66DE -:0407B60000021737EF -:0407B700D256D64EF2 -:0407B8006637C66E6C -:0407B900695D000175 -:0407BA000EF72023F3 -:0407BB00A206061379 -:0407BC00051345C517 -:0407BD0020EF3A09E6 -:0407BE00F0EF1F3009 -:0407BF006C419FCF1B -:0407C0007B716A5D82 -:0407C10047857BF5F8 -:0407C200FFFC0C9399 -:0407C300450A0A13C6 -:0407C4001BFD1B7D81 -:0407C50000016D378B -:0407C60000021DB759 -:0407C7000D0DA983E8 -:0407C8000199F9B3E7 -:0407C9008263E3DD87 -:0407CA0057030A09BE -:0407CB005783016AE5 -:0407CC0016633884F4 -:0407CD00F7B30F3738 -:0407CE001423017778 -:0407CF00A02338F437 -:0407D00000230EFDF7 -:0407D100F0EF3A0902 -:0407D20025379B0F1D -:0407D3000513000604 -:0407D400E0EFA805A5 -:0407D50057839CCFDB -:0407D60067113884EB -:0407D7003E80051348 -:0407D8008FD98FC561 -:0407D90038F41423B9 -:0407DA000EFDA0234D -:0407DB00029020EF79 -:0407DC0020EF4D8538 -:0407DD0017B704D076 -:0407DE00166300029C -:0407DF00AA8301B533 -:0407E000D8E307074C -:0407E10020EFFE0AFD -:0407E2009713051054 -:0407E3008341010A43 -:0407E400FFF7079381 -:0407E50083C107C203 -:0407E600FFDC06939B -:0407E70002F6FE63B5 -:0407E800000166376F -:0407E900A2C606138B -:0407EA00051345C5E9 -:0407EB0020EF3A09B8 -:0407EC00F0EF13B067 -:0407ED005783944F4B -:0407EE0017373884FD -:0407EF0077B30002DA -:0407F000142300FBD3 -:0407F100202338F495 -:0407F20065090EF790 -:0407F3007105051374 -:0407F40094EFE0EFAF -:0407F500B78987CE6B -:0407F600D7936585AB -:0407F70015FD010AE1 -:0407F800064006139E -:0407F90056B38FED77 -:0407FA00773302C788 -:0407FB009C6302C732 -:0407FC00663700B7A5 -:0407FD0006130001DE -:0407FE0045C5A34604 -:0407FF003A0905139B -:040800000E9020EF47 -:04080100F833B77D94 -:0408020045C502C71F -:040803003A09051396 -:0408040002C7D7B39D -:04080500A40D061325 -:040806000D1020EFC2 -:040807005703BF597B -:040808001AE3018A64 -:0408090007D2F937E2 -:04080A00142383D15F -:04080B0050F238F47B -:04080C00A02354626F -:04080D0054D20EFDB6 -:04080E0059B2594240 -:04080F005A925A227D -:040810004BF25B024A -:040811004CD24C6217 -:040812004DB24D4254 -:040813006121450119 -:04081400114180828C -:04081500F0EFC60634 -:0408160010EF969FAA -:0408170067DD24D0A5 -:04081800C50340B222 -:04081900014143173F -:04081A00936FF06F79 -:04081B00DC52715DDD -:04081C00C686DA565C -:04081D00C2A6C4A209 -:04081E00DE4EC0CA20 -:04081F00D65ED85A6F -:04082000D266D46266 -:04082100CE6ED06A5D -:04082200D15FF0EFC3 -:040823006ADD6A5DC3 -:040824001005426316 -:040825000001663731 -:04082600052007138F -:0408270006134681ED -:0408280045C5A546D7 -:04082900374A051332 -:04082A00041020EFA7 -:04082B00000165B7AC -:04082C008593464525 -:04082D008513A84542 -:04082E0020EF400A6D -:04082F00F0EF756011 -:04083000A537848FD5 -:0408310005130007A4 -:04083200645D1205EA -:04083300E0EF64DDB1 -:040834004D01850FDE -:040835006B5D4901AD -:040836003B44041328 -:040837004C3D6BDDEC -:0408380041C48493A0 -:04083900000217B7EB -:04083A000D07A7837C -:04083B00000306B7F9 -:04083C00971365DDCC -:04083D0083410107EB -:04083E0048EB282338 -:04083F00FFF7C713E5 -:040840008F7583E14C -:040841000FF7F613A4 -:040842008C2366DDC0 -:04084300AA2348C5D7 -:0408440065DD48E640 -:040845000793CF81C5 -:04084600F793FFE63F -:04084700450D0FF755 -:0408480000F57663DE -:0408490048C5C78354 -:04084A0000C79463EC -:04084B00480B28230B -:04084C00862367DDBB -:04084D00A60348C5F1 -:04084E00A423488710 -:04084F00E24948E74B -:0408500069DD2EC56B -:040851004999C78377 -:04085200C7818CAA24 -:0408530000EF45016C -:0408540067DD5F50AD -:0408550035E7C703B9 -:040856000164468370 -:040857008D6385BE6A -:040858008A2312E6F7 -:04085900478D418BFB -:04085A0004E7FF634D -:04085B00F06347956A -:04085C004D8506E7D9 -:04085D004909468976 -:04085E00FFF7079306 -:04085F000FF7F79305 -:040860006A63462160 -:04086100665904F6DA -:040862000613078AE8 -:0408630097B29D06A5 -:040864008782439CA8 -:0408650000016637F1 -:04086600061386AA45 -:0408670045C5A68657 -:04086800374A0513F3 -:04086900744020EFC8 -:04086A00000165B76D -:04086B0085934645E6 -:04086C008513FEC52D -:04086D0020EF400A2E -:04086E00E0EF023085 -:04086F00A001F4DF11 -:040870004806AA2369 -:040871004D8DBFB535 -:04087200490146856D -:040873004D89B7757F -:04087400BFE5468115 -:0408750067DD4D09E5 -:040876003B27C783D2 -:0408770067DDC39DD9 -:040878003907879322 -:0408790067DD973E62 -:04087A00000745032B -:04087B003B17C7035D -:04087C0000A7096365 -:04087D0088A3C63650 -:04087E0010EF3AA796 -:04087F0046B2182045 -:04088000C78365DDE8 -:0408810005A335E5B1 -:040882004705000422 -:0408830000F40B234F -:04088400037447832F -:040885007663458DC4 -:04088600459500F79D -:04088700F5938D9DBB -:0408880085360FF5AD -:0408890074E010EF18 -:04088A00021010EF59 -:04088B0093DFE0EF28 -:04088C00C78367DDDA -:04088D00C781416777 -:04088E0010EF856E74 -:04088F0085EA3AE0DC -:0408900010EF854A96 -:0408910047835190B8 -:040892004645016472 -:04089300374A0513C8 -:04089400002797138F -:04089500879367D905 -:0408960097BA9F4727 -:040897002223438C49 -:0408980020EF000449 -:0408990065B7776068 -:04089A0046450001CE -:04089B00A785859315 -:04089C00400A851376 -:04089D00764020EF92 -:04089E004999C7832A -:04089F00E0EFE3990A -:0408A00067DDE89F89 -:0408A10035C7C7038D -:0408A200176347A9E8 -:0408A300856300F772 -:0408A400453D000CC2 -:0408A500765000EF9A -:0408A6000154C7032F -:0408A70002C44783BD -:0408A80002F70363ED -:0408A900468147013C -:0408AA00458146013D -:0408AB00E0EF450134 -:0408AC00C503CD6F44 -:0408AD00E0EF015423 -:0408AE00C783EE9F6F -:0408AF0022230154AB -:0408B0000623000417 -:0408B100C78302F403 -:0408B200E395015475 -:0408B3000164C68393 -:0408B40002D44783A0 -:0408B50000D78D6378 -:0408B60000F4470300 -:0408B7004581460130 -:0408B800E0EF450127 -:0408B900C783CA2FF8 -:0408BA0006A301642C -:0408BB00478302F479 -:0408BC00CFA901645B -:0408BD00854A85EAF9 -:0408BE00FC3FE0EF2C -:0408BF000D634789F5 -:0408C000479108F55F -:0408C10002F50463D5 -:0408C20011634785F2 -:0408C300478304F56E -:0408C400CF8D00B420 -:0408C500C46FF0EF1D -:0408C6004D0DA81517 -:0408C70047C1BD6DFB -:0408C80040FB8A2344 -:0408C900BD454D05D7 -:0408CA00B5754D01B2 -:0408CB0000B44783AB -:0408CC00675DC39D04 -:0408CD00388757838E -:0408CE000027E79385 -:0408CF0038F71423BF -:0408D00000021737D4 -:0408D1000EF72023DB -:0408D200D0BFF0EFB4 -:0408D30012C0051337 -:0408D400DCFFD0EF86 -:0408D5002223BB41DE -:0408D60010EF00041B -:0408D70047836EE005 -:0408D800464501642C -:0408D900374A051382 -:0408DA000027971349 -:0408DB00879367D9BF -:0408DC0097BA9F47E1 -:0408DD0020EF438C39 -:0408DE0065B7662074 -:0408DF004645000189 -:0408E000A7858593D0 -:0408E100400A851331 -:0408E200650020EF9E -:0408E3004999C783E5 -:0408E400E0EFFFD56D -:0408E500BF5DD75FBD -:0408E60000B4478390 -:0408E700F0EFDBC58E -:0408E800B76DE20FF7 -:0408E900655D65D90B -:0408EA00061311419F -:0408EB0085930310DE -:0408EC000513B40537 -:0408ED00C60641C535 -:0408EE00458020EF32 -:0408EF00655D65D905 -:0408F0002F2006139C -:0408F1006F05859377 -:0408F20049C50513DC -:0408F300444020EF6E -:0408F40067DD40B2CA -:0408F5008AA3470586 -:0408F600450140E791 -:0408F70080820141B9 -:0408F800C4A2715DC8 -:0408F900DE4EC2A667 -:0408FA0069DD64D977 -:0408FB00C0CA645DAE -:0408FC00D266DC5292 -:0408FD00DA56C6867B -:0408FE00D65ED85A90 -:0408FF00D06AD46285 -:040900004901CE6E6D -:0409010084934C810E -:040902008A4EC44411 -:040903004504041390 -:04090400000165B7D2 -:04090500859346454B -:040906008513CE8502 -:0409070020EF36099E -:0409080097135BA046 -:040909009726002C01 -:04090A00655D430CD8 -:04090B000513464545 -:04090C0020EF3A0599 -:04090D00E0EF5A605D -:04090E006AC1CC1FCF -:04090F008D134701FC -:040910006B5DFFFA22 -:040911006DB76BDD76 -:040912006C5D000117 -:04091300000216B711 -:040914000D06A583A4 -:04091500000305379F -:0409160001A5F6330E -:0409170048CB28237E -:040918000D06A6839F -:04091900FFF6C6938C -:04091A00AA238EE995 -:04091B00C21D48DBD6 -:04091C000326026349 -:04091D00001C969391 -:04091E00E32D96A28D -:04091F0000B690236B -:040920008593464530 -:040921000513CF0DDE -:0409220020EF360A82 -:04092300E0EF54E0CD -:040924004705C69F1E -:04092500488C2603D1 -:04092600494BA68310 -:040927009063E20DEA -:040928009F63035670 -:0409290065D9040C7C -:04092A00036006134D -:04092B00CB058593E0 -:04092C00C636852224 -:04092D0035C020EFC2 -:04092E00470946B27D -:04092F0024234CED44 -:04093000C63A48DC9F -:0409310029034689C7 -:040932000E63490BFC -:04093300650902D779 -:040934007105051331 -:04093500C4BFD0EF7C -:04093600BF8D4732F8 -:040937000006D6835D -:0409380009E347097F -:0409390067B7FAD6CC -:04093A00464500012D -:04093B00CF8785934A -:04093C00360A05135F -:04093D004E4020EF19 -:04093E00BFFFE0EF28 -:04093F00BF59470154 -:0409400047091CF94E -:040941000C85BF6DF5 -:0409420053E34769CB -:040943004426F197BE -:04094400449640B6DF -:0409450059F2490614 -:040946005AD25A62C5 -:040947005BB25B4202 -:040948005C925C223F -:040949004DF25D020C -:04094A006161453D65 -:04094B004CD0006F1D -:04094C000793711D7F -:04094D00CA3E00B1ED -:04094E00879367DD47 -:04094F00CC3E4287D1 -:04095000879367DD45 -:04095100CE3E4297BD -:04095200879367DD43 -:04095300D03E42A7A9 -:04095400879367DD41 -:04095500D23E42B795 -:0409560067DDD43E47 -:0409570042C7879379 -:0409580027B7D63EA9 -:0409590087930F036E -:04095A00C63EF0178E -:04095B00303007939E -:04095C0000F118236B -:04095D00675D478DFE -:04095E0000F1092378 -:04095F00CCA267DDE2 -:040960004907A6039A -:04096100450704132F -:04096200CAA6CE86CD -:04096300C6CEC8CA6A -:04096400C2D6C4D261 -:04096500DE5EC0DAB8 -:04096600000105A3E4 -:040967004507071326 -:0409680045E9478195 -:0409690000075683AA -:04096A0000D61C6334 -:04096B006363476912 -:04096C00675910F7C0 -:04096D000713078ADB -:04096E0097BAB74736 -:04096F008782439C9C -:04097000846307098C -:0409710007853AB705 -:040972004789BFF101 -:04097300D70366DD63 -:040974004713388667 -:0409750094231007B0 -:04097600675D38E69B -:040977004947268343 -:0409780000F69713DB -:0409790000075D63B3 -:04097A00C70367DD6B -:04097B0046253CA72A -:04097C000663478542 -:04097D00079300C715 -:04097E00F7930017D4 -:04097F0097130FF7C4 -:040980005E6300E6CC -:04098100675D0007A7 -:0409820041C74683A0 -:04098300460145855F -:0409840000D5E56352 -:04098500F6130685DA -:040986000E230FF637 -:04098700472940C7F5 -:04098800866345013C -:04098900675D00E7BF -:04098A0034F70F230C -:04098B0067DD4505DA -:04098C003887D703CE -:04098D00C68366DDDA -:04098E009B4D49969E -:04098F008341074257 -:0409900038E794238D -:0409910066DDEA81B4 -:0409920039A6C68339 -:040993008F55068AEC -:0409940038E7942389 -:040995003887D703C5 -:04099600446640F67D -:04099700000217B78C -:040998000EE7A023A3 -:04099900494644D6B1 -:04099A004A2649B6EA -:04099B004B064A9627 -:04099C0061255BF284 -:04099D00478D808280 -:04099E004791BF912D -:04099F004795BF8138 -:0409A0004799B7B10B -:0409A100479DB7A116 -:0409A20047A1B79121 -:0409A30047A5B7812C -:0409A400675DBF3597 -:0409A50049974783A4 -:0409A6000017B693ED -:0409A70048D70CA37E -:0409A8004683675DBE -:0409A900675D3B1734 -:0409AA0034D70EA38D -:0409AB004505E79186 -:0409AC00093000EF1F -:0409AD00BF1947A97E -:0409AE00A4FFE0EFD3 -:0409AF0064DDBFE55F -:0409B000000217B773 -:0409B1003B448493AC -:0409B2000C07A40387 -:0409B30000A4878392 -:0409B40066374769F2 -:0409B50087B3000103 -:0409B600675D02E790 -:0409B70049C7071312 -:0409B8000613655D60 -:0409B90045C5BE066C -:0409BA0036050513E6 -:0409BB0067DD973E1F -:0409BC003B17C6839C -:0409BD001F4020EFC8 -:0409BE0000B4C78337 -:0409BF006785C7B9C8 -:0409C0008007879392 -:0409C10076938FE1B9 -:0409C20037337FF454 -:0409C300068500F0B5 -:0409C40000E696B300 -:0409C500071396BAC4 -:0409C600C3990700CA -:0409C700069007137C -:0409C800880D8041D5 -:0409C90002A00793EE -:0409CA000793E01996 -:0409CB0016370200D9 -:0409CC0028030002FA -:0409CD00655D060658 -:0409CE000001663787 -:0409CF00BEC6061387 -:0409D000051345C501 -:0409D10020EF3A05D4 -:0409D200E0EF1A2018 -:0409D300B79D9ADF53 -:0409D4005783675D81 -:0409D500C793388705 -:0409D60014230107DE -:0409D700BF9938F795 -:0409D800C70367DD0D -:0409D900460541C7C7 -:0409DA00656346818A -:0409DB00070500E626 -:0409DC000FF7769308 -:0409DD0040D78E234E -:0409DE0067DDBF35DD -:0409DF0041C7871372 -:0409E00000174703B2 -:0409E10087934605AD -:0409E200468141C742 -:0409E30000E6656362 -:0409E40076930705FA -:0409E50080A30FF7E5 -:0409E600BF2900D74E -:0409E7000793675DAE -:0409E800C78341C7B9 -:0409E90007130047A9 -:0409EA00C78141C7B9 -:0409EB00F79317FD6A -:0409EC0002230FF7DC -:0409ED00BDFD00F755 -:0409EE000713675D27 -:0409EF00478341C732 -:0409F00046B90047BD -:0409F10000F6F363B6 -:0409F200078547B975 -:0409F30065B7B7DD50 -:0409F400655D00013C -:0409F500859346455B -:0409F6000513BFC561 -:0409F70020EF3605B2 -:0409F80065B71FA020 -:0409F9006ADD0001B2 -:0409FA008593464556 -:0409FB008513C0C5DB -:0409FC0020EF3A0AA4 -:0409FD0069411E60CE -:0409FE006A5D69DDE8 -:0409FF00E0EF648938 -:040A00001B378F9F72 -:040A0100197D000259 -:040A020089934BED9C -:040A03000A133B494E -:040A0400849349CAC4 -:040A0500270371044E -:040A060087A20D0BAB -:040A0700773346817A -:040A0800D6030127E9 -:040A09000663000779 -:040A0A00068500E677 -:040A0B009AE30789DA -:040A0C008783FF7667 -:040A0D00476900A98C -:040A0E0002E787B3C1 -:040A0F0097D247151E -:040A10000177C78320 -:040A110002F7646321 -:040A12004A634711DB -:040A1300181804D7D4 -:040A14004703973EBF -:040A15005733FDC78F -:040A16008B0540D735 -:040A1700078ACF116A -:040A180097BA181859 -:040A1900FE47A7836A -:040A1A0000D780235E -:040A1B0089BFE0EFC0 -:040A1C008CA367DD63 -:040A1D00BD3D48078C -:040A1E000001663736 -:040A1F00061306852F -:040A200045C5C18681 -:040A21003A0A8513F5 -:040A2200060020EFBB -:040A230086BFE0EFBB -:040A24000007A537EB -:040A2500120505139E -:040A2600887FD0EF06 -:040A270047B1BFC153 -:040A2800FCF686E36F -:040A2900D0EF85265F -:040A2A00B7B5879F36 -:040A2B00871367DDE9 -:040A2C00470341C774 -:040A2D00467901877E -:040A2E0041C78793A2 -:040A2F006563468134 -:040A3000070500E6D0 -:040A31000FF77693B2 -:040A320000D78C233A -:040A330067DDB3E5E3 -:040A340041C787131C -:040A350001874703EB -:040A360041C787939A -:040A3700D76D46FD34 -:040A3800B7CD177DA2 -:040A3900000165B79C -:040A3A004645655D6B -:040A3B00C285859358 -:040A3C003605051363 -:040A3D000E4020EF58 -:040A3E00000165B797 -:040A3F004645655D66 -:040A4000C385859352 -:040A41003A0505135A -:040A42000D0020EF94 -:040A43006489694118 -:040A4400FE6FE0EF72 -:040A4500000219B7DB -:040A46004A6D197D5F -:040A47004B314AA540 -:040A4800710484931E -:040A49000D09A6836A -:040A4A004781872237 -:040A4B000126F6B3D7 -:040A4C000007560346 -:040A4D0000D60A6362 -:040A4E000709078508 -:040A4F00FF479AE3E0 -:040A5000D0EF852638 -:040A5100BFF9FDCF1D -:040A520000FACC6377 -:040A530007854729A3 -:040A540002E7E7B31B -:040A55000EA3675D28 -:040A5600F0EF34F792 -:040A5700B739A66F96 -:040A5800FF6790E3C1 -:040A59004785B721F5 -:040A5A0047A9B19562 -:040A5B000113B1BD15 -:040A5C001808DA019B -:040A5D0024112E230F -:040A5E0024812C23A0 -:040A5F0024912A2391 -:040A60002521282301 -:040A610025312623F2 -:040A620025412423E3 -:040A630025512223D4 -:040A640025612023C5 -:040A650023712E23A8 -:040A660023812C2399 -:040A670023912A238A -:040A680023A128237B -:040A6900F24FE0EF79 -:040A6A0064DD892A94 -:040A6B005B1010EF1D -:040A6C001863440DBA -:040A6D004611140911 -:040A6E000808180C50 -:040A6F0001C020EFB3 -:040A7000000165B765 -:040A71008593461112 -:040A72000808E90582 -:040A73007D1010EFF3 -:040A7400064009131C -:040A750012051763EC -:040A7600034157835E -:040A7700059346217C -:040A780005130361FE -:040A79001A230161DA -:040A7A0010EF00F188 -:040A7B0057837EF02F -:040A7C00570303E138 -:040A7D000EA304219F -:040A7E00162300013A -:040A7F00578300F1A8 -:040A800006930401D4 -:040A810017231E20F9 -:040A820047B200F186 -:040A830000E1162355 -:040A840004415703CF -:040A85001799D03EAF -:040A860000E1172351 -:040A8700D23A4732E6 -:040A880004615703AB -:040A890000E116234F -:040A8A000481570389 -:040A8B0000E117234C -:040A8C00D43A4732DF -:040A8D0022C1270358 -:040A8E00D63AC63A54 -:040A8F000CF6E1631D -:040A900006600913E0 -:040A91006637EF5D78 -:040A9200645D00019E -:040A9300E9860613D7 -:040A9400051345C53C -:040A950010EF360424 -:040A960056926930DB -:040A970000016637BD -:040A9800EA860613D1 -:040A9900851345C5B7 -:040A9A0010EF3A041B -:040A9B00E0EF67F031 -:040A9C005A12E88F73 -:040A9D0049815AA28F -:040A9E0020000B1316 -:040A9F00E4636BDDC4 -:040AA00093630F4904 -:040AA1004783240A59 -:040AA2004683016125 -:040AA30047030141C3 -:040AA4008163015118 -:040AA50067B7100718 -:040AA6008793000131 -:040AA7006637DA874D -:040AA800081300012E -:040AA90006130161CE -:040AAA0045C5EB460D -:040AAB0036040513F5 -:040AAC00639010EF54 -:040AAD00000165B728 -:040AAE0085934645A1 -:040AAF008513F0C5F6 -:040AB00010EF3A0405 -:040AB10069C154F0D3 -:040AB20069096ADD87 -:040AB300E2AFE0EFDF -:040AB40000021A37EB -:040AB5008B1319FD89 -:040AB6000913450AD1 -:040AB7002783710917 -:040AB800D7030D0A49 -:040AB900F7B3450A40 -:040ABA000A63013793 -:040ABB0057030AF7DC -:040ABC000F63002B99 -:040ABD00854A1CF753 -:040ABE00E26FD0EF24 -:040ABF000913B7CD93 -:040AC00010EF0650DD -:040AC100478545B070 -:040AC20022F90563AD -:040AC3001F27C363C3 -:040AC400F350079351 -:040AC50022F90463AB -:040AC6001D27C063C5 -:040AC700F34007935E -:040AC80022F90363A9 -:040AC900000165B70C -:040ACA00DDC585936E -:040ACB008513464504 -:040ACC0010EF3A04E9 -:040ACD00E0EF6A707C -:040ACE004537DC0FBD -:040ACF000513000FFC -:040AD000D0EF24053A -:040AD1005963DDCFB9 -:040AD200576322093B -:040AD30066372280E0 -:040AD400655D00015B -:040AD500EFC606134F -:040AD600051345C5FA -:040AD70010EF3605E1 -:040AD800147D58B081 -:040AD9000733A0BD82 -:040ADA007463413AC6 -:040ADB00071300EB12 -:040ADC0089932000DA -:040ADD0007422009A3 -:040ADE004681834189 -:040ADF000099D61391 -:040AE0008513180C56 -:040AE10010EF34CB13 -:040AE200892A2DD060 -:040AE300EE0509E330 -:040AE400BF85440D79 -:040AE500000167B7EE -:040AE600FEC787932D -:040AE70000EFB7095C -:040AE800675D6AB02C -:040AE9003887578370 -:040AEA000513650982 -:040AEB00E793710517 -:040AEC0014230027A8 -:040AED00202338F793 -:040AEE00D0EF0EFA3D -:040AEF0065B7D64FC2 -:040AF00005130001E9 -:040AF100464536043C -:040AF200EC058593F7 -:040AF30060D010EFD0 -:040AF4006B37440D0B -:040AF5004645000171 -:040AF600ECCB0593AD -:040AF7003A04851325 -:040AF8005F9010EF0C -:040AF900D12FE0EF2A -:040AFA000C1349810F -:040AFB006CDD20008E -:040AFC0010000B9348 -:040AFD00E5635A1241 -:040AFE0065B7074988 -:040AFF0069DD0001AC -:040B0000859346454E -:040B01008513EDC5A6 -:040B020010EF3609B1 -:040B030046455CF017 -:040B0400ECCB05939E -:040B05003A04851316 -:040B06005C1010EF80 -:040B0700CDAFE0EF9F -:040B0800559256228A -:040B09004501181476 -:040B0A00C50FE0EF44 -:040B0B001AE3892A36 -:040B0C0010EFEC05F5 -:040B0D0065B732B0E6 -:040B0E004645000157 -:040B0F00F2058593D3 -:040B1000360985130A -:040B11003CD010EFD5 -:040B1200000165B7C2 -:040B1300859346453B -:040B14008513EEC592 -:040B150010EF3A049F -:040B1600E0EF583084 -:040B1700A001C9CFA1 -:040B1800413A0A3321 -:040B190074638AD2A5 -:040B1A000A93014CED -:040B1B008D13200016 -:040B1C009713200902 -:040B1D008341010A05 -:040B1E0056134681A3 -:040B1F00180C409DD1 -:040B200034CC851339 -:040B21001DF010EFC4 -:040B22000933C501CD -:040B2300BD9540A09C -:040B24004089D99398 -:040B2500F46385D61A -:040B26000593015BD7 -:040B2700864E1000E6 -:040B2800E0EF1808DA -:040B2900892AB6EF70 -:040B2A00E4051DE3DE -:040B2B00014BE46333 -:040B2C00B78989EA12 -:040B2D000019861312 -:040B2E00F00A8593B1 -:040B2F00E0EF1A08D1 -:040B3000892AB52F2A -:040B3100BD35D57584 -:040B32000913440D52 -:040B3300BD15067076 -:040B34000913440D50 -:040B3500B53506804C -:040B3600F3600793CE -:040B370006F90A634E -:040B3800F3700793BC -:040B3900E4F910E3E8 -:040B3A00000165B79A -:040B3B00E3C58593F6 -:040B3C000793BD3529 -:040B3D0002630660E9 -:040B3E00CF6306F982 -:040B3F0007930127F0 -:040B40000163064007 -:040B4100079306F917 -:040B42001DE3065059 -:040B430065B7E0F9B9 -:040B44008593000194 -:040B4500BD19DF45B2 -:040B4600067007939B -:040B470004F9096341 -:040B48000680079389 -:040B4900E0F910E3DC -:040B4A00000165B78A -:040B4B00E285859327 -:040B4C0065B7BBF5D9 -:040B4D00859300018B -:040B4E00BBCDDE45F8 -:040B4F00000165B785 -:040B5000E5C58593DF -:040B510065B7B3E5EC -:040B52008593000186 -:040B5300BBF9E6C53F -:040B5400000165B780 -:040B5500E4C58593DB -:040B560065B7BBD1F3 -:040B57008593000181 -:040B5800B3E9E045D8 -:040B5900000165B77B -:040B5A00E805859392 -:040B5B0065B7B3C106 -:040B5C00859300017C -:040B5D00BB5DE14556 -:040B5E0025C120830A -:040B5F0025812403C5 -:040B60002541248384 -:040B6100250129033E -:040B620024C12983FE -:040B630024812A03BC -:040B640024412A837B -:040B650024012B0339 -:040B660023C12B83F9 -:040B670023812C03B7 -:040B680023412C8376 -:040B690023012D0334 -:040B6A000113557DA1 -:040B6B00808226015D -:040B6C00663786AAB8 -:040B6D00655D0001C1 -:040B6E0068C606133C -:040B6F00051345C560 -:040B7000106F3A05C3 -:040B71000693327045 -:040B720006B3465030 -:040B7300079302D50D -:040B7400663706409A -:040B7500655D0001B9 -:040B7600FE0606135E -:040B7700051345C558 -:040B7800C6B33A05C1 -:040B7900106F02F601 -:040B7A0086AA3030E7 -:040B7B0000016637D8 -:040B7C000613655D9A -:040B7D0045C5F9C6AB -:040B7E003A0505131C -:040B7F002ED0106FF5 -:040B8000000F46B765 -:040B8100240686932D -:040B820002D5053360 -:040B83008793678964 -:040B84000713964776 -:040B850036B73E80C1 -:040B860086930063EF -:040B87006637EA06DD -:040B8800061300014F -:040B890045C5F9065F -:040B8A0002F557B366 -:040B8B0002E7F7B3D3 -:040B8C0056B34729EC -:040B8D00655D02D5CB -:040B8E003A0505130C -:040B8F0002E7D7336F -:040B90002A90106F28 -:040B91004670069311 -:040B920002D506B3CF -:040B9300064007937E -:040B940000016637BF -:040B95000613655D81 -:040B960045C56846A3 -:040B97003A05051303 -:040B980002F6C6B3E8 -:040B99002850106F61 -:040B9A00663786AA8A -:040B9B00655D000193 -:040B9C00FC860613BA -:040B9D00051345C532 -:040B9E00106F3A0595 -:040B9F00069326F0A3 -:040BA00006B3271061 -:040BA100079302D5DF -:040BA200663706406C -:040BA300655D00018B -:040BA400FE860613B0 -:040BA500051345C52A -:040BA600C6B33A0593 -:040BA700106F02F6D3 -:040BA800069324B0DC -:040BA9000505271007 -:040BAA0002D5053338 -:040BAB000640069367 -:040BAC0000016637A7 -:040BAD00FE860613A7 -:040BAE0046B345C540 -:040BAF00655D02D5A9 -:040BB0003A050513EA -:040BB1002250106F4F -:040BB2000185169310 -:040BB300663786E13A -:040BB400655D00017A -:040BB500061316D13C -:040BB60045C5F746F4 -:040BB7003A050513E3 -:040BB8002090106F0A -:040BB900053345E9D2 -:040BBA0065DD02B53E -:040BBB0049C5859310 -:040BBC0095AA46456B -:040BBD000513655D5A -:040BBE00106F3A0575 -:040BBF0017932DF06B -:040BC000655900254E -:040BC100FBC5051358 -:040BC200410C953E0F -:040BC3004645655DE1 -:040BC4003A050513D6 -:040BC5002C50106F31 -:040BC6000F6347A9C9 -:040BC700179300F58B -:040BC800655D002542 -:040BC900B745051314 -:040BCA00410C953E07 -:040BCB004645655DD9 -:040BCC003A050513CE -:040BCD002A50106F2B -:040BCE00000165B706 -:040BCF00FA8585938B -:040BD00067DDB7F531 -:040BD1004907A70326 -:040BD200879367DDC1 -:040BD30046AD4507DF -:040BD400D58346453A -:040BD50094630167BD -:040BD600F69306E5A7 -:040BD700E1190FF61B -:040BD80028070B637C -:040BD900C64E1101F2 -:040BDA00C80369DD06 -:040BDB00C84A329939 -:040BDC000793695DB5 -:040BDD00151317894C -:040BDE0097AA00389A -:040BDF00CC22CE0650 -:040BE000A883CA26F6 -:040BE100C7830007BF -:040BE2004751004730 -:040BE3000048A6031D -:040BE40002E787336A -:040BE500FF5685939F -:040BE6000FF5F5937F -:040BE7008993431596 -:040BE8000913329922 -:040BE900973217899F -:040BEA000047460377 -:040BEB0002B3686386 -:040BEC00058A6359BA -:040BED00F3430313B8 -:040BEE00418C959A07 -:040BEF000685858270 -:040BF00098E30789F6 -:040BF1004681F8C67B -:040BF200E399BF596B -:040BF3000008C783AC -:040BF400F79317FD5F -:040BF500954A0FF717 -:040BF60000F50223E1 -:040BF70044814401F0 -:040BF800C703A099F6 -:040BF9000785000864 -:040BFA00E7B3954A7E -:040BFB00B7ED02E769 -:040BFC000008066384 -:040BFD008023187DBC -:040BFE00B7CD010965 -:040BFF0040F244621A -:040C0000494244D24F -:040C010067DD49B2B0 -:040C020048078CA370 -:040C0300E06F610538 -:040C0400478D8F8FFA -:040C050004F60D6381 -:040C060011E347911E -:040C0700471CFCF694 -:040C08009782448506 -:040C0900C783842AEF -:040C0A00655D00091B -:040C0B00078E4645C5 -:040C0C004783993E43 -:040C0D0029830049EE -:040C0E00495100093F -:040C0F0003278933FB -:040C10000049A7836D -:040C1100360505138C -:040C1200438C97CAAE -:040C130018D010EFF6 -:040C14000049A78369 -:040C150097CA4691A3 -:040C16000047C703C9 -:040C170012E6EA6394 -:040C1800070A66D988 -:040C1900F4C6869304 -:040C1A0043189736AE -:040C1B004B1C8702E5 -:040C1C009782C39167 -:040C1D000009C78380 -:040C1E008593485121 -:040C1F00078E001725 -:040C200000F907339D -:040C2100470343142E -:040C220042D0004775 -:040C23000307073389 -:040C2400003596936E -:040C250000D90533BA -:040C2600000528039A -:040C270047189732A1 -:040C280000E8046379 -:040C2900000502239D -:040C2A00C78397CA1B -:040C2B0047510047E6 -:040C2C0087B396CA2A -:040C2D00802302E737 -:040C2E00963E00B935 -:040C2F00C29C461C01 -:040C30004791BF31F8 -:040C3100F0C7ECE339 -:040C3200879367D964 -:040C3300060AF607B0 -:040C3400421C963E8A -:040C3500470C87825F -:040C36004503483DED -:040C3700460300C7A9 -:040C3800C78300D797 -:040C39004703000568 -:040C3A009D6300E7CF -:040C3B0078630106D3 -:040C3C0017FD00F6AA -:040C3D000FF7F713A3 -:040C3E0000E580232A -:040C3F00FD6DB5C5CD -:040C4000BFDD87325B -:040C410000E7F8636D -:040C4200F613078519 -:040C430080230FF704 -:040C4400B5E900C549 -:040C4500863AFD6D81 -:040C46004710BFDDB7 -:040C4700578345BDCD -:040C48009C630006A3 -:040C4900570300B697 -:040C4A00756300C707 -:040C4B0017FD00F79A -:040C4C0083C107C297 -:040C4D0000F610237A -:040C4E005703B5553E -:040C4F00FBE300E7DC -:040C50000785FEE72F -:040C51004750B7F55C -:040C5200421845BD42 -:040C530000074783CC -:040C540000B69963EA -:040C550017FDC7813F -:040C56000FF7F7930A -:040C570000F700237F -:040C58004683BDB55D -:040C5900F5630046F9 -:040C5A00078500D733 -:040C5B000FF7F69306 -:040C5C0000D700239A -:040C5D004798B5A55A -:040C5E00655D4B9CE9 -:040C5F000007470340 -:040C600005134645ED -:040C6100070A3A053F -:040C6200438C97BA6E -:040C630004D010EFBA -:040C640040F24462B4 -:040C6500494244D2EA -:040C6600610549B229 -:040C6700F5BFD06F96 -:040C68004B9C4798C2 -:040C69000007450338 -:040C6A00B7DD9782D9 -:040C6B004B9C4798BF -:040C6C000007550325 -:040C6D00BFE99782C2 -:040C6E00802367DD9B -:040C6F00BFC93A07B8 -:040C7000C005C49562 -:040C7100000166B761 -:040C7200F846869327 -:040C730000016637DF -:040C74000613655DA1 -:040C750045C5F8C6B3 -:040C76003A05051323 -:040C770070C010EF4A -:040C780066B7BF4557 -:040C7900869300015D -:040C7A00B7CDF7C635 -:040C7B00D7E947DC92 -:040C7C00479C4398B6 -:040C7D008082BF456D -:040C7E00EF6347BD1C -:040C7F0057B716A7A6 -:040C8000712D44523C -:040C810035578793C9 -:040C820057B7C03E62 -:040C83008793004112 -:040C8400C23E441711 -:040C85008793679555 -:040C8600142320070C -:040C8700379300F1AE -:040C8800242300A57C -:040C890005231141ED -:040C8A000A1300F158 -:040C8B002E2301050E -:040C8C002C231011F4 -:040C8D002A23108185 -:040C8E002823109176 -:040C8F0026231121E6 -:040C900022231131D9 -:040C910020231151BA -:040C920047A5116100 -:040C9300F9630A22D5 -:040C940065DD08A76B -:040C9500044007937D -:040C960085934629D3 -:040C97000513390503 -:040C980005A300D1DF -:040C9900062300F13D -:040C9A0010EF000156 -:040C9B0067DD5A6057 -:040C9C0035E7C783EE -:040C9D00061365DDF8 -:040C9E000C230360C0 -:040C9F0067DD00F11C -:040CA00035C7C7830A -:040CA10045058593ED -:040CA20001B1051384 -:040CA30000F10CA3AD -:040CA400C78367DDBE -:040CA5000BA33B273B -:040CA60067DD00F115 -:040CA70039A7C7831F -:040CA80000F10D2327 -:040CA90056C010EF32 -:040CAA0005938652D6 -:040CAB00850A0510A1 -:040CAC00D61FD0EF90 -:040CAD0000A035333B -:040CAE0040A005332A -:040CAF0011C12083CC -:040CB0001181240387 -:040CB1001141248346 -:040CB2001101290300 -:040CB30010C12983C0 -:040CB40010812A037E -:040CB50010412A833D -:040CB60010012B03FB -:040CB70080826115C1 -:040CB800031007938B -:040CB90000F105A39E -:040CBA0057C965DDD4 -:040CBB0000F106A39B -:040CBC000310061308 -:040CBD00859347894B -:040CBE00051341C514 -:040CBF00072300F116 -:040CC0006ADD00F1F8 -:040CC1000001062305 -:040CC200508010EF5F -:040CC30049CA859302 -:040CC4000C00061307 -:040CC50010EF0088A4 -:040CC60086524FA063 -:040CC7001000059381 -:040CC800D0EF850ADA -:040CC9004905CEFF0C -:040CCA000C0009937E -:040CCB0023200413CB -:040CCC0010000B13F6 -:040CCD0049CA8A93F3 -:040CCE00746384A225 -:040CCF000493008BFF -:040CD00004C210004A -:040CD10085B380C1A6 -:040CD2008626013A37 -:040CD3008C05850AFD -:040CD4004C0010EFD1 -:040CD500044299A696 -:040CD6000149063397 -:040CD700850A85A65F -:040CD800090509C23F -:040CD900D0EF804197 -:040CDA00D993CABF21 -:040CDB00791301097F -:040CDC00F0790FF9A3 -:040CDD00B79945017D -:040CDE008082557D3E -:040CDF0026237169EE -:040CE00024231211A6 -:040CE1002223128137 -:040CE2002023129128 -:040CE3002E23132188 -:040CE4002C2311317B -:040CE5002A2311416C -:040CE600282311515D -:040CE700262311614E -:040CE800242311713F -:040CE90047BD118171 -:040CEA0002A7FA6300 -:040CEB00208359FD0C -:040CEC00240312C10A -:040CED00854E12819D -:040CEE001241248308 -:040CEF0012012903C2 -:040CF00011C1298382 -:040CF10011812A0340 -:040CF20011412A83FF -:040CF30011012B03BD -:040CF40010C12B837D -:040CF50010812C033B -:040CF6008082615542 -:040CF700010504935C -:040CF800860A04C2A2 -:040CF900100005934F -:040CFA00D0EF85268C -:040CFB00FD5DBEBF1E -:040CFC00000165B7D7 -:040CFD006A0585936C -:040CFE00D0EF850AA4 -:040CFF0089AAA0EF2F -:040D000014051B6358 -:040D010000814783A3 -:040D020014079963D6 -:040D03000091470311 -:040D0400052007932C -:040D050014F7136369 -:040D060000A147837E -:040D07004705CFC904 -:040D0800F8E797E38E -:040D09004703493221 -:040D0A00779300B12A -:040D0B0007A20FF933 -:040D0C0007138FD961 -:040D0D009CE3031050 -:040D0E005913F6E798 -:040D0F00094200890C -:040D10000109591369 -:040D11002F200793F5 -:040D1200F6F913E3F8 -:040D13000613655D01 -:040D14000593031030 -:040D1500051300F1D1 -:040D16006ADD41C58C -:040D17003B4010EF5E -:040D180004134A0175 -:040D19000B93040034 -:040D1A008A931000A8 -:040D1B000C1349CAA2 -:040D1C000B130F2086 -:040D1D008633100009 -:040D1E000533408BCE -:040D1F0005B3015ABD -:040D20008493008137 -:040D21000F63100448 -:040D220004330B8902 -:040D230010EF408B02 -:040D2400945238208D -:040D2500F0090913B5 -:040D260001041A1397 -:040D2700860A0942ED -:040D2800100005931F -:040D29005A138526AE -:040D2A005913010A4E -:040D2B00D0EF0109FB -:040D2C004401B27F4D -:040D2D004783B7C978 -:040D2E00470300C1B6 -:040D2F0007A200B166 -:040D300007138FD93D -:040D310094E3044003 -:040D320066DDEEE7A5 -:040D33004781870A63 -:040D34008693452538 -:040D350045A939068D -:040D360000D7460399 -:040D370000C566632A -:040D380000D7883325 -:040D390000C80023CB -:040D3A00070507851D -:040D3B00FEB796E386 -:040D3C000191478357 -:040D3D000E23675DBD -:040D3E00472534F71A -:040D3F0000F76663F0 -:040D40000F23675DB9 -:040D4100A02934F7BA -:040D42000181478361 -:040D4300FEF77AE35A -:040D440001714703EF -:040D4500655D67DDA4 -:040D46003AE78923DC -:040D4700C70367DD9A -:040D480067DD390723 -:040D4900036006132A -:040D4A003AE788A359 -:040D4B0001A14703B8 -:040D4C00059367DDC7 -:040D4D00051301B1D8 -:040D4E008D234505A7 -:040D4F0010EF38E782 -:040D5000B5B52D20E8 -:040D51000F20061356 -:040D52002C8010EFF2 -:040D5300470567DD0C -:040D540040E78AA347 -:040D55004985BDA966 -:040D56004989BD9971 -:040D57001141BD8900 -:040D58001437C42266 -:040D5900C2260002AC -:040D5A0084AA460120 -:040D5B0004A0059358 -:040D5C000404051373 -:040D5D00C0EFC60617 -:040D5E0085A6F8FF6F -:040D5F000513460131 -:040D6000C0EF0404D8 -:040D61000593FBDF1C -:040D6200051304A0D1 -:040D63004605040439 -:040D6400F75FC0EF86 -:040D6500040405136A -:040D660040B2442231 -:040D670045854492E8 -:040D6800C06F014116 -:040D69001141F83FFD -:040D6A001437C42254 -:040D6B00C22600029A -:040D6C0084AEC04A47 -:040D6D004601892A88 -:040D6E0004A0059345 -:040D6F000404051360 -:040D7000C0EFC60604 -:040D710085CAF43FFC -:040D7200051346011E -:040D7300C0EF0404C5 -:040D74000513F71F4D -:040D7500442204040C -:040D7600490240B23C -:040D7700449285A677 -:040D780001414605EA -:040D7900F5BFC06F93 -:040D7A00C42211413D -:040D7B0014334405E4 -:040D7C00741300A448 -:040D7D0085A20FF448 -:040D7E00C606451947 -:040D7F00FABFF0EFD8 -:040D8000442285A2E2 -:040D8100451D40B21A -:040D8200F06F0141CC -:040D83001141F9DF42 -:040D8400C42205067A -:040D85000FF57413DF -:040D8600450585A2F8 -:040D8700F0EFC606BD -:040D880085A2F89FA9 -:040D890040B244220E -:040D8A0001414509D5 -:040D8B00F7BFF06F4F -:040D8C0045151141B7 -:040D8D00F0EFC606B7 -:040D8E000713F29FB6 -:040D8F004781086030 -:040D900004E5136300 -:040D910009000593BD -:040D92000200051343 -:040D9300F5BFF0EFC9 -:040D9400051345817D -:040D9500F0EF021069 -:040D96004581F51F7F -:040D9700022005131E -:040D9800F47FF0EF05 -:040D99000513458D6C -:040D9A00F0EF023044 -:040D9B004581F3DFBC -:040D9C0002800513B9 -:040D9D00F33FF0EF41 -:040D9E0003000593B6 -:040D9F000710051321 -:040DA000F27FF0EFFF -:040DA10040B2478590 -:040DA2000141853E48 -:040DA3001101808238 -:040DA40000A107A300 -:040DA50000021537FC -:040DA6004605CC2210 -:040DA7000513842E7E -:040DA80005930405A6 -:040DA900CE0600F181 -:040DAA00EE1FC0EF89 -:040DAB00C0EF8522EE -:040DAC0040F2A71F4B -:040DAD006105446236 -:040DAE0011418082ED -:040DAF00645DC42299 -:040DB00038845783A9 -:040DB100C226C6068A -:040DB200F9F7F793C3 -:040DB30083C107C22F -:040DB40038F41423D8 -:040DB500000214B76D -:040DB6000EF4A02374 -:040DB700C0EF4551F3 -:040DB80045D1A41F5E -:040DB900038005139B -:040DBA00FA7FF0EFDD -:040DBB00051345D106 -:040DBC00F0EF0390C1 -:040DBD0045D1F9DF44 -:040DBE00F0EF4551BC -:040DBF0045D1F95FC2 -:040DC0000710051300 -:040DC100F8BFF0EF98 -:040DC200051345D1FF -:040DC300F0EF05E068 -:040DC40045D1F81FFE -:040DC50006D005133C -:040DC600F77FF0EFD4 -:040DC700453145D19C -:040DC800F6FFF0EF53 -:040DC900320005935C -:040DCA00F0EF4505FC -:040DCB0045D1F65FB9 -:040DCC00F0EF4519E6 -:040DCD000593F5DFB6 -:040DCE0045093200A1 -:040DCF00F53FF0EF0D -:040DD0003884578389 -:040DD100E79340B2B2 -:040DD20014230407DB -:040DD300442238F48A -:040DD4000EF4A02356 -:040DD5000141449202 -:040DD6001101808205 -:040DD700645DCC2269 -:040DD8003884578381 -:040DD900CA26CE0652 -:040DDA00F9F7F7939B -:040DDB0083C107C207 -:040DDC00C64EC84AED -:040DDD001423C452C5 -:040DDE0014B738F41A -:040DDF008A2A00025A -:040DE0000EF4A0234A -:040DE100892E45050D -:040DE2003200059343 -:040DE300F03FF0EFFE -:040DE4003884578375 -:040DE500855245C12D -:040DE6000207E79386 -:040DE70038F41423A5 -:040DE8000EF4A02342 -:040DE90026C010EF21 -:040DEA000FF57993F5 -:040DEB0000099B63FD -:040DEC00000175B7D6 -:040DED00859346455F -:040DEE008552A08505 -:040DEF0021C010EF20 -:040DF000448149856C -:040DF100009A07B3AA -:040DF2000007C5032E -:040DF300048545D15D -:040DF400EBFFF0EF32 -:040DF5000FF4F7936D -:040DF600FF37E6E3FA -:040DF7003884578362 -:040DF800000214B72A -:040DF900F79345D156 -:040DFA0007C2FDF738 -:040DFB00142383C179 -:040DFC00A02338F404 -:040DFD0005130EF4D8 -:040DFE00F0EF0C0006 -:040DFF005783E95FCE -:040E000045C138842C -:040E0100E793854AA4 -:040E020014230207AC -:040E0300A02338F4FC -:040E040010EF0EF4E9 -:040E050079931FE0DE -:040E06009B630FF5E6 -:040E070075B70009B2 -:040E0800464500015A -:040E0900A0858593A8 -:040E0A0010EF854A16 -:040E0B0049851AE01B -:040E0C0007B3448163 -:040E0D00C503009980 -:040E0E0045D10007C3 -:040E0F00F0EF048577 -:040E1000F793E51F50 -:040E1100E6E30FF411 -:040E12005783FF37CC -:040E130040F23884ED -:040E1400000217378A -:040E15000407E79354 -:040E160038F4142375 -:040E170020234462EE -:040E180044D20EF7BB -:040E190049B249424F -:040E1A0061054A2202 -:040E1B00114180827F -:040E1C001437C422A1 -:040E1D00C2260002E7 -:040E1E0084AA46015B -:040E1F0002C0059375 -:040E200004040513AE -:040E2100C0EFC60652 -:040E220085A6C7FFDB -:040E23000513460568 -:040E2400C0EF040413 -:040E25000593CADF88 -:040E2600051302C0EE -:040E27004605040474 -:040E2800C65FC0EFF2 -:040E290004040513A5 -:040E2A0040B244226C -:040E2B004585449223 -:040E2C00C06F014151 -:040E2D001141C73F69 -:040E2E001437C4228F -:040E2F00C2260002D5 -:040E300084AEC04A82 -:040E31004601892AC3 -:040E320002C0059362 -:040E3300040405139B -:040E3400C0EFC6063F -:040E350085CAC33F68 -:040E36000513460159 -:040E3700C0EF040400 -:040E38000513C61FB9 -:040E39004422040447 -:040E3A00490240B277 -:040E3B00449285A6B2 -:040E3C000141460525 -:040E3D00C4BFC06FFF -:040E3E000002153762 -:040E3F004601114116 -:040E400005134581D0 -:040E4100C6060405D8 -:040E4200C37FC0EFBB -:040E4300C0EF45298E -:040E440045E1811FE4 -:040E4500F0EF450580 -:040E460045E1FA1F69 -:040E4700F0EF45097A -:040E480045E1F99FE8 -:040E4900F0EF450D74 -:040E4A004505F91F42 -:040E4B00F43FF0EF91 -:040E4C00152140B27A -:040E4D000015351344 -:040E4E00808201415C -:040E4F00C422114167 -:040E50004505842AA6 -:040E5100F0EFC606F2 -:040E5200991DF29F55 -:040E53008C49040EB4 -:040E54000FF4741310 -:040E5500450585A228 -:040E5600F5FFF0EFC5 -:040E5700450985A222 -:040E5800F57FF0EF43 -:040E5900442285A208 -:040E5A00450D40B250 -:040E5B00F06F0141F2 -:040E5C001141F49FAD -:040E5D00842AC422FD -:040E5E00C60645057A -:040E5F00EF3FF0EF82 -:040E60007513478936 -:040E610013630D8585 -:040E6200641302F41F -:040E630085A200154F -:040E6400F0EF450561 -:040E650085A2F25F11 -:040E6600F0EF45095B -:040E670085A2F1DF90 -:040E680040B244222E -:040E69000141450DF1 -:040E6A00F0FFF06F36 -:040E6B008C49041694 -:040E6C000FF47413F8 -:040E6D0000446413C6 -:040E6E001141BFD996 -:040E6F001437C4224E -:040E7000C226000294 -:040E710084AA460108 -:040E720005C005931F -:040E7300040405135B -:040E7400C0EFC606FF -:040E7500F593B33FFF -:040E760046050FF42A -:040E77000404051357 -:040E7800B5FFC0EF13 -:040E790005C0059318 -:040E7A000404051354 -:040E7B00C0EF460579 -:040E7C000513B17F2A -:040E7D004422040403 -:040E7E00449240B2A8 -:040E7F000141458563 -:040E8000B25FC06F2E -:040E8100C422114135 -:040E8200000214371F -:040E8300C04AC22679 -:040E8400892A84AE85 -:040E8500059346018A -:040E8600051305C08B -:040E8700C606040493 -:040E8800AE5FC0EFAA -:040E89000FF9759355 -:040E8A000513460105 -:040E8B00C0EF0404AC -:040E8C000513B11F7A -:040E8D0044220404F3 -:040E8E00490240B223 -:040E8F00449285A65E -:040E900001414605D1 -:040E9100AFBFC06FC0 -:040E920005131141F2 -:040E9300C60602206D -:040E9400C226C4228C -:040E9500F67FF0EF05 -:040E96000FF57413CD -:040E9700455945CDA7 -:040E9800FA5FF0EF1E -:040E990085136489D0 -:040E9A00C0EF710430 -:040E9B00458DEB4F47 -:040E9C00F0EF455DD1 -:040E9D008513F93F81 -:040E9E00C0EF71042C -:040E9F006593EA4F1E -:040EA000051308042A -:040EA100F0EF02204C -:040EA2000513F7FF3E -:040EA300C0EF3E80DE -:040EA4007593E90F4A -:040EA500442207F4E8 -:040EA600449240B280 -:040EA700022005130D -:040EA800F06F0141A5 -:040EA9001141F63FBE -:040EAA006409C422F1 -:040EAB0071040513B6 -:040EAC00C0EFC606C7 -:040EAD0045C5E6CF82 -:040EAE00F0EF4559C3 -:040EAF000513F4BF74 -:040EB000C0EF71041A -:040EB100455DE5CFE7 -:040EB200F0EF45898F -:040EB3000513F3BF71 -:040EB400442271045F -:040EB500014140B205 -:040EB600E46FC06FB6 -:040EB700C4221141FF -:040EB80085AA842E55 -:040EB900C6064549DB -:040EBA00F1DFF0EF85 -:040EBB00442285A2A6 -:040EBC00454D40B2AE -:040EBD00F06F014190 -:040EBE0085AAF0FF12 -:040EBF0003D0051344 -:040EC000F05FF06F80 -:040EC100454585AA74 -:040EC200EFDFF06FFF -:040EC300C60611410D -:040EC4004783C4227A -:040EC500842A006516 -:040EC6009593456D4E -:040EC7008DDD004776 -:040EC8000FF5F5939A -:040EC900EE1FF0EF39 -:040ECA0000644583F8 -:040ECB00F0EF45718E -:040ECC004583ED7FEE -:040ECD00452900347F -:040ECE00ECDFF0EF76 -:040ECF000044458313 -:040ED000F0EF4525D5 -:040ED1004583EC3F2A -:040ED2004521005462 -:040ED300EB9FF0EFB2 -:040ED400000445834E -:040ED500F0EF4535C0 -:040ED6004583EAFF67 -:040ED700453100148D -:040ED800EA5FF0EFEE -:040ED9000024458329 -:040EDA0040B24422BC -:040EDB000141452D5F -:040EDC00E95FF06F6B -:040EDD00CC22110111 -:040EDE004511842A0C -:040EDF00C632CA2627 -:040EE000CE0684AE08 -:040EE100E37FF0EFCC -:040EE200759346328C -:040EE300CE4D0F855C -:040EE4007FF0079301 -:040EE5000A87EA632B -:040EE600E59345113A -:040EE700F0EF001513 -:040EE8001513E67F79 -:040EE90014130014CA -:040EEA00804101053D -:040EEB0000445593D7 -:040EEC000FF5F59376 -:040EED00F0EF4505D8 -:040EEE001593E4FF75 -:040EEF00F593004433 -:040EF00045090F059C -:040EF100E41FF0EF1B -:040EF200019C05B7A3 -:040EF300CC05859312 -:040EF4000295D5B3DB -:040EF5000225573744 -:040EF6000FF70713D8 -:040EF70085B34781F7 -:040EF800706302859C -:040EF900273702B7DE -:040EFA000713042CAA -:040EFB004785D7F759 -:040EFC0000B779635F -:040EFD00080BF7B730 -:040EFE00FBF78793E4 -:040EFF0000B7B7B3CE -:040F0000675D078999 -:040F0100310707139A -:040F02004503973ECE -:040F030007130007C9 -:040F0400053302802F -:040F0500571302E597 -:040F0600953A001404 -:040F070002854533E7 -:040F08007513471DF9 -:040F090075930FF5D8 -:040F0A0073630FF509 -:040F0B00459D00A759 -:040F0C0040F2446209 -:040F0D00058E44D237 -:040F0E008DDD079AD4 -:040F0F000F85F593C2 -:040F10006105450D25 -:040F1100DC1FF06F82 -:040F1200F0EF4511A6 -:040F1300BFB9DBBFC8 -:040F1400C4221141A1 -:040F15004569842A7C -:040F1600F0EFC6062C -:040F17004785D61F15 -:040F18000FA5759319 -:040F190000F41A6363 -:040F1A000025E59336 -:040F1B0040B244227A -:040F1C0001414569E1 -:040F1D00D91FF06F79 -:040F1E0000A5E593B2 -:040F1F005583BFC572 -:040F2000114100A5D6 -:040F210081A1C422C4 -:040F22000513842A05 -:040F2300C60604B04A -:040F2400D75FF0EFB4 -:040F250000A445835C -:040F260004A005130B -:040F2700D69FF0EF72 -:040F280000C4558329 -:040F290004D00513D8 -:040F2A00F0EF81A1C2 -:040F2B004583D5BF66 -:040F2C00051300C4E5 -:040F2D00F0EF04C01D -:040F2E005583D4FF14 -:040F2F00051300E4C2 -:040F300081A104F0A7 -:040F3100D41FF0EFEA -:040F320000E445830F -:040F330004E00513BE -:040F3400D35FF0EFA8 -:040F3500004455839C -:040F3600051005138A -:040F3700F0EF81A1B5 -:040F38004583D27F9C -:040F39000513004458 -:040F3A00F0EF0500CF -:040F3B005583D1BF4A -:040F3C000513006435 -:040F3D0081A1053059 -:040F3E00D0DFF0EF21 -:040F3F000064458382 -:040F40000520051370 -:040F4100D01FF0EFDE -:040F4200008455834F -:040F4300055005133D -:040F4400F0EF81A1A8 -:040F45004583CF3FD2 -:040F4600051300840B -:040F4700F0EF054082 -:040F48005583CE7F80 -:040F49000513010487 -:040F4A0081A105700C -:040F4B00CD9FF0EF57 -:040F4C0001044583D4 -:040F4D000560051323 -:040F4E00CCDFF0EF15 -:040F4F0001245583A1 -:040F500005900513F0 -:040F5100F0EF81A19B -:040F52004583CBFF09 -:040F5300051301245D -:040F5400F0EF058035 -:040F55005583CB3FB6 -:040F5600051301443A -:040F570081A105B0BF -:040F5800CA5FF0EF8D -:040F59000144458387 -:040F5A0040B244223B -:040F5B0005A00513D5 -:040F5C00F06F0141F0 -:040F5D001141C93F36 -:040F5E00842AC422FB -:040F5F0003F0051383 -:040F6000F0EFC606E2 -:040F61007593C39F22 -:040F62008DC10F0529 -:040F630040B2442232 -:040F640003F005137E -:040F6500F06F0141E7 -:040F66001141C6FF70 -:040F6700842AC422F2 -:040F6800C60645690B -:040F6900C17FF0EF65 -:040F6A000064159377 -:040F6B007513442294 -:040F6C0040B203F597 -:040F6D00F5938DC9A2 -:040F6E0045690FF5CD -:040F6F00F06F0141DD -:040F70001101C47F28 -:040F7100461D65DDD7 -:040F7200A4058593BA -:040F7300CE0600287E -:040F7400241000EF56 -:040F7500C75FF0EF73 -:040F7600F0EF450152 -:040F77004585E77F46 -:040F7800035005130A -:040F7900C21FF0EFB4 -:040F7A00051365599D -:040F7B00F0EF6A85A4 -:040F7C004501E91F23 -:040F7D00FA7FF0EF18 -:040F7E00F0EF451932 -:040F7F000513D01F67 -:040F8000F0EF04404A -:040F81004581D03F97 -:040F8200F0EF450542 -:040F83000028CD3F36 -:040F8400CFDFF0EFDC -:040F8500610540F2D0 -:040F86001101808253 -:040F8700842ACC22CA -:040F8800CE0645113B -:040F8900F0EFC62E91 -:040F8A0045B2B95F54 -:040F8B0002B4043375 -:040F8C0000757593E4 -:040F8D007793451100 -:040F8E00078E01F4D5 -:040F8F00F0EF8DDD15 -:040F90005513BC7FBA -:040F910040F2405496 -:040F9200751344622D -:040F930061050FF5F0 -:040F94001141808205 -:040F9500842AC422C4 -:040F9600C606454105 -:040F9700B5FFF0EFC3 -:040F98000034159379 -:040F9900891D442248 -:040F9A008DC940B20B -:040F9B000FF5F593C6 -:040F9C000141454189 -:040F9D00B91FF06F19 -:040F9E001141C13903 -:040F9F000513C2264E -:040FA00084AE0260B9 -:040FA10008000593AC -:040FA200C606C42299 -:040FA300F0EF8432B5 -:040FA4004785B77F47 -:040FA500009405931C -:040FA60000F4896367 -:040FA700059347A1C6 -:040FA800846305A4B5 -:040FA900059300F4B8 -:040FAA004422018458 -:040FAB00449240B27A -:040FAC000FF5F593B5 -:040FAD000310051315 -:040FAE00F06F01419E -:040FAF004581B4BF05 -:040FB00002600513C3 -:040FB1001101BFDD8E -:040FB200CA26CC225D -:040FB300C64EC84A14 -:040FB400CE06C4524F -:040FB500842A4785BE -:040FB60089B2892E45 -:040FB70084BA8A3638 -:040FB80000F50E63CF -:040FB900006347A1E9 -:040FBA00059306F5A0 -:040FBB00F593006743 -:040FBC0045150FF5D3 -:040FBD00B11FF0EF81 -:040FBE00A80945C178 -:040FBF00002705936F -:040FC0000FF5F593A1 -:040FC100F0EF4515F3 -:040FC2004599AFFF9F -:040FC300F0EF4519ED -:040FC40085A2AF7FD4 -:040FC5004505862632 -:040FC600F61FF0EF33 -:040FC70045B147C128 -:040FC80000F40363CB -:040FC900051345A126 -:040FCA00F0EF022022 -:040FCB004462ADBF10 -:040FCC0044D240F2D9 -:040FCD0085CE8652F5 -:040FCE0049B24A22B8 -:040FCF004942854AC4 -:040FD000F06F610558 -:040FD1000593C33F82 -:040FD200F593032769 -:040FD30045150FF5BC -:040FD400AB5FF0EF30 -:040FD500020005937E -:040FD6001141BF55B1 -:040FD700FFE5879318 -:040FD800C226C42247 -:040FD900F793C606BE -:040FDA0047050FF7C1 -:040FDB00842E84AA32 -:040FDC0006F7696348 -:040FDD0047814709F8 -:040FDE0000E51363B4 -:040FDF009713478994 -:040FE00095930024C1 -:040FE1008DD9004462 -:040FE2008DC5079A18 -:040FE300F5938DDD18 -:040FE40045650FF55B -:040FE500A71FF0EF63 -:040FE600F0EF4541A2 -:040FE700478DA21F71 -:040FE8000F85759369 -:040FE90000F4146399 -:040FEA000055E59336 -:040FEB00F0EF45419D -:040FEC004789A57F0D -:040FED0002F49D630A -:040FEE00EA634785E6 -:040FEF0005930287DD -:040FF0000463052071 -:040FF100059300F470 -:040FF2004539053048 -:040FF300A39FF0EFD9 -:040FF4003E80051323 -:040FF50094AFC0EF06 -:040FF600F0EF455182 -:040FF70045819E1F73 -:040FF8004789A03D48 -:040FF90037B3FD49C4 -:040FFA00078500B0B7 -:040FFB000593BF4952 -:040FFC00453905B0BE -:040FFD00A11FF0EF51 -:040FFE003E80051319 -:040FFF00922FC0EF7E -:04100000F0EF455177 -:04100100478D9B9FDD -:041002001AE345C1E7 -:041003004561FCF453 -:041004009F5FF0EF0B -:0410050040B244228F -:04100600458144924A -:04100700036005136A -:04100800F06F014143 -:0410090011019E3FF4 -:04100A00842ACC2246 -:04100B00C62E455157 -:04100C00F0EFCE062D -:04100D004709989F58 -:04100E000FF57793D0 -:04100F00156345B26E -:04101000470502E4AA -:0410110000E59C63F7 -:041012000905751344 -:04101300F7050513C5 -:04101400001535137B -:04101500446240F2FF -:04101600808261056E -:041017007513E591D7 -:04101800051308852F -:04101900B7EDF785B3 -:04101A000017D513D3 -:04101B00B7DD8905AF -:04101C00479171592E -:04101D0000F11E239D -:04101E00871367DDF0 -:04101F00D6A23B47D3 -:04102000D2CAD4A6B6 -:04102100CED2D0CE8D -:04102200CADACCD684 -:04102300C6E2C8DE7B -:04102400C2EAC4E672 -:04102500842AC0EE6B -:0410260001E7450396 -:041027004E0346210D -:04102800163302E792 -:04102900450300A6D5 -:04102A00661301F751 -:04102B001F230086F9 -:04102C00061300C1E6 -:04102D001633040072 -:04102E00450300A6D0 -:04102F001023020781 -:04103000061302C1E0 -:041031001633400032 -:04103200450300A6CC -:04103300112302176C -:04103400660902C186 -:0410350000A61633C8 -:0410360002C11223BE -:0410370001B10613EA -:04103800665DD432EB -:041039003D760613E7 -:04103A00665DD632E7 -:04103B003D860613D5 -:04103C00665DD832E3 -:04103D003D960613C3 -:04103E00665DDA32DF -:04103F003DA60613B1 -:041040006759DC32DE -:041041000613665DCF -:04104200635D3DB6F7 -:041043006D8707139B -:0410440004000F375E -:041045000DA3DE32E7 -:04104600061300018C -:04104700488149C3D0 -:041048003B47879308 -:0410490049C3031381 -:04104A000F93C63A00 -:04104B0062851000AA -:04104C0040000493C9 -:04104D002000091363 -:04104E00099343C1FE -:04104F000A1304007C -:041050000A930800F7 -:041051004B1102003D -:041052000C134BA18F -:041053004C8D20D0D0 -:041054000F134D0524 -:041055009513040FDC -:041056004775018851 -:0410570094638561B8 -:04105800557D00E8DA -:041059004703A27136 -:04105A004E95017638 -:04105B0001664803DF -:04105C000EEEE5634C -:04105D001E934DB2DF -:04105E009DF60027D4 -:04105F00000DAD8350 -:04106000D07A8D8233 -:0410610000D8783308 -:041062000C0809630A -:0410630004010D93E4 -:04106400AE839EEECB -:041065005803FE8EA0 -:04106600CE830186AE -:041067000E86000EE3 -:04106800DE839EEE97 -:041069007EB3FDCE87 -:04106A00886301D8BE -:04106B0048130A0E0E -:04106C007813FFF8FE -:04106D0012630018F2 -:04106E0058030AB861 -:04106F0008790106F5 -:0410700008886D631C -:041071009723480574 -:0410720088230007C8 -:0410730089230107C5 -:041074009A230007B4 -:041075008E6301D7AE -:04107600E96319FE13 -:0410770084630BDFA4 -:04107800E763167E96 -:04107900856309D3AF -:04107A0098630F6EFA -:04107B004685077E21 -:04107C0000D78623F0 -:04107D0003B7C6836C -:04107E0010069E6357 -:04107F0086B346E905 -:04108000969A02D862 -:0410810000E6D603AC -:04108200577006930A -:0410830010C6E4634C -:04108400FFF7061359 -:041085000FF67613D9 -:04108600ED63468947 -:0410870086A30EC668 -:04108800882300D7E2 -:04108900156300D714 -:04108A00C7030CD7B5 -:04108B0018630317CC -:04108C0047051007FD -:04108D0000E78723CE -:04108E005D83A86571 -:04108F0093E30106E0 -:041090004D83F58D0A -:041091009D63FFD686 -:041092001563019D44 -:041093007813000EC0 -:04109400BF0D0EF886 -:0410950017E34D8987 -:041096000885F3BE18 -:04109700BDE5066944 -:04109800000E1563CE -:041099000FB8781301 -:04109A001DE3BF3162 -:04109B00B7F5F1AE06 -:04109C000F3E81631F -:04109D000F4E8A6305 -:04109E00FF5E91E37D -:04109F002010071303 -:0410A00000E79623AC -:0410A1008823471940 -:0410A200A09D00E726 -:0410A3000E5E8B63EF -:0410A40001D2EF6323 -:0410A5000E9E8263B6 -:0410A600800E87131E -:0410A7009EE3C3659C -:0410A8000713FB2E01 -:0410A900962320204A -:0410AA00471500E7FF -:0410AB006711BFE921 -:0410AC000CEE8C6357 -:0410AD0082636721D2 -:0410AE0067090EEED2 -:0410AF00F8EE9FE3D5 -:0410B000962347112B -:0410B100071300E73A -:0410B2008923078007 -:0410B300A00D00E7A5 -:0410B4000007962378 -:0410B5000FD77713C7 -:0410B6001B634685ED -:0410B700C70300D794 -:0410B800C70D031746 -:0410B9008823470938 -:0410BA00470500E7FF -:0410BB0000E787A320 -:0410BC0054A65436AC -:0410BD0059865916E1 -:0410BE004AE64A763E -:0410BF004BC64B567B -:0410C0004CA64C36B8 -:0410C1004D864D16F5 -:0410C2008082616562 -:0410C3008723470533 -:0410C400BFE100E7A1 -:0410C500FFE70693A8 -:0410C600000786A3F6 -:0410C7000FD6F693B7 -:0410C8004695D689EA -:0410C900FCD716E357 -:0410CA0088B3476937 -:0410CB00071302E81D -:0410CC0093464AF00D -:0410CD0000E3568363 -:0410CE00EED779E3FD -:0410CF0086A3BF55E0 -:0410D00047090007C5 -:0410D1000713B789C1 -:0410D2009623201031 -:0410D300471100E7DA -:0410D4004689BF1D6D -:0410D50000D7962387 -:0410D6001BE3468D45 -:0410D700C703F8D77C -:0410D80008E303170F -:0410D900BFF1EC0770 -:0410DA0010200713C8 -:0410DB0000E7962371 -:0410DC000713B741FE -:0410DD00BFD120203F -:0410DE00BFE9468D93 -:0410DF0020300713A3 -:0410E0000713B7E952 -:0410E100B7052030FF -:0410E2002040071390 -:0410E30000E7962369 -:0410E4008823471501 -:0410E500476100E778 -:0410E6000713BF0D20 -:0410E70096232040EC -:0410E800471900E7BD -:0410E90000E7882371 -:0410EA00B7054751AE -:0410EB001793110145 -:0410EC00CC2201858C -:0410ED00CE06CA263B -:0410EE00842A87E1E8 -:0410EF00DD6384AE8B -:0410F000458100072F -:0410F100077005136C -:0410F20001A337D54A -:0410F300478500A18C -:0410F40006A7EE63FA -:0410F50007F4741375 -:0410F60045912651A9 -:0410F7002E81450100 -:0410F800D7932685DF -:0410F90002A30184C9 -:0410FA00D79300F197 -:0410FB0003230104C6 -:0410FC00D79300F195 -:0410FD0003A30084C5 -:0410FE00022300F1D8 -:0410FF000423008145 -:041100000713009140 -:04110100079304004C -:041102000963095024 -:04110300071300E4EA -:041104004785048097 -:0411050000E414638B -:0411060008700793D3 -:0411070000484599BE -:0411080000F104A34B -:0411090045152CED6F -:04110A00842A26B558 -:04110B0005134585FE -:04110C002CF500318D -:04110D000031078323 -:04110E000007D6639D -:04110F0047852649A1 -:04111000FEF506E3FF -:041111002E41E0117A -:041112000031450360 -:04111300446240F200 -:04111400610544D25B -:04111500713980822A -:041116004581DA260F -:04111700051384AA8E -:04111800DE0604905B -:04111900D84ADC22B2 -:04111A00F0EFD64ECE -:04111B00C909F43FCB -:04111C0050F2450147 -:04111D0054D25462F2 -:04111E0059B2594227 -:04111F008082612148 -:041120004515842AC3 -:04112100892A2E01E8 -:041122000FF009932E -:0411230005134585E6 -:041124002C7100B179 -:0411250000B147834B -:041126000137966394 -:041127004785260DC5 -:04112800FEF506E3E7 -:041129000009136343 -:04112A004703263D14 -:04112B00079300B175 -:04112C001FE30FE0CE -:04112D0045C9FAF7BF -:04112E002C95006894 -:04112F000044C7832E -:041130000027F7138A -:041131004503CF158E -:0411320047830121CD -:04113300470301313C -:04113400890D0161BF -:041135008D5D0522A5 -:0411360001414783A9 -:041137004403050A5E -:041138008399011185 -:0411390047838D5DFE -:04113A00831D0151BF -:04113B000786883D5E -:04113C008FD98B9923 -:04113D000505943ED2 -:04113E0015331465EC -:04113F00BF950085D3 -:04114000CB898B913B -:041141000141450320 -:04114200015147838D -:041143008D5D052297 -:04114400B7CD47C517 -:041145004501478198 -:041146007179BFF10B -:04114700D04A65D94C -:04114800892A462981 -:0411490099058593EC -:04114A00D226004861 -:04114B00CA56CE4E64 -:04114C00D422D606CD -:04114D00498DCC52AA -:04114E0044852CE1C7 -:04114F000AA00A9355 -:041150002C2922C95B -:0411510045A924B1D7 -:041152002AD1004856 -:04115300202345818F -:041154000513000976 -:04115500F0EF0400B3 -:04115600842AE57F83 -:041157000E9514637A -:041158001AA0059341 -:0411590004800513F6 -:04115A00E45FF0EF6F -:04115B00089513637D -:04115C00850A45912A -:04115D0047832A6D2D -:04115E009563002174 -:04115F0047830C971F -:041160009163003166 -:0411610005130D570E -:0411620024293E807E -:0411630019632C0DD3 -:0411640005B7009536 -:04116500051340002E -:04116600F0EF0E9008 -:04116700F57DE13FF2 -:0411680007632C39B4 -:0411690044010295A6 -:04116A0019FD243D0A -:04116B000FF9F993EC -:04116C0008098C637F -:04116D004785D4518D -:04116E0000890223CF -:04116F0000F9202340 -:04117000F0EF854ACD -:04117100157DE95FA0 -:0411720000A9242389 -:04117300A8B5226594 -:041174000513458199 -:04117500F0EF07A0F0 -:04117600F571DD7FB3 -:04117700850A45910F -:04117800478322B9CE -:0411790044310001FC -:04117A000407F793DC -:04117B004411FFD547 -:04117C004581BF6585 -:04117D000E900513B8 -:04117E00DB5FF0EF54 -:04117F0004100A133B -:0411800000A4E5637F -:041181000A13440900 -:0411820005130E90B3 -:0411830022590FA03E -:041184001763227D4E -:04118500458100950B -:04118600F0EF8552AF -:04118700F96DD93FE6 -:04118800E1112A79CE -:041189002A454401AE -:04118A000513458183 -:04118B00F0EF07B0CA -:04118C00C111D7FFB7 -:04118D000593440181 -:04118E000513200025 -:04118F00F0EF050078 -:04119000D525D6FF8C -:04119100B7954401C9 -:0411920028D5F43D2B -:0411930000143513FC -:04119400542250B2DF -:041195005902549215 -:041196004A6249F26E -:0411970061454AD292 -:04119800451C8082F0 -:04119900D422717972 -:04119A00D226D6067D -:04119B00CE4ED04A1A -:04119C00E463440DB7 -:04119D0084BA02C747 -:04119E00C305440D34 -:04119F00004547833D -:0411A000893689AE55 -:0411A100E3918BA1AA -:0411A20085B20626E6 -:0411A300051005131B -:0411A400D1DFF0EFB8 -:0411A5004409C91917 -:0411A6008522205D21 -:0411A700542250B2CC -:0411A8005902549202 -:0411A900614549F261 -:0411AA000513808227 -:0411AB0020DD0640FD -:0411AC000FF0041329 -:0411AD00051345855C -:0411AE00289500F18F -:0411AF0000F1478381 -:0411B00000879663BB -:0411B100478528ED59 -:0411B200FEF506E35D -:0411B30047032229A3 -:0411B400079300F1AC -:0411B50010E30FE054 -:0411B6000413FCF72B -:0411B70004332020BD -:0411B8008C0541243D -:0411B900804104422B -:0411BA0000090563C0 -:0411BB00450185CA9B -:0411BC0085A6283D9F -:0411BD002825854E0E -:0411BE00450185A2C0 -:0411BF004401280DB2 -:0411C0002637BF61AE -:0411C10005B7000668 -:0411C2001537019C40 -:0411C300061300020D -:0411C4008593A80661 -:0411C5000513CC053D -:0411C600B06F0205FF -:0411C700862EDC1F75 -:0411C800153785AAA8 -:0411C9000513000208 -:0411CA00B06F0205FB -:0411CB00862EE5FF88 -:0411CC00153785AAA4 -:0411CD000513000204 -:0411CE00B06F0205F7 -:0411CF008082E23FF9 -:0411D0005783675D7D -:0411D100F7933887D1 -:0411D20007C2F7F762 -:0411D300142383C19D -:0411D400173738F79A -:0411D50020230002D1 -:0411D60080820EF70E -:0411D7005783675D76 -:0411D800E7933887DA -:0411D90014230807CC -:0411DA00173738F794 -:0411DB0020230002CB -:0411DC0080820EF708 -:0411DD000029363778 -:0411DE00019C05B7B4 -:0411DF0000021537BE -:0411E0002E060613BE -:0411E100CC05859321 -:0411E20002050513EA -:0411E300D4FFB06F16 -:0411E400F73FF06F72 -:0411E5002783675D98 -:0411E600E38579071D -:0411E7008793679DE6 -:0411E80005339787AD -:0411E900114102F5B9 -:0411EA002823C606EA -:0411EB00B0EF78A742 -:0411EC0040B2995F15 -:0411ED000141450176 -:0411EE0045058082B1 -:0411EF0011418082A8 -:0411F000B0EFC60690 -:0411F10067DD9A5FBD -:0411F2007907A7834F -:0411F300353340B29E -:0411F400014100F5C0 -:0411F50067DD8082B0 -:0411F6007807A823AB -:0411F70000008082F2 -:0411F80000000713D9 -:0411F90000E6166393 -:0411FA0000000513D9 -:0411FB000000806709 -:0411FC0000E507B350 -:0411FD0000170713BD -:0411FE0000E586B3CF -:0411FF000007C7839B -:04120000FFF6C683AC -:04120100FED780E3B1 -:0412020040D7853319 -:041203000000806700 -:0412040000A5C7B3C7 -:041205000037F79324 -:0412060000C50733E5 -:0412070000079663E3 -:041208000030079318 -:0412090002C7E263D3 -:04120A000005079341 -:04120B000AE57C6311 -:04120C000005C68390 -:04120D0000178793AC -:04120E0000158593AF -:04120F00FED78FA3D4 -:04121000FEE7E8E32A -:0412110000008067F2 -:04121200003576939A -:041213000005079338 -:0412140000068E63DF -:041215000005C68387 -:0412160000178793A3 -:0412170000158593A6 -:04121800FED78FA3CB -:041219000037F69311 -:04121A00FE9FF06FD4 -:04121B00FFC7769300 -:04121C00FE06861331 -:04121D0006C7F463A9 -:04121E000005A383A1 -:04121F000045A28361 -:041220000085AF8313 -:0412210000C5AF0352 -:041222000105AE8391 -:041223000145AE03D0 -:041224000185A3039A -:0412250001C5A883D4 -:041226000245859365 -:041227000077A02389 -:04122800FFC5A80353 -:041229000057A223A5 -:04122A0001F7A42301 -:04122B0001E7A6230E -:04122C0001D7A8231B -:04122D0001C7AA2328 -:04122E000067AC2386 -:04122F000117AE23D2 -:041230000247879357 -:04123100FF07AE23E2 -:04123200FADFF06F80 -:041233000005A60309 -:041234000047879355 -:041235000045859358 -:04123600FEC7AE231E -:04123700FED7E8E313 -:04123800F4E7E8E30C -:0412390000008067CA -:04123A00F6010113A5 -:04123B0006912A23CB -:04123C0008F12A2368 -:04123D0006112E2345 -:04123E00000177B77D -:04123F0006812C23D5 -:0412400008D1262388 -:0412410008E1282375 -:0412420009012C234F -:0412430009112E233C -:041244002BC7A4838D -:041245000205D26369 -:0412460008B0079352 -:0412470000F4A023EC -:04124800FFF005139B -:0412490007C1208336 -:04124A0007812403F1 -:04124B0007412483B0 -:04124C000A0101137F -:04124D0000008067B6 -:04124E002080079362 -:04124F0000F11A236D -:0412500000A12423B2 -:0412510000A12C23A9 -:0412520000000793FE -:0412530000058463AB -:04125400FFF5879388 -:0412550000F1282359 -:0412560000F12E2352 -:0412570008C1069331 -:04125800FFF0079309 -:0412590000058413F5 -:04125A0000048513F4 -:04125B000081059376 -:04125C0000F11B235F -:04125D0000D1222377 -:04125E00484000EF15 -:04125F00FFF0079302 -:0412600000F55663DC -:0412610008B0079337 -:0412620000F4A023D1 -:04126300F8040CE39C -:04126400008127835B -:0412650000078023DB -:04126600F8DFF06F4E -:0412670002060A630E -:04126800FFF6061374 -:041269000000071367 -:04126A0000E507B3E1 -:04126B0000E586B361 -:04126C000007C7832D -:04126D000006C6832E -:04126E0000D79863AA -:04126F0000C706634B -:041270000017071349 -:04127100FE0792E3FF -:0412720040D78533A9 -:041273000000806790 -:04127400000005135E -:04127500000080678E -:0412760000050793D5 -:0412770000060E63FC -:041278000015859345 -:04127900FFF5C703B3 -:04127A00001787933F -:04127B00FFF6061361 -:04127C00FEE78FA357 -:04127D00FE0714E371 -:04127E0000C78633EC -:04127F0000C79463AD -:041280000000806783 -:041281000017879338 -:04128200FE078FA331 -:04128300FF1FF06FEA -:0412840000B505B3F9 -:0412850000050793C6 -:0412860000B78663C4 -:041287000007C70392 -:0412880000071663E2 -:0412890040A78533C2 -:04128A000000806779 -:04128B00001787932E -:04128C00FE9FF06F62 -:04128D001005846361 -:04128E00FFC5A7836E -:04128F00FF01011347 -:041290000081242392 -:0412910000112623FF -:041292000091222382 -:04129300FFC58413FC -:041294000007D46318 -:0412950000F404332A -:0412960000050493B8 -:0412970058D000EF3C -:0412980000017737A3 -:0412990032C72783AE -:04129A000007061330 -:04129B000207926351 -:04129C000004222305 -:04129D00328726234B -:04129E0000812403A4 -:04129F0000C12083E7 -:0412A00000048513AE -:0412A1000041248361 -:0412A2000101011332 -:0412A3005610006F72 -:0412A40002F4786375 -:0412A5000004268398 -:0412A60000D4073336 -:0412A70000E79A635F -:0412A8000007A70391 -:0412A9000047A783D0 -:0412AA0000D707332F -:0412AB0000E4202318 -:0412AC0000F4222305 -:0412AD00328626233C -:0412AE00FC1FF06FC2 -:0412AF00000707939A -:0412B0000047A70349 -:0412B10000070463CB -:0412B200FEE47AE3F9 -:0412B3000007A68307 -:0412B40000D78633A6 -:0412B5000286186332 -:0412B6000004260307 -:0412B70000C686B334 -:0412B80000D7A02398 -:0412B90000D78633A1 -:0412BA00F8C718E376 -:0412BB0000072603FF -:0412BC0000472703BD -:0412BD0000D606B39E -:0412BE0000D7A02392 -:0412BF0000E7A2237F -:0412C000F79FF06F35 -:0412C10000C478638A -:0412C20000C00793CE -:0412C30000F4A02370 -:0412C400F69FF06F32 -:0412C50000042603F8 -:0412C60000C406B3A7 -:0412C70000D71A63CF -:0412C8000007268372 -:0412C90000472703B0 -:0412CA0000C686B321 -:0412CB0000D4202308 -:0412CC0000E42223F5 -:0412CD000087A223D1 -:0412CE00F41FF06FAA -:0412CF000000806734 -:0412D000FE01011307 -:0412D10000912A233B -:0412D20000358493CC -:0412D300FFC4F493CD -:0412D40000112E23B4 -:0412D50000812C2345 -:0412D60001212823A7 -:0412D7000131262398 -:0412D8000084849377 -:0412D90000C00793B7 -:0412DA0006F4F863BB -:0412DB0000C00493B8 -:0412DC0006B4E6630B -:0412DD0000050913EC -:0412DE00471000EFC6 -:0412DF00000177B7DC -:0412E00032C7A70367 -:0412E10032C78693F7 -:0412E20000070413EA -:0412E30006041C637E -:0412E400000174375A -:0412E50033040413B7 -:0412E6000004278356 -:0412E70000079A63FF -:0412E800000005936A -:0412E90000090513E0 -:0412EA00375000EF8A -:0412EB0000A4202318 -:0412EC0000048593E2 -:0412ED0000090513DC -:0412EE00365000EF87 -:0412EF00FFF0099370 -:0412F0000B351A633D -:0412F10000C007939F -:0412F20000F92023BC -:0412F30000090513D6 -:0412F40041D000EFF6 -:0412F5000100006F85 -:0412F600F804DCE339 -:0412F70000C0079399 -:0412F80000F52023BA -:0412F90000000513D9 -:0412FA0001C120838B -:0412FB000181240346 -:0412FC000141248305 -:0412FD0001012903BF -:0412FE0000C129837F -:0412FF0002010113D4 -:041300000000806702 -:04130100000427833A -:04130200409787B3D6 -:041303000407CE63AA -:0413040000B006131C -:0413050000F67A6311 -:0413060000F42023AC -:0413070000F40433B7 -:04130800009420230A -:041309000100006F70 -:04130A0000442783F1 -:04130B0002871A63D8 -:04130C0000F6A02324 -:04130D0000090513BB -:04130E003B5000EF61 -:04130F0000B405130E -:0413100000440793FB -:04131100FF857513CC -:0413120040F5073368 -:04131300F8070EE3E6 -:0413140000E40433BA -:0413150040A787B3B3 -:0413160000F420239C -:04131700F8DFF06F9C -:0413180000F7222395 -:04131900FD1FF06F55 -:04131A0000040713B1 -:04131B000044240363 -:04131C00F1DFF06F9E -:04131D000035041380 -:04131E00FFC4741381 -:04131F00FA8502E366 -:0413200040A405B32D -:0413210000090513A7 -:04132200295000EF5F -:04132300F9351AE39B -:04132400F35FF06F14 -:04132500FE010113B1 +:0403F60086AEC226E7 +:0403F70085AA84AEA1 +:0403F8004887A5038A +:0403F900C04AC42210 +:0403FA008432C6067D +:0403FB00BF2FF0EF31 +:0403FC00F37009137E +:0403FD00892AE5095B +:0403FE00996394A2C9 +:0403FF0040B2008484 +:04040000854A4422C3 +:0404010049024492D6 +:0404020080820141B2 +:0404030000044503A9 +:0404040020EF0405DC +:040405000FA35BC026 +:04040600B7C5FEA4D4 +:04040700CC221101F1 +:04040800C64EC84ACA +:04040900CE06C45205 +:04040A00C256CA26E6 +:04040B000FF67793DE +:04040C008A2E892A81 +:04040D001993843289 +:04040E00C795008608 +:04040F000AB384CADE +:040410009563012AC5 +:0404110067DD049A05 +:040412004887A5036F +:04041300F0047593E9 +:0404140086CA8752BB +:0404150005A2864E68 +:040416009FEFF0EF75 +:04041700CD0987AADA +:04041800F350079303 +:0404190067DDA811E2 +:04041A004887A50367 +:04041B00F0EF85CEAB +:04041C00079399CFDA +:04041D00D179F3603E +:04041E00446240F202 +:04041F00494244D238 +:040420004A2249B271 +:04042100853E4A9238 +:04042200808261056E +:040423000004C50309 +:0404240020EF04853C +:040425008FA353C08E +:04042600B765FEA414 +:04042700CC221101D1 +:04042800C64EC84AAA +:04042900C256C452A1 +:04042A00CA26CE060A +:04042B008A32892E5A +:04042C00440189B648 +:04042D000A93478166 +:04042E0060631000F7 +:04042F00450103245C +:040430000147846399 +:04043100F34005137C +:04043200446240F2EE +:04043300494244D224 +:040434004A2249B25D +:0404350061054A9281 +:0404360004B3808209 +:04043700F4634089A1 +:040438000493009A8F +:04043900864E1000DB +:04043A00852285A6EC +:04043B00FD6935E53D +:04043C00001436135F +:04043D00854E85A6BD +:04043E00536020EFF8 +:04043F00041387AA71 +:04044000BF65100480 +:04044100CC221101B7 +:04044200C62A645D05 +:0404430048C4051391 +:0404440030EFCE06C1 +:0404450045B25480E8 +:040446000713E909A6 +:0404470046812000CA +:040448000513460151 +:0404490030EF48C484 +:04044A0040F267C055 +:04044B0061054462A1 +:04044C0065DD808268 +:04044D008593655DD1 +:04044E0005134E053F +:04044F00206F4A05CB +:0404500065DD75C031 +:040451008593655DCD +:040452000513540535 +:04045300206F4B4586 +:04045400114174C01E +:04045500C606C422F1 +:04045600F0EF842A15 +:040457004581A0FF3C +:04045800F0EF45017B +:04045900E435AF7F58 +:04045A000713675DC0 +:04045B0043484F477C +:04045C00019C07B741 +:04045D00CC078793AE +:04045E0002A7D7B367 +:04045F0000A70603E9 +:04046000450345E922 +:0404610066DD01074C +:040462005DC686935A +:040463000413645DBD +:04046400063355C442 +:04046500458302B613 +:0404660087B30264F2 +:0404670096B202A7A0 +:0404680000E6D503D2 +:0404690002744603D0 +:04046A0002A787B3AB +:04046B0000C745037E +:04046C0087B3050548 +:04046D00450302A79A +:04046E00050500E799 +:04046F0002F505335A +:0404700080BFF0EF6A +:0404710002644503D9 +:0404720040B244222E +:04047300F06F0141E4 +:0404740040B2DFAF04 +:0404750001414422DB +:04047600114180822E +:04047700892AC04AC4 +:04047800C60645056A +:04047900C226C422B1 +:04047A00AF5FF0EF91 +:04047B0095BFF0EF4A +:04047C004501458170 +:04047D0098DFF0EF25 +:04047E000019369398 +:04047F00458146016C +:04048000F0EF45054F +:040481001563B0DF70 +:04048200645D0209AA +:04048300041364DD1D +:0404840084934F44CA +:04048500470355C410 +:04048600C68300F435 +:0404870046010164C5 +:040488004501458164 +:04048900D60FF0EFAB +:04048A000164C783BF +:04048B0002F406A3CE +:04048C003705854A61 +:04048D0040B2442213 +:04048E004902449249 +:04048F0001414501E1 +:04049000A9DFF06F81 +:04049100C606114149 +:04049200ED2DC42266 +:04049300C78367DDD7 +:0404940047214C5759 +:0404950004E78C6389 +:0404960089634741EE +:04049700471100E722 +:0404980004E79E6374 +:04049900453020EFDB +:04049A00A0A14505D3 +:04049B000713675D7F +:04049C0043504F4733 +:04049D00019C07B700 +:04049E00CC0787936D +:04049F0002C7D7B306 +:0404A00000A70603A8 +:0404A100675D45E965 +:0404A2005DC7071318 +:0404A300063386AAEC +:0404A400973202B6D3 +:0404A50000E7570312 +:0404A60002E787B32F +:0404A70001C9C73789 +:0404A80037F7071308 +:0404A90000F7636392 +:0404AA00853646BD90 +:0404AB0040B020EF4E +:0404AC004422450D94 +:0404AD00014140B217 +:0404AE007C40206FFF +:0404AF003FB020EF4B +:0404B000BFC545017E +:0404B100871367DD69 +:0404B200468355C761 +:0404B30047090207EC +:0404B40055C7841391 +:0404B5008363453DDB +:0404B600450100E616 +:0404B7003DB020EF45 +:0404B8000204478370 +:0404B900450D47099D +:0404BA00FCF775E3F3 +:0404BB008D1D451539 +:0404BC000FF57513B0 +:0404BD007179BF7D15 +:0404BE00D60667896E +:0404BF00D226D4224B +:0404C000CE4ED04A02 +:0404C100CA56CC52F9 +:0404C200C65EC85AF0 +:0404C300C266C462E7 +:0404C40071078793A2 +:0404C50000021737E3 +:0404C6000C07268376 +:0404C7000006D463F4 +:0404C800FBFD17FD24 +:0404C900643020EF8C +:0404CA0087936BDDCC +:0404CB00C4834F4B4C +:0404CC008A2A00B7C1 +:0404CD004F4B8413FA +:0404CE0017B7C499FF +:0404CF00A483000200 +:0404D00080C10C07D4 +:0404D1000513888DFA +:0404D20020EF0370A4 +:0404D3008AAA7B0076 +:0404D4000380051389 +:0404D5007A6020EF3A +:0404D600199367858A +:0404D7008793008582 +:0404D800F9B3F0077D +:0404D900579300F93C +:0404DA008B850065A9 +:0404DB000513892A52 +:0404DC0004A30390E2 +:0404DD0020EF00F418 +:0404DE008CAA78402C +:0404DF0003A005135E +:0404E00077A020EFF2 +:0404E100000217B747 +:0404E2000C07A783D9 +:0404E300E9B3470929 +:0404E4008B630159CC +:0404E500470302E4E3 +:0404E600E70900B46E +:0404E70018F00713EF +:0404E80003377463FF +:0404E9009D634705C3 +:0404EA00D99300E4BE +:0404EB00F993012759 +:0404EC0009857FF906 +:0404ED001909B7131F +:0404EE000017471399 +:0404EF00A8114C0103 +:0404F0000209791371 +:0404F100FE0908E315 +:0404F2000C89B713A7 +:0404F3000017471394 +:0404F40046834C05EA +:0404F50067DD00B40B +:0404F60087936ADDA1 +:0404F700849346871D +:0404F8006B5D55CA19 +:0404F90018069F63DF +:0404FA00380A006359 +:0404FB0036070E634F +:0404FC00000787036B +:0404FD00D1634689F8 +:0404FE00802318E659 +:0404FF004785000726 +:0405000000F405A35B +:04050100A783491172 +:040502001A134F4B2E +:040503006505008505 +:04050400F0050513E6 +:0405050000AA7A339B +:0405060000178693C1 +:04050700019A6A33B8 +:04050800ED63675DDB +:04050900869301369E +:04050A00E963001988 +:04050B00405C00F65A +:04050C0001479663AA +:04050D00008447839C +:04050E0033878B6341 +:04050F0052070EA3DE +:0405100000C4C70359 +:0405110002344783E6 +:0405120008F71A6369 +:0405130000D4C70346 +:0405140002444783D3 +:0405150008F714636C +:0405160000E4C70333 +:0405170002544783C0 +:0405180006F71E6361 +:0405190000F4C70320 +:04051A0002644783AD +:04051B0006F7186364 +:04051C000104C7030C +:04051D00027447839A +:04051E0006F7126367 +:04051F000074C7039A +:0405200001E4478328 +:0405210004F71C635C +:040522000084C70387 +:0405230001F4478315 +:0405240004F716635F +:040525000094C70374 +:040526000204478301 +:0405270004F7106362 +:0405280000A4C70361 +:0405290002144783EE +:04052A0002F71A6357 +:04052B0000B4C7034E +:04052C0002244783DB +:04052D0002F714635A +:04052E000194C7036A +:04052F0003044783F7 +:0405300000F71E634F +:0405310001A4C70357 +:0405320003144783E4 +:0405330000F7186352 +:040534000244C703B3 +:0405350003B4478341 +:0405360000F709635E +:0405370087CA47091F +:0405380000E9736300 +:04053900F9134789E2 +:04053A00C7030FF7ED +:04053B00478301747D +:04053C00086302E46A +:04053D00078302F737 +:04053E00476900A465 +:04053F0002E787B395 +:040540000713675DD9 +:0405410097BA5DC741 +:040542000107D703D3 +:0405430020D007932A +:0405440000F7196340 +:0405450087CA470911 +:0405460000E97363F2 +:04054700F9134789D4 +:0405480047830FF7DF +:04054900C3B1555B8A +:04054A0000A40703FF +:04054B0067DD46E939 +:04054C0002D7073398 +:04054D005DC787936C +:04054E00010445035C +:04054F00010A1593F5 +:04055000460181C11E +:04055100D70397BA7B +:04055200053300E786 +:04055300470302E573 +:040554000542030455 +:04055500C709814110 +:040556000187D60340 +:040557008A0582058A +:04055800754020EFDB +:04055900000913631F +:04055A00AA23490582 +:04055B0022234F3BCD +:04055C00042301442F +:04055D00A035018440 +:04055E0080230705EA +:04055F00490100E767 +:040560000463B55922 +:040561001163000A18 +:0405620086831E0767 +:0405630056710007C6 +:040564001CC6D76377 +:0405650000078023E8 +:04056600000405A3E5 +:0405670014E349113F +:04056800C703E607D8 +:04056900478355CAA5 +:04056A001E63017497 +:04056B00C70306F7C5 +:04056C0047830014AD +:04056D00186301848A +:04056E00C70306F7C2 +:04056F00478300249A +:04057000126301947D +:04057100C70306F7BF +:040572004783003487 +:040573001C6301A460 +:04057400C70304F7BE +:040575004783004474 +:04057600166301B453 +:04057700C70304F7BB +:040578004783005461 +:04057900106301C446 +:04057A00C70304F7B8 +:04057B00478301248D +:04057C001A63029468 +:04057D00C70302F7B7 +:04057E00478301347A +:04057F00146302A45B +:04058000C70302F7B4 +:040581004783014467 +:040582001E6302B43E +:04058300C70300F7B3 +:040584004783011494 +:040585001863028471 +:04058600C70300F7B0 +:040587004783025450 +:04058800056303C440 +:04058900136300F701 +:04058A004905000916 +:04058B000184C5031F +:04058C0002F44783AB +:04058D0000A78B63D5 +:04058E00010445839C +:04058F0011F020EF58 +:0405900000A408A318 +:0405910000091363E7 +:04059200C50349054F +:04059300478301C4D5 +:040594008463033445 +:0405950020EF00A7AC +:04059600C50313D0B6 +:04059700478301D4C1 +:040598008463034431 +:0405990020EF00A7A8 +:04059A00C5035D40F8 +:04059B00478301E4AD +:04059C00846303541D +:04059D0020EF00A7A4 +:04059E00C5035CE055 +:04059F004783021478 +:0405A000C583038488 +:0405A1009663022437 +:0405A200478300A7E4 +:0405A30084630394D6 +:0405A40020EF00B78D +:0405A500C50358A092 +:0405A600478301B4D2 +:0405A7008B6303243B +:0405A80047E100A780 +:0405A90002F505331F +:0405AA00879367D9F3 +:0405AB00953E7E8774 +:0405AC0070E020EFEC +:0405AD000204C5037C +:0405AE000374478308 +:0405AF0000A78463BA +:0405B000B85FF0EF51 +:0405B10001F4C50389 +:0405B2000364478314 +:0405B30000A78463B6 +:0405B40000B020EF84 +:0405B50069DD65DDBA +:0405B6008593461DC6 +:0405B7008513534510 +:0405B80030EF58596F +:0405B900C50923E06D +:0405BA0058598513F4 +:0405BB00560020EFD7 +:0405BC000264C7030B +:0405BD0003D4478399 +:0405BE0000F71863C7 +:0405BF000274C703F8 +:0405C00003E4478386 +:0405C10000F70663D6 +:0405C2000154C50318 +:0405C300A47FF0EF32 +:0405C400C78367DDA5 +:0405C500CF81556726 +:0405C6000284C503E3 +:0405C70003F447836F +:0405C80000A787639E +:0405C9000562155161 +:0405CA0020EF856138 +:0405CB0085930240D2 +:0405CC00051355CAF4 +:0405CD00061301749C +:0405CE0030EF0310F7 +:0405CF0050B22160A5 +:0405D0000AA3542204 +:0405D100854A540BF8 +:0405D20059025492E4 +:0405D3004A6249F23D +:0405D4004B424AD27A +:0405D5004C224BB2B7 +:0405D60061454C929D +:0405D70016FD80820B +:0405D80000D78023A5 +:0405D900BD254901F2 +:0405DA000007802373 +:0405DB004783BFE5AE +:0405DC00468553D726 +:0405DD00CCD786E30E +:0405DE00F793078503 +:0405DF000EA30FF761 +:0405E0009FE352F74C +:0405E1004709CAD725 +:0405E200736387CAEE +:0405E300478900E95B +:0405E4000FF7F91301 +:0405E500675DB17528 +:0405E600C6221141D7 +:0405E7004F470693E1 +:0405E80000A68E03D8 +:0405E90068DD45E99B +:0405EA0002BE05B395 +:0405EB000176C503CD +:0405EC005DC88793CC +:0405ED000106C6033A +:0405EE000713468920 +:0405EF0088934F4757 +:0405F00097AE5DC89D +:0405F1000147C303F8 +:0405F20000C7D80363 +:0405F3000137C58384 +:0405F40018D514639F +:0405F50001874683B1 +:0405F600F6930685ED +:0405F70055030FF6A3 +:0405F8004F830147E5 +:0405F90047910227FD +:0405FA0080050E93D7 +:0405FB00020E826307 +:0405FC008E936785EE +:0405FD00E56380072B +:0405FE0007931AAE97 +:0405FF00096302008A +:04060000E1631CF5A1 +:040601004EC118A727 +:0406020003634791B6 +:0406030087B201D5E4 +:040604004E83655D5F +:0406050045214C55EA +:0406060000AE966349 +:0406070003420306A1 +:040608000103531384 +:04060900012745037D +:04060A0078634F05BD +:04060B000333006550 +:04060C001F1340A3D5 +:04060D005F13010373 +:04060E004569010F2A +:04060F0002AE0533FF +:0406100040F602B3FB +:0406110044054E0945 +:040612005E83954628 +:04061300450300A5F6 +:040614008333012506 +:0406150003B303D256 +:04061600433300AFBB +:040617004E0303C3C8 +:0406180082B3029710 +:040619000342025343 +:04061A000103531372 +:04061B00200003B701 +:04061C0003C78E334F +:04061D0093169E1A78 +:04061E0000D742833C +:04061F0003420E4242 +:04062000010E5E1356 +:04062100010353136B +:04062200936302FAE2 +:040623004381008F80 +:0406240002A60533F2 +:0406250000100FB7FB +:04062600800F8F931F +:040627000E4E07C2AA +:0406280005AE06EE27 +:040629000EB3443296 +:04062A00052E03D6C0 +:04062B0001F575332D +:04062C0003E60633A8 +:04062D007FFEFE93BB +:04062E00005EEEB3C9 +:04062F0001D5653359 +:040630000FF00EB702 +:0406310076330652C4 +:040632008E4901D616 +:0406330000766633B4 +:040634000002153774 +:040635000AC52823A7 +:04063600011746035F +:0406370001074E83E6 +:040638000EAA0636CA +:0406390001D666334D +:04063A00E7B38FD1C2 +:04063B00E7B301C759 +:04063C002023006710 +:04063D0047830AF5F0 +:04063E00460300C7A8 +:04063F00436901C743 +:04064000066A07F649 +:0406410046038FD10C +:04064200065202A7B3 +:0406430006038FD14A +:04064400063300A7D2 +:0406450098B20266FF +:040646000158C6038E +:040647008FD1064603 +:04064800E6B38EDDAA +:040649008ECD01064B +:04064A0008D5282384 +:04064B0003C7478317 +:04064C0002B7468328 +:04064D0006AA07BA38 +:04064E0046838FD57B +:04064F008FD501B78B +:0406500001A7468335 +:0406510001974703C3 +:040652008FD506A694 +:040653008FD9071222 +:0406540008F5202362 +:04065500808201415D +:0406560046814E8506 +:04065700E9D511E3ED +:040658000187D5033E +:0406590000C746830D +:04065A00C509890540 +:04065B00E60689E343 +:04065C00B5B5468D5D +:04065D00E60685E345 +:04065E000177C683D7 +:04065F0036B316F1A7 +:04066000BDB100D058 +:0406610010000E93E4 +:0406620003E3478DDA +:040663000793E9D53B +:040664001EE3200071 +:040665004503E6F56E +:04066600479102872F +:04066700A8358F899A +:040668000F636E8925 +:04066900E86303D56A +:04066A0012E300AEE9 +:04066B004503E6F568 +:04066C004795028725 +:04066D006791B7E5F5 +:04066E0002F50F631F +:04066F0018E367A184 +:040670004503E4F565 +:04067100479902871C +:04067200F7938F89E2 +:04067300A8110FF7C4 +:0406740002874503B1 +:040675008F89478D95 +:04067600F793078669 +:04067700BD0D0FF7AF +:0406780097E387B2CB +:040679001821E20F53 +:04067A00084205B17C +:04067B00581305C249 +:04067C0081C101082F +:04067D004795BD31AF +:04067E001101B7EDC2 +:04067F00C64E67DD1F +:0406800069DD4705E4 +:0406810052E78EA30B +:040682004F498793C2 +:0406830043C4CA267C +:04068400CE06CC22B0 +:04068500C452C84A49 +:040686008413C256C1 +:040687008A634F49EA +:04068800A783180428 +:0406890086634F49EC +:04068A00C83718074E +:04068B000813A0EEC2 +:04068C005833B00827 +:04068D00478302F8A5 +:04068E000A370084A3 +:04068F000A13019CAD +:040690005A33CC0A03 +:040691008463029AE2 +:0406920054B3160740 +:0406930005130298B1 +:0406940020EF03B0A0 +:04069500892A0A8024 +:0406960003C0051385 +:0406970009E020EF67 +:04069800016447832F +:040699000084460390 +:04069A00002797138B +:04069B00879367D901 +:04069C0097BAB3470F +:04069D00A7034394D8 +:04069E0007934F4926 +:04069F00E219070055 +:0406A0000690079326 +:0406A10000016637B7 +:0406A2000613655D79 +:0406A30045C5BD8606 +:0406A4004B450513AA +:0406A500795020EF79 +:0406A6003E800693F9 +:0406A70006400813EE +:0406A800655D47291C +:0406A900051345C52B +:0406AA00763354054A +:0406AB00DAB302DAE2 +:0406AC0057330304B9 +:0406AD00663702E6C4 +:0406AE0087D60001EA +:0406AF00BE0606136A +:0406B0000304F83314 +:0406B10002DA56B360 +:0406B200761020EFAF +:0406B300C78367DDB5 +:0406B400E3995D97D2 +:0406B500E6EFF0EF8D +:0406B600C68364DDB6 +:0406B70045835544DE +:0406B800A503008412 +:0406B90086564F49C9 +:0406BA006C8020EF41 +:0406BB00096357FD7B +:0406BC0005230CF511 +:0406BD00070300A48B +:0406BE0067DD00A450 +:0406BF008D2346E958 +:0406C000073348E7CD +:0406C10067DD02D718 +:0406C2005DC78793F6 +:0406C3000104468365 +:0406C4004803405057 +:0406C50097BA0304D9 +:0406C6000167C50300 +:0406C7005544C703CC +:0406C800675D8D7964 +:0406C9004CA702A395 +:0406CA0000E7D583ED +:0406CB000FF9771399 +:0406CC0002D585B31B +:0406CD000733468128 +:0406CE0005C202B7A8 +:0406CF00573381C15B +:0406D000064202C715 +:0406D10006638241F9 +:0406D200D6830008C3 +:0406D3008285018794 +:0406D40077138A8589 +:0406D50020EF0FF70C +:0406D60045034B008D +:0406D700F0EF0374C9 +:0406D8004583EE6FF9 +:0406D90045030104D0 +:0406DA0020EF02F417 +:0406DB0008A33F0031 +:0406DC00F0EF00A497 +:0406DD004783C25F2E +:0406DE00450302C40A +:0406DF00458100E46D +:0406E0004583E791D6 +:0406E1008D8900F40B +:0406E2000015B593B7 +:0406E300BE4FF0EF27 +:0406E40003A44783A1 +:0406E50002C4450303 +:0406E6004462CB851A +:0406E70044D240F2C7 +:0406E80049B2494288 +:0406E9004A924A22C5 +:0406EA00F06F610547 +:0406EB000806E30F0B +:0406EC006485BD69FB +:0406ED0084936A1177 +:0406EE000A13770470 +:0406EF00BD41D54AEA +:0406F0000523479106 +:0406F100BF0500F44D +:0406F2004703E10DCC +:0406F300468300F446 +:0406F400446202D486 +:0406F50044D240F2B9 +:0406F60049B249427A +:0406F7004A924A22B7 +:0406F80045814601F1 +:0406F900E06F610548 +:0406FA0040F2B9FF12 +:0406FB0044D244623F +:0406FC0049B2494274 +:0406FD004A924A22B1 +:0406FE008082610590 +:0406FF00C2261141BD +:04070000C50364DDEC +:04070100C42249D4F1 +:0407020010EFC60628 +:04070300842A7E20A6 +:04070400C683E121A6 +:0407050067DD49D48F +:0407060088A3472954 +:0407070067DD4ED785 +:0407080058C7C78384 +:0407090000E785631D +:04070A000F23675DF5 +:04070B0067DD48F767 +:04070C004F27C703A9 +:04070D00C701478158 +:04070E00C78367DD59 +:04070F00675D49E7F2 +:040710004D07071377 +:04071100453D97BA11 +:0407120000D7802369 +:0407130061C010EFC2 +:0407140040B2852248 +:0407150044924422A4 +:04071600808201419B +:04071700C2261141A4 +:04071800C50364DDD4 +:04071900C42249D4D9 +:04071A0010EFC60610 +:04071B00842A5FE0ED +:04071C00C683E51D8E +:04071D0067DD49D477 +:04071E004ED788A387 +:04071F00C70367DDC8 +:0407200047814F2797 +:0407210067DDC701C8 +:0407220050A7C78392 +:040723000713675DF4 +:0407240097BA4D072C +:040725008023453DAB +:0407260010EF00D7F9 +:0407270085225CE0EB +:04072800442240B275 +:0407290001414492B4 +:04072A0067DD808285 +:04072B0049A7C70310 +:04072C0067DD46E956 +:04072D0052E78E23DE +:04072E0002D70733B4 +:04072F00879367DD68 +:0407300097BA5DC750 +:0407310000E7D68384 +:040732001E23675DBE +:04073300C6834CD756 +:04073400675D0147B5 +:0407350054D71C2356 +:040736000127C6834E +:040737001C23675DBB +:04073800D68348D745 +:04073900675D00A751 +:04073A004CD716235F +:04073B000157C68319 +:04073C001323675DBF +:04073D00C6834CD74C +:04073E00675D0137BB +:04073F0054D719234F +:0407400000C7D70314 +:04074100952367DDB8 +:0407420080824CE77E +:04074300C60367DDA5 +:0407440067DD53C753 +:040745004DC7DF03BA +:04074600DE8367DD0A +:0407470067DD55878E +:040748004987CE030C +:0407490067DD675DA4 +:04074A004CC7D303C2 +:04074B004F470713FA +:04074C0086AA67DD35 +:04074D004C67D8839A +:04074E0000B74503A8 +:04074F00C80367DD97 +:0407500067DD5527E5 +:040751004CA7D58359 +:04075200879367DD45 +:04075300C9295DC78C +:0407540000A70703F0 +:0407550004C716635C +:0407560007334769B5 +:04075700973E02E6E1 +:0407580000E755035E +:0407590003E51A6337 +:04075A00014745030B +:04075B0003D5166349 +:04075C000127450329 +:04075D0003C512635B +:04075E0000A7550398 +:04075F0000651E63B0 +:0407600001574503F5 +:0407610001151A6301 +:040762000137450313 +:040763000105166313 +:0407640000C7570370 +:0407650000B7066370 +:040766004505675D81 +:0407670054A70AA3E6 +:0407680006334769A4 +:04076900655D02E6E2 +:04076A004E05051320 +:04076B00663797B2A4 +:04076C0096230001CF +:04076D00972300B717 +:04076E008A2301E7F2 +:04076F00892301D702 +:04077000952301C705 +:040771008AA30067F0 +:0407720089A301173F +:040773000613010761 +:0407740045C57D06F4 +:040775004550206F5C +:04077600C606114161 +:0407770047C5C4228C +:040778000002143730 +:040779000EF4202337 +:04077A000E04202326 +:04077B000A04282321 +:04077C0028236509C0 +:04077D000513080454 +:04077E00E0EF710532 +:04077F000713B24F5B +:0407800067DD1D1004 +:040781004CE794238A +:040782000003153724 +:040783001D100793AB +:040784000EF420232C +:04078500D40505137F +:04078600B06FE0EF81 +:04078700000626370B +:04078800019C05B714 +:04078900040405134C +:04078A00A8060613A4 +:04078B00CC05859381 +:04078C00EAAFE0EF01 +:04078D001C7010EFDD +:04078E00401010EF18 +:04078F00C94154798F +:0407900010EF450120 +:0407910007934B90EF +:0407920054750FF09B +:0407930008F5016301 +:040794000B2020EF27 +:04079500E0EF450943 +:0407960047CDA45F48 +:04079700186354711E +:04079800E0EF06F593 +:0407990010EFAB5F53 +:04079A00C50910B0CD +:04079B00470567DDCA +:04079C0054E78B2370 +:04079D0093AFF0EF37 +:04079E00ED39842A83 +:04079F0065D9239560 +:0407A0000613655D7A +:0407A1008593031029 +:0407A2000513C8056E +:0407A30020EF50B53E +:0407A40065D92C30B7 +:0407A5000613655D75 +:0407A60085930360D4 +:0407A7000513DF0552 +:0407A80020EF5905E0 +:0407A900453D2AF0B0 +:0407AA00544010EFB8 +:0407AB00C50367DD3E +:0407AC0010EF4F17E4 +:0407AD0017B753A087 +:0407AE00A78300021B +:0407AF0097130D0788 +:0407B000436300E7B8 +:0407B1002B99000779 +:0407B200F0EF45011E +:0407B3008522B10FDB +:0407B400442240B2E9 +:0407B50080820141FC +:0407B600BFD5547DDA +:0407B70067DD713950 +:0407B800C483DA26F6 +:0407B900DC2249B73E +:0407BA000485645DF1 +:0407BB000FF4F493B0 +:0407BC004C8457838F +:0407BD0004C204B2BC +:0407BE00672180C16E +:0407BF008FD98FC57A +:0407C0004CF41423BE +:0407C100D84ADE062E +:0407C200D05AD452E3 +:0407C300CC62CE5ED8 +:0407C400C86ACA66CF +:0407C50000021737E0 +:0407C600D256D64EE3 +:0407C7006637C66E5D +:0407C800695D000166 +:0407C9000EF72023E4 +:0407CA00B606061356 +:0407CB00051345C508 +:0407CC0020EF4E09C3 +:0407CD00F0EF2F70AA +:0407CE006C419FCF0C +:0407CF007B716A5D73 +:0407D00047857BF5E9 +:0407D100FFFC0C938A +:0407D200590A0A13A3 +:0407D3001BFD1B7D72 +:0407D40000016D377C +:0407D50000021DB74A +:0407D6000D0DA983D9 +:0407D7000199F9B3D8 +:0407D8008263E3DD78 +:0407D90057030A09AF +:0407DA005783016AD6 +:0407DB0016634C84D1 +:0407DC00F7B30F3729 +:0407DD001423017769 +:0407DE00A0234CF414 +:0407DF0000230EFDE8 +:0407E000F0EF4E09DF +:0407E10025379B0F0E +:0407E20005130006F5 +:0407E300E0EFA80596 +:0407E4005783990F8F +:0407E50067114C84C8 +:0407E6003E80051339 +:0407E7008FD98FC552 +:0407E8004CF4142396 +:0407E9000EFDA0233E +:0407EA0012D020EF1A +:0407EB0020EF4D8529 +:0407EC0017B7151016 +:0407ED00166300028D +:0407EE00AA8301B524 +:0407EF00D8E307073D +:0407F00020EFFE0AEE +:0407F10097131550F5 +:0407F2008341010A34 +:0407F300FFF7079372 +:0407F40083C107C2F4 +:0407F500FFDC06938C +:0407F60002F6FE63A6 +:0407F7000001663760 +:0407F800B6C6061368 +:0407F900051345C5DA +:0407FA0020EF4E0995 +:0407FB00F0EF23F008 +:0407FC005783944F3C +:0407FD0017374C84DA +:0407FE0077B30002CB +:0407FF00142300FBC4 +:0408000020234CF471 +:0408010065090EF780 +:040802007105051364 +:04080300912FE0EF62 +:04080400B78987CE5B +:04080500D79365859B +:0408060015FD010AD1 +:04080700064006138E +:0408080056B38FED67 +:04080900773302C778 +:04080A009C6302C722 +:04080B00663700B795 +:04080C0006130001CE +:04080D0045C5B746E0 +:04080E004E09051377 +:04080F001ED020EFE8 +:04081000F833B77D85 +:0408110045C502C710 +:040812004E09051373 +:0408130002C7D7B38E +:04081400B80D061302 +:040815001D5020EF63 +:040816005703BF596C +:040817001AE3018A55 +:0408180007D2F937D3 +:04081900142383D150 +:04081A0050F24CF458 +:04081B00A023546260 +:04081C0054D20EFDA7 +:04081D0059B2594231 +:04081E005A925A226E +:04081F004BF25B023B +:040820004CD24C6208 +:040821004DB24D4245 +:04082200612145010A +:04082300114180827D +:04082400F0EFC60625 +:0408250010EF969F9B +:0408260067DD351045 +:04082700C50340B213 +:04082800014157171C +:04082900936FF06F6A +:04082A00DC52715DCE +:04082B00C686DA564D +:04082C00C2A6C4A2FA +:04082D00DE4EC0CA11 +:04082E00D65ED85A60 +:04082F00D266D46257 +:04083000CE6ED06A4E +:04083100D15FF0EFB4 +:040832006ADD6A5DB4 +:040833001005426307 +:040834000001663722 +:040835000520071380 +:0408360006134681DE +:0408370045C5B946B4 +:040838004B4A05130F +:04083900145020EF48 +:04083A00000165B79D +:04083B008593464516 +:04083C008513BC451F +:04083D0020EF540A4A +:04083E00F0EF05B022 +:04083F00A537848FC6 +:040840000513000795 +:04084100645D1205DB +:04084200E0EF64DDA2 +:040843004D01814F93 +:040844006B5D49019E +:040845004F44041305 +:040846004C3D6BDDDD +:0408470055C484937D +:04084800000217B7DC +:040849000D07A7836D +:04084A00000306B7EA +:04084B00971365DDBD +:04084C0083410107DC +:04084D005CEB282315 +:04084E00FFF7C713D6 +:04084F008F7583E13D +:040850000FF7F61395 +:040851008C2366DDB1 +:04085200AA235CC5B4 +:0408530065DD5CE61D +:040854000793CF81B6 +:04085500F793FFE630 +:04085600450D0FF746 +:0408570000F57663CF +:040858005CC5C78331 +:0408590000C79463DD +:04085A005C0B2823E8 +:04085B00862367DDAC +:04085C00A6035CC5CE +:04085D00A4235C87ED +:04085E00E2495CE728 +:04085F0069DD2EC55C +:040860005D99C78354 +:04086100C7818CAA15 +:0408620000EF45015D +:0408630067DD62905B +:0408640049E7C70396 +:040865000164468361 +:040866008D6385BE5B +:040867008A2312E6E8 +:04086800478D558BD8 +:0408690004E7FF633E +:04086A00F06347955B +:04086B004D8506E7CA +:04086C004909468967 +:04086D00FFF70793F7 +:04086E000FF7F793F6 +:04086F006A63462151 +:04087000665904F6CB +:040871000613078AD9 +:0408720097B2B10682 +:040873008782439C99 +:0408740000016637E2 +:04087500061386AA36 +:0408760045C5BA8634 +:040877004B4A0513D0 +:04087800049020EFD9 +:04087900000165B75E +:04087A0085934645D7 +:04087B00851312C50A +:04087C0020EF540A0B +:04087D00E0EF127026 +:04087E00A001F4DF02 +:04087F005C06AA2346 +:040880004D8DBFB526 +:04088100490146855E +:040882004D89B77570 +:04088300BFE5468106 +:0408840067DD4D09D6 +:040885004F27C783AF +:0408860067DDC39DCA +:040887004D078793FF +:0408880067DD973E53 +:04088900000745031C +:04088A004F17C7033A +:04088B0000A7096356 +:04088C0088A3C63641 +:04088D0010EF4EA773 +:04088E0046B21B60F3 +:04088F00C78365DDD9 +:0408900005A349E58E +:040891004705000413 +:0408920000F40B2340 +:040893000374478320 +:040894007663458DB5 +:04089500459500F78E +:04089600F5938D9DAC +:0408970085360FF59E +:04089800053010EF28 +:04089900125010EFFA +:04089A00901FE0EFDC +:04089B00C78367DDCB +:04089C00C781556754 +:04089D0010EF856E65 +:04089E0085EA4B207C +:04089F0010EF854A87 +:0408A000478361D059 +:0408A1004645016463 +:0408A2004B4A0513A5 +:0408A3000027971380 +:0408A400879367D9F6 +:0408A50097BAB34704 +:0408A6002223438C3A +:0408A70020EF00043A +:0408A80065B707B079 +:0408A90046450001BF +:0408AA00BB858593F2 +:0408AB00540A851353 +:0408AC00069020EFA3 +:0408AD005D99C78307 +:0408AE00E0EFE399FB +:0408AF0067DDE89F7A +:0408B00049C7C7036A +:0408B100176347A9D9 +:0408B200856300F763 +:0408B300453D000CB3 +:0408B400799000EF48 +:0408B5000154C70320 +:0408B60002C44783AE +:0408B70002F70363DE +:0408B800468147012D +:0408B900458146012E +:0408BA00E0EF450125 +:0408BB00C503C9AFF9 +:0408BC00E0EF015414 +:0408BD00C783EE9F60 +:0408BE00222301549C +:0408BF000623000408 +:0408C000C78302F4F4 +:0408C100E395015466 +:0408C2000164C68384 +:0408C30002D4478391 +:0408C40000D78D6369 +:0408C50000F44703F1 +:0408C6004581460121 +:0408C700E0EF450118 +:0408C800C783C66FAD +:0408C90006A301641D +:0408CA00478302F46A +:0408CB00CFA901644C +:0408CC00854A85EAEA +:0408CD00FC3FE0EF1D +:0408CE000D634789E6 +:0408CF00479108F550 +:0408D00002F50463C6 +:0408D10011634785E3 +:0408D200478304F55F +:0408D300CF8D00B411 +:0408D400C46FF0EF0E +:0408D5004D0DA81508 +:0408D60047C1BD6DEC +:0408D70054FB8A2321 +:0408D800BD454D05C8 +:0408D900B5754D01A3 +:0408DA0000B447839C +:0408DB00675DC39DF5 +:0408DC004C8757836B +:0408DD000027E79376 +:0408DE004CF714239C +:0408DF0000021737C5 +:0408E0000EF72023CC +:0408E100D0BFF0EFA5 +:0408E20012C0051328 +:0408E300D93FD0EF3A +:0408E4002223BB41CF +:0408E50010EF00040C +:0408E60047837F20A5 +:0408E700464501641D +:0408E8004B4A05135F +:0408E900002797133A +:0408EA00879367D9B0 +:0408EB0097BAB347BE +:0408EC0020EF438C2A +:0408ED0065B7766015 +:0408EE00464500017A +:0408EF00BB858593AD +:0408F000540A85130E +:0408F100754020EF3F +:0408F2005D99C783C2 +:0408F300E0EFFFD55E +:0408F400BF5DD75FAE +:0408F50000B4478381 +:0408F600F0EFDBC57F +:0408F700B76DE20FE8 +:0408F800655D65D9FC +:0408F9000613114190 +:0408FA0085930310CF +:0408FB000513C80514 +:0408FC00C60655C512 +:0408FD0055C020EFD3 +:0408FE00655D65DDF2 +:0408FF002F2006138D +:040900008305859353 +:040901005DC50513B8 +:04090200548020EF0E +:0409030067DD40B2BA +:040904008AA3470576 +:04090500450154E76D +:0409060080820141A9 +:04090700C4A2715DB8 +:04090800DE4EC2A657 +:0409090069DD64D967 +:04090A00C0CA645D9E +:04090B00D266DC5282 +:04090C00DA56C6866B +:04090D00D65ED85A80 +:04090E00D06AD46275 +:04090F004901CE6E5E +:0409100084934C81FF +:040911008A4ED844EE +:04091200590404136D +:04091300000165B7C3 +:04091400859346453C +:040915008513E285DF +:0409160020EF4A097B +:0409170097136BE0E7 +:040918009726002CF2 +:04091900655D430CC9 +:04091A000513464536 +:04091B0020EF4E0576 +:04091C00E0EF6AA0FE +:04091D006AC1CC1FC0 +:04091E008D134701ED +:04091F006B5DFFFA13 +:040920006DB76BDD67 +:040921006C5D000108 +:04092200000216B702 +:040923000D06A58395 +:040924000003053790 +:0409250001A5F633FF +:040926005CCB28235B +:040927000D06A68390 +:04092800FFF6C6937D +:04092900AA238EE986 +:04092A00C21D5CDBB3 +:04092B00032602633A +:04092C00001C969382 +:04092D00E32D96A27E +:04092E0000B690235C +:04092F008593464521 +:040930000513E30DBB +:0409310020EF4A0A5F +:04093200E0EF65206D +:040933004705C69F0F +:040934005C8C2603AE +:040935005D4BA683ED +:040936009063E20DDB +:040937009F63035661 +:0409380065D9040C6D +:04093900036006133E +:04093A00DF058593BD +:04093B00C636852215 +:04093C00460020EF62 +:04093D00470946B26E +:04093E0024234CED35 +:04093F00C63A5CDC7C +:0409400029034689B8 +:040941000E635D0BD9 +:04094200650902D76A +:040943007105051322 +:04094400C0FFD0EF31 +:04094500BF8D4732E9 +:040946000006D6834E +:0409470009E3470970 +:0409480067B7FAD6BD +:04094900464500011E +:04094A00E387859327 +:04094B004A0A05133C +:04094C005E8020EFBA +:04094D00BFFFE0EF19 +:04094E00BF59470145 +:04094F0047091CF93F +:040950000C85BF6DE6 +:0409510053E34769BC +:040952004426F197AF +:04095300449640B6D0 +:0409540059F2490605 +:040955005AD25A62B6 +:040956005BB25B42F3 +:040957005C925C2230 +:040958004DF25D02FD +:040959006161453D56 +:04095A005010006FCA +:04095B000793711D70 +:04095C00CA3E00B1DE +:04095D00879367DD38 +:04095E00CC3E5687AE +:04095F00879367DD36 +:04096000CE3E56979A +:04096100879367DD34 +:04096200D03E56A786 +:04096300879367DD32 +:04096400D23E56B772 +:0409650067DDD43E38 +:0409660056C7879356 +:0409670027B7D63E9A +:0409680087930F035F +:04096900C63EF0177F +:04096A00303007938F +:04096B0000F118235C +:04096C00675D478DEF +:04096D0000F1092369 +:04096E00CCA267DDD3 +:04096F005D07A60377 +:04097000590704130C +:04097100CAA6CE86BE +:04097200C6CEC8CA5B +:04097300C2D6C4D252 +:04097400DE5EC0DAA9 +:04097500000105A3D5 +:040976005907071303 +:0409770045E9478186 +:04097800000756839B +:0409790000D61C6325 +:04097A006363476903 +:04097B00675910F7B1 +:04097C000713078ACC +:04097D0097BACB4713 +:04097E008782439C8D +:04097F00846307097D +:0409800007853AB7F6 +:040981004789BFF1F2 +:04098200D70366DD54 +:0409830047134C8644 +:0409840094231007A1 +:04098500675D4CE678 +:040986005D47268320 +:0409870000F69713CC +:0409880000075D63A4 +:04098900C70367DD5C +:04098A00462550A707 +:04098B000663478533 +:04098C00079300C706 +:04098D00F7930017C5 +:04098E0097130FF7B5 +:04098F005E6300E6BD +:04099000675D000798 +:0409910055C746837D +:040992004601458550 +:0409930000D5E56343 +:04099400F6130685CB +:040995000E230FF628 +:04099600472954C7D2 +:04099700866345012D +:04099800675D00E7B0 +:0409990048F70F23E9 +:04099A0067DD4505CB +:04099B004C87D703AB +:04099C00C68366DDCB +:04099D009B4D5D967B +:04099E008341074248 +:04099F004CE794236A +:0409A00066DDEA81A5 +:0409A1004DA6C68316 +:0409A2008F55068ADD +:0409A3004CE7942366 +:0409A4004C87D703A2 +:0409A500446640F66E +:0409A600000217B77D +:0409A7000EE7A02394 +:0409A800494644D6A2 +:0409A9004A2649B6DB +:0409AA004B064A9618 +:0409AB0061255BF275 +:0409AC00478D808271 +:0409AD004791BF911E +:0409AE004795BF8129 +:0409AF004799B7B1FC +:0409B000479DB7A107 +:0409B10047A1B79112 +:0409B20047A5B7811D +:0409B300675DBF3588 +:0409B4005D97478381 +:0409B5000017B693DE +:0409B6005CD70CA35B +:0409B7004683675DAF +:0409B800675D4F1711 +:0409B90048D70EA36A +:0409BA004505E79177 +:0409BB000C7000EFCD +:0409BC00BF1947A96F +:0409BD00A4FFE0EFC4 +:0409BE0064DDBFE550 +:0409BF00000217B764 +:0409C0004F44849389 +:0409C1000C07A40378 +:0409C20000A4878383 +:0409C30066374769E3 +:0409C40087B30001F4 +:0409C500675D02E781 +:0409C6005DC70713EF +:0409C7000613655D51 +:0409C80045C5D20649 +:0409C9004A050513C3 +:0409CA0067DD973E10 +:0409CB004F17C68379 +:0409CC002F8020EF69 +:0409CD0000B4C78328 +:0409CE006785C7B9B9 +:0409CF008007879383 +:0409D00076938FE1AA +:0409D10037337FF445 +:0409D200068500F0A6 +:0409D30000E696B3F1 +:0409D400071396BAB5 +:0409D500C3990700BB +:0409D600069007136D +:0409D700880D8041C6 +:0409D80002A00793DF +:0409D9000793E01987 +:0409DA0016370200CA +:0409DB0028030002EB +:0409DC00655D060649 +:0409DD000001663778 +:0409DE00D2C6061364 +:0409DF00051345C5F2 +:0409E00020EF4E05B1 +:0409E100E0EF2A60B9 +:0409E200B79D9ADF44 +:0409E3005783675D72 +:0409E400C7934C87E2 +:0409E50014230107CF +:0409E600BF994CF772 +:0409E700C70367DDFE +:0409E800460555C7A4 +:0409E900656346817B +:0409EA00070500E617 +:0409EB000FF77693F9 +:0409EC0054D78E232B +:0409ED0067DDBF35CE +:0409EE0055C787134F +:0409EF0000174703A3 +:0409F000879346059E +:0409F100468155C71F +:0409F20000E6656353 +:0409F30076930705EB +:0409F40080A30FF7D6 +:0409F500BF2900D73F +:0409F6000793675D9F +:0409F700C78355C796 +:0409F800071300479A +:0409F900C78155C796 +:0409FA00F79317FD5B +:0409FB0002230FF7CD +:0409FC00BDFD00F746 +:0409FD000713675D18 +:0409FE00478355C70F +:0409FF0046B90047AE +:040A000000F6F363A6 +:040A0100078547B965 +:040A020065B7B7DD40 +:040A0300655D00012C +:040A0400859346454B +:040A05000513D3C53D +:040A060020EF4A058E +:040A070065B72FE0C0 +:040A08006ADD0001A2 +:040A09008593464546 +:040A0A008513D4C5B7 +:040A0B0020EF4E0A80 +:040A0C0069412EA06E +:040A0D006A5D69DDD8 +:040A0E00E0EF648928 +:040A0F001B378F9F63 +:040A1000197D00024A +:040A110089934BED8D +:040A12000A134F492B +:040A130084935DCAA1 +:040A1400270371043F +:040A150087A20D0B9C +:040A1600773346816B +:040A1700D6030127DA +:040A1800066300076A +:040A1900068500E668 +:040A1A009AE30789CB +:040A1B008783FF7658 +:040A1C00476900A97D +:040A1D0002E787B3B2 +:040A1E0097D247150F +:040A1F000177C78311 +:040A200002F7646312 +:040A21004A634711CC +:040A2200181804D7C5 +:040A23004703973EB0 +:040A24005733FDC780 +:040A25008B0540D726 +:040A2600078ACF115B +:040A270097BA18184A +:040A2800FE47A7835B +:040A290000D780234F +:040A2A0089BFE0EFB1 +:040A2B008CA367DD54 +:040A2C00BD3D5C0769 +:040A2D000001663727 +:040A2E000613068520 +:040A2F0045C5D5865E +:040A30004E0A8513D2 +:040A3100164020EF5C +:040A320086BFE0EFAC +:040A33000007A537DC +:040A3400120505138F +:040A350084BFD0EFBB +:040A360047B1BFC144 +:040A3700FCF686E360 +:040A3800D0EF852650 +:040A3900B7B583DFEB +:040A3A00871367DDDA +:040A3B00470355C751 +:040A3C00467901876F +:040A3D0055C787937F +:040A3E006563468125 +:040A3F00070500E6C1 +:040A40000FF77693A3 +:040A410000D78C232B +:040A420067DDB3E5D4 +:040A430055C78713F9 +:040A440001874703DC +:040A450055C7879377 +:040A4600D76D46FD25 +:040A4700B7CD177D93 +:040A4800000165B78D +:040A49004645655D5C +:040A4A00D685859335 +:040A4B004A05051340 +:040A4C001E8020EFF9 +:040A4D00000165B788 +:040A4E004645655D57 +:040A4F00D78585932F +:040A50004E05051337 +:040A51001D4020EF35 +:040A52006489694109 +:040A5300FE6FE0EF63 +:040A5400000219B7CC +:040A55004A6D197D50 +:040A56004B314AA531 +:040A5700710484930F +:040A58000D09A6835B +:040A59004781872228 +:040A5A000126F6B3C8 +:040A5B000007560337 +:040A5C0000D60A6353 +:040A5D0007090785F9 +:040A5E00FF479AE3D1 +:040A5F00D0EF852629 +:040A6000BFF9FA0FD1 +:040A610000FACC6368 +:040A62000785472994 +:040A630002E7E7B30C +:040A64000EA3675D19 +:040A6500F0EF48F76F +:040A6600B739A66F87 +:040A6700FF6790E3B2 +:040A68004785B721E6 +:040A690047A9B19553 +:040A6A000113B1BD06 +:040A6B001808DA018C +:040A6C0024112E2300 +:040A6D0024812C2391 +:040A6E0024912A2382 +:040A6F0025212823F2 +:040A700025312623E3 +:040A710025412423D4 +:040A720025512223C5 +:040A730025612023B6 +:040A740023712E2399 +:040A750023812C238A +:040A760023912A237B +:040A770023A128236C +:040A7800F24FE0EF6A +:040A790064DD892A85 +:040A7A006B5010EFBE +:040A7B001963440DAA +:040A7C004611160900 +:040A7D000808180C41 +:040A7E00120020EF53 +:040A7F00000165B756 +:040A80008593461103 +:040A81000808FD055F +:040A82000D4020EF14 +:040A8300064009130D +:040A840014051863DA +:040A8500034157834F +:040A86000361059370 +:040A870005134621EC +:040A88001A230161CB +:040A890020EF00F169 +:040A8A0057830F205F +:040A8B000EA303E1D2 +:040A8C00162300012C +:040A8D00578300F19A +:040A8E001723040125 +:040A8F00453200F1FB +:040A90003CB000EF87 +:040A91000421578362 +:040A92001623D02A2D +:040A9300578300F194 +:040A940017230441DF +:040A9500453200F1F5 +:040A96003B3000EF02 +:040A9700046157831C +:040A98001623D22A25 +:040A9900578300F18E +:040A9A001723048199 +:040A9B00453200F1EF +:040A9C0039B000EF7E +:040A9D002503D42A2F +:040A9E00C62A22C181 +:040A9F0038F000EF3C +:040AA000D62A55827B +:040AA1001E20079379 +:040AA200FE65871353 +:040AA3000CE7E86311 +:040AA40018084605E3 +:040AA50039B000EF75 +:040AA600091357B227 +:040AA7001163066071 +:040AA80066370CF5AC +:040AA900645D000187 +:040AAA00FD860613AC +:040AAB00051345C525 +:040AAC0010EF4A04F9 +:040AAD005692777076 +:040AAE0000016637A6 +:040AAF00FE860613A6 +:040AB000851345C5A0 +:040AB10010EF4E04F0 +:040AB200E0EF7630CB +:040AB3005A92E68FDE +:040AB40049815BA277 +:040AB5000C134501D8 +:040AB6006CDD2000D3 +:040AB7000F59E4638C +:040AB80024AB9C636C +:040AB900016147830D +:040ABA00014146832D +:040ABB00015147039B +:040ABC001007886334 +:040ABD00000167B716 +:040ABE00EE878793A5 +:040ABF000001663795 +:040AC00001610813B5 +:040AC100FF460613D3 +:040AC200051345C50E +:040AC30010EF4A04E2 +:040AC40065B771B0F1 +:040AC50046450001A1 +:040AC60004C585934B +:040AC7004E04851341 +:040AC800631010EFB8 +:040AC9006ADD69C1B8 +:040ACA00E0EF6909E7 +:040ACB001A37E08F67 +:040ACC0019FD00020E +:040ACD00590A8B1324 +:040ACE00710909138E +:040ACF000D0A278362 +:040AD000590AD703E5 +:040AD1000137F7B33F +:040AD2000CF70163B9 +:040AD300002B57039A +:040AD4001EF708639E +:040AD500D0EF854A8F +:040AD600B7CDDC8F2D +:040AD70006500913A9 +:040AD80053D010EFF8 +:040AD9000E634785DC +:040ADA00CC6322F9CE +:040ADB0007931F2737 +:040ADC000D63F35063 +:040ADD00C96322F9CE +:040ADE0007931D2736 +:040ADF000C63F34071 +:040AE00065B722F9DB +:040AE10085930001F8 +:040AE2004645F1C5CF +:040AE3004E04851325 +:040AE400789010EF07 +:040AE500D9EFE0EF76 +:040AE600000F453781 +:040AE70024050513CA +:040AE800D7EFD0EF85 +:040AE9002409526327 +:040AEA0024805063B1 +:040AEB000001663769 +:040AEC000613655D2B +:040AED0045C503C632 +:040AEE004A0505139D +:040AEF0066D010EFCE +:040AF000A8B5147D14 +:040AF100413A8A33C9 +:040AF200014C7463DC +:040AF30020000A13C2 +:040AF40020098B1337 +:040AF500010A1713C8 +:040AF6004681834171 +:040AF700009B5613F7 +:040AF8008513180C3E +:040AF90010EF48CCE6 +:040AFA00892A3BD03A +:040AFB001405146367 +:040AFC000019B61314 +:040AFD00180885D27E +:040AFE00237000EF72 +:040AFF00BDF989DADA +:040B0000000167B7D2 +:040B010012C78793FD +:040B020000EFBDD56E +:040B0300675D77F0C3 +:040B04004C87578340 +:040B05000513650966 +:040B0600E7937105FB +:040B0700142300278C +:040B080020234CF763 +:040B0900D0EF0EFA21 +:040B0A0065B7CF8F6D +:040B0B0005130001CD +:040B0C0046454A040C +:040B0D0000058593C7 +:040B0E006E1010EF66 +:040B0F006B37440DEF +:040B10004645000155 +:040B110000CB05937D +:040B12004E048513F5 +:040B13006CD010EFA3 +:040B1400CE2FE0EF11 +:040B15000C134981F3 +:040B16006CDD200072 +:040B170010000B932C +:040B1800E5635A1225 +:040B190065B707496C +:040B1A0069DD000190 +:040B1B008593464533 +:040B1C00851301C577 +:040B1D0010EF4A0982 +:040B1E0046456A30AE +:040B1F0000CB05936F +:040B20004E048513E7 +:040B2100695010EF18 +:040B2200CAAFE0EF87 +:040B2300559256226F +:040B2400450118145B +:040B2500C08FE0EFAE +:040B260013E3892A22 +:040B270010EFEC05DA +:040B280065B73FF07E +:040B2900464500013C +:040B2A0006058593A4 +:040B2B004A098513DB +:040B2C004A1010EF6C +:040B2D00000165B7A7 +:040B2E008593464520 +:040B2F00851302C563 +:040B300010EF4E0470 +:040B3100E0EF65701C +:040B3200A001C6CF89 +:040B3300413A0A3306 +:040B340074638AD28A +:040B35000A93014CD2 +:040B36008D132000FB +:040B370097132009E7 +:040B38008341010AEA +:040B39005613468188 +:040B3A00180C409DB6 +:040B3B0048CC85130A +:040B3C002B3010EF5B +:040B3D000933C501B2 +:040B3E00B59D40A081 +:040B3F004089D9937D +:040B4000F46385D6FF +:040B41000593015BBC +:040B4200864E1000CB +:040B4300E0EF1808BF +:040B4400892AB0EF5B +:040B4500E40516E3CA +:040B4600014BE46318 +:040B4700B78989EAF7 +:040B480000198613F7 +:040B4900F00A859396 +:040B4A00E0EF1A08B6 +:040B4B00892AAF2F15 +:040B4C00B53DD57569 +:040B4D00B52D440D71 +:040B4E000913440D36 +:040B4F00B50D06706A +:040B50000913440D34 +:040B5100BD29068034 +:040B5200F3600793B2 +:040B530006F90A6332 +:040B5400F3700793A0 +:040B5500E2F917E3C7 +:040B5600000165B77E +:040B5700F7C58593C6 +:040B58000793B52D1D +:040B590002630660CD +:040B5A00CF6306F966 +:040B5B0007930127D4 +:040B5C0001630640EB +:040B5D00079306F9FB +:040B5E0014E3065046 +:040B5F0065B7E0F99D +:040B60008593000178 +:040B6100B511F34592 +:040B6200067007937F +:040B630004F9096325 +:040B6400068007936D +:040B6500DEF917E3BB +:040B6600000165B76E +:040B6700F6858593F7 +:040B680065B7B3EDCD +:040B6900859300016F +:040B6A00B3C5F245D8 +:040B6B00000165B769 +:040B6C00F9C58593AF +:040B6D0065B7BBD9D4 +:040B6E00859300016A +:040B6F00B3F1FAC51F +:040B7000000165B764 +:040B7100F8C58593AB +:040B720065B7B3C9E7 +:040B73008593000165 +:040B7400BB65F44524 +:040B7500000165B75F +:040B7600FC05859362 +:040B770065B7B37D2E +:040B78008593000160 +:040B7900B355F54536 +:040B7A0025C12083EE +:040B7B0025812403A9 +:040B7C002541248368 +:040B7D002501290322 +:040B7E0024C12983E2 +:040B7F0024812A03A0 +:040B800024412A835F +:040B810024012B031D +:040B820023C12B83DD +:040B830023812C039B +:040B840023412C835A +:040B850023012D0318 +:040B86000113557D85 +:040B87008082260141 +:040B8800663786AA9C +:040B8900655D0001A5 +:040B8A007CC606130C +:040B8B00051345C544 +:040B8C00106F4E0593 +:040B8D0006933F701C +:040B8E0006B3465014 +:040B8F00079302D5F1 +:040B9000663706407E +:040B9100655D00019D +:040B9200120606132E +:040B9300051345C53C +:040B9400C6B34E0591 +:040B9500106F02F6E5 +:040B960086AA3D30BE +:040B970000016637BC +:040B98000613655D7E +:040B990045C50DC67B +:040B9A004E050513EC +:040B9B003BD0106FCC +:040B9C00000F46B749 +:040B9D002406869311 +:040B9E0002D5053344 +:040B9F008793678948 +:040BA000071396475A +:040BA10036B73E80A5 +:040BA20086930063D3 +:040BA3006637EA06C1 +:040BA4000613000133 +:040BA50045C50D062F +:040BA60002F557B34A +:040BA70002E7F7B3B7 +:040BA80056B34729D0 +:040BA900655D02D5AF +:040BAA004E050513DC +:040BAB0002E7D73353 +:040BAC003790106FFF +:040BAD0046700693F5 +:040BAE0002D506B3B3 +:040BAF000640079362 +:040BB00000016637A3 +:040BB1000613655D65 +:040BB20045C57C4673 +:040BB3004E050513D3 +:040BB40002F6C6B3CC +:040BB5003550106F38 +:040BB600663786AA6E +:040BB700655D000177 +:040BB800108606138A +:040BB900051345C516 +:040BBA00106F4E0565 +:040BBB00069333F07A +:040BBC0006B3271045 +:040BBD00079302D5C3 +:040BBE006637064050 +:040BBF00655D00016F +:040BC0001286061380 +:040BC100051345C50E +:040BC200C6B34E0563 +:040BC300106F02F6B7 +:040BC400069331B0B3 +:040BC50005052710EB +:040BC60002D505331C +:040BC700064006934B +:040BC800000166378B +:040BC9001286061377 +:040BCA0046B345C524 +:040BCB00655D02D58D +:040BCC004E050513BA +:040BCD002F50106F26 +:040BCE0001851693F4 +:040BCF00663786E11E +:040BD000655D00015E +:040BD100061316D120 +:040BD20045C50B46C4 +:040BD3004E050513B3 +:040BD4002D90106FE1 +:040BD500053345E9B6 +:040BD60065DD02B522 +:040BD7005DC58593E0 +:040BD80095AA46454F +:040BD9000513655D3E +:040BDA00106F4E0545 +:040BDB0017933AF042 +:040BDC006559002532 +:040BDD000FC5051328 +:040BDE00410C953EF3 +:040BDF004645655DC5 +:040BE0004E050513A6 +:040BE1003950106F08 +:040BE2000F6347A9AD +:040BE300179300F56F +:040BE400655D002526 +:040BE500CB450513E4 +:040BE600410C953EEB +:040BE7004645655DBD +:040BE8004E0505139E +:040BE9003750106F02 +:040BEA00000165B7EA +:040BEB000E8585935B +:040BEC0067DDB7F515 +:040BED005D07A703F6 +:040BEE00879367DDA5 +:040BEF0046AD5907AF +:040BF000D58346451E +:040BF10094630167A1 +:040BF200F69306E58B +:040BF300E1190FF6FF +:040BF40028070B6360 +:040BF500C64E1101D6 +:040BF600C80369DDEA +:040BF700C84A469909 +:040BF8000793695D99 +:040BF90015132B891C +:040BFA0097AA00387E +:040BFB00CC22CE0634 +:040BFC00A883CA26DA +:040BFD00C7830007A3 +:040BFE004751004714 +:040BFF000048A60301 +:040C000002E787334D +:040C0100FF56859382 +:040C02000FF5F59362 +:040C03008993431579 +:040C040009134699F1 +:040C050097322B896E +:040C0600004746035A +:040C070002B3686369 +:040C0800058A63599D +:040C09000743031387 +:040C0A00418C959AEA +:040C0B000685858253 +:040C0C0098E30789D9 +:040C0D004681F8C65E +:040C0E00E399BF594E +:040C0F000008C7838F +:040C1000F79317FD42 +:040C1100954A0FF7FA +:040C120000F50223C4 +:040C130044814401D3 +:040C1400C703A099D9 +:040C15000785000847 +:040C1600E7B3954A61 +:040C1700B7ED02E74C +:040C18000008066367 +:040C19008023187D9F +:040C1A00B7CD010948 +:040C1B0040F24462FD +:040C1C00494244D233 +:040C1D0067DD49B294 +:040C1E005C078CA340 +:040C1F00E06F61051C +:040C2000478D8C4F21 +:040C210004F60D6365 +:040C220011E3479102 +:040C2300471CFCF678 +:040C240097824485EA +:040C2500C783842AD3 +:040C2600655D0009FF +:040C2700078E4645A9 +:040C28004783993E27 +:040C290029830049D2 +:040C2A004951000923 +:040C2B0003278933DF +:040C2C000049A78351 +:040C2D004A0505135C +:040C2E00438C97CA92 +:040C2F0025D010EFCD +:040C30000049A7834D +:040C310097CA469187 +:040C32000047C703AD +:040C330012E6EA6378 +:040C3400070A66D96C +:040C350008C68693D4 +:040C36004318973692 +:040C37004B1C8702C9 +:040C38009782C3914B +:040C39000009C78364 +:040C3A008593485105 +:040C3B00078E001709 +:040C3C0000F9073381 +:040C3D004703431412 +:040C3E0042D0004759 +:040C3F00030707336D +:040C40000035969352 +:040C410000D905339E +:040C4200000528037E +:040C43004718973285 +:040C440000E804635D +:040C45000005022381 +:040C4600C78397CAFF +:040C470047510047CA +:040C480087B396CA0E +:040C4900802302E71B +:040C4A00963E00B919 +:040C4B00C29C461CE5 +:040C4C004791BF31DC +:040C4D00F0C7ECE31D +:040C4E00879367D948 +:040C4F00060A0A0780 +:040C5000421C963E6E +:040C5100470C878243 +:040C52004503483DD1 +:040C5300460300C78D +:040C5400C78300D77B +:040C5500470300054C +:040C56009D6300E7B3 +:040C570078630106B7 +:040C580017FD00F68E +:040C59000FF7F71387 +:040C5A0000E580230E +:040C5B00FD6DB5C5B1 +:040C5C00BFDD87323F +:040C5D0000E7F86351 +:040C5E00F6130785FD +:040C5F0080230FF7E8 +:040C6000B5E900C52D +:040C6100863AFD6D65 +:040C62004710BFDD9B +:040C6300578345BDB1 +:040C64009C63000687 +:040C6500570300B67B +:040C6600756300C7EB +:040C670017FD00F77E +:040C680083C107C27B +:040C690000F610235E +:040C6A005703B55522 +:040C6B00FBE300E7C0 +:040C6C000785FEE713 +:040C6D004750B7F540 +:040C6E00421845BD26 +:040C6F0000074783B0 +:040C700000B69963CE +:040C710017FDC78123 +:040C72000FF7F793EE +:040C730000F7002363 +:040C74004683BDB541 +:040C7500F5630046DD +:040C7600078500D717 +:040C77000FF7F693EA +:040C780000D700237E +:040C79004798B5A53E +:040C7A00655D4B9CCD +:040C7B000007470324 +:040C7C0005134645D1 +:040C7D00070A4E050F +:040C7E00438C97BA52 +:040C7F0011D010EF91 +:040C800040F2446298 +:040C8100494244D2CE +:040C8200610549B20D +:040C8300F27FD06FBD +:040C84004B9C4798A6 +:040C8500000745031C +:040C8600B7DD9782BD +:040C87004B9C4798A3 +:040C88000007550309 +:040C8900BFE99782A6 +:040C8A00802367DD7F +:040C8B00BFC94E0788 +:040C8C00C005C49546 +:040C8D00000166B745 +:040C8E000C468693F7 +:040C8F0000016637C3 +:040C90000613655D85 +:040C910045C50CC683 +:040C92004E050513F3 +:040C93007DC010EF21 +:040C940066B7BF453B +:040C95008693000141 +:040C9600B7CD0BC605 +:040C9700D7E947DC76 +:040C9800479C43989A +:040C99008082BF4551 +:040C9A00EF6347BD00 +:040C9B0057B716A78A +:040C9C00712D445220 +:040C9D0035578793AD +:040C9E0057B7C03E46 +:040C9F0087930041F6 +:040CA000C23E4417F5 +:040CA1008793679539 +:040CA20014232007F0 +:040CA300379300F192 +:040CA400242300A560 +:040CA50005231141D1 +:040CA6000A1300F13C +:040CA7002E230105F2 +:040CA8002C231011D8 +:040CA9002A23108169 +:040CAA00282310915A +:040CAB0026231121CA +:040CAC0022231131BD +:040CAD00202311519E +:040CAE0047A51161E4 +:040CAF00F9630A22B9 +:040CB00065DD08A74F +:040CB1000440079361 +:040CB20085934629B7 +:040CB30005134D05D3 +:040CB40005A300D1C3 +:040CB500062300F121 +:040CB60010EF00013A +:040CB70067DD67602E +:040CB80049E7C783BE +:040CB900061365DDDC +:040CBA000C230360A4 +:040CBB0067DD00F100 +:040CBC0049C7C783DA +:040CBD0059058593BD +:040CBE0001B1051368 +:040CBF0000F10CA391 +:040CC000C78367DDA2 +:040CC1000BA34F270B +:040CC20067DD00F1F9 +:040CC3004DA7C783EF +:040CC40000F10D230B +:040CC50063C010EF09 +:040CC60005938652BA +:040CC700850A051085 +:040CC800CFDFD0EFBB +:040CC90000A035331F +:040CCA0040A005330E +:040CCB0011C12083B0 +:040CCC00118124036B +:040CCD00114124832A +:040CCE0011012903E4 +:040CCF0010C12983A4 +:040CD00010812A0362 +:040CD10010412A8321 +:040CD20010012B03DF +:040CD30080826115A5 +:040CD400031007936F +:040CD50000F105A382 +:040CD60057C965DDB8 +:040CD70000F106A37F +:040CD80003100613EC +:040CD900859347892F +:040CDA00051355C5E4 +:040CDB00072300F1FA +:040CDC006ADD00F1DC +:040CDD0000010623E9 +:040CDE005D8010EF36 +:040CDF005DCA8593D2 +:040CE0000C000613EB +:040CE10010EF008888 +:040CE20086525CA03A +:040CE3001000059365 +:040CE400D0EF850ABE +:040CE5004905C8BF36 +:040CE6000C00099362 +:040CE70023200413AF +:040CE80010000B13DA +:040CE9005DCA8A93C3 +:040CEA00746384A209 +:040CEB000493008BE3 +:040CEC0004C210002E +:040CED0085B380C18A +:040CEE008626013A1B +:040CEF008C05850AE1 +:040CF000590010EFA8 +:040CF100044299A67A +:040CF200014906337B +:040CF300850A85A643 +:040CF400090509C223 +:040CF500D0EF80417B +:040CF600D993C47F4B +:040CF7007913010963 +:040CF800F0790FF987 +:040CF900B799450161 +:040CFA008082557D22 +:040CFB0026237169D2 +:040CFC00242312118A +:040CFD00222312811B +:040CFE00202312910C +:040CFF002E2313216C +:040D00002C2311315E +:040D01002A2311414F +:040D02002823115140 +:040D03002623116131 +:040D04002423117122 +:040D050047BD118154 +:040D060002A7FA63E3 +:040D0700208359FDEF +:040D0800240312C1ED +:040D0900854E128180 +:040D0A0012412483EB +:040D0B0012012903A5 +:040D0C0011C1298365 +:040D0D0011812A0323 +:040D0E0011412A83E2 +:040D0F0011012B03A0 +:040D100010C12B8360 +:040D110010812C031E +:040D12008082615525 +:040D1300010504933F +:040D1400860A04C285 +:040D15001000059332 +:040D1600D0EF85266F +:040D1700FD5DB7BF08 +:040D1800000165B7BA +:040D19007E0585933B +:040D1A00D0EF850A87 +:040D1B0089AA99EF19 +:040D1C0014051B633C +:040D1D000081478387 +:040D1E0014079963BA +:040D1F0000914703F5 +:040D20000520079310 +:040D210014F713634D +:040D220000A1478362 +:040D23004705CFC9E8 +:040D2400F8E797E372 +:040D25004703493205 +:040D2600779300B10E +:040D270007A20FF917 +:040D280007138FD945 +:040D29009CE3031034 +:040D2A005913F6E77C +:040D2B0009420089F0 +:040D2C00010959134D +:040D2D002F200793D9 +:040D2E00F6F913E3DC +:040D2F000613655DE5 +:040D30000593031014 +:040D3100051300F1B5 +:040D32006ADD55C55C +:040D3300484010EF35 +:040D340004134A0159 +:040D35000B93040018 +:040D36008A9310008C +:040D37000C135DCA72 +:040D38000B130F206A +:040D390086331000ED +:040D3A000533408BB2 +:040D3B0005B3015AA1 +:040D3C00849300811B +:040D3D000F6310042C +:040D3E0004330B89E6 +:040D3F0010EF408BE6 +:040D40009452452064 +:040D4100F009091399 +:040D420001041A137B +:040D4300860A0942D1 +:040D44001000059303 +:040D45005A13852692 +:040D46005913010A32 +:040D4700D0EF0109DF +:040D48004401AB7F38 +:040D49004783B7C95C +:040D4A00470300C19A +:040D4B0007A200B14A +:040D4C0007138FD921 +:040D4D0094E30440E7 +:040D4E0066DDEEE789 +:040D4F004781870A47 +:040D5000869345251C +:040D510045A94D065D +:040D520000D746037D +:040D530000C566630E +:040D540000D7883309 +:040D550000C80023AF +:040D56000705078501 +:040D5700FEB796E36A +:040D5800019147833B +:040D59000E23675DA1 +:040D5A00472548F7EA +:040D5B0000F76663D4 +:040D5C000F23675D9D +:040D5D00A02948F78A +:040D5E000181478345 +:040D5F00FEF77AE33E +:040D600001714703D3 +:040D6100655D67DD88 +:040D62004EE78923AC +:040D6300C70367DD7E +:040D640067DD4D07F3 +:040D6500036006130E +:040D66004EE788A329 +:040D670001A147039C +:040D6800059367DDAB +:040D6900051301B1BC +:040D6A008D23590577 +:040D6B0010EF4CE752 +:040D6C00B5B53A20BF +:040D6D000F2006133A +:040D6E00398010EFC9 +:040D6F00470567DDF0 +:040D700054E78AA317 +:040D71004985BDA94A +:040D72004989BD9955 +:040D73006785BD894A +:040D740080278793BA +:040D750002F507B3C9 +:040D76000002273719 +:040D77001107071346 +:040D780067218FF967 +:040D79000207071353 +:040D7A0002E5053356 +:040D7B0000088737AE +:040D7C00440707130E +:040D7D008D5D8D7982 +:040D7E00879367C12F +:040D7F000533101711 +:040D8000814102F5B6 +:040D81000FF57513E2 +:040D82005713808201 +:040D8300179301853C +:040D84008FD901857D +:040D850000FF06B7AE +:040D860000851713BA +:040D87008FD98F75FC +:040D880007136741A5 +:040D89008121F007CD +:040D8A008D5D8D7975 +:040D8B00C609808293 +:040D8C00000217B793 +:040D8D000007A02398 +:040D8E00F693470190 +:040D8F001637FFC54F +:040D900007B30002A3 +:040D9100646300E5B2 +:040D9200898D02D76E +:040D93009463470D11 +:040D9400D68302E51B +:040D95001737000705 +:040D96001223000222 +:040D9700C78300D737 +:040D9800022300270B +:040D990017B700F791 +:040D9A004B88000280 +:040D9B00439C808273 +:040D9C00C25C07111D +:040D9D004709B7F952 +:040D9E0000E5996370 +:040D9F000007D7036F +:040DA000000217B77F +:040DA10000E79223B2 +:040DA2004705BFF949 +:040DA300FCE59DE3EB +:040DA4000007C7037A +:040DA500000217B77A +:040DA60000E78223BD +:040DA7001141B7E956 +:040DA8001437C42216 +:040DA900C22600025C +:040DAA0084AA4601D0 +:040DAB0004A0059308 +:040DAC000404051323 +:040DAD00C0EFC606C7 +:040DAE0085A6E4FF33 +:040DAF0005134601E1 +:040DB000C0EF040488 +:040DB1000593E7DFE0 +:040DB200051304A081 +:040DB30046050404E9 +:040DB400E35FC0EF4A +:040DB500040405131A +:040DB60040B24422E1 +:040DB7004585449298 +:040DB800C06F0141C6 +:040DB9001141E43FC1 +:040DBA001437C42204 +:040DBB00C22600024A +:040DBC0084AEC04AF7 +:040DBD004601892A38 +:040DBE0004A00593F5 +:040DBF000404051310 +:040DC000C0EFC606B4 +:040DC10085CAE03FC0 +:040DC20005134601CE +:040DC300C0EF040475 +:040DC4000513E31F11 +:040DC50044220404BC +:040DC600490240B2EC +:040DC700449285A627 +:040DC800014146059A +:040DC900E1BFC06F57 +:040DCA00C4221141ED +:040DCB001433440594 +:040DCC00741300A4F8 +:040DCD0085A20FF4F8 +:040DCE00C6064519F7 +:040DCF00FABFF0EF88 +:040DD000442285A292 +:040DD100451D40B2CA +:040DD200F06F01417C +:040DD3001141F9DFF2 +:040DD400C42205062A +:040DD5000FF574138F +:040DD600450585A2A8 +:040DD700F0EFC6066D +:040DD80085A2F89F59 +:040DD90040B24422BE +:040DDA000141450985 +:040DDB00F7BFF06FFF +:040DDC004515114167 +:040DDD00F0EFC60667 +:040DDE000713F29F66 +:040DDF0047810860E0 +:040DE00004E51363B0 +:040DE100090005936D +:040DE20002000513F3 +:040DE300F5BFF0EF79 +:040DE400051345812D +:040DE500F0EF021019 +:040DE6004581F51F2F +:040DE70002200513CE +:040DE800F47FF0EFB5 +:040DE9000513458D1C +:040DEA00F0EF0230F4 +:040DEB004581F3DF6C +:040DEC000280051369 +:040DED00F33FF0EFF1 +:040DEE000300059366 +:040DEF0007100513D1 +:040DF000F27FF0EFAF +:040DF10040B2478540 +:040DF2000141853EF8 +:040DF30011018082E8 +:040DF40000A107A3B0 +:040DF50000021537AC +:040DF6004605CC22C0 +:040DF7000513842E2E +:040DF8000593040556 +:040DF900CE0600F131 +:040DFA00DA1FC0EF4D +:040DFB00C0EF85229E +:040DFC0040F2931F0F +:040DFD0061054462E6 +:040DFE00114180829D +:040DFF00645DC42249 +:040E00004C84578344 +:040E0100C226C60639 +:040E0200F9F7F79372 +:040E030083C107C2DE +:040E04004CF4142373 +:040E0500000214B71C +:040E06000EF4A02323 +:040E0700C0EF4551A2 +:040E080045D1901F21 +:040E0900038005134A +:040E0A00FA7FF0EF8C +:040E0B00051345D1B5 +:040E0C00F0EF039070 +:040E0D0045D1F9DFF3 +:040E0E00F0EF45516B +:040E0F0045D1F95F71 +:040E100007100513AF +:040E1100F8BFF0EF47 +:040E1200051345D1AE +:040E1300F0EF05E017 +:040E140045D1F81FAD +:040E150006D00513EB +:040E1600F77FF0EF83 +:040E1700453145D14B +:040E1800F6FFF0EF02 +:040E1900320005930B +:040E1A00F0EF4505AB +:040E1B0045D1F65F68 +:040E1C00F0EF451995 +:040E1D000593F5DF65 +:040E1E004509320050 +:040E1F00F53FF0EFBC +:040E20004C84578324 +:040E2100E79340B261 +:040E2200142304078A +:040E230044224CF425 +:040E24000EF4A02305 +:040E250001414492B1 +:040E260011018082B4 +:040E2700645DCC2218 +:040E28004C8457831C +:040E2900CA26CE0601 +:040E2A00F9F7F7934A +:040E2B0083C107C2B6 +:040E2C00C64EC84A9C +:040E2D001423C45274 +:040E2E0014B74CF4B5 +:040E2F008A2A000209 +:040E30000EF4A023F9 +:040E3100892E4505BC +:040E320032000593F2 +:040E3300F03FF0EFAD +:040E34004C84578310 +:040E3500855245C1DC +:040E36000207E79335 +:040E37004CF4142340 +:040E38000EF4A023F1 +:040E390026C010EFD0 +:040E3A000FF57993A4 +:040E3B0000099B63AC +:040E3C00000175B785 +:040E3D00859346450E +:040E3E008552B485A0 +:040E3F0021C010EFCF +:040E4000448149851B +:040E4100009A07B359 +:040E42000007C503DD +:040E4300048545D10C +:040E4400EBFFF0EFE1 +:040E45000FF4F7931C +:040E4600FF37E6E3A9 +:040E47004C845783FD +:040E4800000214B7D9 +:040E4900F79345D105 +:040E4A0007C2FDF7E7 +:040E4B00142383C128 +:040E4C00A0234CF49F +:040E4D0005130EF487 +:040E4E00F0EF0C00B5 +:040E4F005783E95F7D +:040E500045C14C84C8 +:040E5100E793854A54 +:040E5200142302075C +:040E5300A0234CF498 +:040E540010EF0EF499 +:040E550079931FE08E +:040E56009B630FF596 +:040E570075B7000962 +:040E5800464500010A +:040E5900B485859344 +:040E5A0010EF854AC6 +:040E5B0049851AE0CB +:040E5C0007B3448113 +:040E5D00C503009930 +:040E5E0045D1000773 +:040E5F00F0EF048527 +:040E6000F793E51F00 +:040E6100E6E30FF4C1 +:040E62005783FF377C +:040E630040F24C8489 +:040E6400000217373A +:040E65000407E79304 +:040E66004CF4142311 +:040E6700202344629E +:040E680044D20EF76B +:040E690049B24942FF +:040E6A0061054A22B2 +:040E6B00114180822F +:040E6C001437C42251 +:040E6D00C226000297 +:040E6E0084AA46010B +:040E6F0002C0059325 +:040E7000040405135E +:040E7100C0EFC60602 +:040E720085A6B3FF9F +:040E73000513460518 +:040E7400C0EF0404C3 +:040E75000593B6DF4C +:040E7600051302C09E +:040E77004605040424 +:040E7800B25FC0EFB6 +:040E79000404051355 +:040E7A0040B244221C +:040E7B0045854492D3 +:040E7C00C06F014101 +:040E7D001141B33F2D +:040E7E001437C4223F +:040E7F00C226000285 +:040E800084AEC04A32 +:040E81004601892A73 +:040E820002C0059312 +:040E8300040405134B +:040E8400C0EFC606EF +:040E850085CAAF3F2C +:040E86000513460109 +:040E8700C0EF0404B0 +:040E88000513B21F7D +:040E890044220404F7 +:040E8A00490240B227 +:040E8B00449285A662 +:040E8C0001414605D5 +:040E8D00B0BFC06FC3 +:040E8E000002153712 +:040E8F0046011141C6 +:040E90000513458180 +:040E9100C606040588 +:040E9200AF7FC0EF7F +:040E9300C0EF45293E +:040E940045E1ED0F38 +:040E9500F0EF450530 +:040E960045E1FA1F19 +:040E9700F0EF45092A +:040E980045E1F99F98 +:040E9900F0EF450D24 +:040E9A004505F91FF2 +:040E9B00F43FF0EF41 +:040E9C00152140B22A +:040E9D0000153513F4 +:040E9E00808201410C +:040E9F00C422114117 +:040EA0004505842A56 +:040EA100F0EFC606A2 +:040EA200991DF29F05 +:040EA3008C49040E64 +:040EA4000FF47413C0 +:040EA500450585A2D8 +:040EA600F5FFF0EF75 +:040EA700450985A2D2 +:040EA800F57FF0EFF3 +:040EA900442285A2B8 +:040EAA00450D40B200 +:040EAB00F06F0141A2 +:040EAC001141F49F5D +:040EAD00842AC422AD +:040EAE00C60645052A +:040EAF00EF3FF0EF32 +:040EB00075134789E6 +:040EB10013630D8535 +:040EB200641302F4CF +:040EB30085A20015FF +:040EB400F0EF450511 +:040EB50085A2F25FC1 +:040EB600F0EF45090B +:040EB70085A2F1DF40 +:040EB80040B24422DE +:040EB9000141450DA1 +:040EBA00F0FFF06FE6 +:040EBB008C49041644 +:040EBC000FF47413A8 +:040EBD000044641376 +:040EBE001141BFD946 +:040EBF001437C422FE +:040EC000C226000244 +:040EC10084AA4601B8 +:040EC20005C00593CF +:040EC300040405130B +:040EC400C0EFC606AF +:040EC500F5939F3FC3 +:040EC60046050FF4DA +:040EC7000404051307 +:040EC800A1FFC0EFD7 +:040EC90005C00593C8 +:040ECA000404051304 +:040ECB00C0EF460529 +:040ECC0005139D7FEE +:040ECD0044220404B3 +:040ECE00449240B258 +:040ECF000141458513 +:040ED0009E5FC06FF2 +:040ED100C4221141E5 +:040ED20000021437CF +:040ED300C04AC22629 +:040ED400892A84AE35 +:040ED500059346013A +:040ED600051305C03B +:040ED700C606040443 +:040ED8009A5FC0EF6E +:040ED9000FF9759305 +:040EDA0005134601B5 +:040EDB00C0EF04045C +:040EDC0005139D1F3E +:040EDD0044220404A3 +:040EDE00490240B2D3 +:040EDF00449285A60E +:040EE0000141460581 +:040EE1009BBFC06F84 +:040EE20005131141A2 +:040EE300C60602201D +:040EE400C226C4223C +:040EE500F67FF0EFB5 +:040EE6000FF574137D +:040EE700455945CD57 +:040EE800FA5FF0EFCE +:040EE9008513648980 +:040EEA00C0EF7104E0 +:040EEB00458DD74F0B +:040EEC00F0EF455D81 +:040EED008513F93F31 +:040EEE00C0EF7104DC +:040EEF006593D64FE2 +:040EF00005130804DA +:040EF100F0EF0220FC +:040EF2000513F7FFEE +:040EF300C0EF3E808E +:040EF4007593D50F0E +:040EF500442207F498 +:040EF600449240B230 +:040EF70002200513BD +:040EF800F06F014155 +:040EF9001141F63F6E +:040EFA006409C422A1 +:040EFB007104051366 +:040EFC00C0EFC60677 +:040EFD0045C5D2CF46 +:040EFE00F0EF455973 +:040EFF000513F4BF24 +:040F0000C0EF7104C9 +:040F0100455DD1CFAA +:040F0200F0EF45893E +:040F03000513F3BF20 +:040F0400442271040E +:040F0500014140B2B4 +:040F0600D06FC06F79 +:040F0700C4221141AE +:040F080085AA842E04 +:040F0900C60645498A +:040F0A00F1DFF0EF34 +:040F0B00442285A255 +:040F0C00454D40B25D +:040F0D00F06F01413F +:040F0E0085AAF0FFC1 +:040F0F0003D00513F3 +:040F1000F05FF06F2F +:040F1100454585AA23 +:040F1200EFDFF06FAE +:040F1300C6061141BC +:040F14004783C42229 +:040F1500842A0065C5 +:040F16009593456DFD +:040F17008DDD004725 +:040F18000FF5F59349 +:040F1900EE1FF0EFE8 +:040F1A0000644583A7 +:040F1B00F0EF45713D +:040F1C004583ED7F9D +:040F1D00452900342E +:040F1E00ECDFF0EF25 +:040F1F0000444583C2 +:040F2000F0EF452584 +:040F21004583EC3FD9 +:040F22004521005411 +:040F2300EB9FF0EF61 +:040F240000044583FD +:040F2500F0EF45356F +:040F26004583EAFF16 +:040F2700453100143C +:040F2800EA5FF0EF9D +:040F290000244583D8 +:040F2A0040B244226B +:040F2B000141452D0E +:040F2C00E95FF06F1A +:040F2D00CC221101C0 +:040F2E004511842ABB +:040F2F00C632CA26D6 +:040F3000CE0684AEB7 +:040F3100E37FF0EF7B +:040F3200759346323B +:040F3300CE4D0F850B +:040F34007FF00793B0 +:040F35000A87EA63DA +:040F3600E5934511E9 +:040F3700F0EF0015C2 +:040F38001513E67F28 +:040F39001413001479 +:040F3A0080410105EC +:040F3B000044559386 +:040F3C000FF5F59325 +:040F3D00F0EF450587 +:040F3E001593E4FF24 +:040F3F00F5930044E2 +:040F400045090F054B +:040F4100E41FF0EFCA +:040F4200019C05B752 +:040F4300CC058593C1 +:040F44000295D5B38A +:040F450002255737F3 +:040F46000FF7071387 +:040F470085B34781A6 +:040F4800706302854B +:040F4900273702B78D +:040F4A000713042C59 +:040F4B004785D7F708 +:040F4C0000B779630E +:040F4D00080BF7B7DF +:040F4E00FBF7879393 +:040F4F0000B7B7B37D +:040F5000675D078949 +:040F51004507071336 +:040F52004503973E7E +:040F53000713000779 +:040F540005330280DF +:040F5500571302E547 +:040F5600953A0014B4 +:040F57000285453397 +:040F58007513471DA9 +:040F590075930FF588 +:040F5A0073630FF5B9 +:040F5B00459D00A709 +:040F5C0040F24462B9 +:040F5D00058E44D2E7 +:040F5E008DDD079A84 +:040F5F000F85F59372 +:040F60006105450DD5 +:040F6100DC1FF06F32 +:040F6200F0EF451156 +:040F6300BFB9DBBF78 +:040F6400C422114151 +:040F65004569842A2C +:040F6600F0EFC606DC +:040F67004785D61FC5 +:040F68000FA57593C9 +:040F690000F41A6313 +:040F6A000025E593E6 +:040F6B0040B244222A +:040F6C000141456991 +:040F6D00D91FF06F29 +:040F6E0000A5E59362 +:040F6F005583BFC522 +:040F7000114100A586 +:040F710081A1C42274 +:040F72000513842AB5 +:040F7300C60604B0FA +:040F7400D75FF0EF64 +:040F750000A445830C +:040F760004A00513BB +:040F7700D69FF0EF22 +:040F780000C45583D9 +:040F790004D0051388 +:040F7A00F0EF81A172 +:040F7B004583D5BF16 +:040F7C00051300C495 +:040F7D00F0EF04C0CD +:040F7E005583D4FFC4 +:040F7F00051300E472 +:040F800081A104F057 +:040F8100D41FF0EF9A +:040F820000E44583BF +:040F830004E005136E +:040F8400D35FF0EF58 +:040F8500004455834C +:040F8600051005133A +:040F8700F0EF81A165 +:040F88004583D27F4C +:040F89000513004408 +:040F8A00F0EF05007F +:040F8B005583D1BFFA +:040F8C0005130064E5 +:040F8D0081A1053009 +:040F8E00D0DFF0EFD1 +:040F8F000064458332 +:040F90000520051320 +:040F9100D01FF0EF8E +:040F920000845583FF +:040F930005500513ED +:040F9400F0EF81A158 +:040F95004583CF3F82 +:040F960005130084BB +:040F9700F0EF054032 +:040F98005583CE7F30 +:040F99000513010437 +:040F9A0081A10570BC +:040F9B00CD9FF0EF07 +:040F9C000104458384 +:040F9D0005600513D3 +:040F9E00CCDFF0EFC5 +:040F9F000124558351 +:040FA00005900513A0 +:040FA100F0EF81A14B +:040FA2004583CBFFB9 +:040FA300051301240D +:040FA400F0EF0580E5 +:040FA5005583CB3F66 +:040FA60005130144EA +:040FA70081A105B06F +:040FA800CA5FF0EF3D +:040FA9000144458337 +:040FAA0040B24422EB +:040FAB0005A0051385 +:040FAC00F06F0141A0 +:040FAD001141C93FE6 +:040FAE00842AC422AB +:040FAF0003F0051333 +:040FB000F0EFC60692 +:040FB1007593C39FD2 +:040FB2008DC10F05D9 +:040FB30040B24422E2 +:040FB40003F005132E +:040FB500F06F014197 +:040FB6001141C6FF20 +:040FB700842AC422A2 +:040FB800C6064569BB +:040FB900C17FF0EF15 +:040FBA000064159327 +:040FBB007513442244 +:040FBC0040B203F547 +:040FBD00F5938DC952 +:040FBE0045690FF57D +:040FBF00F06F01418D +:040FC0001101C47FD8 +:040FC100461D65DD87 +:040FC200B805859356 +:040FC300CE0600282E +:040FC400241000EF06 +:040FC500C75FF0EF23 +:040FC600F0EF450102 +:040FC7004585E77FF6 +:040FC80003500513BA +:040FC900C21FF0EF64 +:040FCA00051365594D +:040FCB00F0EF7E8540 +:040FCC004501E91FD3 +:040FCD00FA7FF0EFC8 +:040FCE00F0EF4519E2 +:040FCF000513D01F17 +:040FD000F0EF0440FA +:040FD1004581D03F47 +:040FD200F0EF4505F2 +:040FD3000028CD3FE6 +:040FD400CFDFF0EF8C +:040FD500610540F280 +:040FD6001101808203 +:040FD700842ACC227A +:040FD800CE064511EB +:040FD900F0EFC62E41 +:040FDA0045B2B95F04 +:040FDB0002B4043325 +:040FDC000075759394 +:040FDD0077934511B0 +:040FDE00078E01F485 +:040FDF00F0EF8DDDC5 +:040FE0005513BC7F6A +:040FE10040F2405446 +:040FE20075134462DD +:040FE30061050FF5A0 +:040FE40011418082B5 +:040FE500842AC42274 +:040FE600C6064541B5 +:040FE700B5FFF0EF73 +:040FE8000034159329 +:040FE900891D4422F8 +:040FEA008DC940B2BB +:040FEB000FF5F59376 +:040FEC000141454139 +:040FED00B91FF06FC9 +:040FEE001141C139B3 +:040FEF000513C226FE +:040FF00084AE026069 +:040FF100080005935C +:040FF200C606C42249 +:040FF300F0EF843265 +:040FF4004785B77FF7 +:040FF50000940593CC +:040FF60000F4896317 +:040FF700059347A176 +:040FF800846305A465 +:040FF900059300F468 +:040FFA004422018408 +:040FFB00449240B22A +:040FFC000FF5F59365 +:040FFD0003100513C5 +:040FFE00F06F01414E +:040FFF004581B4BFB5 +:041000000260051372 +:041001001101BFDD3D +:04100200CA26CC220C +:04100300C64EC84AC3 +:04100400CE06C452FE +:04100500842A47856D +:0410060089B2892EF4 +:0410070084BA8A36E7 +:0410080000F50E637E +:04100900006347A198 +:04100A00059306F54F +:04100B00F5930067F2 +:04100C0045150FF582 +:04100D00B11FF0EF30 +:04100E00A80945C127 +:04100F00002705931E +:041010000FF5F59350 +:04101100F0EF4515A2 +:041012004599AFFF4E +:04101300F0EF45199C +:0410140085A2AF7F83 +:0410150045058626E1 +:04101600F61FF0EFE2 +:0410170045B147C1D7 +:0410180000F403637A +:04101900051345A1D5 +:04101A00F0EF0220D1 +:04101B004462ADBFBF +:04101C0044D240F288 +:04101D0085CE8652A4 +:04101E0049B24A2267 +:04101F004942854A73 +:04102000F06F610507 +:041021000593C33F31 +:04102200F593032718 +:0410230045150FF56B +:04102400AB5FF0EFDF +:04102500020005932D +:041026001141BF5560 +:04102700FFE58793C7 +:04102800C226C422F6 +:04102900F793C6066D +:04102A0047050FF770 +:04102B00842E84AAE1 +:04102C0006F76963F7 +:04102D0047814709A7 +:04102E0000E5136363 +:04102F009713478943 +:041030009593002470 +:041031008DD9004411 +:041032008DC5079AC7 +:04103300F5938DDDC7 +:0410340045650FF50A +:04103500A71FF0EF12 +:04103600F0EF454151 +:04103700478DA21F20 +:041038000F85759318 +:0410390000F4146348 +:04103A000055E593E5 +:04103B00F0EF45414C +:04103C004789A57FBC +:04103D0002F49D63B9 +:04103E00EA63478595 +:04103F00059302878C +:041040000463052020 +:04104100059300F41F +:0410420045390530F7 +:04104300A39FF0EF88 +:041044003E800513D2 +:0410450080AFC0EFC9 +:04104600F0EF455131 +:0410470045819E1F22 +:041048004789A03DF7 +:0410490037B3FD4973 +:04104A00078500B066 +:04104B000593BF4901 +:04104C00453905B06D +:04104D00A11FF0EF00 +:04104E003E800513C8 +:04104F00FE3FB0EFC1 +:04105000F0EF455127 +:04105100478D9B9F8D +:041052001AE345C197 +:041053004561FCF403 +:041054009F5FF0EFBB +:0410550040B244223F +:0410560045814492FA +:04105700036005131A +:04105800F06F0141F3 +:0410590011019E3FA4 +:04105A00842ACC22F6 +:04105B00C62E455107 +:04105C00F0EFCE06DD +:04105D004709989F08 +:04105E000FF5779380 +:04105F00156345B21E +:04106000470502E45A +:0410610000E59C63A7 +:0410620009057513F4 +:04106300F705051375 +:04106400001535132B +:04106500446240F2AF +:04106600808261051E +:041067007513E59187 +:0410680005130885DF +:04106900B7EDF78563 +:04106A000017D51383 +:04106B00B7DD89055F +:04106C0047917159DE +:04106D0000F11E234D +:04106E00871367DDA0 +:04106F00D6A24F476F +:04107000D2CAD4A666 +:04107100CED2D0CE3D +:04107200CADACCD634 +:04107300C6E2C8DE2B +:04107400C2EAC4E622 +:04107500842AC0EE1B +:0410760001E7450346 +:041077004E034621BD +:04107800163302E742 +:04107900450300A685 +:04107A00661301F701 +:04107B001F230086A9 +:04107C00061300C196 +:04107D001633040022 +:04107E00450300A680 +:04107F001023020731 +:04108000061302C190 +:0410810016334000E2 +:04108200450300A67C +:04108300112302171C +:04108400660902C136 +:0410850000A6163378 +:0410860002C112236E +:0410870001B106139A +:04108800665DD4329B +:041089005176061383 +:04108A00665DD63297 +:04108B005186061371 +:04108C00665DD83293 +:04108D00519606135F +:04108E00665DDA328F +:04108F0051A606134D +:04109000675DDC328A +:041091000613665D7F +:04109200635D51B693 +:041093008187071337 +:0410940004000F370E +:041095000DA3DE3297 +:04109600061300013C +:0410970048815DC36C +:041098004F478793A4 +:041099005DC303131D +:04109A000F93C63AB0 +:04109B00628510005A +:04109C004000049379 +:04109D002000091313 +:04109E00099343C1AE +:04109F000A1304002C +:0410A0000A930800A7 +:0410A1004B110200ED +:0410A2000C134BA13F +:0410A3004C8D20D080 +:0410A4000F134D05D4 +:0410A5009513040F8C +:0410A6004775018801 +:0410A7009463856168 +:0410A800557D00E88A +:0410A9004703A271E6 +:0410AA004E950176E8 +:0410AB00016648038F +:0410AC000EEEE563FC +:0410AD001E934DB28F +:0410AE009DF6002784 +:0410AF00000DAD8300 +:0410B000D07A8D82E3 +:0410B10000D87833B8 +:0410B2000C080963BA +:0410B30004010D9394 +:0410B400AE839EEE7B +:0410B5005803FE8E50 +:0410B600CE8301865E +:0410B7000E86000E93 +:0410B800DE839EEE47 +:0410B9007EB3FDCE37 +:0410BA00886301D86E +:0410BB0048130A0EBE +:0410BC007813FFF8AE +:0410BD0012630018A2 +:0410BE0058030AB811 +:0410BF0008790106A5 +:0410C00008886D63CC +:0410C1009723480524 +:0410C2008823000778 +:0410C3008923010775 +:0410C4009A23000764 +:0410C5008E6301D75E +:0410C600E96319FEC3 +:0410C70084630BDF54 +:0410C800E763167E46 +:0410C900856309D35F +:0410CA0098630F6EAA +:0410CB004685077ED1 +:0410CC0000D78623A0 +:0410CD0003B7C6831C +:0410CE0010069E6307 +:0410CF0086B346E9B5 +:0410D000969A02D812 +:0410D10000E6D6035C +:0410D20057700693BA +:0410D30010C6E463FC +:0410D400FFF7061309 +:0410D5000FF6761389 +:0410D600ED634689F7 +:0410D70086A30EC618 +:0410D800882300D792 +:0410D900156300D7C4 +:0410DA00C7030CD765 +:0410DB00186303177C +:0410DC0047051007AD +:0410DD0000E787237E +:0410DE005D83A86521 +:0410DF0093E3010690 +:0410E0004D83F58DBA +:0410E1009D63FFD636 +:0410E2001563019DF4 +:0410E3007813000E70 +:0410E400BF0D0EF836 +:0410E50017E34D8937 +:0410E6000885F3BEC8 +:0410E700BDE50669F4 +:0410E800000E15637E +:0410E9000FB87813B1 +:0410EA001DE3BF3112 +:0410EB00B7F5F1AEB6 +:0410EC000F3E8163CF +:0410ED000F4E8A63B5 +:0410EE00FF5E91E32D +:0410EF0020100713B3 +:0410F00000E796235C +:0410F10088234719F0 +:0410F200A09D00E7D6 +:0410F3000E5E8B639F +:0410F40001D2EF63D3 +:0410F5000E9E826366 +:0410F600800E8713CE +:0410F7009EE3C3654C +:0410F8000713FB2EB1 +:0410F90096232020FA +:0410FA00471500E7AF +:0410FB006711BFE9D1 +:0410FC000CEE8C6307 +:0410FD008263672182 +:0410FE0067090EEE82 +:0410FF00F8EE9FE385 +:0411000096234711DA +:04110100071300E7E9 +:0411020089230780B6 +:04110300A00D00E754 +:041104000007962327 +:041105000FD7771376 +:041106001B6346859C +:04110700C70300D743 +:04110800C70D0317F5 +:0411090088234709E7 +:04110A00470500E7AE +:04110B0000E787A3CF +:04110C0054A654365B +:04110D005986591690 +:04110E004AE64A76ED +:04110F004BC64B562A +:041110004CA64C3667 +:041111004D864D16A4 +:041112008082616511 +:0411130087234705E2 +:04111400BFE100E750 +:04111500FFE7069357 +:04111600000786A3A5 +:041117000FD6F69366 +:041118004695D68999 +:04111900FCD716E306 +:04111A0088B34769E6 +:04111B00071302E8CC +:04111C0093464AF0BC +:04111D0000E3568312 +:04111E00EED779E3AC +:04111F0086A3BF558F +:041120004709000774 +:041121000713B78970 +:0411220096232010E0 +:04112300471100E789 +:041124004689BF1D1C +:0411250000D7962336 +:041126001BE3468DF4 +:04112700C703F8D72B +:0411280008E30317BE +:04112900BFF1EC071F +:04112A001020071377 +:04112B0000E7962320 +:04112C000713B741AD +:04112D00BFD12020EE +:04112E00BFE9468D42 +:04112F002030071352 +:041130000713B7E901 +:04113100B7052030AE +:04113200204007133F +:0411330000E7962318 +:0411340088234715B0 +:04113500476100E727 +:041136000713BF0DCF +:04113700962320409B +:04113800471900E76C +:0411390000E7882320 +:04113A00B70547515D +:04113B0017931101F4 +:04113C00CC2201853B +:04113D00CE06CA26EA +:04113E00842A87E197 +:04113F00DD6384AE3A +:0411400045810007DE +:04114100077005131B +:0411420001A337D5F9 +:04114300478500A13B +:0411440006A7EE63A9 +:0411450007F4741324 +:041146004591265158 +:041147002E814501AF +:04114800D79326858E +:0411490002A3018478 +:04114A00D79300F146 +:04114B000323010475 +:04114C00D79300F144 +:04114D0003A3008474 +:04114E00022300F187 +:04114F0004230081F4 +:0411500007130091F0 +:0411510007930400FC +:0411520009630950D4 +:04115300071300E49A +:041154004785048047 +:0411550000E414633B +:041156000870079383 +:04115700004845996E +:0411580000F104A3FB +:0411590045152CED1F +:04115A00842A26B508 +:04115B0005134585AE +:04115C002CF500313D +:04115D0000310783D3 +:04115E000007D6634D +:04115F004785264951 +:04116000FEF506E3AF +:041161002E41E0112A +:041162000031450310 +:04116300446240F2B0 +:04116400610544D20B +:0411650071398082DA +:041166004581DA26BF +:04116700051384AA3E +:04116800DE0604900B +:04116900D84ADC2262 +:04116A00F0EFD64E7E +:04116B00C909F43F7B +:04116C0050F24501F7 +:04116D0054D25462A2 +:04116E0059B25942D7 +:04116F0080826121F8 +:041170004515842A73 +:04117100892A2E0198 +:041172000FF00993DE +:041173000513458596 +:041174002C7100B129 +:0411750000B14783FB +:041176000137966344 +:041177004785260D75 +:04117800FEF506E397 +:0411790000091363F3 +:04117A004703263DC4 +:04117B00079300B125 +:04117C001FE30FE07E +:04117D0045C9FAF76F +:04117E002C95006844 +:04117F000044C783DE +:041180000027F7133A +:041181004503CF153E +:04118200478301217D +:0411830047030131EC +:04118400890D01616F +:041185008D5D052255 +:041186000141478359 +:041187004403050A0E +:041188008399011135 +:0411890047838D5DAE +:04118A00831D01516F +:04118B000786883D0E +:04118C008FD98B99D3 +:04118D000505943E82 +:04118E00153314659C +:04118F00BF95008583 +:04119000CB898B91EB +:0411910001414503D0 +:04119200015147833D +:041193008D5D052247 +:04119400B7CD47C5C7 +:041195004501478148 +:041196007179BFF1BB +:04119700D04A65D9FC +:04119800892A462931 +:04119900AD05859388 +:04119A00D226004811 +:04119B00CA56CE4E14 +:04119C00D422D6067D +:04119D00498DCC525A +:04119E0044852CE177 +:04119F000AA00A9305 +:0411A0002C2922C90B +:0411A10045A924B187 +:0411A2002AD1004806 +:0411A300202345813F +:0411A4000513000926 +:0411A500F0EF040063 +:0411A600842AE57F33 +:0411A7000E9514632A +:0411A8001AA00593F1 +:0411A90004800513A6 +:0411AA00E45FF0EF1F +:0411AB00089513632D +:0411AC00850A4591DA +:0411AD0047832A6DDD +:0411AE009563002124 +:0411AF0047830C97CF +:0411B0009163003116 +:0411B10005130D57BE +:0411B20024293E802E +:0411B30019632C0D83 +:0411B40005B70095E6 +:0411B50005134000DE +:0411B600F0EF0E90B8 +:0411B700F57DE13FA2 +:0411B80007632C3964 +:0411B9004401029556 +:0411BA0019FD243DBA +:0411BB000FF9F9939C +:0411BC0008098C632F +:0411BD004785D4513D +:0411BE00008902237F +:0411BF0000F92023F0 +:0411C000F0EF854A7D +:0411C100157DE95F50 +:0411C20000A9242339 +:0411C300A8B5226544 +:0411C4000513458149 +:0411C500F0EF07A0A0 +:0411C600F571DD7F63 +:0411C700850A4591BF +:0411C800478322B97E +:0411C90044310001AC +:0411CA000407F7938C +:0411CB004411FFD5F7 +:0411CC004581BF6535 +:0411CD000E90051368 +:0411CE00DB5FF0EF04 +:0411CF0004100A13EB +:0411D00000A4E5632F +:0411D1000A134409B0 +:0411D20005130E9063 +:0411D30022590FA0EE +:0411D4001763227DFE +:0411D50045810095BB +:0411D600F0EF85525F +:0411D700F96DD93F96 +:0411D800E1112A797E +:0411D9002A4544015E +:0411DA000513458133 +:0411DB00F0EF07B07A +:0411DC00C111D7FF67 +:0411DD000593440131 +:0411DE0005132000D5 +:0411DF00F0EF050028 +:0411E000D525D6FF3C +:0411E100B795440179 +:0411E20028D5F43DDB +:0411E30000143513AC +:0411E400542250B28F +:0411E50059025492C5 +:0411E6004A6249F21E +:0411E70061454AD242 +:0411E800451C8082A0 +:0411E900D422717922 +:0411EA00D226D6062D +:0411EB00CE4ED04ACA +:0411EC00E463440D67 +:0411ED0084BA02C7F7 +:0411EE00C305440DE4 +:0411EF0000454783ED +:0411F000893689AE05 +:0411F100E3918BA15A +:0411F20085B2062696 +:0411F30005100513CB +:0411F400D1DFF0EF68 +:0411F5004409C919C7 +:0411F6008522205DD1 +:0411F700542250B27C +:0411F80059025492B2 +:0411F900614549F211 +:0411FA0005138082D7 +:0411FB0020DD0640AD +:0411FC000FF00413D9 +:0411FD00051345850C +:0411FE00289500F13F +:0411FF0000F1478331 +:04120000008796636A +:04120100478528ED08 +:04120200FEF506E30C +:041203004703222952 +:04120400079300F15B +:0412050010E30FE003 +:041206000413FCF7DA +:04120700043320206C +:041208008C054124EC +:0412090080410442DA +:04120A00000905636F +:04120B00450185CA4A +:04120C0085A6283D4E +:04120D002825854EBD +:04120E00450185A26F +:04120F004401280D61 +:041210002637BF615D +:0412110005B7000617 +:041212001537019CEF +:0412130006130002BC +:041214008593A80610 +:041215000513CC05EC +:04121600B06F0205AE +:04121700862EC81F38 +:04121800153785AA57 +:0412190005130002B7 +:04121A00B06F0205AA +:04121B00862ED1FF4B +:04121C00153785AA53 +:04121D0005130002B3 +:04121E00B06F0205A6 +:04121F008082CE3FBC +:041220005783675D2C +:04122100F7934C876C +:0412220007C2F7F711 +:04122300142383C14C +:0412240017374CF735 +:041225002023000280 +:0412260080820EF7BD +:041227005783675D25 +:04122800E7934C8775 +:04122900142308077B +:04122A0017374CF72F +:04122B00202300027A +:04122C0080820EF7B7 +:04122D000029363727 +:04122E00019C05B763 +:04122F00000215376D +:041230002E0606136D +:04123100CC058593D0 +:041232000205051399 +:04123300C0FFB06FD9 +:04123400F73FF06F21 +:041235002783676143 +:04123600E3858D07B8 +:041237008793679D95 +:04123800053397875C +:04123900114102F568 +:04123A002823C60699 +:04123B00B0EF8CA7DD +:04123C0040B2855FD8 +:04123D000141450125 +:04123E004505808260 +:04123F001141808257 +:04124000B0EFC6063F +:0412410067E1865F7C +:041242008D07A783EA +:04124300353340B24D +:04124400014100F56F +:0412450067E180825B +:041246008C07A82346 +:0412470000008082A1 +:041248000000071388 +:0412490000E6166342 +:04124A000000051388 +:04124B0000008067B8 +:04124C0000E507B3FF +:04124D00001707136C +:04124E0000E586B37E +:04124F000007C7834A +:04125000FFF6C6835C +:04125100FED780E361 +:0412520040D78533C9 +:0412530000008067B0 +:0412540000A5C7B377 +:041255000037F793D4 +:0412560000C5073395 +:041257000007966393 +:0412580000300793C8 +:0412590002C7E26383 +:04125A0000050793F1 +:04125B000AE57C63C1 +:04125C000005C68340 +:04125D00001787935C +:04125E00001585935F +:04125F00FED78FA384 +:04126000FEE7E8E3DA +:0412610000008067A2 +:04126200003576934A +:0412630000050793E8 +:0412640000068E638F +:041265000005C68337 +:041266000017879353 +:041267000015859356 +:04126800FED78FA37B +:041269000037F693C1 +:04126A00FE9FF06F84 +:04126B00FFC77693B0 +:04126C00FE068613E1 +:04126D0006C7F46359 +:04126E000005A38351 +:04126F000045A28311 +:041270000085AF83C3 +:0412710000C5AF0302 +:041272000105AE8341 +:041273000145AE0380 +:041274000185A3034A +:0412750001C5A88384 +:041276000245859315 +:041277000077A02339 +:04127800FFC5A80303 +:041279000057A22355 +:04127A0001F7A423B1 +:04127B0001E7A623BE +:04127C0001D7A823CB +:04127D0001C7AA23D8 +:04127E000067AC2336 +:04127F000117AE2382 +:041280000247879307 +:04128100FF07AE2392 +:04128200FADFF06F30 +:041283000005A603B9 +:041284000047879305 +:041285000045859308 +:04128600FEC7AE23CE +:04128700FED7E8E3C3 +:04128800F4E7E8E3BC +:04128900000080677A +:04128A00F601011355 +:04128B0006912A237B +:04128C0008F12A2318 +:04128D0006112E23F5 +:04128E00000177B72D +:04128F0006812C2385 +:0412900008D1262338 +:0412910008E1282325 +:0412920009012C23FF +:0412930009112E23EC +:041294003FC7A48329 +:041295000205D26319 +:0412960008B0079302 +:0412970000F4A0239C +:04129800FFF005134B +:0412990007C12083E6 +:04129A0007812403A1 +:04129B000741248360 +:04129C000A0101132F +:04129D000000806766 +:04129E002080079312 +:04129F0000F11A231D +:0412A00000A1242362 +:0412A10000A12C2359 +:0412A20000000793AE +:0412A300000584635B +:0412A400FFF5879338 +:0412A50000F1282309 +:0412A60000F12E2302 +:0412A70008C10693E1 +:0412A800FFF00793B9 +:0412A90000058413A5 +:0412AA0000048513A4 +:0412AB000081059326 +:0412AC0000F11B230F +:0412AD0000D1222327 +:0412AE00484000EFC5 +:0412AF00FFF00793B2 +:0412B00000F556638C +:0412B10008B00793E7 +:0412B20000F4A02381 +:0412B300F8040CE34C +:0412B400008127830B +:0412B500000780238B +:0412B600F8DFF06FFE +:0412B70002060A63BE +:0412B800FFF6061324 +:0412B9000000071317 +:0412BA0000E507B391 +:0412BB0000E586B311 +:0412BC000007C783DD +:0412BD000006C683DE +:0412BE0000D798635A +:0412BF0000C70663FB +:0412C00000170713F9 +:0412C100FE0792E3AF +:0412C20040D7853359 +:0412C3000000806740 +:0412C400000005130E +:0412C500000080673E +:0412C6000005079385 +:0412C70000060E63AC +:0412C80000158593F5 +:0412C900FFF5C70363 +:0412CA0000178793EF +:0412CB00FFF6061311 +:0412CC00FEE78FA307 +:0412CD00FE0714E321 +:0412CE0000C786339C +:0412CF0000C794635D +:0412D0000000806733 +:0412D10000178793E8 +:0412D200FE078FA3E1 +:0412D300FF1FF06F9A +:0412D40000B505B3A9 +:0412D5000005079376 +:0412D60000B7866374 +:0412D7000007C70342 +:0412D8000007166392 +:0412D90040A7853372 +:0412DA000000806729 +:0412DB0000178793DE +:0412DC00FE9FF06F12 +:0412DD001005846311 +:0412DE00FFC5A7831E +:0412DF00FF010113F7 +:0412E0000081242342 +:0412E10000112623AF +:0412E2000091222332 +:0412E300FFC58413AC +:0412E4000007D463C8 +:0412E50000F40433DA +:0412E6000005049368 +:0412E70058D000EFEC +:0412E8000001773753 +:0412E90046C727834A +:0412EA0000070613E0 +:0412EB000207926301 +:0412EC0000042223B5 +:0412ED0046872623E7 +:0412EE000081240354 +:0412EF0000C1208397 +:0412F000000485135E +:0412F1000041248311 +:0412F20001010113E2 +:0412F3005610006F22 +:0412F40002F4786325 +:0412F5000004268348 +:0412F60000D40733E6 +:0412F70000E79A630F +:0412F8000007A70341 +:0412F9000047A78380 +:0412FA0000D70733DF +:0412FB0000E42023C8 +:0412FC0000F42223B5 +:0412FD0046862623D8 +:0412FE00FC1FF06F72 +:0412FF00000707934A +:041300000047A703F8 +:04130100000704637A +:04130200FEE47AE3A8 +:041303000007A683B6 +:0413040000D7863355 +:0413050002861863E1 +:0413060000042603B6 +:0413070000C686B3E3 +:0413080000D7A02347 +:0413090000D7863350 +:04130A00F8C718E325 +:04130B0000072603AE +:04130C00004727036C +:04130D0000D606B34D +:04130E0000D7A02341 +:04130F0000E7A2232E +:04131000F79FF06FE4 +:0413110000C4786339 +:0413120000C007937D +:0413130000F4A0231F +:04131400F69FF06FE1 +:0413150000042603A7 +:0413160000C406B356 +:0413170000D71A637E +:041318000007268321 +:04131900004727035F +:04131A0000C686B3D0 +:04131B0000D42023B7 +:04131C0000E42223A4 +:04131D000087A22380 +:04131E00F41FF06F59 +:04131F0000008067E3 +:04132000FE010113B6 +:0413210000912A23EA +:04132200003584937B +:04132300FFC4F4937C +:0413240000112E2363 +:0413250000812C23F4 :041326000121282356 -:041327000085A90391 -:0413280000812C23F1 -:041329000141242337 -:04132A00016120231A -:04132B0000112E235C -:04132C0000912A23DF -:04132D000131262341 -:04132E000151222324 -:04132F00000584131E -:0413300000060B1395 -:0413310000068A1315 -:041332000D26EE6333 -:0413330000C5D78397 -:041334004807F7135C -:041335000C07066338 -:041336000004248308 -:041337000105A58384 -:041338000144270342 -:0413390000050A930E -:04133A0040B489B37F -:04133B0000300493E7 -:04133C0002E484B390 -:04133D000020071372 -:04133E0002E4C4B34E -:04133F0000168713FA -:041340000137073337 -:0413410000E4F4636D -:041342000007049309 -:041343004007F793D5 -:041344000C078463AB -:041345000004859388 -:04134600000A851301 -:04134700E25FF0EF82 -:041348000005091380 -:041349000405126322 -:04134A0000C0079345 -:04134B0000FAA023E1 -:04134C0000C45783FF -:04134D00FFF0051395 -:04134E000407E79316 -:04134F0000F416236D -:0413500001C1208334 -:0413510001812403EF -:0413520001412483AE -:041353000101290368 -:0413540000C1298328 -:0413550000812A03E6 -:0413560000412A83A5 -:0413570000012B0363 -:04135800020101137A -:0413590000008067A9 -:04135A0001042583E2 -:04135B0000098613EC -:04135C00AA1FF0EFE5 -:04135D0000C45783EE -:04135E00B7F7F79353 -:04135F000807E79301 -:0413600000F416235C -:041361000124282318 -:0413620000942A23A6 -:041363000139093310 -:04136400413484B3D9 -:04136500012420231C -:0413660000942423A8 -:04136700000A09135C -:04136800012A74637F -:04136900000A09135A -:04136A000004250353 -:04136B00000906135C -:04136C00000B0593DA -:04136D001D9000EFE0 -:04136E00008427834D -:04136F000000051362 -:04137000412787B3D7 -:0413710000F424233D -:0413720000042783C9 -:041373000127893392 -:04137400012420230D -:04137500F6DFF06F40 -:0413760000048613D6 -:04137700000A8513D0 -:04137800211000EF51 -:04137900000509134F -:04137A00F8051EE371 -:04137B0001042583C1 -:04137C00000A8513CB -:04137D00C41FF0EFAA -:04137E00F31FF06FFA -:04137F0000C5D7834B -:04138000F401011360 -:041381000A912A2380 -:041382000B212823F0 -:041383000B312623E1 -:041384000A112E23F9 -:041385000A812C238A -:041386000B412423D0 -:041387000B512223C1 -:041388000B612023B2 -:0413890009712E2395 -:04138A0009812C2386 -:04138B0009912A2377 -:04138C0009A1282368 -:04138D0009B1262359 -:04138E000807F793C2 -:04138F0000050993B9 -:0413900000058913B8 -:0413910000060493BB -:0413920006078C635B -:041393000105A78326 -:04139400060798634D -:0413950004000593B8 -:0413960000D1262339 -:04139700CE5FF0EF46 -:0413980000A9202365 -:0413990000A928235C -:04139A0000C12683E5 -:04139B0004051663CC -:04139C0000C00793F3 -:04139D0000F9A02390 -:04139E00FFF0051344 -:04139F000BC12083DB -:0413A0000B81240396 -:0413A1000B41248355 -:0413A2000B0129030F -:0413A3000AC12983CF -:0413A4000A812A038D -:0413A5000A412A834C -:0413A6000A012B030A -:0413A70009C12B83CA -:0413A80009812C0388 -:0413A90009412C8347 -:0413AA0009012D0305 -:0413AB0008C12D83C5 -:0413AC000C0101131C -:0413AD000000806755 -:0413AE00040007939D -:0413AF0000F92A23F4 -:0413B000020007939D -:0413B10002F10CA396 -:0413B20000000AB776 -:0413B3000300079399 -:0413B40002012A23E5 -:0413B50002F10D2311 -:0413B60000D12E2311 -:0413B70002500C9341 -:0413B80000017B377E -:0413B90000017BB7FD -:0413BA0000017D377A -:0413BB0000015C379A -:0413BC00000A8A9306 -:0413BD000004841391 -:0413BE00000447835D -:0413BF00000784633C -:0413C0000D979C6386 -:0413C10040940DB394 -:0413C200020D86632F -:0413C300000D869300 -:0413C4000004861388 -:0413C5000009059383 -:0413C6000009851382 -:0413C700D79FF0EFCD -:0413C800FFF0079398 -:0413C90024F506639E -:0413CA000341268332 -:0413CB0001B686B32E -:0413CC0002D12A23FD -:0413CD00000447834E -:0413CE0022078C6303 -:0413CF00FFF0079391 -:0413D000001404936E -:0413D10002012023D2 -:0413D20002012623CB -:0413D30002F12223DE -:0413D40002012423CB -:0413D500060101A369 -:0413D60006012C23BD -:0413D70000100D9362 -:0413D8000004C583C5 -:0413D90000500613A7 -:0413DA00A04B05130C -:0413DB007FC000EFE0 -:0413DC000014841362 -:0413DD00020127835F -:0413DE000605146389 -:0413DF000107F713F8 -:0413E0000007066399 -:0413E10002000713EC -:0413E20006E101A37C -:0413E3000087F71375 -:0413E4000007066395 -:0413E50002B0071338 -:0413E60006E101A378 -:0413E7000004C683B5 -:0413E80002A0071345 -:0413E90004E68C6327 -:0413EA0002C1278392 -:0413EB000004841363 -:0413EC000000069364 -:0413ED000090061353 -:0413EE0000A0051343 -:0413EF0000044703AC -:0413F000001405934D -:0413F100FD070713DA -:0413F20008E67C632A -:0413F3000406846305 -:0413F40002F12623B9 -:0413F5000400006F81 -:0413F60000140413C8 -:0413F700F1DFF06FC3 -:0413F800A04B0713EC -:0413F90040E5053393 -:0413FA0000AD95337A -:0413FB0000A7E7B3AD -:0413FC0002F12023B7 -:0413FD000004049351 -:0413FE00F69FF06FF7 -:0413FF0001C12703FE -:041400000047069308 -:0414010000072703B6 -:0414020000D12E23C4 -:041403000407406337 -:0414040002E12623B8 -:041405000004470395 -:0414060002E0079366 -:0414070008F7166369 -:041408000014470382 -:0414090002A00793A3 -:04140A0004F71A6366 -:04140B0001C1278371 -:04140C0000240413A1 -:04140D0000478713FA -:04140E000007A783A9 -:04140F0000E12E23A7 -:041410000207CA63A2 -:0414110002F122239F -:041412000600006F61 -:0414130040E007337B -:041414000027E79333 -:0414150002E12623A7 -:0414160002F120239C -:04141700FB9FF06FD8 -:0414180002A787B3ED -:041419000010069326 -:04141A000005841332 -:04141B0000E787B3AC -:04141C00F4DFF06F9A -:04141D00FFF0079342 -:04141E00FCDFF06F90 -:04141F00001404139E -:041420000201222380 -:04142100000006932E -:04142200000007932C -:04142300009006131C -:0414240000A005130C -:041425000004470375 -:041426000014059316 -:04142700FD070713A3 -:0414280008E67263FD -:04142900FA0690E34C -:04142A0000044583F2 -:04142B000030061374 -:04142C00A0CB8513B9 -:04142D006B4000EF21 -:04142E00020502634E -:04142F00A0CB879334 -:0414300040F505334B -:041431000400079319 -:0414320000A797B3C5 -:04143300020125038A -:041434000014041389 -:0414350000F5653326 -:0414360002A12023CC -:0414370000044583E5 -:041438000060061337 -:04143900A10D0513E9 -:04143A000014049303 -:04143B0002B10C23CB -:04143C00678000EFD6 -:04143D0008050A6331 -:04143E00040A9863A1 -:04143F00020127037C -:0414400001C127833C -:041441001007771306 -:041442000207086332 -:041443000047879344 -:0414440000F12E2362 -:0414450003412783B5 -:04144600014787B320 -:0414470002F12A2361 -:04144800DD5FF06F05 -:0414490002A787B3BC -:04144A0000100693F5 -:04144B000005841301 -:04144C0000E787B37B -:04144D00F61FF06F27 -:04144E000077879309 -:04144F00FF87F79389 -:0414500000878793F7 -:04145100FCDFF06F5D -:0414520001C10713BA -:04145300C94C0693E7 -:041454000009061372 -:0414550002010593F8 -:0414560000098513F1 -:04145700FFFEB0974D -:04145800EA4080E7FF -:04145900FFF0079306 -:04145A0000050A136C -:04145B00FAF514E3A7 -:04145C0000C95783E9 -:04145D00FFF0051384 -:04145E000407F793F5 -:04145F00D00790E33F -:04146000034125031C -:04146100CF9FF06FBA -:0414620001C10713AA -:04146300C94C0693D7 -:041464000009061362 -:0414650002010593E8 -:0414660000098513E1 -:041467001B8000EFF7 -:04146800FC5FF06FC6 -:04146900FD0101136D -:04146A0001512A23DF -:04146B000105A7834D -:04146C0000070A93D8 -:04146D000085A7034C -:04146E0002812423B0 -:04146F0002912223A1 -:0414700001312E23F5 -:0414710001412C23E6 -:04147200021126231A -:04147300032120230E -:0414740001612823C7 -:0414750001712623B8 -:0414760000050993D1 -:0414770000058413D5 -:0414780000060493D3 -:0414790000068A13CC -:04147A0000E7D46350 -:04147B0000070793CC -:04147C0000F4A023B5 -:04147D0004344703E9 -:04147E0000070663FA -:04147F000017879338 -:0414800000F4A023B1 -:0414810000042783B9 -:041482000207F793D3 -:041483000007886373 -:041484000004A78336 -:041485000027879322 -:0414860000F4A023AB -:041487000004290331 -:04148800006979136B -:0414890000091E63D5 -:04148A0001940B13AB -:04148B00FFF00B93D0 -:04148C0000C42783EE -:04148D000004A703AD -:04148E0040E787B3F9 -:04148F0008F94263B3 -:0414900000042783AA -:041491000434468356 -:041492000207F793C3 -:0414930000D036B39C -:041494000C0790634E -:041495000434061302 -:04149600000A0593B0 -:0414970000098513B0 -:04149800000A80E7DF -:04149900FFF00793C6 -:04149A0006F50863E8 -:04149B00000427839F -:04149C0000400613F3 -:04149D000004A7039D -:04149E000067F79359 -:04149F0000C42683DC -:0414A00000000493B1 -:0414A10000C7986385 -:0414A20040E684B3E9 -:0414A3000004D4630A -:0414A40000000493AD -:0414A5000084278315 -:0414A6000104270313 -:0414A70000F7566391 -:0414A80040E787B3DF -:0414A90000F484B314 -:0414AA000000091322 -:0414AB0001A4041381 -:0414AC00FFF00B132F -:0414AD00092490631B -:0414AE000000051322 -:0414AF000200006FC8 -:0414B000001006938F -:0414B100000B061313 -:0414B200000A059394 -:0414B3000009851394 -:0414B400000A80E7C3 -:0414B50003751A633E -:0414B600FFF005132B -:0414B70002C12083CB -:0414B8000281240386 -:0414B9000241248345 -:0414BA0002012903FF -:0414BB0001C12983BF -:0414BC0001812A037D -:0414BD0001412A833C -:0414BE0001012B03FA -:0414BF0000C12B83BA -:0414C0000301011310 -:0414C1000000806740 -:0414C20000190913F1 -:0414C300F25FF06F75 -:0414C40000D4073316 -:0414C5000300061307 -:0414C60004C701A3B3 -:0414C700045447037F -:0414C80000168793F0 -:0414C90000F407B371 -:0414CA0000268693DF -:0414CB0004E781A30E -:0414CC00F25FF06F6C -:0414CD000010069372 -:0414CE0000040613FD -:0414CF00000A059377 -:0414D0000009851377 -:0414D100000A80E7A6 -:0414D200F96508E3CD -:0414D30000190913E0 -:0414D400F65FF06F60 -:0414D500FD01011301 -:0414D6000281242348 -:0414D7000291222339 -:0414D80003212023A9 -:0414D90001312E238C -:0414DA0002112623B2 -:0414DB0001412C237C -:0414DC0001512A236D -:0414DD00016128235E -:0414DE0000068993E8 -:0414DF000185C6833A -:0414E00006E0079388 -:0414E10000060913E5 -:0414E200000504936A -:0414E3000005841369 -:0414E4000435861332 -:0414E50028F68663FC -:0414E60006D7EA63D8 -:0414E7000630079331 -:0414E8000AF68E630F -:0414E90000D7EE63D7 -:0414EA002A068A63E1 -:0414EB0005800793DE -:0414EC001EF68A63FB -:0414ED0004240A9336 -:0414EE0004D40123FE -:0414EF000B80006FFF -:0414F0000640079318 -:0414F10000F6866318 -:0414F20006900793C6 -:0414F300FEF694E38A -:0414F4000004278346 -:0414F50000072503C4 -:0414F6000807F6935A -:0414F7000045059314 -:0414F80008068E63F1 -:0414F9000005278340 -:0414FA0000B72023F4 -:0414FB00000176B7BF -:0414FC000007D863AA -:0414FD0002D00713FF -:0414FE0040F007B300 -:0414FF0004E401A35D -:04150000A1868693A7 -:0415010000A007132C -:041502000D80006FE9 -:041503000730079313 -:0415040024F68C63DA -:0415050002D7E263C4 -:0415060006F0079351 -:0415070006F68E63F3 -:04150800070007933E -:04150900F8F698E375 -:04150A000005A783AE -:04150B000207E79359 -:04150C0000F5A02323 -:04150D000140006F2A -:04150E0007500793E8 -:04150F0004F68E63ED -:0415100007800793B6 -:04151100F6F698E36F -:0415120007800793B4 -:04151300000176B7A6 -:0415140004F402A336 -:04151500A2C6869351 -:041516001580006FCD -:04151700000727831F -:0415180004258A9389 -:04151900004786936E -:04151A000007A7839C -:04151B0000D72023B2 -:04151C0004F581232E -:04151D000010079320 -:04151E002200006F38 -:04151F000407F69334 -:041520000005278318 -:0415210000B72023CC -:04152200F60682E364 -:041523000107979392 -:041524004107D79311 -:04152500F59FF06FCF -:041526000004258315 -:04152700000727830F -:041528000805F813A7 -:0415290000478513DF -:04152A00000808634A -:04152B0000A72023D2 -:04152C000007A7838A -:04152D000140006F0A -:04152E000405F59328 -:04152F0000A72023CE -:04153000FE0588E349 -:041531000007D78355 -:0415320006F0059327 -:041533000001773705 -:0415340012B68E63FA -:04153500A1870693F1 -:0415360000A00713F7 -:04153700040401A304 -:0415380000442583C3 -:0415390000B42423B3 -:04153A000005C8637D -:04153B000004250380 -:04153C00FFB575136F -:04153D0000A42023C3 -:04153E0000079663A9 -:04153F0000060A9305 -:0415400002058263BB -:0415410000060A9303 -:0415420002E7F5B314 -:04154300FFFA8A938E -:0415440000B685B3B5 -:041545000005C58355 -:0415460000BA802344 -:0415470002E7D5B32F -:041548000EE7FC634B -:041549000080079384 -:04154A0002F714632D -:04154B0000042783EE -:04154C000017F793FA -:04154D0000078E63A2 -:04154E00004427032B -:04154F0001042783E9 -:0415500000E7C86385 -:0415510003000793F9 -:04155200FEFA8FA36B -:04155300FFFA8A937E -:0415540041560633C3 -:0415550000C4282383 -:0415560000098713EE -:0415570000090693EE -:0415580000C10613B5 -:0415590000040593F2 -:04155A0000048513F1 -:04155B00C39FF0EF4B -:04155C00FFF00A137F -:04155D0013451863B7 -:04155E00FFF0051382 -:04155F0002C1208322 -:0415600002812403DD -:04156100024124839C -:041562000201290356 -:0415630001C1298316 -:0415640001812A03D4 -:0415650001412A8393 -:0415660001012B0351 -:041567000301011368 -:041568000000806798 -:0415690004D582A380 -:04156A00000176B74F -:04156B00A18686933C -:04156C0000042583CF -:04156D00000725034B -:04156E000805F81361 -:04156F0000052783C9 -:04157000004505131A -:041571000208086301 -:0415720000A720238B -:041573000015F71355 -:041574000007066303 -:041575000205E593F3 -:0415760000B420237A -:041577000100071355 -:04157800EE079EE3F9 -:0415790000042583C2 -:04157A00FDF5F593F3 -:04157B0000B4202375 -:04157C00EEDFF06F3F -:04157D000405F81356 -:04157E0000A720237F -:04157F00FC0808E379 -:041580000107979335 -:041581000107D793F4 -:04158200FC5FF06FAB -:04158300A1870693A3 -:0415840000800713C9 -:04158500EC9FF06F78 -:041586000005879342 -:04158700EEDFF06F34 -:041588000005A68331 -:0415890000072783AD -:04158A000145A583EF -:04158B000806F81343 -:04158C00004785137C -:04158D0000080A63E5 -:04158E0000A720236F -:04158F000007A78327 -:0415900000B7A023DD -:041591000180006F66 -:0415920000A720236B -:041593000406F693C1 -:041594000007A78322 -:04159500FE0686E3E5 -:0415960000B79023E7 -:041597000004282301 -:0415980000060A93AC -:04159900EF5FF06FA1 -:04159A00000727839C -:04159B000045A6035E -:04159C0000000593B3 -:04159D0000478693EA -:04159E0000D720232F -:04159F000007AA8314 -:0415A000000A8513A5 -:0415A1000E4000EF09 -:0415A20000050663D7 -:0415A3004155053376 -:0415A40000A422235A -:0415A5000044278354 -:0415A60000F4282302 -:0415A700040401A394 -:0415A800EB9FF06F56 -:0415A9000104268390 -:0415AA00000A86139A -:0415AB00000905939B -:0415AC00000485139F -:0415AD00000980E7CA -:0415AE00ED4500E324 -:0415AF00000427838A -:0415B0000027F79386 -:0415B1000407946334 -:0415B20000C12783CA -:0415B30000C4250348 -:0415B400EAF556E31B -:0415B5000007851393 -:0415B600EA5FF06F89 -:0415B7000010069387 -:0415B800000A86138C -:0415B900000905938D -:0415BA000004851391 -:0415BB00000980E7BC -:0415BC00E96504E3F6 -:0415BD00001A0A13F3 -:0415BE0000C42783BB -:0415BF0000C127033D -:0415C00040E787B3C6 -:0415C100FCFA4CE301 -:0415C200FC1FF06FAB -:0415C30000000A1307 -:0415C40001940A93F1 -:0415C500FFF00B1315 -:0415C600FE1FF06FA5 -:0415C700FF0101130C -:0415C8000081242357 -:0415C9000091222348 -:0415CA000001743771 -:0415CB000005049380 -:0415CC00000585137E -:0415CD0000112623C0 -:0415CE0078042A2350 -:0415CF00168000EF93 -:0415D000FFF007938E -:0415D10000F51863A6 -:0415D20079442783AE -:0415D3000007846326 -:0415D40000F4A0235C -:0415D50000C12083AE -:0415D6000081240369 -:0415D7000041248328 -:0415D80001010113F9 -:0415D9000000806727 -:0415DA000FF5F59381 -:0415DB0000C506330E -:0415DC0000C51663CD -:0415DD0000000513F2 -:0415DE000000806722 -:0415DF000005478339 -:0415E000FEB78CE3E3 -:0415E10000150513D9 -:0415E200FE9FF06F09 -:0415E30004A5FA63FE -:0415E40000C586B305 -:0415E50004D5766350 -:0415E600FFF6459334 -:0415E7000000079366 -:0415E800FFF78793EF -:0415E90000F5946312 -:0415EA000000806716 -:0415EB0000F687334C -:0415EC0000074803A9 -:0415ED0000C7873379 -:0415EE0000E50733DA -:0415EF0001070023CD -:0415F000FE1FF06F7B -:0415F10000F5873347 -:0415F2000007468325 -:0415F30000F50733C5 -:0415F40000178793C2 -:0415F50000D70023F8 -:0415F600FEF616E304 -:0415F7000000806709 -:0415F8000000079355 -:0415F900FF5FF06F31 -:0415FA000000806706 -:0415FB000000806705 -:0415FC0000059663ED -:0415FD00000605934C -:0415FE00B48FF06F47 -:0415FF00FE010113D5 -:0416000000812C2316 -:0416010000112E2383 -:0416020000912A2306 -:041603000121282376 -:041604000131262367 -:0416050000060413C4 -:04160600020616635F -:04160700A18FF0EFD0 -:0416080000000913C2 -:0416090001C1208378 -:04160A000181240333 -:04160B0000090513BA -:04160C0001412483F1 -:04160D0001012903AB -:04160E0000C129836B -:04160F0002010113C0 -:0416100000008067EF -:0416110000058493B9 -:041612000005099333 -:0416130003C000EF21 -:041614000004891332 -:04161500FC8578E3F5 -:041616000004059334 -:04161700000985132E -:04161800AE0FF0EF32 -:0416190000050913AC -:04161A00FA050EE3DC -:04161B0000048593AF -:04161C0000040613AD -:04161D00F9DFE0EF22 -:04161E0000048593AC -:04161F000009851326 -:041620009B4FF0EFFD -:04162100FA1FF06F4D -:04162200FFC5A783D6 -:04162300FFC7851365 -:041624000007D86380 -:0416250000A585B3E4 -:041626000005A78391 -:0416270000F5053392 -:0416280000008067D7 -:04162900FF010113A9 -:04162A0000812423F4 -:04162B00000174370F -:04162C003344278399 -:04162D000121202354 -:04162E00001126235E -:04162F0000912223E1 -:041630000005091395 -:041631003344041327 -:0416320004079A63AC -:04163300000005139B -:04163400000005931A -:041635000000061398 -:041636000000069317 -:041637000000071395 -:041638000D600893A6 -:04163900000000733A -:04163A000005049310 -:04163B0002055663EB -:04163C00084000EF73 -:04163D00409004B322 -:04163E0000952023D0 -:04163F00FFF00513A0 -:0416400000C1208342 -:0416410000812403FD -:0416420000412483BC -:041643000001290376 -:04164400010101138C -:0416450000008067BA -:0416460000A42023B9 -:041647000004250373 -:041648000000059306 -:041649000000061384 -:04164A0000A90533BB -:04164B000000069302 -:04164C000000071380 -:04164D0000000793FF -:04164E000D60089390 -:04164F000000007324 -:0416500000050493FA -:0416510000055A63D3 -:04165200409004B30D -:04165300028000EF22 -:0416540000952023BA -:04165500FFF004930B -:0416560000042783E2 -:04165700FFF0051388 -:0416580000F9093359 -:04165900F9249EE3EF -:04165A0000942023B5 -:04165B0000078513EC -:04165C00F91FF06F13 -:04165D00000177B75A -:04165E002BC7A503EE -:04165F0000008067A0 -:041660000000000086 -:041661000000000085 -:041662000000000084 -:041663000000000083 -:04166400FFFFFFFF86 -:04166500FFFFFFFF85 -:041666000000FFFF82 -:0416670000010494E6 -:041668000001049ADF -:04166900000104A0D8 -:04166A00000104A6D1 -:04166B00000104482E -:04166C00000104680D -:04166D00000104680C -:04166E00000104680B -:04166F00000104680A -:041670000001046809 -:041671000001046808 -:041672000001046C03 -:0416730000010472FC -:041674000001232826 -:04167500000121D47B -:041676000001231A32 -:041677000001231A31 -:04167800000121D478 -:041679000001231E2B -:04167A000001232820 -:04167B00000121D475 -:04167C000001231A2C -:04167D0000015AB856 -:04167E0000015AC845 -:04167F0000015AD438 -:0416800000015AE02B -:0416810000015AEC1E -:0416820000015AF811 -:0416830000015B0403 -:0416840000015B10F6 -:0416850000015B1CE9 -:0416860000015B28DC -:0416870000015B34CF -:0416880074204B4F30 -:041689006E69206FF7 -:04168A00000074697F -:04168B00656D6954CC -:04168C000074756F02 -:04168D00252E75256C -:04168E006D75322E16 -:04168F0000000073E4 -:04169000252E752569 -:041691006D75322E13 -:0416920075252F7318 -:04169300322E252EA0 -:0416940000736D75FD -:041695004353534F19 -:041696007766202033 -:041697007525202E67 -:04169800322E252E9B -:041699000000617577 -:04169A0074696E49B8 -:04169B0072726520E2 -:04169C002020726F29 -:04169D0000006425C0 -:04169E0020202020C8 -:04169F0053204F4E37 -:0416A00000434E595C -:0416A100343130327E -:0416A2003130322D84 -:0416A3006D2020385E -:0416A400737172618B -:0416A5000000000041 -:0416A6002520732563 -:0416A7000063257542 -:0416A800252E752551 -:0416A9006B75322EFD -:0416AA0025207A4835 -:0416AB002E252E7545 -:0416AC007A487532D1 -:0416AD000000000039 -:0416AE007473655498 -:0416AF0074617020D2 -:0416B0006E7265747D +:041327000131262347 +:041328000084849326 +:0413290000C0079366 +:04132A0006F4F8636A +:04132B0000C0049367 +:04132C0006B4E663BA +:04132D00000509139B +:04132E00471000EF75 +:04132F00000177B78B +:0413300046C7A70302 +:0413310046C7869392 +:041332000007041399 +:0413330006041C632D +:041334000001743709 +:041335004704041352 +:041336000004278305 +:0413370000079A63AE +:041338000000059319 +:04133900000905138F +:04133A00375000EF39 +:04133B0000A42023C7 +:04133C000004859391 +:04133D00000905138B +:04133E00365000EF36 +:04133F00FFF009931F +:041340000B351A63EC +:0413410000C007934E +:0413420000F920236B +:041343000009051385 +:0413440041D000EFA5 +:041345000100006F34 +:04134600F804DCE3E8 +:0413470000C0079348 +:0413480000F5202369 +:041349000000051388 +:04134A0001C120833A +:04134B0001812403F5 +:04134C0001412483B4 +:04134D00010129036E +:04134E0000C129832E +:04134F000201011383 +:0413500000008067B2 +:0413510000042783EA +:04135200409787B386 +:041353000407CE635A +:0413540000B00613CC +:0413550000F67A63C1 +:0413560000F420235C +:0413570000F4043367 +:0413580000942023BA +:041359000100006F20 +:04135A0000442783A1 +:04135B0002871A6388 +:04135C0000F6A023D4 +:04135D00000905136B +:04135E003B5000EF11 +:04135F0000B40513BE +:0413600000440793AB +:04136100FF8575137C +:0413620040F5073318 +:04136300F8070EE396 +:0413640000E404336A +:0413650040A787B363 +:0413660000F420234C +:04136700F8DFF06F4C +:0413680000F7222345 +:04136900FD1FF06F05 +:04136A000004071361 +:04136B000044240313 +:04136C00F1DFF06F4E +:04136D000035041330 +:04136E00FFC4741331 +:04136F00FA8502E316 +:0413700040A405B3DD +:041371000009051357 +:04137200295000EF0F +:04137300F9351AE34B +:04137400F35FF06FC4 +:04137500FE01011361 +:041376000121282306 +:041377000085A90341 +:0413780000812C23A1 +:0413790001412423E7 +:04137A0001612023CA +:04137B0000112E230C +:04137C0000912A238F +:04137D0001312623F1 +:04137E0001512223D4 +:04137F0000058413CE +:0413800000060B1345 +:0413810000068A13C5 +:041382000D26EE63E3 +:0413830000C5D78347 +:041384004807F7130C +:041385000C070663E8 +:0413860000042483B8 +:041387000105A58334 +:0413880001442703F2 +:0413890000050A93BE +:04138A0040B489B32F +:04138B000030049397 +:04138C0002E484B340 +:04138D000020071322 +:04138E0002E4C4B3FE +:04138F0000168713AA +:0413900001370733E7 +:0413910000E4F4631D +:0413920000070493B9 +:041393004007F79385 +:041394000C0784635B +:041395000004859338 +:04139600000A8513B1 +:04139700E25FF0EF32 +:041398000005091330 +:0413990004051263D2 +:04139A0000C00793F5 +:04139B0000FAA02391 +:04139C0000C45783AF +:04139D00FFF0051345 +:04139E000407E793C6 +:04139F0000F416231D +:0413A00001C12083E4 +:0413A100018124039F +:0413A200014124835E +:0413A3000101290318 +:0413A40000C12983D8 +:0413A50000812A0396 +:0413A60000412A8355 +:0413A70000012B0313 +:0413A800020101132A +:0413A9000000806759 +:0413AA000104258392 +:0413AB00000986139C +:0413AC00AA1FF0EF95 +:0413AD0000C457839E +:0413AE00B7F7F79303 +:0413AF000807E793B1 +:0413B00000F416230C +:0413B10001242823C8 +:0413B20000942A2356 +:0413B30001390933C0 +:0413B400413484B389 +:0413B50001242023CC +:0413B6000094242358 +:0413B700000A09130C +:0413B800012A74632F +:0413B900000A09130A +:0413BA000004250303 +:0413BB00000906130C +:0413BC00000B05938A +:0413BD001D9000EF90 +:0413BE0000842783FD +:0413BF000000051312 +:0413C000412787B387 +:0413C10000F42423ED +:0413C2000004278379 +:0413C3000127893342 +:0413C40001242023BD +:0413C500F6DFF06FF0 +:0413C6000004861386 +:0413C700000A851380 +:0413C800211000EF01 +:0413C90000050913FF +:0413CA00F8051EE321 +:0413CB000104258371 +:0413CC00000A85137B +:0413CD00C41FF0EF5A +:0413CE00F31FF06FAA +:0413CF0000C5D783FB +:0413D000F401011310 +:0413D1000A912A2330 +:0413D2000B212823A0 +:0413D3000B31262391 +:0413D4000A112E23A9 +:0413D5000A812C233A +:0413D6000B41242380 +:0413D7000B51222371 +:0413D8000B61202362 +:0413D90009712E2345 +:0413DA0009812C2336 +:0413DB0009912A2327 +:0413DC0009A1282318 +:0413DD0009B1262309 +:0413DE000807F79372 +:0413DF000005099369 +:0413E0000005891368 +:0413E100000604936B +:0413E20006078C630B +:0413E3000105A783D6 +:0413E40006079863FD +:0413E5000400059368 +:0413E60000D12623E9 +:0413E700CE5FF0EFF6 +:0413E80000A9202315 +:0413E90000A928230C +:0413EA0000C1268395 +:0413EB00040516637C +:0413EC0000C00793A3 +:0413ED0000F9A02340 +:0413EE00FFF00513F4 +:0413EF000BC120838B +:0413F0000B81240346 +:0413F1000B41248305 +:0413F2000B012903BF +:0413F3000AC129837F +:0413F4000A812A033D +:0413F5000A412A83FC +:0413F6000A012B03BA +:0413F70009C12B837A +:0413F80009812C0338 +:0413F90009412C83F7 +:0413FA0009012D03B5 +:0413FB0008C12D8375 +:0413FC000C010113CC +:0413FD000000806705 +:0413FE00040007934D +:0413FF0000F92A23A4 +:04140000020007934C +:0414010002F10CA345 +:0414020000000AB725 +:041403000300079348 +:0414040002012A2394 +:0414050002F10D23C0 +:0414060000D12E23C0 +:0414070002500C93F0 +:0414080000017B372D +:0414090000017BB7AC +:04140A0000017D3729 +:04140B0000015C3749 +:04140C00000A8A93B5 +:04140D000004841340 +:04140E00000447830C +:04140F0000078463EB +:041410000D979C6335 +:0414110040940DB343 +:04141200020D8663DE +:04141300000D8693AF +:041414000004861337 +:041415000009059332 +:041416000009851331 +:04141700D79FF0EF7C +:04141800FFF0079347 +:0414190024F506634D +:04141A0003412683E1 +:04141B0001B686B3DD +:04141C0002D12A23AC +:04141D0000044783FD +:04141E0022078C63B2 +:04141F00FFF0079340 +:04142000001404931D +:041421000201202381 +:04142200020126237A +:0414230002F122238D +:04142400020124237A +:04142500060101A318 +:0414260006012C236C +:0414270000100D9311 +:041428000004C58374 +:041429000050061356 +:04142A00B44B0513A7 +:04142B007FC000EF8F +:04142C000014841311 +:04142D00020127830E +:04142E000605146338 +:04142F000107F713A7 +:041430000007066348 +:04143100020007139B +:0414320006E101A32B +:041433000087F71324 +:041434000007066344 +:0414350002B00713E7 +:0414360006E101A327 +:041437000004C68364 +:0414380002A00713F4 +:0414390004E68C63D6 +:04143A0002C1278341 +:04143B000004841312 +:04143C000000069313 +:04143D000090061302 +:04143E0000A00513F2 +:04143F00000447035B +:0414400000140593FC +:04144100FD07071389 +:0414420008E67C63D9 +:0414430004068463B4 +:0414440002F1262368 +:041445000400006F30 +:041446000014041377 +:04144700F1DFF06F72 +:04144800B44B071387 +:0414490040E5053342 +:04144A0000AD953329 +:04144B0000A7E7B35C +:04144C0002F1202366 +:04144D000004049300 +:04144E00F69FF06FA6 +:04144F0001C12703AD +:0414500000470693B8 +:041451000007270366 +:0414520000D12E2374 +:0414530004074063E7 +:0414540002E1262368 +:041455000004470345 +:0414560002E0079316 +:0414570008F7166319 +:041458000014470332 +:0414590002A0079353 +:04145A0004F71A6316 +:04145B0001C1278321 +:04145C000024041351 +:04145D0000478713AA +:04145E000007A78359 +:04145F0000E12E2357 +:041460000207CA6352 +:0414610002F122234F +:041462000600006F11 +:0414630040E007332B +:041464000027E793E3 +:0414650002E1262357 +:0414660002F120234C +:04146700FB9FF06F88 +:0414680002A787B39D +:0414690000100693D6 +:04146A0000058413E2 +:04146B0000E787B35C +:04146C00F4DFF06F4A +:04146D00FFF00793F2 +:04146E00FCDFF06F40 +:04146F00001404134E +:041470000201222330 +:0414710000000693DE +:0414720000000793DC +:0414730000900613CC +:0414740000A00513BC +:041475000004470325 +:0414760000140593C6 +:04147700FD07071353 +:0414780008E67263AD +:04147900FA0690E3FC +:04147A0000044583A2 +:04147B000030061324 +:04147C00B4CB851355 +:04147D006B4000EFD1 +:04147E0002050263FE +:04147F00B4CB8793D0 +:0414800040F50533FB +:0414810004000793C9 +:0414820000A797B375 +:04148300020125033A +:041484000014041339 +:0414850000F56533D6 +:0414860002A120237C +:041487000004458395 +:0414880000600613E7 +:04148900B50D051385 +:04148A0000140493B3 +:04148B0002B10C237B +:04148C00678000EF86 +:04148D0008050A63E1 +:04148E00040A986351 +:04148F00020127032C +:0414900001C12783EC +:0414910010077713B6 +:0414920002070863E2 +:0414930000478793F4 +:0414940000F12E2312 +:041495000341278365 +:04149600014787B3D0 +:0414970002F12A2311 +:04149800DD5FF06FB5 +:0414990002A787B36C +:04149A0000100693A5 +:04149B0000058413B1 +:04149C0000E787B32B +:04149D00F61FF06FD7 +:04149E0000778793B9 +:04149F00FF87F79339 +:0414A00000878793A7 +:0414A100FCDFF06F0D +:0414A20001C107136A +:0414A300DD4C069383 +:0414A4000009061322 +:0414A50002010593A8 +:0414A60000098513A1 +:0414A700FFFEB097FD +:0414A800D64080E7C3 +:0414A900FFF00793B6 +:0414AA0000050A131C +:0414AB00FAF514E357 +:0414AC0000C9578399 +:0414AD00FFF0051334 +:0414AE000407F793A5 +:0414AF00D00790E3EF +:0414B00003412503CC +:0414B100CF9FF06F6A +:0414B20001C107135A +:0414B300DD4C069373 +:0414B4000009061312 +:0414B5000201059398 +:0414B6000009851391 +:0414B7001B8000EFA7 +:0414B800FC5FF06F76 +:0414B900FD0101131D +:0414BA0001512A238F +:0414BB000105A783FD +:0414BC0000070A9388 +:0414BD000085A703FC +:0414BE000281242360 +:0414BF000291222351 +:0414C00001312E23A5 +:0414C10001412C2396 +:0414C20002112623CA +:0414C30003212023BE +:0414C4000161282377 +:0414C5000171262368 +:0414C6000005099381 +:0414C7000005841385 +:0414C8000006049383 +:0414C90000068A137C +:0414CA0000E7D46300 +:0414CB00000707937C +:0414CC0000F4A02365 +:0414CD000434470399 +:0414CE0000070663AA +:0414CF0000178793E8 +:0414D00000F4A02361 +:0414D1000004278369 +:0414D2000207F79383 +:0414D3000007886323 +:0414D4000004A783E6 +:0414D50000278793D2 +:0414D60000F4A0235B +:0414D70000042903E1 +:0414D800006979131B +:0414D90000091E6385 +:0414DA0001940B135B +:0414DB00FFF00B9380 +:0414DC0000C427839E +:0414DD000004A7035D +:0414DE0040E787B3A9 +:0414DF0008F9426363 +:0414E000000427835A +:0414E1000434468306 +:0414E2000207F79373 +:0414E30000D036B34C +:0414E4000C079063FE +:0414E50004340613B2 +:0414E600000A059360 +:0414E7000009851360 +:0414E800000A80E78F +:0414E900FFF0079376 +:0414EA0006F5086398 +:0414EB00000427834F +:0414EC0000400613A3 +:0414ED000004A7034D +:0414EE000067F79309 +:0414EF0000C426838C +:0414F0000000049361 +:0414F10000C7986335 +:0414F20040E684B399 +:0414F3000004D463BA +:0414F400000004935D +:0414F50000842783C5 +:0414F60001042703C3 +:0414F70000F7566341 +:0414F80040E787B38F +:0414F90000F484B3C4 +:0414FA0000000913D2 +:0414FB0001A4041331 +:0414FC00FFF00B13DF +:0414FD0009249063CB +:0414FE0000000513D2 +:0414FF000200006F78 +:04150000001006933E +:04150100000B0613C2 +:04150200000A059343 +:041503000009851343 +:04150400000A80E772 +:0415050003751A63ED +:04150600FFF00513DA +:0415070002C120837A +:041508000281240335 +:0415090002412483F4 +:04150A0002012903AE +:04150B0001C129836E +:04150C0001812A032C +:04150D0001412A83EB +:04150E0001012B03A9 +:04150F0000C12B8369 +:0415100003010113BF +:0415110000008067EF +:0415120000190913A0 +:04151300F25FF06F24 +:0415140000D40733C5 +:0415150003000613B6 +:0415160004C701A362 +:04151700045447032E +:04151800001687939F +:0415190000F407B320 +:04151A00002686938E +:04151B0004E781A3BD +:04151C00F25FF06F1B +:04151D000010069321 +:04151E0000040613AC +:04151F00000A059326 +:041520000009851326 +:04152100000A80E755 +:04152200F96508E37C +:04152300001909138F +:04152400F65FF06F0F +:04152500FD010113B0 +:0415260002812423F7 +:0415270002912223E8 +:041528000321202358 +:0415290001312E233B +:04152A000211262361 +:04152B0001412C232B +:04152C0001512A231C +:04152D00016128230D +:04152E000006899397 +:04152F000185C683E9 +:0415300006E0079337 +:041531000006091394 +:041532000005049319 +:041533000005841318 +:0415340004358613E1 +:0415350028F68663AB +:0415360006D7EA6387 +:0415370006300793E0 +:041538000AF68E63BE +:0415390000D7EE6386 +:04153A002A068A6390 +:04153B00058007938D +:04153C001EF68A63AA +:04153D0004240A93E5 +:04153E0004D40123AD +:04153F000B80006FAE +:0415400006400793C7 +:0415410000F68663C7 +:041542000690079375 +:04154300FEF694E339 +:0415440000042783F5 +:041545000007250373 +:041546000807F69309 +:0415470000450593C3 +:0415480008068E63A0 +:0415490000052783EF +:04154A0000B72023A3 +:04154B00000176B76E +:04154C000007D86359 +:04154D0002D00713AE +:04154E0040F007B3AF +:04154F0004E401A30C +:04155000B586869343 +:0415510000A00713DC +:041552000D80006F99 +:0415530007300793C3 +:0415540024F68C638A +:0415550002D7E26374 +:0415560006F0079301 +:0415570006F68E63A3 +:0415580007000793EE +:04155900F8F698E325 +:04155A000005A7835E +:04155B000207E79309 +:04155C0000F5A023D3 +:04155D000140006FDA +:04155E000750079398 +:04155F0004F68E639D +:041560000780079366 +:04156100F6F698E31F +:041562000780079364 +:04156300000176B756 +:0415640004F402A3E6 +:04156500B6C68693ED +:041566001580006F7D +:0415670000072783CF +:0415680004258A9339 +:04156900004786931E +:04156A000007A7834C +:04156B0000D7202362 +:04156C0004F58123DE +:04156D0000100793D0 +:04156E002200006FE8 +:04156F000407F693E4 +:0415700000052783C8 +:0415710000B720237C +:04157200F60682E314 +:041573000107979342 +:041574004107D793C1 +:04157500F59FF06F7F +:0415760000042583C5 +:0415770000072783BF +:041578000805F81357 +:04157900004785138F +:04157A0000080863FA +:04157B0000A7202382 +:04157C000007A7833A +:04157D000140006FBA +:04157E000405F593D8 +:04157F0000A720237E +:04158000FE0588E3F9 +:041581000007D78305 +:0415820006F00593D7 +:0415830000017737B5 +:0415840012B68E63AA +:04158500B58706938D +:0415860000A00713A7 +:04158700040401A3B4 +:041588000044258373 +:0415890000B4242363 +:04158A000005C8632D +:04158B000004250330 +:04158C00FFB575131F +:04158D0000A4202373 +:04158E000007966359 +:04158F0000060A93B5 +:04159000020582636B +:0415910000060A93B3 +:0415920002E7F5B3C4 +:04159300FFFA8A933E +:0415940000B685B365 +:041595000005C58305 +:0415960000BA8023F4 +:0415970002E7D5B3DF +:041598000EE7FC63FB +:041599000080079334 +:04159A0002F71463DD +:04159B00000427839E +:04159C000017F793AA +:04159D0000078E6352 +:04159E0000442703DB +:04159F000104278399 +:0415A00000E7C86335 +:0415A10003000793A9 +:0415A200FEFA8FA31B +:0415A300FFFA8A932E +:0415A4004156063373 +:0415A50000C4282333 +:0415A600000987139E +:0415A700000906939E +:0415A80000C1061365 +:0415A90000040593A2 +:0415AA0000048513A1 +:0415AB00C39FF0EFFB +:0415AC00FFF00A132F +:0415AD001345186367 +:0415AE00FFF0051332 +:0415AF0002C12083D2 +:0415B000028124038D +:0415B100024124834C +:0415B2000201290306 +:0415B30001C12983C6 +:0415B40001812A0384 +:0415B50001412A8343 +:0415B60001012B0301 +:0415B7000301011318 +:0415B8000000806748 +:0415B90004D582A330 +:0415BA00000176B7FF +:0415BB00B5868693D8 +:0415BC00000425837F +:0415BD0000072503FB +:0415BE000805F81311 +:0415BF000005278379 +:0415C00000450513CA +:0415C10002080863B1 +:0415C20000A720233B +:0415C3000015F71305 +:0415C40000070663B3 +:0415C5000205E593A3 +:0415C60000B420232A +:0415C7000100071305 +:0415C800EE079EE3A9 +:0415C9000004258372 +:0415CA00FDF5F593A3 +:0415CB0000B4202325 +:0415CC00EEDFF06FEF +:0415CD000405F81306 +:0415CE0000A720232F +:0415CF00FC0808E329 +:0415D00001079793E5 +:0415D1000107D793A4 +:0415D200FC5FF06F5B +:0415D300B58706933F +:0415D4000080071379 +:0415D500EC9FF06F28 +:0415D60000058793F2 +:0415D700EEDFF06FE4 +:0415D8000005A683E1 +:0415D900000727835D +:0415DA000145A5839F +:0415DB000806F813F3 +:0415DC00004785132C +:0415DD0000080A6395 +:0415DE0000A720231F +:0415DF000007A783D7 +:0415E00000B7A0238D +:0415E1000180006F16 +:0415E20000A720231B +:0415E3000406F69371 +:0415E4000007A783D2 +:0415E500FE0686E395 +:0415E60000B7902397 +:0415E70000042823B1 +:0415E80000060A935C +:0415E900EF5FF06F51 +:0415EA00000727834C +:0415EB000045A6030E +:0415EC000000059363 +:0415ED00004786939A +:0415EE0000D72023DF +:0415EF000007AA83C4 +:0415F000000A851355 +:0415F1000E4000EFB9 +:0415F2000005066387 +:0415F3004155053326 +:0415F40000A422230A +:0415F5000044278304 +:0415F60000F42823B2 +:0415F700040401A344 +:0415F800EB9FF06F06 +:0415F9000104268340 +:0415FA00000A86134A +:0415FB00000905934B +:0415FC00000485134F +:0415FD00000980E77A +:0415FE00ED4500E3D4 +:0415FF00000427833A +:041600000027F79335 +:0416010004079463E3 +:0416020000C1278379 +:0416030000C42503F7 +:04160400EAF556E3CA +:041605000007851342 +:04160600EA5FF06F38 +:041607000010069336 +:04160800000A86133B +:04160900000905933C +:04160A000004851340 +:04160B00000980E76B +:04160C00E96504E3A5 +:04160D00001A0A13A2 +:04160E0000C427836A +:04160F0000C12703EC +:0416100040E787B375 +:04161100FCFA4CE3B0 +:04161200FC1FF06F5A +:0416130000000A13B6 +:0416140001940A93A0 +:04161500FFF00B13C4 +:04161600FE1FF06F54 +:04161700FF010113BB +:041618000081242306 +:0416190000912223F7 +:04161A000001843710 +:04161B00000504932F +:04161C00000585132D +:04161D00001126236F +:04161E008C042A23EB +:04161F00168000EF42 +:04162000FFF007933D +:0416210000F5186355 +:041622008D44278349 +:0416230000078463D5 +:0416240000F4A0230B +:0416250000C120835D +:041626000081240318 +:0416270000412483D7 +:0416280001010113A8 +:0416290000008067D6 +:04162A000FF5F59330 +:04162B0000C50633BD +:04162C0000C516637C +:04162D0000000513A1 +:04162E0000008067D1 +:04162F0000054783E8 +:04163000FEB78CE392 +:041631000015051388 +:04163200FE9FF06FB8 +:0416330004A5FA63AD +:0416340000C586B3B4 +:0416350004D57663FF +:04163600FFF64593E3 +:041637000000079315 +:04163800FFF787939E +:0416390000F59463C1 +:04163A0000008067C5 +:04163B0000F68733FB +:04163C000007480358 +:04163D0000C7873328 +:04163E0000E5073389 +:04163F00010700237C +:04164000FE1FF06F2A +:0416410000F58733F6 +:0416420000074683D4 +:0416430000F5073374 +:041644000017879371 +:0416450000D70023A7 +:04164600FEF616E3B3 +:0416470000008067B8 +:041648000000079304 +:04164900FF5FF06FE0 +:04164A0000008067B5 +:04164B0000008067B4 +:04164C00000596639C +:04164D0000060593FB +:04164E00B48FF06FF6 +:04164F00FE01011384 +:0416500000812C23C6 +:0416510000112E2333 +:0416520000912A23B6 +:041653000121282326 +:041654000131262317 +:041655000006041374 +:04165600020616630F +:04165700A18FF0EF80 +:041658000000091372 +:0416590001C1208328 +:04165A0001812403E3 +:04165B00000905136A +:04165C0001412483A1 +:04165D00010129035B +:04165E0000C129831B +:04165F000201011370 +:04166000000080679F +:041661000005849369 +:0416620000050993E3 +:0416630003C000EFD1 +:0416640000048913E2 +:04166500FC8578E3A5 +:0416660000040593E4 +:0416670000098513DE +:04166800AE0FF0EFE2 +:04166900000509135C +:04166A00FA050EE38C +:04166B00000485935F +:04166C00000406135D +:04166D00F9DFE0EFD2 +:04166E00000485935C +:04166F0000098513D6 +:041670009B4FF0EFAD +:04167100FA1FF06FFD +:04167200FFC5A78386 +:04167300FFC7851315 +:041674000007D86330 +:0416750000A585B394 +:041676000005A78341 +:0416770000F5053342 +:041678000000806787 +:04167900FF01011359 +:04167A0000812423A4 +:04167B0000017437BF +:04167C004744278335 +:04167D000121202304 +:04167E00001126230E +:04167F000091222391 +:041680000005091345 +:0416810047440413C3 +:0416820004079A635C +:04168300000005134B +:0416840000000593CA +:041685000000061348 +:0416860000000693C7 +:041687000000071345 +:041688000D60089356 +:0416890000000073EA +:04168A0000050493C0 +:04168B00020556639B +:04168C00084000EF23 +:04168D00409004B3D2 +:04168E000095202380 +:04168F00FFF0051350 +:0416900000C12083F2 +:0416910000812403AD +:04169200004124836C +:041693000001290326 +:04169400010101133C +:04169500000080676A +:0416960000A4202369 +:041697000004250323 +:0416980000000593B6 +:041699000000061334 +:04169A0000A905336B +:04169B0000000693B2 +:04169C000000071330 +:04169D0000000793AF +:04169E000D60089340 +:04169F0000000073D4 +:0416A00000050493AA +:0416A10000055A6383 +:0416A200409004B3BD +:0416A300028000EFD2 +:0416A400009520236A +:0416A500FFF00493BB +:0416A6000004278392 +:0416A700FFF0051338 +:0416A80000F9093309 +:0416A900F9249EE39F +:0416AA000094202365 +:0416AB00000785139C +:0416AC00F91FF06FC3 +:0416AD00000177B70A +:0416AE003FC7A5038A +:0416AF000000806750 +:0416B0000000000036 :0416B1000000000035 -:0416B2003A31564132 -:0416B3004247522038 -:0416B40000000053DF -:0416B5003A3156412F -:0416B6007347522004 -:0416B70000000042ED -:0416B8003A3156412C -:0416B9006250592002 -:0416BA00000072506A -:0416BB003A32564128 -:0416BC0062505920FF -:0416BD000000725067 -:0416BE003A32564125 -:0416BF0073475220FB -:0416C00000000042E4 -:0416C1003A33564121 -:0416C2004247522029 -:0416C3000000564885 -:0416C4003A3356411E -:0416C5004247522026 -:0416C60000000053CD -:0416C7003A3356411B -:0416C80073475220F2 -:0416C90000000042DB -:0416CA003A33564118 -:0416CB0062505920F0 -:0416CC000000725058 -:0416CD007473614C85 -:0416CE0065737520AB -:0416CF0000000064B3 -:0416D0000000000016 -:0416D1000000000015 -:0416D2000000000113 -:0416D3000001010110 -:0416D4000000000111 -:0416D5000000000011 -:0416D60000000110FF -:0416D7000044060BBA -:0416D800000001000D -:0416D900000100000C -:0416DA008080800C80 -:0416DB00081A1A1AB5 -:0416DC000000000A00 -:0416DD000001296679 -:0416DE000001267A67 -:0416DF00000126825E -:0416E000000125CA16 -:0416E1000001267E60 -:0416E2000001268657 -:0416E3000001267666 -:0416E400000126B427 -:0416E5000001268A50 -:0416E6000001268E4B -:0416E7000001269246 -:0416E800000126B423 -:0416E900000126B422 -:0416EA00000126B421 -:0416EB00000126B420 -:0416EC00000126B41F -:0416ED00000126B41E -:0416EE00000126BE13 -:0416EF00000127507F -:0416F000000127606E -:0416F1000001277A53 -:0416F200000127B814 -:0416F3000001279C2F -:0416F400000127CEFC -:0416F500000128AC1C -:0416F600000128CEF9 -:0416F700000128E4E2 -:0416F800666F725057 -:0416F9002075252E05 -:0416FA00007339251B -:0416FB00756C3425B1 -:0416FC0063256325DA -:0416FD006C25202018 -:0416FE000000007573 -:0416FF00656E694C5F -:04170000746C756D23 -:04170100646F6D2084 -:0417020000003A6544 -:041703007365727028 -:041704002D312073F0 -:0417050000000035AB -:0417060020787525AD -:0417070075736E7513 -:04170800726F70701C -:04170900006465749F -:04170A00666F725044 -:04170B0020656C6980 -:04170C0064616F6C39 -:04170D000000003A9E -:04170E00736572701D -:04170F002D302073E6 -:04171000000000399C -:0417110000015D086E -:0417120000015D0C69 -:0417130000015D1064 -:0417140000015D145F -:0417150000015C145F -:0417160000015D1859 -:0417170000015D1C54 -:0417180000015D204F -:0417190000015C402F -:04171A000001659CC9 -:04171B0000015D2448 -:04171C0000015D2C3F -:04171D0000015D303A -:04171E0000015D3831 -:04171F0000015D3C2C -:0417200000015D4423 -:0417210000015D4C1A -:0417220000015D5411 -:0417230000015D5C08 -:0417240000015D6CF7 -:0417250000015D7CE6 -:0417260000015D8CD5 -:0417270000015D9CC4 -:0417280000015DACB3 -:0417290000015DBCA2 -:04172A0000015DC499 -:04172B0000015DCC90 -:04172C003EA93E296B -:04172D003EE93E69EA -:04172E003E993E1989 -:04172F003ED93E5908 -:041730003EC93E3937 -:041731003E1D3E4DCE -:041732003E2D3EED1D -:041733003EAD3ECDBC -:041734003E653E6D63 -:041735001C483E010D -:041736001C501C180F -:041737001CC81CD0DE -:041738005ED85E58C1 -:0417390000003EB9B5 -:04173A007365725011 -:04173B000000007337 -:04173C00666E6F4323 -:04173D00006D726960 -:04173E006D73694D11 -:04173F006863746106 -:041740006572202C82 -:041741000079727445 -:041742000000003172 -:041743000000003270 -:04174400000000336E -:04174500000000346C -:041746000000003669 -:041747000000003767 -:041748000000003865 -:04174900554E454D67 -:04174A00000000009B -:04174B0000004B4F00 -:04174C004B43414288 -:04174D000000000098 -:04174E0000005055F2 -:04174F004E574F445E -:041750000000000095 -:041751005446454C69 -:041752000000000093 -:041753004847495268 -:04175400000000543D -:041755004F464E4964 -:04175600000000008F -:041757005F44434C5C -:041758004B4341427C -:041759004847494C68 -:04175A000000005437 -:04175B004E41435365 -:04175C00454E494C61 -:04175D00444F4D5F49 -:04175E000000004542 -:04175F004E41435361 -:04176000454E494C5D -:041761005059545F28 -:04176200000000453E -:041763004E4143535D -:04176400454E494C59 -:04176500544E495F36 -:041766000000002B54 -:041767004E41435359 -:04176800454E494C55 -:04176900544E495F32 -:04176A000000002D4E -:04176B00454E494C52 -:04176C00544C554D37 -:04176D00444F4D5F39 -:04176E000000004532 -:04176F00534148504A -:0417700000002B4505 -:041771005341485048 -:0417720000002D4501 -:04177300464F52503B -:041774005F454C4938 -:041775004B544F483A -:0417760000005945D1 -:041777006F727245D6 -:0417780000000072FB -:0417790053206F4E3C -:04177A006163204443 -:04177B006420647210 -:04177C00002E746562 -:04177D0061766E49DA -:04177E002064696C0E -:04177F0064616568D4 -:04178000000072658E -:0417810061766E49D6 -:041782002064696C0A -:041783002072646804 -:041784000043524389 -:0417850061766E49D2 -:041786002064696C06 -:0417870061746164C4 -:041788004352432065 -:04178900000000005C -:04178A0061647055D1 -:04178B0063206574FE -:04178C0065636E61C2 -:04178D0064656C6CB7 -:04178E000000000057 -:04178F0073616C46D0 -:0417900065722068F6 -:04179100652064610A -:04179200000072726F -:0417930073616C46CC -:0417940072652068F2 -:0417950020657361F7 -:041796000072726506 -:0417970073616C46C8 -:0417980072772068DC -:0417990020657469EA -:04179A000072726502 -:04179B0073616C46C4 -:04179C0065762068E6 -:04179D0020666972E7 -:04179E006C696166AB -:04179F000000000046 -:0417A00061766E49B7 -:0417A1002064696CEB -:0417A20067616D69A5 -:0417A30000000065DD -:0417A4004353534F09 -:0417A5000000000040 -:0417A600696C6156B3 -:0417A700697461649C -:0417A8006420676EE4 -:0417A9000061746106 -:0417AA00622075251F -:0417AB007365747975 -:0417AC000000000039 -:0417AD00252E75254B -:0417AE002575322E3D -:0417AF00007325732B -:0417B00061647055AB -:0417B100676E697482 -:0417B2000057462076 -:0417B30061656C7090 -:0417B40077206573C2 -:0417B5002E746961C4 -:0417B60000002E2ED3 -:0417B7006972655698 -:0417B8006E69796677 -:0417B9006C662067D3 -:0417BA0000687361EF -:0417BB0061656C7088 -:0417BC0072206573BF -:0417BD00617473657B -:0417BE000000747241 -:0417BF007274655289 -:0417C000676E69796E -:0417C10064707520BB -:0417C20000657461E9 -:0417C3006164705598 -:0417C400203F6574E9 -:0417C5002C593D312D -:0417C6004E3D322042 -:0417C700000000001E -:0417C8006D7269468F -:0417C900657261776D -:0417CA0064707520B2 -:0417CB00646574617C -:0417CC000000000019 -:0417CD0000013012D5 -:0417CE0000012FF0F7 -:0417CF0000012FCA1C -:0417D00000012FE203 -:0417D100000130C221 -:0417D200000130C220 -:0417D300000131766A -:0417D400000131A03F -:0417D500000131AC32 -:0417D600000131ECF1 -:0417D700000131C01C -:0417D800000130D606 -:0417D900000130D605 -:0417DA000001311ABF -:0417DB000001314692 -:0417DC000001314691 -:0417DD0064206425FB -:0417DE0000000042C5 -:0417DF00656E6F4480 -:0417E0000000000005 -:0417E1006C69614688 -:0417E200000064653A -:0417E300000073256A -:0417E400252E752514 -:0417E5002075322E0B -:0417E6000000737517 -:0417E7006C207525D8 -:0417E80073656E694E -:0417E90000000000FC -:0417EA006C206F4EB2 -:0417EB00006B6E69B8 -:0417EC000001735B2A -:0417ED0000000002F6 -:0417EE0000012EFECA -:0417EF00000164741D -:0417F0000001648010 -:0417F1000001648807 -:0417F20070207525C9 -:0417F3006C65786940 -:0417F400000000737E -:0417F5000001735D1F -:0417F60000000009E6 -:0417F70000012DB010 -:0417F80064206425E0 -:0417F9000000676520 -:0417FA002525752507 -:0417FB0000000000EA -:0417FC006564695661 -:0417FD006E69206F82 -:0417FE006F72702076 -:0417FF003E20206305 -:0418000000000000E4 -:04180100706D615352 -:04180200676E696C38 -:0418030074706F206E -:041804003E20202E34 -:0418050000000000DF -:04180600636E795341 -:0418070074706F206A -:041808002020202E4E -:041809003E2020203D -:04180A0000000000DA -:04180B007074754F31 -:04180C006F20747560 -:04180D00202E7470A5 -:04180E003E20202038 -:04180F0000000000D5 -:0418100074736F502E -:041811006F72702D55 -:0418120020202E6301 -:041813003E20202033 -:0418140000000000D0 -:04181500706D6F4340 -:04181600626974612E -:0418170074696C691B -:041818003E202079D5 -:0418190000000000CB -:04181A006964754147 -:04181B00706F206F5B -:04181C006E6F69740E -:04181D003E202073D6 -:04181E0000000000C6 -:04181F007474655325 -:0418200073676E6913 -:0418210074706F2050 -:041822003E20202024 -:0418230000000000C1 -:04182400616F4C3C68 -:041825007270206459 -:041826006C69666F14 -:04182700003E2065FA -:041828007661533C56 -:041829007270206554 -:04182A006C69666F10 -:04182B00003E2065F6 -:04182C007365523C52 -:04182D00732074654B -:04182E006974746500 -:04182F003E73676E2F +:0416B2000000000034 +:0416B3000000000033 +:0416B400FFFFFFFF36 +:0416B500FFFFFFFF35 +:0416B6000000FFFF32 +:0416B7000001049496 +:0416B8000001049A8F +:0416B900000104A088 +:0416BA00000104A681 +:0416BB0000010448DE +:0416BC0000010468BD +:0416BD0000010468BC +:0416BE0000010468BB +:0416BF0000010468BA +:0416C00000010468B9 +:0416C10000010468B8 +:0416C2000001046CB3 +:0416C30000010472AC +:0416C400000123649A +:0416C50000012210EE +:0416C60000012356A6 +:0416C70000012356A5 +:0416C80000012210EB +:0416C9000001235A9F +:0416CA000001236494 +:0416CB0000012210E8 +:0416CC0000012356A0 +:0416CD0000015BF8C5 +:0416CE0000015C08B3 +:0416CF0000015C14A6 +:0416D00000015C2099 +:0416D10000015C2C8C +:0416D20000015C387F +:0416D30000015C4472 +:0416D40000015C5065 +:0416D50000015C5C58 +:0416D60000015C684B +:0416D70000015C743E +:0416D80074204B4FE0 +:0416D9006E69206FA7 +:0416DA00000074692F +:0416DB00656D69547C +:0416DC000074756FB2 +:0416DD00252E75251C +:0416DE006D75322EC6 +:0416DF000000007394 +:0416E000252E752519 +:0416E1006D75322EC3 +:0416E20075252F73C8 +:0416E300322E252E50 +:0416E40000736D75AD +:0416E5004353534FC9 +:0416E60077662020E3 +:0416E7007525202E17 +:0416E800322E252E4B +:0416E9000000617527 +:0416EA0074696E4968 +:0416EB007272652092 +:0416EC002020726FD9 +:0416ED000000642570 +:0416EE002020202078 +:0416EF0053204F4EE7 +:0416F00000434E590C +:0416F100343130322E +:0416F2003130322D34 +:0416F3006D2020380E +:0416F400737172613B +:0416F50000000000F1 +:0416F6002520732513 +:0416F70000632575F2 +:0416F800252E752501 +:0416F9006B75322EAD +:0416FA0025207A48E5 +:0416FB002E252E75F5 +:0416FC007A48753281 +:0416FD0000000000E9 +:0416FE007473655448 +:0416FF007461702082 +:041700006E7265742C +:0417010000000000E4 +:041702003A315641E1 +:0417030042475220E7 +:04170400000000538E +:041705003A315641DE +:0417060073475220B3 +:04170700000000429C +:041708003A315641DB +:0417090062505920B1 +:04170A000000725019 +:04170B003A325641D7 +:04170C0062505920AE +:04170D000000725016 +:04170E003A325641D4 +:04170F0073475220AA +:041710000000004293 +:041711003A335641D0 +:0417120042475220D8 +:041713000000564834 +:041714003A335641CD +:0417150042475220D5 +:04171600000000537C +:041717003A335641CA +:0417180073475220A1 +:04171900000000428A +:04171A003A335641C7 +:04171B00625059209F +:04171C000000725007 +:04171D007473614C34 +:04171E00657375205A +:04171F000000006462 +:0417200000000000C5 +:0417210000000000C4 +:0417220000000001C2 +:0417230000010101BF +:0417240000000001C0 +:0417250000000000C0 +:0417260000000110AE +:041727000044060B69 +:0417280000000100BC +:0417290000010000BB +:04172A008080800C2F +:04172B00081A1A1A64 +:04172C000000000AAF +:04172D00000129A2EC +:04172E00000126B6DA +:04172F00000126BED1 +:041730000001260688 +:04173100000126BAD3 +:04173200000126C2CA +:04173300000126B2D9 +:04173400000126F09A +:04173500000126C6C3 +:04173600000126CABE +:04173700000126CEB9 +:04173800000126F096 +:04173900000126F095 +:04173A00000126F094 +:04173B00000126F093 +:04173C00000126F092 +:04173D00000126F091 +:04173E00000126FA86 +:04173F000001278CF2 +:041740000001279CE1 +:04174100000127B6C6 +:04174200000127F487 +:04174300000127D8A2 +:041744000001280A6E +:04174500000128E88F +:041746000001290A6B +:041747000001292054 +:04174800666F725006 +:041749002075252EB4 +:04174A0000733925CA +:04174B00756C342560 +:04174C006325632589 +:04174D006C252020C7 +:04174E000000007522 +:04174F00656E694C0E +:04175000746C756DD3 +:04175100646F6D2034 +:0417520000003A65F4 +:0417530073657270D8 +:041754002D312073A0 +:04175500000000355B +:04175600207875255D +:0417570075736E75C3 +:04175800726F7070CC +:04175900006465744F +:04175A00666F7250F4 +:04175B0020656C6930 +:04175C0064616F6CE9 +:04175D000000003A4E +:04175E0073657270CD +:04175F002D30207396 +:04176000000000394C +:0417610000015E48DD +:0417620000015E4CD8 +:0417630000015E50D3 +:0417640000015E54CE +:0417650000015D54CE +:0417660000015E58C8 +:0417670000015E5CC3 +:0417680000015E60BE +:0417690000015D809E +:04176A00000166DC38 +:04176B0000015E64B7 +:04176C0000015E6CAE +:04176D0000015E70A9 +:04176E0000015E78A0 +:04176F0000015E7C9B +:0417700000015E8492 +:0417710000015E8C89 +:0417720000015E9480 +:0417730000015E9C77 +:0417740000015EAC66 +:0417750000015EBC55 +:0417760000015ECC44 +:0417770000015EDC33 +:0417780000015EEC22 +:0417790000015EFC11 +:04177A0000015F0407 +:04177B0000015F0CFE +:04177C003EA93E291B +:04177D003EE93E699A +:04177E003E993E1939 +:04177F003ED93E59B8 +:041780003EC93E39E7 +:041781003E1D3E4D7E +:041782003E2D3EEDCD +:041783003EAD3ECD6C +:041784003E653E6D13 +:041785001C483E01BD +:041786001C501C18BF +:041787001CC81CD08E +:041788005ED85E5871 +:0417890000003EB965 +:04178A0073657250C1 +:04178B0000000073E7 +:04178C00666E6F43D3 +:04178D00006D726910 +:04178E006D73694DC1 +:04178F0068637461B6 +:041790006572202C32 +:0417910000797274F5 +:041792000000003122 +:041793000000003220 +:04179400000000331E +:04179500000000341C +:041796000000003619 +:041797000000003717 +:041798000000003815 +:04179900554E454D17 +:04179A00000000004B +:04179B0000004B4FB0 +:04179C004B43414238 +:04179D000000000048 +:04179E0000005055A2 +:04179F004E574F440E +:0417A0000000000045 +:0417A1005446454C19 +:0417A2000000000043 +:0417A3004847495218 +:0417A40000000054ED +:0417A5004F464E4914 +:0417A600000000003F +:0417A7005F44434C0C +:0417A8004B4341422C +:0417A9004847494C18 +:0417AA0000000054E7 +:0417AB004E41435315 +:0417AC00454E494C11 +:0417AD00444F4D5FF9 +:0417AE0000000045F2 +:0417AF004E41435311 +:0417B000454E494C0D +:0417B1005059545FD8 +:0417B20000000045EE +:0417B3004E4143530D +:0417B400454E494C09 +:0417B500544E495FE6 +:0417B6000000002B04 +:0417B7004E41435309 +:0417B800454E494C05 +:0417B900544E495FE2 +:0417BA000000002DFE +:0417BB00454E494C02 +:0417BC00544C554DE7 +:0417BD00444F4D5FE9 +:0417BE0000000045E2 +:0417BF0053414850FA +:0417C00000002B45B5 +:0417C10053414850F8 +:0417C20000002D45B1 +:0417C300464F5250EB +:0417C4005F454C49E8 +:0417C5004B544F48EA +:0417C6000000594581 +:0417C7006F72724586 +:0417C80000000072AB +:0417C90053206F4EEC +:0417CA0061632044F3 +:0417CB0064206472C0 +:0417CC00002E746512 +:0417CD0061766E498A +:0417CE002064696CBE +:0417CF006461656884 +:0417D000000072653E +:0417D10061766E4986 +:0417D2002064696CBA +:0417D30020726468B4 +:0417D4000043524339 +:0417D50061766E4982 +:0417D6002064696CB6 +:0417D7006174616474 +:0417D8004352432015 +:0417D900000000000C +:0417DA006164705581 +:0417DB0063206574AE +:0417DC0065636E6172 +:0417DD0064656C6C67 +:0417DE000000000007 +:0417DF0073616C4680 +:0417E00065722068A6 +:0417E10065206461BA +:0417E200000072721F +:0417E30073616C467C +:0417E40072652068A2 +:0417E50020657361A7 +:0417E60000727265B6 +:0417E70073616C4678 +:0417E800727720688C +:0417E900206574699A +:0417EA0000727265B2 +:0417EB0073616C4674 +:0417EC006576206896 +:0417ED002066697297 +:0417EE006C6961665B +:0417EF0000000000F6 +:0417F00061766E4967 +:0417F1002064696C9B +:0417F20067616D6955 +:0417F300000000658D +:0417F4004353534FB9 +:0417F50000000000F0 +:0417F600696C615663 +:0417F700697461644C +:0417F8006420676E94 +:0417F90000617461B6 +:0417FA0062207525CF +:0417FB007365747925 +:0417FC0000000000E9 +:0417FD00252E7525FB +:0417FE002575322EED +:0417FF0000732573DB +:04180000616470555A +:04180100676E697431 +:041802000057462025 +:0418030061656C703F +:041804007720657371 +:041805002E74696173 +:0418060000002E2E82 +:041807006972655647 +:041808006E69796626 +:041809006C66206782 +:04180A00006873619E +:04180B0061656C7037 +:04180C00722065736E +:04180D00617473652A +:04180E0000007472F0 +:04180F007274655238 +:04181000676E69791D +:04181100647075206A +:041812000065746198 +:041813006164705547 +:04181400203F657498 +:041815002C593D31DC +:041816004E3D3220F1 +:0418170000000000CD +:041818006D7269463E +:04181900657261771C +:04181A006470752061 +:04181B00646574612B +:04181C0000000000C8 +:04181D000001308214 +:04181E000001306035 +:04181F000001303A5A +:041820000001305241 +:04182100000131325F +:04182200000131325E +:04182300000131E6A9 +:04182400000132107D +:041825000001321C70 +:041826000001325C2F +:04182700000132305A +:041828000001314644 +:041829000001314643 +:04182A000001318AFE +:04182B00000131B6D1 +:04182C00000131B6D0 +:04182D0064206425AA +:04182E000000004274 +:04182F00656E6F442F :0418300000000000B4 -:041831006B6E694C25 -:041832006F72702041 -:04183300693E2D6677 -:041834007475706EE9 -:0418350000000000AF -:041836006B6E694C20 -:04183700706E692046 -:041838003E2D747558 -:04183900666F7270F4 -:04183A0000000000AA -:04183B0074696E4915 -:04183C00206C616952 -:04183D0075706E69EB -:04183E000000007432 -:04183F002044434CB2 -:0418400074204C4282 -:041841006F656D69F9 -:0418420000007475B9 -:041843002E77463C7A -:041844006470752037 -:041845002065746145 -:041846003E20202000 -:04184700000000009D -:041848006E776F4404 -:041849006D61732D2D -:04184A006E696C70E7 -:04184B000000006732 -:04184C0070617753FD -:04184D0066656C2040 -:04184E0069722F7418 -:04184F000074686752 -:041850002D65725040 -:0418510020434441AB -:041852006E696167F3 -:041853000000000091 -:041854006C6C7546FD -:0418550020585420A3 -:0418560075746573CD -:04185700000000701D -:0418580020335641A2 -:0418590065746E69DB -:04185A0063616C72E8 -:04185B0078696665DD -:04185C000000000088 -:04185D006E61635302 -:04185E00656E696CDE -:04185F000000007312 -:041860006E616353FF -:04186100656E696CDB -:041862007274732009 -:041863000000002E53 -:04186400202E6C5373 -:0418650072627968CA -:04186600732064691E -:04186700002E727469 -:041868006E616353F7 -:04186900656E696CD3 -:04186A0074656D2014 -:04186B0000646F683E -:04186C006E616353F3 -:04186D00656E696CCF -:04186E0070797420F9 -:04186F000000006510 -:041870006E616353EF -:04187100656E696CCB -:04187200696C61201C -:041873002E6D6E6701 -:041874000000000070 -:0418750069726F48DD -:04187600746E6F7AA3 -:041877006D206C6113 -:04187800006B73612D -:0418790074726556CA -:04187A006C616369D1 -:04187B0073616D2008 -:04187C000000006BFD -:04187D006B73614DDB -:04187E006972622009 -:04187F006E746867B4 -:041880000073736519 -:0418810065766552D1 -:0418820020657372F8 -:041883000046504C7F -:041884005949443C3E -:0418850074616C20FE -:041886006574202E37 -:04188700003E747338 -:041888007030343256 -:041889003838322F8A -:04188A0072702070E8 -:04188B000000636F87 -:04188C007034383349 -:04188D006F727020E6 -:04188E0000000063F3 -:04188F006930383450 -:041890003637352F83 -:0418910072702069E8 -:041892000000636F80 -:041893007030383445 -:041894003637352F7F -:0418950072702070DD -:041896000000636F7C -:041897006930363945 -:041898003830312F84 -:041899007020693022 -:04189A0000636F7206 -:04189B00656E694CC1 -:04189C006D20783211 -:04189D000065646F0F -:04189E00656E694CBE -:04189F006D2078330D -:0418A0000065646F0C -:0418A100656E694CBB -:0418A2006D20783409 -:0418A3000065646F09 -:0418A400656E694CB8 -:0418A5006D20783505 -:0418A6000065646F06 -:0418A700656E694CB5 -:0418A8006620783509 -:0418A900616D726F8C -:0418AA0000000074C6 -:0418AB007836353224 -:0418AC002030343282 -:0418AD00657073618E -:0418AE00000074635F -:0418AF006D205854FC -:0418B0000065646FFC -:0418B100494D444811 -:0418B2004354492032 -:0418B3000000000031 -:0418B4006C616E41B4 -:0418B5007320676FC6 -:0418B60020636E79C4 -:0418B7000046504C4B -:0418B8006C616E41B0 -:0418B9007320676FC2 -:0418BA0020636E79C0 -:0418BB0000687456F7 -:0418BC006E79734886 -:0418BD006F742063C1 -:0418BE006172656C82 -:0418BF000065636EEF -:0418C0006E79735674 -:0418C10068742063C4 -:0418C2006873657270 -:0418C30000646C6FE2 -:0418C4004C502D480F -:0418C5007250204CF1 -:0418C6006F432D65DA -:0418C70000747361D5 -:0418C8004C502D480B -:0418C9006F50204CF0 -:0418CA00432D7473C3 -:0418CB007473616F62 -:0418CC000000000018 -:0418CD00706D615386 -:0418CE00676E696C6C -:0418CF0061687020BC -:0418D000000065733C -:0418D1007030383407 -:0418D200206E6920FB -:0418D300706D617360 -:0418D4000072656CCD -:0418D5006F6C6C4187 -:0418D60056542077CD -:0418D7005048205005 -:0418D80078324C4CCA -:0418D900000000000B -:0418DA006F6C6C4182 -:0418DB00707520778D -:0418DC00706D617357 -:0418DD007832656C8C -:0418DE000000000006 -:0418DF007664413CAE -:0418E0006974202ED9 -:0418E100676E696D58 -:0418E2003E20202064 -:0418E3000000000001 -:0418E4006564695678 -:0418E500504C206FD4 -:0418E60000000046B8 -:0418E70050625059A2 -:0418E8006E69207293 -:0418E9006C6F4320BD -:0418EA0000617053D6 -:0418EB0072502F52B6 -:0418EC0066666F209D -:0418ED0000746573AB -:0418EE0020592F4707 -:0418EF007366666F47 -:0418F000000074651B -:0418F10062502F42D0 -:0418F20066666F2097 -:0418F30000746573A5 -:0418F40072502F52AD -:0418F500696167209E -:0418F6000000006E80 -:0418F70020592F47FE -:0418F8006E6961674D -:0418F90000000000EB -:0418FA0062502F42C7 -:0418FB006961672098 -:0418FC000000006E7A -:0418FD002D65725093 -:0418FE0020434441FE -:0418FF006E69614766 -:0419000000000000E3 -:0419010073202E48D9 -:041902006C706D6137 -:041903007461726534 -:04190400000000657A -:0419050073202E48D5 -:041906006C636E7927 -:0419070000006E6509 -:0419080062202E48E3 -:04190900706B63613B -:04190A006863726F2D -:04190B0000000000D8 -:04190C0061202E48E0 -:04190D007669746320 -:04190E000000006570 -:04190F0073202E56BD -:041910006C636E791D -:0419110000006E65FF -:0419120062202E56CB -:04191300706B636131 -:041914006863726F23 -:0419150000000000CE -:0419160061202E56C8 -:041917007669746316 -:041918000000006566 -:041919000066664FAF -:04191A000000733323 -:04191B0000733031F4 -:04191C0000733033F1 -:04191D002D706F5466 -:04191E007466656C1A -:04191F0000000000C4 -:04192000746E654339 -:0419210000007265EB -:0419220074746F4228 -:04192300722D6D6F45 -:041924007468676913 -:0419250000000000BE -:041926002066664F82 -:04192700207366289B -:041928003639203DEF -:04192900297A486B64 -:04192A0000000000B9 -:04192B0020207832CE -:04192C002073662896 -:04192D003834203DED -:04192E00297A486B5F -:04192F0000000000B4 -:0419300000706F5480 -:0419310074746F4219 -:0419320000006D6FD5 -:0419330069726F481E -:04193400746E6F7AE4 -:0419350000006C61E1 -:04193600747265560C -:041937006C61636913 -:0419380000000000AB -:0419390065746C4124 -:04193A0074616E72F4 -:04193B0000676E696A -:04193C00746C754D05 -:04193D00696C7069F8 -:04193E006974616304 -:04193F0000006E6FC7 -:041940007462755305 -:0419410074636172F8 -:04194200006E6F695B -:041943006F74754107 -:04194400000000009F -:04194500756E614D0D -:0419460000006C61D0 -:04194700494D44487A -:04194800000000009B -:0419490000495644B7 -:04194A0000373A38F0 -:04194B007373615001 -:04194C0075726874D4 -:04194D000000000096 -:04194E00656E694C0D -:04194F0028207832A2 -:0419500029626F6237 -:041951000000000092 -:04195200656E694C09 -:0419530000007832E6 -:04195400656E694C07 -:04195500282078339B -:041956006563616CF8 -:0419570000002964FF -:04195800656E694C03 -:041959002820783496 -:04195A0029626F622D +:041831006C69614637 +:0418320000006465E9 +:041833000000732519 +:04183400252E7525C3 +:041835002075322EBA +:0418360000007375C6 +:041837006C20752587 +:0418380073656E69FD +:0418390000000000AB +:04183A006C206F4E61 +:04183B00006B6E6967 +:04183C000001749B98 +:04183D0000000002A5 +:04183E0000012F6E08 +:04183F00000165B48B +:04184000000165C07E +:04184100000165C875 +:041842007020752578 +:041843006C657869EF +:04184400000000732D +:041845000001749D8D +:041846000000000995 +:0418470000012E204E +:04184800642064258F +:0418490000006765CF +:04184A0025257525B6 +:04184B000000000099 +:04184C006564695610 +:04184D006E69206F31 +:04184E006F72702025 +:04184F003E202063B4 +:041850000000000094 +:04185100706D615302 +:04185200676E696CE8 +:0418530074706F201E +:041854003E20202EE4 +:04185500000000008F +:04185600636E7953F1 +:0418570074706F201A +:041858002020202EFE +:041859003E202020ED +:04185A00000000008A +:04185B007074754FE1 +:04185C006F20747510 +:04185D00202E747055 +:04185E003E202020E8 +:04185F000000000085 +:0418600074736F50DE +:041861006F72702D05 +:0418620020202E63B1 +:041863003E202020E3 +:041864000000000080 +:04186500706D6F43F0 +:0418660062697461DE +:0418670074696C69CB +:041868003E20207985 +:04186900000000007B +:04186A0069647541F7 +:04186B00706F206F0B +:04186C006E6F6974BE +:04186D003E20207386 +:04186E000000000076 +:04186F0074746553D5 +:0418700073676E69C3 +:0418710074706F2000 +:041872003E202020D4 +:041873000000000071 +:04187400616F4C3C18 +:041875007270206409 +:041876006C69666FC4 +:04187700003E2065AA +:041878007661533C06 +:041879007270206504 +:04187A006C69666FC0 +:04187B00003E2065A6 +:04187C007365523C02 +:04187D0073207465FB +:04187E0069747465B0 +:04187F003E73676EDF +:041880000000000064 +:041881006B6E694CD5 +:041882006F727020F1 +:04188300693E2D6627 +:041884007475706E99 +:04188500000000005F +:041886006B6E694CD0 +:04188700706E6920F6 +:041888003E2D747508 +:04188900666F7270A4 +:04188A00000000005A +:04188B0074696E49C5 +:04188C00206C616902 +:04188D0075706E699B +:04188E0000000074E2 +:04188F002044434C62 +:0418900074204C4232 +:041891006F656D69A9 +:041892000000747569 +:041893002E77463C2A +:0418940064707520E7 +:0418950020657461F5 +:041896003E202020B0 +:04189700000000004D +:041898006E776F44B4 +:041899006D61732DDD +:04189A006E696C7097 +:04189B0000000067E2 +:04189C0070617753AD +:04189D0066656C20F0 +:04189E0069722F74C8 +:04189F000074686702 +:0418A0002D657250F0 +:0418A100204344415B +:0418A2006E696167A3 +:0418A3000000000041 +:0418A4006C6C7546AD +:0418A5002058542053 +:0418A600757465737D +:0418A70000000070CD +:0418A8002033564152 +:0418A90065746E698B +:0418AA0063616C7298 +:0418AB00786966658D +:0418AC000000000038 +:0418AD006E616353B2 +:0418AE00656E696C8E +:0418AF0000000073C2 +:0418B0006E616353AF +:0418B100656E696C8B +:0418B20072747320B9 +:0418B3000000002E03 +:0418B400202E6C5323 +:0418B500726279687A +:0418B60073206469CE +:0418B700002E727419 +:0418B8006E616353A7 +:0418B900656E696C83 +:0418BA0074656D20C4 +:0418BB0000646F68EE +:0418BC006E616353A3 +:0418BD00656E696C7F +:0418BE0070797420A9 +:0418BF0000000065C0 +:0418C0006E6163539F +:0418C100656E696C7B +:0418C200696C6120CC +:0418C3002E6D6E67B1 +:0418C4000000000020 +:0418C50069726F488D +:0418C600746E6F7A53 +:0418C7006D206C61C3 +:0418C800006B7361DD +:0418C900747265567A +:0418CA006C61636981 +:0418CB0073616D20B8 +:0418CC000000006BAD +:0418CD006B73614D8B +:0418CE0069726220B9 +:0418CF006E74686764 +:0418D00000737365C9 +:0418D1006576655281 +:0418D20020657372A8 +:0418D3000046504C2F +:0418D4005949443CEE +:0418D50074616C20AE +:0418D6006574202EE7 +:0418D700003E7473E8 +:0418D8007030343206 +:0418D9003838322F3A +:0418DA007270207098 +:0418DB000000636F37 +:0418DC0070343833F9 +:0418DD006F72702096 +:0418DE0000000063A3 +:0418DF006930383400 +:0418E0003637352F33 +:0418E1007270206998 +:0418E2000000636F30 +:0418E30070303834F5 +:0418E4003637352F2F +:0418E500727020708D +:0418E6000000636F2C +:0418E70069303639F5 +:0418E8003830312F34 +:0418E90070206930D2 +:0418EA0000636F72B6 +:0418EB00656E694C71 +:0418EC006D207832C1 +:0418ED000065646FBF +:0418EE00656E694C6E +:0418EF006D207833BD +:0418F0000065646FBC +:0418F100656E694C6B +:0418F2006D207834B9 +:0418F3000065646FB9 +:0418F400656E694C68 +:0418F5006D207835B5 +:0418F6000065646FB6 +:0418F700656E694C65 +:0418F80066207835B9 +:0418F900616D726F3C +:0418FA000000007476 +:0418FB0078363532D4 +:0418FC002030343232 +:0418FD00657073613E +:0418FE00000074630F +:0418FF006D205854AC +:041900000065646FAB +:04190100494D4448C0 +:0419020043544920E1 +:0419030000000000E0 +:041904006C616E4163 +:041905007320676F75 +:0419060020636E7973 +:041907000046504CFA +:041908006C616E415F +:041909007320676F71 +:04190A0020636E796F +:04190B0000687456A6 +:04190C006E79734835 +:04190D006F74206370 +:04190E006172656C31 +:04190F000065636E9E +:041910006E79735623 +:041911006874206373 +:04191200687365721F +:0419130000646C6F91 +:041914004C502D48BE +:041915007250204CA0 +:041916006F432D6589 +:041917000074736184 +:041918004C502D48BA +:041919006F50204C9F +:04191A00432D747372 +:04191B007473616F11 +:04191C0000000000C7 +:04191D00706D615335 +:04191E00676E696C1B +:04191F00616870206B +:0419200000006573EB +:0419210070303834B6 +:04192200206E6920AA +:04192300706D61730F +:041924000072656C7C +:041925006F6C6C4136 +:04192600565420777C +:0419270050482050B4 +:0419280078324C4C79 +:0419290000000000BA +:04192A006F6C6C4131 +:04192B00707520773C +:04192C00706D617306 +:04192D007832656C3B +:04192E0000000000B5 +:04192F007664413C5D +:041930006974202E88 +:04193100676E696D07 +:041932003E20202013 +:0419330000000000B0 +:041934006564695627 +:04193500504C206F83 +:041936000000004667 +:041937005062505951 +:041938006E69207242 +:041939006C6F43206C +:04193A000061705385 +:04193B0072502F5265 +:04193C0066666F204C +:04193D00007465735A +:04193E0020592F47B6 +:04193F007366666FF6 +:0419400000007465CA +:0419410062502F427F +:0419420066666F2046 +:041943000074657354 +:0419440072502F525C +:04194500696167204D +:041946000000006E2F +:0419470020592F47AD +:041948006E696167FC +:04194900000000009A +:04194A0062502F4276 +:04194B006961672047 +:04194C000000006E29 +:04194D002D65725042 +:04194E0020434441AD +:04194F006E69614715 +:041950000000000093 +:0419510073202E4889 +:041952006C706D61E7 +:0419530074617265E4 +:04195400000000652A +:0419550073202E4885 +:041956006C636E79D7 +:0419570000006E65B9 +:0419580062202E4893 +:04195900706B6361EB +:04195A006863726FDD :04195B000000000088 -:04195C00656E694CFF -:04195D0000007833DB -:04195E00656E694CFD -:04195F0000007834D8 -:04196000656E694CFB -:0419610000007835D5 -:0419620030323931B5 -:04196300383031786F -:04196400000000304F -:0419650030303631B7 -:041966003032317872 -:04196700000000304C -:0419680030323931AF -:04196900303231786F -:04196A000000003049 -:04196B00656E6547F9 -:04196C002063697219 -:04196D0000333A34D5 -:04196E007830323368 -:04196F0020303432BE -:041970006974706FB7 -:0419710000002E6DD7 -:04197200783635325C -:0419730020303432BA -:041974006974706FB3 -:0419750000002E6DD3 -:04197600656E6547EE -:04197700206369720E -:04197800393A363191 -:04197900000000006A -:04197A004D352E3287 -:04197B0028207A485E -:04197C002978616DF8 -:04197D000000000066 -:04197E00484D30316F -:04197F006D28207A35 -:041980000029646571 -:04198100484D333367 -:041982006D28207A32 -:0419830000296E6960 -:041984002056544451 -:041985007030383452 -:04198600000000005D -:04198700415345562D -:0419880030343620A1 -:041989003038347846 -:04198A0000303640B3 -:04198B002E63655210 -:04198C0031303620A0 -:04198D000000000056 -:04198E002E6365520D -:04198F003930372094 -:041990000000000053 -:04199100484D35394F -:041992004828207A47 -:041993002056544442 -:041994000029494994 -:04199500484D353351 -:041996004828207A43 -:04199700205654443E -:0419980000002949D9 -:04199900484D36314E -:04199A004528207A42 -:04199B002956544431 -:04199C000000000047 -:04199D007A484D39FE -:04199E004453282066 -:04199F000029565471 -:0419A00000006E4F86 -:0419A1006D2064252C -:0419A20000000056EB -:0419A30020202020C0 -:0419A40000007525A5 -:0419A5000001735A70 -:0419A6000000001C21 -:0419A70000012EE429 -:0419A80044525355FD -:0419A9000041544164 -:0419AA000001662CA6 -:0419AB000000200018 -:0419AC0020002CE506 -:0419AD00E926F4FD36 -:0419AE0038BC200021 -:0419AF000000000034 -:0419B0000001663894 -:0419B1000000200012 -:0419B2002000323EA1 -:0419B300F113FA042E -:0419B4003B61200073 -:0419B500000000002E -:0419B6000001418467 -:0419B7000001418466 -:0419B8000001418465 -:0419B9000001418266 -:0419BA000001423AAC -:0419BB000001418462 -:0419BC003633353158 -:0419BD003034327818 -:0419BE00060000001F -:0419BF0007FE00F02F -:0419C0000FEA010623 -:0419C1000112039676 -:0419C200323120029C -:0419C300327830380E -:0419C40000003034BB -:0419C50000F0050029 -:0419C60001060618F8 -:0419C70003480FAA18 -:0419C80004420112C2 -:0419C9007830363903 -:0419CA000030343283 -:0419CB0003C0000055 -:0419CC00049200F091 -:0419CD000F80010680 -:0419CE0001120336C9 -:0419CF00323300822D -:0419D0003432783005 -:0419D10000000030E2 -:0419D20000F00140E0 -:0419D300010601AA5E -:0419D400031F0E31AE -:0419D50049100112A2 -:0419D60078363532F8 -:0419D7000030343276 -:0419D800010000000A -:0419D900015500F0C4 -:0419DA000E270106CD -:0419DB0001120319D9 -:0419DC0034329220EF -:0419DD000000703066 -:0419DE000000000005 -:0419DF0000F002D042 -:0419E0000106035A9F -:0419E100033E0F3979 -:0419E200000E0112E0 -:0419E3003633353131 -:0419E40030343278F1 -:0419E5000600004CAC -:0419E60007FE00F008 -:0419E70029EA0138B0 -:0419E800011203964F -:0419E9003231200275 -:0419EA0032783038E7 -:0419EB000000383888 -:0419EC0001200500D1 -:0419ED00013806189F -:0419EE0003480FAAF1 -:0419EF00044201129B -:0419F00078303639DC -:0419F1000038383250 -:0419F20003C000002E -:0419F3000492012039 -:0419F4000F80013827 -:0419F50001120336A2 -:0419F6003233008206 -:0419F70034327830DE -:0419F80000424C302D -:0419F90000F00140B9 -:0419FA00013801AA05 -:0419FB00031F29316C -:0419FC00491001127B -:0419FD0078363532D1 -:0419FE004C30343203 -:0419FF0001000042A1 -:041A0000015500F09C -:041A01002927013858 -:041A020001120319B1 -:041A030038329220C3 -:041A04000000703836 +:04195C0061202E4890 +:04195D0076697463D0 +:04195E000000006520 +:04195F0073202E566D +:041960006C636E79CD +:0419610000006E65AF +:0419620062202E567B +:04196300706B6361E1 +:041964006863726FD3 +:04196500000000007E +:0419660061202E5678 +:0419670076697463C6 +:041968000000006516 +:041969000066664F5F +:04196A0000007333D3 +:04196B0000733031A4 +:04196C0000733033A1 +:04196D002D706F5416 +:04196E007466656CCA +:04196F000000000074 +:04197000746E6543E9 +:04197100000072659B +:0419720074746F42D8 +:04197300722D6D6FF5 +:0419740074686769C3 +:04197500000000006E +:041976002066664F32 +:04197700207366284B +:041978003639203D9F +:04197900297A486B14 +:04197A000000000069 +:04197B00202078327E +:04197C002073662846 +:04197D003834203D9D +:04197E00297A486B0F +:04197F000000000064 +:0419800000706F5430 +:0419810074746F42C9 +:0419820000006D6F85 +:0419830069726F48CE +:04198400746E6F7A94 +:0419850000006C6191 +:0419860074726556BC +:041987006C616369C3 +:04198800000000005B +:0419890065746C41D4 +:04198A0074616E72A4 +:04198B0000676E691A +:04198C00746C754DB5 +:04198D00696C7069A8 +:04198E0069746163B4 +:04198F0000006E6F77 +:0419900074627553B5 +:0419910074636172A8 +:04199200006E6F690B +:041993006F747541B7 +:04199400000000004F +:04199500756E614DBD +:0419960000006C6180 +:04199700494D44482A +:04199800000000004B +:041999000049564467 +:04199A0000373A38A0 +:04199B0073736150B1 +:04199C007572687484 +:04199D000000000046 +:04199E00656E694CBD +:04199F002820783252 +:0419A00029626F62E7 +:0419A1000000000042 +:0419A200656E694CB9 +:0419A3000000783296 +:0419A400656E694CB7 +:0419A500282078334B +:0419A6006563616CA8 +:0419A70000002964AF +:0419A800656E694CB3 +:0419A9002820783446 +:0419AA0029626F62DD +:0419AB000000000038 +:0419AC00656E694CAF +:0419AD00000078338B +:0419AE00656E694CAD +:0419AF000000783488 +:0419B000656E694CAB +:0419B1000000783585 +:0419B2003032393165 +:0419B300383031781F +:0419B40000000030FF +:0419B5003030363167 +:0419B6003032317822 +:0419B70000000030FC +:0419B800303239315F +:0419B900303231781F +:0419BA0000000030F9 +:0419BB00656E6547A9 +:0419BC0020636972C9 +:0419BD0000333A3485 +:0419BE007830323318 +:0419BF00203034326E +:0419C0006974706F67 +:0419C10000002E6D87 +:0419C200783635320C +:0419C300203034326A +:0419C4006974706F63 +:0419C50000002E6D83 +:0419C600656E65479E +:0419C70020636972BE +:0419C800393A363141 +:0419C900000000001A +:0419CA004D352E3237 +:0419CB0028207A480E +:0419CC002978616DA8 +:0419CD000000000016 +:0419CE00484D30311F +:0419CF006D28207AE5 +:0419D0000029646521 +:0419D100484D333317 +:0419D2006D28207AE2 +:0419D30000296E6910 +:0419D4002056544401 +:0419D5007030383402 +:0419D600000000000D +:0419D70041534556DD +:0419D8003034362051 +:0419D90030383478F6 +:0419DA000030364063 +:0419DB002E636552C0 +:0419DC003130362050 +:0419DD000000000006 +:0419DE002E636552BD +:0419DF003930372044 +:0419E0000000000003 +:0419E100484D3539FF +:0419E2004828207AF7 +:0419E30020565444F2 +:0419E4000029494944 +:0419E500484D353301 +:0419E6004828207AF3 +:0419E70020565444EE +:0419E8000000294989 +:0419E900484D3631FE +:0419EA004528207AF2 +:0419EB0029565444E1 +:0419EC0000000000F7 +:0419ED007A484D39AE +:0419EE004453282016 +:0419EF000029565421 +:0419F00000006E4F36 +:0419F1006D206425DC +:0419F200000000569B +:0419F3002020202070 +:0419F4000000752555 +:0419F5000001749ADF +:0419F6000000001CD1 +:0419F70000012F5468 +:0419F80044525355AD +:0419F9000041544114 +:0419FA000001676C15 +:0419FB0000002000C8 +:0419FC0020002CE5B6 +:0419FD00E926F4FDE6 +:0419FE0038BC2000D1 +:0419FF0000000000E4 +:041A00000001677802 +:041A010000002000C1 +:041A02002000323E50 +:041A0300F113FA04DD +:041A04003B61200022 :041A050000000000DD -:041A0600012002D0E9 -:041A0700013803603F -:041A0800033F134540 -:041A0900000E0112B8 -:041A0A0070343833C9 -:041A0B0000000000D7 -:041A0C0001F00000E5 -:041A0D0002800180D2 -:041A0E001D3201A7DD -:041A0F000204033E8C -:041A10003436000E5A -:041A110030347830C5 -:041A120000000030A0 -:041A130001900280BC -:041A140001C10320E9 -:041A15000260243017 -:041A1600000C0210AE -:041A170078303436B9 -:041A1800003438332B -:041A19000280000047 -:041A1A000320018024 -:041A1B003F3001EC6B -:041A1C000210026052 -:041A1D003834000E4B -:041A1E00000069302B -:041A1F0000000000C3 -:041A200000F002D000 -:041A2100020D035A55 -:041A2200033E0F3937 -:041A2300044F031257 -:041A240070303834B2 -:041A250000000000BD -:041A260002D00000EA -:041A2700035A01E07D -:041A28001E3C020D51 -:041A29000414063E5D -:041A2A003436000C42 -:041A2B0038347830A3 -:041A2C000000003086 -:041A2D0001E0028052 -:041A2E00020D032082 -:041A2F000260213000 -:041A3000000C04148E -:041A3100783034369F -:041A32000032313518 -:041A3300028000002D -:041A34000320020089 -:041A35001C30023827 -:041A36000414026032 -:041A37003735000C33 -:041A3800000069360B -:041A390000000000A9 -:041A3A00012002D0B5 -:041A3B0002710360D1 -:041A3C00033F13450C -:041A3D00044F03123D -:041A3E007036373592 -:041A3F0000000000A3 -:041A400002D00000D0 -:041A410003600240FC -:041A420027440271C2 -:041A43000404054052 -:041A44003038000C2A -:041A4500303678308F -:041A4600000000306C -:041A4700025803201E -:041A48000274042000 -:041A490004801758A6 -:041A4A000004001084 -:041A4B00703032378E -:041A4C000000000096 -:041A4D000500000090 -:041A4E00067202D04A -:041A4F0014DC02EEB3 -:041A5000001805284D -:041A5100303100042C -:041A5200377834327B -:041A53000000383621 -:041A54000300040087 -:041A5500032605401F -:041A560006881DA041 -:041A57000004001077 -:041A580030383231BF -:041A5900323031787E -:041A5A00050000344F -:041A5B0006980400E5 -:041A5C0026F8042A3A -:041A5D000010037002 -:041A5E003436000416 -:041A5F00363978306C -:041A600000006930E9 -:041A610001E002801E -:041A6200041A03203F -:041A630002602130CC -:041A6400000D051458 -:041A650030383031B4 -:041A66000000006913 -:041A670007800000F4 -:041A68000898021CBC -:041A6900109404656C -:041A6A000518052C2A -:041A6B003031000D09 -:041A6C00007030389E -:041A6D000000000075 -:041A6E0004380780B1 -:041A6F00046508986A -:041A7000052C249489 -:041A71000004001855 -:041A720030303631A9 -:041A73003032317864 -:041A740006400030F8 -:041A7500087004B041 -:041A76002EFF04E259 -:041A7700001003F167 -:041A78000000000466 -:041A79007665642FFB -:041A7A006370652F01 -:041A7B006F635F71C5 -:041A7C006F72746EA3 -:041A7D0072656C6CB6 -:041A7E00615F305F15 -:041A7F006D5F6C76B5 -:041A800000006D6590 -:041A81002B302D23B6 -:041A82000000002040 -:041A8300004C6C683F -:041A840045676665E7 -:041A850000004746D0 -:041A86003332313096 -:041A87003736353485 -:041A88004241393866 -:041A89004645444347 -:041A8A000000000058 -:041A8B003332313091 -:041A8C003736353480 -:041A8D006261393821 -:041A8E0066656463C2 +:041A0600000142C4D5 +:041A0700000142C4D4 +:041A0800000142C4D3 +:041A0900000142C2D4 +:041A0A000001437A1A +:041A0B00000142C4D0 +:041A0C003633353107 +:041A0D0030343278C7 +:041A0E0006000000CE +:041A0F0007FE00F0DE +:041A10000FEA0106D2 +:041A11000112039625 +:041A1200323120024B +:041A130032783038BD +:041A1400000030346A +:041A150000F00500D8 +:041A160001060618A7 +:041A170003480FAAC7 +:041A18000442011271 +:041A190078303639B2 +:041A1A000030343232 +:041A1B0003C0000004 +:041A1C00049200F040 +:041A1D000F8001062F +:041A1E000112033678 +:041A1F0032330082DC +:041A200034327830B4 +:041A21000000003091 +:041A220000F001408F +:041A2300010601AA0D +:041A2400031F0E315D +:041A25004910011251 +:041A260078363532A7 +:041A27000030343225 +:041A280001000000B9 +:041A2900015500F073 +:041A2A000E2701067C +:041A2B000112031988 +:041A2C00343292209E +:041A2D000000703015 +:041A2E0000000000B4 +:041A2F0000F002D0F1 +:041A30000106035A4E +:041A3100033E0F3928 +:041A3200000E01128F +:041A330036333531E0 +:041A340030343278A0 +:041A35000600004C5B +:041A360007FE00F0B7 +:041A370029EA01385F +:041A380001120396FE +:041A39003231200224 +:041A3A003278303896 +:041A3B000000383837 +:041A3C000120050080 +:041A3D00013806184E +:041A3E0003480FAAA0 +:041A3F00044201124A +:041A4000783036398B +:041A410000383832FF +:041A420003C00000DD +:041A430004920120E8 +:041A44000F800138D6 +:041A45000112033651 +:041A460032330082B5 +:041A4700343278308D +:041A480000424C30DC +:041A490000F0014068 +:041A4A00013801AAB4 +:041A4B00031F29311B +:041A4C00491001122A +:041A4D007836353280 +:041A4E004C303432B2 +:041A4F000100004250 +:041A5000015500F04C +:041A51002927013808 +:041A52000112031961 +:041A53003832922073 +:041A540000007038E6 +:041A5500000000008D +:041A5600012002D099 +:041A570001380360EF +:041A5800033F1345F0 +:041A5900000E011268 +:041A5A007034383379 +:041A5B000000000087 +:041A5C0001F0000095 +:041A5D000280018082 +:041A5E001D3201A78D +:041A5F000204033E3C +:041A60003436000E0A +:041A61003034783075 +:041A62000000003050 +:041A6300019002806C +:041A640001C1032099 +:041A650002602430C7 +:041A6600000C02105E +:041A67007830343669 +:041A680000343833DB +:041A690002800000F7 +:041A6A0003200180D4 +:041A6B003F3001EC1B +:041A6C000210026002 +:041A6D003834000EFB +:041A6E0000006930DB +:041A6F000000000073 +:041A700000F002D0B0 +:041A7100020D035A05 +:041A7200033E0F39E7 +:041A7300044F031207 +:041A74007030383462 +:041A7500000000006D +:041A760002D000009A +:041A7700035A01E02D +:041A78001E3C020D01 +:041A79000414063E0D +:041A7A003436000CF2 +:041A7B003834783053 +:041A7C000000003036 +:041A7D0001E0028002 +:041A7E00020D032032 +:041A7F0002602130B0 +:041A8000000C04143E +:041A8100783034364F +:041A820000323135C8 +:041A830002800000DD +:041A84000320020039 +:041A85001C300238D7 +:041A860004140260E2 +:041A87003735000CE3 +:041A880000006936BB +:041A89000000000059 +:041A8A00012002D065 +:041A8B000271036081 +:041A8C00033F1345BC +:041A8D00044F0312ED +:041A8E007036373542 :041A8F000000000053 -:041A90001A808080B8 -:041A910000081A1A15 -:041A92000000000050 -:041A9300000000004F -:041A9400000169E400 -:041A9500000000004D -:041A9600000000004C -:041A97000001032A1D -:041A9800000103DE68 -:041A9900000101EE59 -:041A9A000001020A3B -:041A9B0000010256EE -:041A9C0000800000C6 -:041A9D0000800000C5 -:041A9E000000000044 -:041A9F000000000043 -:041AA0000000000042 -:041AA1000000000041 -:041AA2000000000040 -:041AA300000000003F -:041AA400000000003E -:041AA500000000003D -:041AA600000000003C -:041AA700000000003B -:041AA800000000003A -:041AA9000000000039 -:041AAA000000000038 -:041AAB000000000037 -:041AAC000000000036 -:041AAD000000000035 -:041AAE000000000034 -:041AAF000000000033 -:041AB0000000000032 -:041AB1000000000031 -:041AB2000000000030 -:041AB300000000002F -:041AB400000000002E -:041AB500000000002D -:041AB600000000002C -:041AB700000000002B -:041AB800000000002A -:041AB9000000000029 -:041ABA000000000028 -:041ABB000000000027 -:041ABC000000000026 +:041A900002D0000080 +:041A910003600240AC +:041A92002744027172 +:041A93000404054002 +:041A94003038000CDA +:041A9500303678303F +:041A9600000000301C +:041A970002580320CE +:041A980002740420B0 +:041A99000480175856 +:041A9A000004001034 +:041A9B00703032373E +:041A9C000000000046 +:041A9D000500000040 +:041A9E00067202D0FA +:041A9F0014DC02EE63 +:041AA00000180528FD +:041AA10030310004DC +:041AA200377834322B +:041AA30000003836D1 +:041AA4000300040037 +:041AA50003260540CF +:041AA60006881DA0F1 +:041AA7000004001027 +:041AA800303832316F +:041AA900323031782E +:041AAA0005000034FF +:041AAB000698040095 +:041AAC0026F8042AEA +:041AAD0000100370B2 +:041AAE0034360004C6 +:041AAF00363978301C +:041AB0000000693099 +:041AB10001E00280CE +:041AB200041A0320EF +:041AB300026021307C +:041AB400000D051408 +:041AB5003038303164 +:041AB60000000069C3 +:041AB70007800000A4 +:041AB8000898021C6C +:041AB900109404651C +:041ABA000518052CDA +:041ABB003031000DB9 +:041ABC00007030384E :041ABD000000000025 -:041ABE000000000024 -:041ABF00000101948D -:041AC00000800000A2 -:041AC1000100000020 -:041AC200000201001D -:041AC300008000009F -:041AC400000000011D -:041AC500000000809D -:041AC600000100001B -:041AC700000001001A -:041AC800000000001A -:041AC900020E0409FC -:041ACA003D0E00C805 -:041ACB003F6E0383E4 -:041ACC003ED03DAC1F -:041ACD00000003838F -:041ACE00026404B2F8 -:041ACF003C9300E95B -:041AD0003F56041663 -:041AD1003E9F3D49AE -:041AD20000000416F6 -:041AD300017804E5AD -:041AD4003CCE008183 -:041AD5003FAE03839A -:041AD6003F333D4914 -:041AD7000000038385 -:041AD80001B405B898 -:041AD9003C490093F1 -:041ADA003F9F041610 -:041ADB003F103CD9A3 -:041ADC0000000416EC -:041ADD0000015AB8F2 -:041ADE0000015AC8E1 -:041ADF0000015AD4D4 -:041AE00000015AE0C7 -:041AE10000015AECBA -:041AE20000015AF8AD -:041AE30000015B049F -:041AE40000015B1092 -:041AE50000015B1C85 -:041AE60000015B2878 -:041AE70000015B346B -:041AE800000165ACE8 -:041AE900000165B8DB -:041AEA00000165C8CA -:041AEB00000165D8B9 -:041AEC00000165ACE4 -:041AED00000165B8D7 -:041AEE00000165C8C6 -:041AEF000001658805 -:041AF00000016594F8 -:041AF100000165A0EB -:041AF2000001646427 -:041AF3000001646822 -:041AF4000001646C1D -:041AF5000001647018 -:041AF6000001640483 -:041AF70000000002E9 -:041AF8000001739CDA -:041AF90008FC012CB8 -:041AFA0000011CD0FB -:041AFB00000164146E -:041AFC0000000002E4 -:041AFD000001741858 -:041AFE0000FF000ADB -:041AFF0000011CD0F6 -:041B0000000164205C -:041B010000000002DE -:041B02000001735813 -:041B030000FF0001DE -:041B040000011CD0F0 -:041B05000001643047 -:041B060000000002D9 -:041B07000001738CDA -:041B0800078000C88A -:041B090000011CD0EB -:041B0A000001643C36 -:041B0B0000000002D4 -:041B0C0000017386DB -:041B0D0000070001CC -:041B0E0000011CD0E6 -:041B0F000001644825 -:041B100000000002CF -:041B11000001741249 -:041B1200003F00018F -:041B130000011CD0E1 -:041B14000001645810 -:041B150000000002CA -:041B16000001738ACD -:041B170004B000C84E -:041B180000011CD0DC -:041B19000001612046 -:041B1A0000000000C7 -:041B1B00000174420F -:041B1C0000010001C3 -:041B1D000001727CD5 -:041B1E000001613031 -:041B1F0000000000C2 -:041B20000001744309 -:041B210000010001BE -:041B220000017284C8 -:041B2300000161401C -:041B240000000001BC -:041B25000001744403 -:041B260000180000A3 -:041B270000012EC8C3 -:041B28000001615007 -:041B290000000000B8 -:041B2A000001743F03 -:041B2B0000010001B4 -:041B2C0000017284BE -:041B2D0000016160F2 -:041B2E0000000000B3 -:041B2F0000017440FD -:041B300000010001AF -:041B310000017284B9 -:041B320000015FF05F -:041B330000000003AB -:041B34000001730831 -:041B350000000000AC -:041B360000000000AB -:041B37000001600445 -:041B380000000003A6 -:041B3900000172F045 -:041B3A0000000000A7 -:041B3B0000000000A6 -:041B3C00000160182C -:041B3D0000000003A1 -:041B3E00000173002F -:041B3F0000000000A2 -:041B400000000000A1 -:041B41000001602C13 -:041B4200000000039C -:041B4300000172E04B -:041B4400000000009D -:041B4500000000009C -:041B460000016040FA -:041B47000000000397 -:041B4800000172E83E -:041B49000000000098 -:041B4A000000000097 -:041B4B0000016054E1 -:041B4C000000000392 -:041B4D00000172D051 -:041B4E000000000093 -:041B4F000000000092 -:041B500000016068C8 -:041B5100000000038D -:041B5200000172C854 -:041B5300000000008E -:041B5400000000008D -:041B55000001607CAF -:041B56000000000388 -:041B5700000172F81F -:041B58000000000089 -:041B59000000000088 -:041B5A000001622004 -:041B5B000000000086 -:041B5C0000017428E8 -:041B5D00000400017F -:041B5E000001719081 -:041B5F0000016230EF -:041B60000000000081 -:041B610000017429E2 -:041B6200000100017D -:041B63000001729477 -:041B64000001623CDE -:041B6500000000007C -:041B66000001742ADC -:041B67000003000176 -:041B6800000171A463 -:041B69000001624CC9 +:041ABE000438078061 +:041ABF00046508981A +:041AC000052C249439 +:041AC1000004001805 +:041AC2003030363159 +:041AC3003032317814 +:041AC40006400030A8 +:041AC500087004B0F1 +:041AC6002EFF04E209 +:041AC700001003F117 +:041AC8000000000416 +:041AC9007665642FAB +:041ACA006370652FB1 +:041ACB006F635F7175 +:041ACC006F72746E53 +:041ACD0072656C6C66 +:041ACE00615F305FC5 +:041ACF006D5F6C7665 +:041AD00000006D6540 +:041AD1002B302D2366 +:041AD20000000020F0 +:041AD300004C6C68EF +:041AD4004567666597 +:041AD5000000474680 +:041AD6003332313046 +:041AD7003736353435 +:041AD8004241393816 +:041AD90046454443F7 +:041ADA000000000008 +:041ADB003332313041 +:041ADC003736353430 +:041ADD0062613938D1 +:041ADE006665646372 +:041ADF000000000003 +:041AE0001A80808068 +:041AE10000081A1AC5 +:041AE2000000000000 +:041AE30000000000FF +:041AE40000016B246E +:041AE50000000000FD +:041AE60000000000FC +:041AE7000001032ACD +:041AE800000103DE18 +:041AE900000101EE09 +:041AEA000001020AEB +:041AEB00000102569E +:041AEC000080000076 +:041AED000080000075 +:041AEE0000000000F4 +:041AEF0000000000F3 +:041AF00000000000F2 +:041AF10000000000F1 +:041AF20000000000F0 +:041AF30000000000EF +:041AF40000000000EE +:041AF50000000000ED +:041AF60000000000EC +:041AF70000000000EB +:041AF80000000000EA +:041AF90000000000E9 +:041AFA0000000000E8 +:041AFB0000000000E7 +:041AFC0000000000E6 +:041AFD0000000000E5 +:041AFE0000000000E4 +:041AFF0000000000E3 +:041B000000000000E1 +:041B010000000000E0 +:041B020000000000DF +:041B030000000000DE +:041B040000000000DD +:041B050000000000DC +:041B060000000000DB +:041B070000000000DA +:041B080000000000D9 +:041B090000000000D8 +:041B0A0000000000D7 +:041B0B0000000000D6 +:041B0C0000000000D5 +:041B0D0000000000D4 +:041B0E0000000000D3 +:041B0F00000101943C +:041B10000080000051 +:041B110001000000CF +:041B120000020100CC +:041B1300008000004E +:041B140000000001CC +:041B1500000000804C +:041B160000010000CA +:041B170000000100C9 +:041B180000000000C9 +:041B1900020E0409AB +:041B1A003D0E00C8B4 +:041B1B003F6E038393 +:041B1C003ED03DACCE +:041B1D00000003833E +:041B1E00026404B2A7 +:041B1F003C9300E90A +:041B20003F56041612 +:041B21003E9F3D495D +:041B220000000416A5 +:041B2300017804E55C +:041B24003CCE008132 +:041B25003FAE038349 +:041B26003F333D49C3 +:041B27000000038334 +:041B280001B405B847 +:041B29003C490093A0 +:041B2A003F9F0416BF +:041B2B003F103CD952 +:041B2C00000004169B +:041B2D0000015BF860 +:041B2E0000015C084E +:041B2F0000015C1441 +:041B300000015C2034 +:041B310000015C2C27 +:041B320000015C381A +:041B330000015C440D +:041B340000015C5000 +:041B350000015C5CF3 +:041B360000015C68E6 +:041B370000015C74D9 +:041B3800000166EC56 +:041B3900000166F849 +:041B3A000001670837 +:041B3B000001671826 +:041B3C00000166EC52 +:041B3D00000166F845 +:041B3E000001670833 +:041B3F00000166C873 +:041B4000000166D466 +:041B4100000166E059 +:041B4200000165A495 +:041B4300000165A890 +:041B4400000165AC8B +:041B4500000165B086 +:041B460000016544F1 +:041B47000000000298 +:041B4800000174DC48 +:041B490008FC012C67 +:041B4A0000011D0C6D +:041B4B0000016554DC +:041B4C000000000293 +:041B4D0000017558C6 +:041B4E0000FF000A8A +:041B4F0000011D0C68 +:041B500000016560CB +:041B5100000000028E +:041B52000001749882 +:041B530000FF00018E +:041B540000011D0C63 +:041B550000016570B6 +:041B56000000000289 +:041B5700000174CC49 +:041B5800078000C83A +:041B590000011D0C5E +:041B5A000001657CA5 +:041B5B000000000284 +:041B5C00000174C64A +:041B5D00000700017C +:041B5E0000011D0C59 +:041B5F000001658894 +:041B6000000000027F +:041B610000017552B8 +:041B6200003F00013F +:041B630000011D0C54 +:041B6400000165987F +:041B6500000000027A +:041B6600000174CA3C +:041B670004B000C8FE +:041B680000011D0C4F +:041B690000016260B5 :041B6A000000000077 -:041B6B000001742BD6 +:041B6B00000175827E :041B6C000001000173 -:041B6D00000172946D -:041B6E000001625CB4 +:041B6D00000173BC44 +:041B6E0000016270A0 :041B6F000000000072 -:041B70000001742CD0 +:041B70000001758378 :041B7100000100016E -:041B72000001728C70 -:041B73000001626C9F -:041B7400000000006D -:041B750000017423D4 -:041B76000002000168 -:041B770000016BA05E -:041B7800000162788E +:041B7200000173C437 +:041B7300000162808B +:041B7400000000016C +:041B75000001758472 +:041B76000018000053 +:041B770000012F3802 +:041B78000001629076 :041B79000000000068 -:041B7A0000017424CE -:041B7B000003000162 -:041B7C0000016BAC4D -:041B7D00000162847D +:041B7A000001757F72 +:041B7B000001000164 +:041B7C00000173C42D +:041B7D00000162A061 :041B7E000000000063 -:041B7F0000017425C8 -:041B8000000200015E -:041B810000016BA054 -:041B8200000162906C -:041B8300000000005E -:041B840000017426C2 -:041B85000002000159 -:041B860000016BA04F -:041B87000001629C5B -:041B88000000000059 -:041B890000017427BC -:041B8A000002000154 -:041B8B0000016BBC2E -:041B8C00000162AC46 -:041B8D000000000054 -:041B8E000001742DB1 -:041B8F000001000150 -:041B9000000172746A -:041B9100000162BC31 -:041B9200000000004F -:041B930000017431A8 -:041B9400000100014B -:041B9500000172AC2D -:041B9600000162C424 -:041B9700000000004A -:041B980000017432A2 -:041B99000001000146 -:041B9A000001728450 -:041B9B000001617470 -:041B9C000000000045 -:041B9D000001741CB3 -:041B9E000002000140 -:041B9F00000171C010 -:041BA000000161805F -:041BA100000000013F -:041BA20000017420AA -:041BA300000F00002F -:041BA40000012EA26C -:041BA500000161904A -:041BA600000000013A -:041BA7000001741EA7 -:041BA800001C00001D -:041BA90000012E7E8B -:041BAA00000161A035 +:041B7F00000175806C +:041B8000000100015F +:041B8100000173C428 +:041B820000016130CD +:041B8300000000035B +:041B840000017448A0 +:041B8500000000005C +:041B8600000000005B +:041B870000016144B4 +:041B88000000000356 +:041B890000017430B3 +:041B8A000000000057 +:041B8B000000000056 +:041B8C00000161589B +:041B8D000000000351 +:041B8E00000174409E +:041B8F000000000052 +:041B90000000000051 +:041B91000001616C82 +:041B9200000000034C +:041B930000017420B9 +:041B9400000000004D +:041B9500000000004C +:041B96000001618069 +:041B97000000000347 +:041B980000017428AC +:041B99000000000048 +:041B9A000000000047 +:041B9B000001619450 +:041B9C000000000342 +:041B9D0000017410BF +:041B9E000000000043 +:041B9F000000000042 +:041BA000000161A837 +:041BA100000000033D +:041BA20000017408C2 +:041BA300000000003E +:041BA400000000003D +:041BA500000161BC1E +:041BA6000000000338 +:041BA700000174388D +:041BA8000000000039 +:041BA9000000000038 +:041BAA000001636073 :041BAB000000000036 -:041BAC000001741FA1 -:041BAD000001000132 -:041BAE00000172A41C -:041BAF00000161B020 +:041BAC000001756857 +:041BAD00000400012F +:041BAE00000172D0F0 +:041BAF00000163705E :041BB0000000000031 -:041BB1000001741D9E -:041BB200000200012C -:041BB300000171CCF0 -:041BB400000161C00B +:041BB1000001756951 +:041BB200000100012D +:041BB300000173D4E6 +:041BB4000001637C4D :041BB500000000002C -:041BB6000001742195 -:041BB7000001000128 -:041BB8000001729C1A -:041BB900000161D4F2 -:041BBA000000000126 -:041BBB000001742E83 -:041BBC0000FF000026 -:041BBD0000012E688D -:041BBE00000161E4DD -:041BBF000000000121 -:041BC0000001742F7D -:041BC100003F0000E1 -:041BC20000012E6888 -:041BC300000161F4C8 -:041BC400000000011C -:041BC5000001743077 -:041BC600000F00000C -:041BC70000012DB03C -:041BC80000016204B2 -:041BC9000000000117 -:041BCA000001744161 -:041BCB00001F0000F7 -:041BCC0000012DB037 -:041BCD0000016210A1 -:041BCE00000000040F -:041BCF0000011EA053 -:041BD00000015FB001 -:041BD1000000000010 -:041BD2000001633477 -:041BD300000000010D -:041BD4000001743464 -:041BD500001F0001EC -:041BD60000012DC617 -:041BD7000001634462 +:041BB6000001756A4B +:041BB7000003000126 +:041BB800000172E4D2 +:041BB9000001638C38 +:041BBA000000000027 +:041BBB000001756B45 +:041BBC000001000123 +:041BBD00000173D4DC +:041BBE000001639C23 +:041BBF000000000022 +:041BC0000001756C3F +:041BC100000100011E +:041BC200000173CCDF +:041BC300000163AC0E +:041BC400000000001D +:041BC5000001756343 +:041BC6000002000118 +:041BC70000016CE0CD +:041BC800000163B8FD +:041BC9000000000018 +:041BCA00000175643D +:041BCB000003000112 +:041BCC0000016CECBC +:041BCD00000163C4EC +:041BCE000000000013 +:041BCF000001756537 +:041BD000000200010E +:041BD10000016CE0C3 +:041BD200000163D0DB +:041BD300000000000E +:041BD4000001756631 +:041BD5000002000109 +:041BD60000016CE0BE +:041BD700000163DCCA :041BD8000000000009 -:041BD9000001743360 +:041BD900000175672B :041BDA000002000104 -:041BDB00000171B4E0 -:041BDC00000163544D +:041BDB0000016CFC9D +:041BDC00000163ECB5 :041BDD000000000004 -:041BDE000001743559 +:041BDE000001756D20 :041BDF000001000100 -:041BE000000172840A -:041BE1000001636834 +:041BE000000173B4D9 +:041BE100000163FCA0 :041BE20000000000FF -:041BE3000001743653 +:041BE3000001757117 :041BE40000010001FB -:041BE5000001728405 -:041BE6000001637C1B -:041BE70000000003F7 -:041BE800000172C0C6 -:041BE90000016694FD -:041BEA0000011C6E6C -:041BEB000001609005 -:041BEC0000000004F1 -:041BED0000011BC018 -:041BEE0000015FD4BF -:041BEF0000000000F2 -:041BF000000160A0F0 -:041BF10000000004EC -:041BF20000011C20B2 -:041BF30000015FD4BA -:041BF40000000000ED -:041BF500000160B0DB -:041BF60000000004E7 -:041BF700000123A422 -:041BF80000000000E9 -:041BF90000000000E8 -:041BFA00000160C4C2 -:041BFB0000000001E5 -:041BFC000001744C24 -:041BFD00000A0101D8 -:041BFE0000012F189B -:041BFF00000160D8A9 +:041BE500000173EC9C +:041BE6000001640492 +:041BE70000000000FA +:041BE8000001757211 +:041BE90000010001F6 +:041BEA00000173C4BF +:041BEB00000162B4DF +:041BEC0000000000F5 +:041BED000001755C22 +:041BEE0000020001F0 +:041BEF00000173007E +:041BF000000162C0CE +:041BF10000000001EF +:041BF2000001756019 +:041BF300000F0000DF +:041BF40000012F12AB +:041BF500000162D0B9 +:041BF60000000001EA +:041BF7000001755E16 +:041BF800001C0000CD +:041BF90000012EEECB +:041BFA00000162E0A4 +:041BFB0000000000E6 +:041BFC000001755F10 +:041BFD0000010001E2 +:041BFE00000173E48B +:041BFF00000162F08F :041C000000000000E0 -:041C0100000173B2B9 -:041C020000010001DC -:041C030000017284E6 -:041C0400000160EC8F +:041C01000001755D0C +:041C020000020001DB +:041C03000001730C5D +:041C04000001630078 :041C050000000000DB -:041C06000001735C0A -:041C0700000A0001CE -:041C080000016B74F8 -:041C0900000160FC7A -:041C0A0000000000D6 -:041C0B000001739AC7 -:041C0C0000030001D0 -:041C0D0000016BC89F -:041C0E000001610C64 -:041C0F0000000004CD -:041C10000001296E38 -:041C110000000000CF -:041C120000000000CE -:041C1300000162D09A -:041C140000000000CC -:041C15000001743B1B -:041C160000030001C6 -:041C1700000171D87F -:041C1800000162E085 +:041C06000001756103 +:041C070000010001D7 +:041C0800000173DC88 +:041C0900000163145F +:041C0A0000000001D5 +:041C0B000001756EF1 +:041C0C0000FF0000D5 +:041C0D0000012ED8CC +:041C0E00000163244A +:041C0F0000000001D0 +:041C10000001756FEB +:041C1100003F000090 +:041C120000012ED8C7 +:041C13000001633435 +:041C140000000001CB +:041C150000017570E5 +:041C1600000F0000BB +:041C170000012E207A +:041C18000001634420 :041C190000000001C6 -:041C1A000001743819 +:041C1A0000017581CF :041C1B00001F0000A6 -:041C1C0000012E4451 -:041C1D00000162F070 -:041C1E0000000001C1 -:041C1F000001743913 -:041C200000FF0000C1 -:041C210000012E0090 -:041C2200000163005A +:041C1C0000012E2075 +:041C1D00000163500F +:041C1E0000000004BE +:041C1F0000011EDCC6 +:041C2000000160F06F +:041C210000000000BF +:041C220000016474E5 :041C230000000001BC -:041C24000001743A0D -:041C250000C80A00E9 -:041C260000012E008B -:041C27000001631045 -:041C280000000001B7 -:041C29000001743D05 -:041C2A0000050000B1 -:041C2B0000012DEA9D -:041C2C000001632030 -:041C2D0000000001B2 -:041C2E000001743EFF -:041C2F0000050000AC -:041C300000012DEA98 -:041C310000016390BB +:041C240000017574D2 +:041C2500001F00019B +:041C260000012E3655 +:041C270000016484D0 +:041C280000000000B8 +:041C290000017573CE +:041C2A0000020001B3 +:041C2B00000172F44E +:041C2C0000016494BB +:041C2D0000000000B3 +:041C2E0000017575C7 +:041C2F0000010001AF +:041C3000000173C478 +:041C3100000164A8A2 :041C320000000000AE -:041C33000001743CFC -:041C340000050001A6 -:041C3500000171E851 -:041C36000001639CAA -:041C370000000000A9 -:041C380000017437FC -:041C390000010001A5 -:041C3A00000172B47F -:041C3B00000163AC95 -:041C3C0000000001A3 -:041C3D0000017445E9 -:041C3E0000FF0000A3 -:041C3F0000012DB0C3 -:041C4000000163B884 -:041C4100000000019E -:041C420000017446E3 -:041C430000FF00009E -:041C440000012DB0BE -:041C4500000163C473 -:041C46000000000199 -:041C470000017447DD -:041C480000FF000099 -:041C490000012DB0B9 -:041C4A00000163D062 +:041C330000017576C1 +:041C340000010001AA +:041C3500000173C473 +:041C3600000164BC89 +:041C370000000003A6 +:041C38000001740033 +:041C3900000167D46B +:041C3A0000011CAADF +:041C3B00000161D073 +:041C3C0000000004A0 +:041C3D0000011BFC8B +:041C3E00000161142C +:041C3F0000000000A1 +:041C4000000161E05E +:041C4100000000049B +:041C420000011C5C25 +:041C43000001611427 +:041C4400000000009C +:041C4500000161F049 +:041C46000000000496 +:041C4700000123E095 +:041C48000000000098 +:041C49000000000097 +:041C4A00000162042F :041C4B000000000194 -:041C4C0000017448D7 -:041C4D0000FF000094 -:041C4E0000012DB0B4 -:041C4F00000163DC51 -:041C5000000000018F -:041C510000017449D1 -:041C520000FF00008F -:041C530000012DB0AF -:041C5400000163E840 -:041C5500000000018A -:041C56000001744ACB -:041C570000FF00008A -:041C580000012DB0AA -:041C5900000163F42F -:041C5A000000000185 -:041C5B000001744BC5 -:041C5C00000F000075 -:041C5D0000012DB0A5 -:041C5E00000172D837 -:041C5F000000000081 -:041C60000000000080 +:041C4C000001758C92 +:041C4D00000A010187 +:041C4E0000012F88DA +:041C4F000001621816 +:041C50000000000090 +:041C5100000174F228 +:041C5200000100018C +:041C5300000173C455 +:041C54000001622CFD +:041C5500000000008B +:041C56000001749C79 +:041C5700000A00017E +:041C580000016CB467 +:041C59000001623CE8 +:041C5A000000000086 +:041C5B00000174DA36 +:041C5C000003000180 +:041C5D0000016D080D +:041C5E000001624CD3 +:041C5F00000000047D +:041C6000000129AAAC :041C6100000000007F :041C6200000000007E -:041C6300000000007D -:041C64000001652CEA -:041C650000016548CD -:041C660000016570A4 -:041C6700000165789B -:041C68000001658092 -:041C69000001652CE5 -:041C6A0000016538D8 -:041C6B0000016550BF -:041C6C0000016560AE -:041C6D000001650C01 -:041C6E0000016610FB -:041C6F000001661CEE -:041C700000016464A7 -:041C71000001650CFD -:041C720000016514F4 -:041C7300000164CC3C -:041C7400000164D82F -:041C7500000164E422 -:041C7600000165E81C -:041C7700000165F80B -:041C780000016604FD -:041C7900000164649E -:041C7A000001650CF4 -:041C7B00000164649C -:041C7C0000016644B9 -:041C7D0000016654A8 -:041C7E000001666497 -:041C7F000001667486 -:041C80000000000060 -:041C8100000000005F +:041C63000001641008 +:041C6400000000007C +:041C65000001757B8A +:041C66000003000176 +:041C670000017318ED +:041C680000016420F3 +:041C69000000000176 +:041C6A000001757888 +:041C6B00001F000056 +:041C6C0000012EB491 +:041C6D0000016430DE +:041C6E000000000171 +:041C6F000001757982 +:041C700000FF000071 +:041C710000012E70D0 +:041C720000016440C9 +:041C7300000000016C +:041C74000001757A7C +:041C750000C80A0099 +:041C760000012E70CB +:041C770000016450B4 +:041C78000000000167 +:041C79000001757D74 +:041C7A000005000061 +:041C7B0000012E5ADC +:041C7C00000164609F +:041C7D000000000162 +:041C7E000001757E6E +:041C7F00000500005C +:041C800000012E5AD7 +:041C8100000164D02A :041C8200000000005E -:041C8300000000005D -:041C8400000000005C -:041C8500000000005B -:041C8600000000005A +:041C83000001757C6B +:041C84000005000156 +:041C850000017328BF +:041C8600000164DC19 :041C87000000000059 -:041C88000000000058 -:041C89000000000057 -:041C8A000000000056 -:041C8B000000000055 -:041C8C000000000054 -:041C8D000000000053 -:041C8E000000000052 -:041C8F000000000051 -:041C90000000000050 -:041C9100000000004F -:041C9200000000004E -:041C9300000000004D -:041C9400000000004C -:041C9500000000004B -:041C9600000000004A -:041C97000000000049 -:041C9800000159747A -:041C99000001726470 -:041C9A00000172646F -:041C9B0000108010A5 -:041C9C0000008000C4 -:041C9D00000165B429 -:041C9E0000016528B4 -:041C9F000001649844 -:041CA000000164AC2F -:041CA1000001646476 -:041CA2000001668057 -:041CA3000001652CAB -:041CA400000165389E -:041CA5000001652CA9 -:041CA600000165488C -:041CA700000164C014 -:041CA800000164C40F -:041CA900000164F0E2 -:041CAA0000016500D0 -:041CAB000001651CB3 -:041CAC0000016524AA -:041CAD000001662CA0 -:041CAE000001663893 -:041CAF0000017200BE -:041CB0000000000729 -:041CB10000016BD8EB -:041CB200000000032B -:041CB30000016C645C -:041CB400000000022A -:041CB50000016CA01E -:041CB6000000000822 -:041CB70000016CC8F4 -:041CB8000000000D1B -:041CB90000016D6851 -:041CBA000000000B1B -:041CBB0000016E6C4A -:041CBC00000000051F -:041CBD0000016F486B -:041CBE00000000081A -:041CBF0000016FAC05 -:041CC000000000061A -:041CC1000001704C62 -:041CC2000000000915 -:041CC300000170C4E8 -:041CC400C896554B1E +:041C8800000175776B +:041C89000001000155 +:041C8A00000173F4EE +:041C8B00000164EC04 +:041C8C000000000153 +:041C8D000001758558 +:041C8E0000FF000053 +:041C8F0000012E2002 +:041C9000000164F8F3 +:041C9100000000014E +:041C92000001758652 +:041C930000FF00004E +:041C940000012E20FD +:041C950000016504E1 +:041C96000000000149 +:041C9700000175874C +:041C980000FF000049 +:041C990000012E20F8 +:041C9A0000016510D0 +:041C9B000000000144 +:041C9C000001758846 +:041C9D0000FF000044 +:041C9E0000012E20F3 +:041C9F000001651CBF +:041CA000000000013F +:041CA1000001758940 +:041CA20000FF00003F +:041CA30000012E20EE +:041CA40000016528AE +:041CA500000000013A +:041CA6000001758A3A +:041CA70000FF00003A +:041CA80000012E20E9 +:041CA900000165349D +:041CAA000000000135 +:041CAB000001758B34 +:041CAC00000F000025 +:041CAD0000012E20E4 +:041CAE0000017418A5 +:041CAF000000000031 +:041CB0000000000030 +:041CB100000000002F +:041CB200000000002E +:041CB300000000002D +:041CB4000001666C59 +:041CB500000166883C +:041CB600000166B013 +:041CB700000166B80A +:041CB800000166C001 +:041CB9000001666C54 +:041CBA000001667847 +:041CBB00000166902E +:041CBC00000166A01D +:041CBD000001664C70 +:041CBE00000167506A +:041CBF000001675C5D +:041CC000000165A416 +:041CC1000001664C6C +:041CC2000001665463 +:041CC3000001660CAA +:041CC400000166189D +:041CC5000001662490 +:041CC600000167288A +:041CC7000001673879 +:041CC800000167446C +:041CC900000165A40D +:041CCA000001664C63 +:041CCB00000165A40B +:041CCC000001678428 +:041CCD000001679417 +:041CCE00000167A406 +:041CCF00000167B4F5 +:041CD0000000000010 +:041CD100000000000F +:041CD200000000000E +:041CD300000000000D +:041CD400000000000C +:041CD500000000000B +:041CD600000000000A +:041CD7000000000009 +:041CD8000000000008 +:041CD9000000000007 +:041CDA000000000006 +:041CDB000000000005 +:041CDC000000000004 +:041CDD000000000003 +:041CDE000000000002 +:041CDF000000000001 +:041CE0000000000000 +:041CE10000000000FF +:041CE20000000000FE +:041CE30000000000FD +:041CE40000000000FC +:041CE50000000000FB +:041CE60000000000FA +:041CE70000000000F9 +:041CE80000015AB4E9 +:041CE900000173A4DF +:041CEA00000173A4DE +:041CEB000010801055 +:041CEC000000800074 +:041CED00000166F498 +:041CEE000001666823 +:041CEF00000165D8B3 +:041CF000000165EC9E +:041CF100000165A4E5 +:041CF200000167C0C6 +:041CF3000001666C1A +:041CF400000166780D +:041CF5000001666C18 +:041CF60000016688FB +:041CF7000001660082 +:041CF800000166047D +:041CF9000001663050 +:041CFA00000166403F +:041CFB000001665C22 +:041CFC000001666419 +:041CFD000001676C0F +:041CFE000001677802 +:041CFF00000173402D +:041D000000000007D8 +:041D010000016D1858 +:041D020000000003DA +:041D030000016DA4CA +:041D040000000002D9 +:041D050000016DE08C +:041D060000000008D1 +:041D070000016E0861 +:041D08000000000DCA +:041D090000016EA8BF +:041D0A000000000BCA +:041D0B0000016FACB8 +:041D0C0000000005CE +:041D0D0000017088D9 +:041D0E0000000008C9 +:041D0F00000170EC73 +:041D100000000006C9 +:041D11000001718CD0 +:041D120000000009C4 +:041D13000001720455 +:041D1400C896554BCD :00000001FF diff --git a/software/sys_controller_bsp/HAL/src/alt_main.c b/software/sys_controller_bsp/HAL/src/alt_main.c index a96229b..b6a22a2 100644 --- a/software/sys_controller_bsp/HAL/src/alt_main.c +++ b/software/sys_controller_bsp/HAL/src/alt_main.c @@ -39,7 +39,7 @@ #include "sys/alt_dev.h" #include "sys/alt_sys_init.h" -#include "sys/alt_irq.h" +//#include "sys/alt_irq.h" #include "sys/alt_dev.h" #include "os/alt_hooks.h" @@ -51,6 +51,8 @@ #include "sys/alt_log_printf.h" +extern void alt_irq_init ( const void* base ); + extern void _do_ctors(void); extern void _do_dtors(void); diff --git a/software/sys_controller_bsp/Makefile b/software/sys_controller_bsp/Makefile index d40fbf4..a036223 100644 --- a/software/sys_controller_bsp/Makefile +++ b/software/sys_controller_bsp/Makefile @@ -439,7 +439,6 @@ ifneq ($(wildcard $(NEWLIB_DIR)),) endif @$(ECHO) [BSP clean complete] - #------------------------------------------------------------------------------ # BUILD PRE/POST PROCESS #------------------------------------------------------------------------------ @@ -470,14 +469,7 @@ Makefile: $(wildcard $(SETTINGS_FILE)) @$(ECHO) Makefile not up to date. @$(ECHO) $(SETTINGS_FILE) has been modified since the BSP Makefile was generated. @$(ECHO) - @$(ECHO) Generate the BSP to update the Makefile, and then build again. - @$(ECHO) - @$(ECHO) To generate from Eclipse: - @$(ECHO) " 1. Right-click the BSP project." - @$(ECHO) " 2. In the Nios II Menu, click Generate BSP." - @$(ECHO) - @$(ECHO) To generate from the command line: - @$(ECHO) " nios2-bsp-generate-files --settings= --bsp-dir=" + @$(ECHO) Update system.h etc. BSP files manually, then run \"touch public.mk Makefile\" on BSP dir. @$(ECHO) @exit 1 @@ -489,14 +481,7 @@ public.mk: $(wildcard $(SOPC_FILE)) @$(ECHO) Makefile not up to date. @$(ECHO) $(SOPC_FILE) has been modified since the BSP was generated. @$(ECHO) - @$(ECHO) Generate the BSP to update the Makefile, and then build again. - @$(ECHO) - @$(ECHO) To generate from Eclipse: - @$(ECHO) " 1. Right-click the BSP project." - @$(ECHO) " 2. In the Nios II Menu, click Generate BSP." - @$(ECHO) - @$(ECHO) To generate from the command line: - @$(ECHO) " nios2-bsp-generate-files --settings= --bsp-dir=" + @$(ECHO) Update system.h etc. BSP files manually, then run \"touch public.mk Makefile\" on BSP dir. @$(ECHO) @exit 1 diff --git a/software/sys_controller_bsp/libhal_bsp.a b/software/sys_controller_bsp/libhal_bsp.a index e7736052b6d22d44a6303750e714cd3d67826e29..0a61965b5d6cedadd81f6e5974639bfaff103fa7 100644 GIT binary patch delta 370 zcmdmYlX2fo#tE{l=0>I_MjMqP*&wXV4Q%|(5awh94~fldI4?uFn;iuG7&l+y%VdJ8 zbP(K*P$|AySo98z+rTEinOpJ?RN>?XHnGi0GPNvFV?naJ6*v%z#Wvqlu0+U+Z7$L% zh3Pf$5MRu!jVvjre-$PPGMLZkCNh`F^dd6%o%uv|sI?A);+tpN&p@`;&p8oE@nk>e zbDL+lO=g7p&%om`Lb3Q}eIE@37wAU+iwIXqY)%Myk7U&5tni!saPNw3j!REq1pxIJ BW%=$ zs&o+Cj!-GSSy=QAjN8B_zL{I{4^-jg1~#$HN;0)9P-8)|yA?PfiY>sh_mnFkvJh^O zMkz$E1<(!Ria={ zQastu`P}9iZj%`y8a5kvJVq!M->mPWf#8a5F7m&KaFxX7gpl`0Ms3atzsV1^7v#ve H^aNG_v3h0g diff --git a/sys.sopcinfo b/sys.sopcinfo index 5548d6b..c7aa4bf 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1538869480 + 1538946813 false true false From a5b329584ebd19d3d9684da30bb15a7d5986a9d3 Mon Sep 17 00:00:00 2001 From: Ari Sundholm Date: Fri, 3 Nov 2017 17:07:44 +0200 Subject: [PATCH 06/21] Factor writing to Flash memory and SD->Flash copying into functions This is in preparation for the feature to import settings from the SD card. --- software/sys_controller/memory/flash.c | 26 +++++++++++++++++++++++++ software/sys_controller/memory/flash.h | 2 ++ software/sys_controller/memory/sdcard.c | 26 +++++++++++++++++++++++++ software/sys_controller/memory/sdcard.h | 1 + software/sys_controller/ossc/firmware.c | 21 +++----------------- software/sys_controller/ossc/userdata.c | 11 ++--------- 6 files changed, 60 insertions(+), 27 deletions(-) diff --git a/software/sys_controller/memory/flash.c b/software/sys_controller/memory/flash.c index 4561bf4..dd54dae 100644 --- a/software/sys_controller/memory/flash.c +++ b/software/sys_controller/memory/flash.c @@ -83,6 +83,32 @@ int write_flash_page(alt_u8 *pagedata, alt_u32 length, alt_u32 pagenum) return 0; } +int write_flash(alt_u8 *buf, alt_u32 length, alt_u32 pagenum, alt_u8 *tmpbuf) +{ + int retval; + alt_u32 bytes_to_w; + + while (length > 0) { + bytes_to_w = (length > PAGESIZE) ? PAGESIZE : length; + + // Use a temporary buffer if one was given. + // This is to avoid the original buffer from + // being overwritten by write_flash_page(). + if (tmpbuf) + memcpy(tmpbuf, buf, bytes_to_w); + + retval = write_flash_page(tmpbuf ? tmpbuf : buf, bytes_to_w, pagenum); + if (retval != 0) + return retval; + + buf += bytes_to_w; + length -= bytes_to_w; + ++pagenum; + } + + return 0; +} + int verify_flash(alt_u32 offset, alt_u32 length, alt_u32 golden_crc, alt_u8 *tmpbuf) { alt_u32 crcval=0, i, bytes_to_read; diff --git a/software/sys_controller/memory/flash.h b/software/sys_controller/memory/flash.h index f049726..fa1c8ea 100644 --- a/software/sys_controller/memory/flash.h +++ b/software/sys_controller/memory/flash.h @@ -44,6 +44,8 @@ int read_flash(alt_u32 offset, alt_u32 length, alt_u8 *dstbuf); int write_flash_page(alt_u8 *pagedata, alt_u32 length, alt_u32 pagenum); +int write_flash(alt_u8 *buf, alt_u32 length, alt_u32 pagenum, alt_u8 *tmpbuf); + int verify_flash(alt_u32 offset, alt_u32 length, alt_u32 golden_crc, alt_u8 *tmpbuf); #endif /* FLASH_H_ */ diff --git a/software/sys_controller/memory/sdcard.c b/software/sys_controller/memory/sdcard.c index 092bbbf..4ff172b 100644 --- a/software/sys_controller/memory/sdcard.c +++ b/software/sys_controller/memory/sdcard.c @@ -19,6 +19,7 @@ #include #include "sdcard.h" +#include "flash.h" #include "lcd.h" extern char menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; @@ -36,3 +37,28 @@ int check_sdcard(alt_u8 *databuf) return SD_Read(&sdcard_dev, databuf, 0, 0, 512); } + +int copy_sd_to_flash(alt_u32 sd_blknum, alt_u32 flash_pagenum, alt_u32 length, alt_u8 *tmpbuf) +{ + int retval; + alt_u32 bytes_to_rw; + + while (length > 0) { + bytes_to_rw = (length < SD_BLK_SIZE) ? length : SD_BLK_SIZE; + retval = SD_Read(&sdcard_dev, tmpbuf, sd_blknum, 0, bytes_to_rw); + if (retval != 0) { + printf("Failed to read SD card\n"); + return -retval; + } + + retval = write_flash(tmpbuf, bytes_to_rw, flash_pagenum, NULL); + if (retval != 0) + return retval; + + ++sd_blknum; + flash_pagenum += bytes_to_rw/PAGESIZE; + length -= bytes_to_rw; + } + + return 0; +} diff --git a/software/sys_controller/memory/sdcard.h b/software/sys_controller/memory/sdcard.h index 5f4a78e..7b41794 100644 --- a/software/sys_controller/memory/sdcard.h +++ b/software/sys_controller/memory/sdcard.h @@ -25,5 +25,6 @@ #include "sd_io.h" int check_sdcard(alt_u8 *databuf); +int copy_sd_to_flash(alt_u32 sd_offset, alt_u32 flash_offset, alt_u32 length, alt_u8 *tmpbuf); #endif /* SDCARD_H_ */ diff --git a/software/sys_controller/ossc/firmware.c b/software/sys_controller/ossc/firmware.c index e480591..e18a2d2 100644 --- a/software/sys_controller/ossc/firmware.c +++ b/software/sys_controller/ossc/firmware.c @@ -163,24 +163,9 @@ update_init: strncpy(menu_row2, "please wait...", LCD_ROW_LEN+1); lcd_write_menu(); - for (i=0; i PAGESIZE) { - retval = write_flash_page(databuf+PAGESIZE, (bytes_to_rw-PAGESIZE), (i/PAGESIZE)+1); - if (retval != 0) - goto failure; - } - } + retval = copy_sd_to_flash(512/SD_BLK_SIZE, 0, fw_header.data_len, databuf); + if (retval != 0) + goto failure; strncpy(menu_row1, "Verifying flash", LCD_ROW_LEN+1); strncpy(menu_row2, "please wait...", LCD_ROW_LEN+1); diff --git a/software/sys_controller/ossc/userdata.c b/software/sys_controller/ossc/userdata.c index 3059584..5e9f804 100644 --- a/software/sys_controller/ossc/userdata.c +++ b/software/sys_controller/ossc/userdata.c @@ -87,15 +87,8 @@ int write_userdata(alt_u8 entry) write_flash_page(databuf, PAGESIZE, ((USERDATA_OFFSET+entry*SECTORSIZE)/PAGESIZE)); // then write the rest - pageno = 1; - while (vm_to_write > 0) { - bytes_to_w = (vm_to_write > PAGESIZE) ? PAGESIZE : vm_to_write; - memcpy(databuf, (char*)video_modes+srcoffset, bytes_to_w); - write_flash_page(databuf, bytes_to_w, ((USERDATA_OFFSET+entry*SECTORSIZE)/PAGESIZE) + pageno); - srcoffset += bytes_to_w; - vm_to_write -= bytes_to_w; - ++pageno; - } + if (vm_to_write > 0) + write_flash((alt_u8*)video_modes+srcoffset, vm_to_write, ((USERDATA_OFFSET+entry*SECTORSIZE)/PAGESIZE) + 1, databuf); printf("Profile %u data written (%u bytes)\n", entry, sizeof(avconfig_t)+VIDEO_MODES_SIZE); break; From 4984ecf41861946d8fa7964c0bd444b302845cf4 Mon Sep 17 00:00:00 2001 From: Ari Sundholm Date: Thu, 2 Nov 2017 17:08:30 +0200 Subject: [PATCH 07/21] Implement loading settings from SD card --- software/sys_controller/ossc/menu.c | 1 + software/sys_controller/ossc/userdata.c | 87 +++++++++++++++++++++++++ software/sys_controller/ossc/userdata.h | 3 + 3 files changed, 91 insertions(+) diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index a5cc849..ce3cffa 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -179,6 +179,7 @@ MENU(menu_settings, P99_PROTECT({ \ { LNG("","<セッテイオショキカ >"), OPT_FUNC_CALL, { .fun = { set_default_avconfig, NULL } } }, { LNG("Link prof->input","Link prof->input"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.link_av, OPT_WRAP, AV1_RGBs, AV_LAST, link_av_desc } } }, { LNG("Link input->prof","Link input->prof"), OPT_AVCONFIG_SELECTION, { .sel = { &profile_link, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, + { "", OPT_FUNC_CALL, { .fun = { import_userdata, NULL } } }, { LNG("Initial input","ショキニュウリョク"), OPT_AVCONFIG_SELECTION, { .sel = { &def_input, OPT_WRAP, SETTING_ITEM(avinput_str) } } }, { "LCD BL timeout", OPT_AVCONFIG_SELECTION, { .sel = { &lcd_bl_timeout, OPT_WRAP, SETTING_ITEM(lcd_bl_timeout_desc) } } }, { LNG("","<ファームウェアアップデート>"), OPT_FUNC_CALL, { .fun = { fw_update, NULL } } }, diff --git a/software/sys_controller/ossc/userdata.c b/software/sys_controller/ossc/userdata.c index 5e9f804..2f985ed 100644 --- a/software/sys_controller/ossc/userdata.c +++ b/software/sys_controller/ossc/userdata.c @@ -18,11 +18,15 @@ // #include +#include #include "userdata.h" #include "flash.h" +#include "sdcard.h" #include "firmware.h" +#include "lcd.h" #include "controls.h" #include "av_controller.h" +#include "altera_avalon_pio_regs.h" extern alt_u16 rc_keymap[REMOTE_MAX_KEYS]; extern avmode_t cm; @@ -34,6 +38,8 @@ extern alt_u8 input_profiles[AV_LAST]; extern alt_u8 profile_sel; extern alt_u8 def_input, profile_link; extern alt_u8 lcd_bl_timeout; +extern SD_DEV sdcard_dev; +extern char menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; int write_userdata(alt_u8 entry) { @@ -185,3 +191,84 @@ int read_userdata(alt_u8 entry) return 0; } + +int import_userdata() +{ + int retval; + char *errmsg; + alt_u8 databuf[SD_BLK_SIZE]; + ude_hdr header; + alt_u32 btn_vec; + + retval = check_sdcard(databuf); + SPI_CS_High(); + if (retval != 0) + goto failure; + + strncpy(menu_row1, "Import? 1=Y, 2=N", LCD_ROW_LEN+1); + *menu_row2 = '\0'; + lcd_write_menu(); + + while (1) { + btn_vec = IORD_ALTERA_AVALON_PIO_DATA(PIO_1_BASE) & RC_MASK; + + if (btn_vec == rc_keymap[RC_BTN1]) { + break; + } else if (btn_vec == rc_keymap[RC_BTN2]) { + retval = UDATA_IMPT_CANCELLED; + goto failure; + } + + usleep(WAITLOOP_SLEEP_US); + } + + strncpy(menu_row1, "Loading settings", LCD_ROW_LEN+1); + strncpy(menu_row2, "please wait...", LCD_ROW_LEN+1); + lcd_write_menu(); + + // Import the userdata + for (int n=0; n<=MAX_USERDATA_ENTRY; ++n) { + retval = SD_Read(&sdcard_dev, &header, (512+n*SECTORSIZE)/SD_BLK_SIZE, 0, sizeof(header)); + if (retval != 0) { + printf("Failed to read SD card\n"); + retval = -retval; + goto failure; + } + + if (strncmp(header.userdata_key, "USRDATA", 8)) { + printf("Not an userdata entry at %u\n", profile); + continue; + } + + if ((header.version_major != FW_VER_MAJOR) || (header.version_minor != FW_VER_MINOR)) { + printf("Data version %u.%u does not match fw\n", header->version_major, header->version_minor); + continue; + } + + if (header.type > UDE_PROFILE) { + printf("Unknown userdata entry\n", header->type); + continue; + } + + // Just blindly write the entry to flash + retval = copy_sd_to_flash((512+n*SECTORSIZE)/SD_BLK_SIZE, (n*PAGES_PER_SECTOR)+(USERDATA_OFFSET/PAGESIZE), + (header.type == UDE_PROFILE) ? sizeof(ude_profile) : sizeof(ude_initcfg), databuf); + if (retval != 0) { + printf("Copy from SD to flash failed (error %d)\n", retval); + goto failure; + } + } + + SPI_CS_High(); + + read_userdata(INIT_CONFIG_SLOT); + profile_sel = input_profiles[target_input]; + read_userdata(profile_sel); + + return 0; + +failure: + SPI_CS_High(); + + return -1; +} diff --git a/software/sys_controller/ossc/userdata.h b/software/sys_controller/ossc/userdata.h index 93b539e..2e08903 100644 --- a/software/sys_controller/ossc/userdata.h +++ b/software/sys_controller/ossc/userdata.h @@ -31,6 +31,8 @@ #define MAX_PROFILE 9 #define INIT_CONFIG_SLOT MAX_USERDATA_ENTRY +#define UDATA_IMPT_CANCELLED 104 + typedef enum { UDE_INITCFG = 0, UDE_PROFILE, @@ -64,5 +66,6 @@ typedef struct { int write_userdata(alt_u8 entry); int read_userdata(alt_u8 entry); +int import_userdata(); #endif From 055a794b5e758bfe6825103e02a24f0062a6d89d Mon Sep 17 00:00:00 2001 From: marqs Date: Tue, 9 Oct 2018 23:16:37 +0300 Subject: [PATCH 08/21] move bitswap inside epcq_controller driver --- .../HAL/src/altera_epcq_controller_mod.c | 15 + software/ossc_sw.project | 2 +- .../mem_init/sys_onchip_memory2_0.hex | 14683 ++++++++-------- software/sys_controller/memory/flash.c | 17 +- software/sys_controller/memory/flash.h | 2 +- software/sys_controller/memory/sdcard.c | 2 +- software/sys_controller/memory/sdcard.h | 2 +- software/sys_controller/ossc/userdata.c | 8 +- software/sys_controller/ossc/utils.c | 6 - .../drivers/src/altera_epcq_controller_mod.c | 15 + software/sys_controller_bsp/libhal_bsp.a | Bin 27838 -> 28110 bytes 11 files changed, 7437 insertions(+), 7315 deletions(-) diff --git a/ip/altera_epcq_controller_mod/HAL/src/altera_epcq_controller_mod.c b/ip/altera_epcq_controller_mod/HAL/src/altera_epcq_controller_mod.c index 5147a95..35c7c10 100644 --- a/ip/altera_epcq_controller_mod/HAL/src/altera_epcq_controller_mod.c +++ b/ip/altera_epcq_controller_mod/HAL/src/altera_epcq_controller_mod.c @@ -43,6 +43,7 @@ ALT_INLINE alt_32 static alt_epcq_validate_read_write_arguments(alt_epcq_controller_dev *flash_info,alt_u32 offset, alt_u32 length); alt_32 static alt_epcq_poll_for_write_in_progress(alt_epcq_controller_dev* epcq_flash_info); +ALT_INLINE unsigned char static bitswap8(unsigned char v); /* * Public API @@ -334,6 +335,10 @@ int alt_epcq_controller_write_block /* prepare the word to be written */ memcpy((((void*)&word_to_write)) + padding, ((void*)data) + buffer_offset, bytes_to_copy); + // Bit-reverse bytes for flash + for (int i=0; idata_base + offset, length); + + // Bit-reverse bytes read from flash + for (int i=0; i> 16; +} + diff --git a/software/ossc_sw.project b/software/ossc_sw.project index 3ad82d8..48b390e 100644 --- a/software/ossc_sw.project +++ b/software/ossc_sw.project @@ -134,7 +134,7 @@ - make ENABLE_AUDIO=y APP_CFLAGS_DEBUG_LEVEL="-DDEBUG" + make ENABLE_AUDIO=y APP_CFLAGS_DEBUG_LEVEL="-DDEBUG" generate_hex cd ../sys_controller_bsp && touch public.mk Makefile make clean diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 85881a6..c76ff88 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -53,9 +53,9 @@ :040034000000911720 :04003500F3010113BF :0400360000007D1732 -:0400370037CD0D13A1 -:0400380000007D97B0 -:040039007F8D8D9397 +:04003700538D0D13C5 +:0400380000008D97A0 +:040039009B4D8D93BB :04003A0001BD57634A :04003B00000D202371 :04003C00DDE30D11E2 @@ -64,41 +64,41 @@ :04003F00C6064501AB :040040002005200572 :04004100A60367DDCE -:0400420067DD4547EA -:040043004587A583C5 +:0400420067DD61070E +:040043006147A583E9 :0400440040B267DD82 -:0400450045C7A50303 -:04004600106F0141F5 -:04004700A94178F063 +:040045006187A50327 +:04004600206F0141E5 +:04004700AB050940BC :0400480067DD80826E :040049000002073773 -:04004A0046E7A223C0 +:04004A0062E7A023A6 :04004B0007B7655D31 :04004C00675D019C4F :04004D00CC078793C2 -:04004E00B885051359 -:04004F0046F720232D -:04005000675DACE953 -:040051004607270334 +:04004E00D3050513BE +:04004F0060F72E2305 +:04005000675DAEAD8D +:0400510061C7270359 :04005200A78367DD3C -:04005300557D46474A +:04005300557D62076E :040054004721CB1164 :040055006741C3D864 :04005600C798177DB3 :040057004711C7D8AE :040058004501C3D8C3 :0400590067DD80825D -:04005A004607A7832B +:04005A0061C7A78350 :04005B00CF91557D6F :04005C00A70367DDB2 -:04005D0028234647C7 +:04005D0028236207EB :04005E004B1C000730 :04005F0007C24B4841 :0400600083C1054211 :0400610045138D5D59 :040062008082FFF5A4 :04006300A50367DDAD -:040064008082460749 +:04006400808261C76E :040065002703C9396B :0400660011410C0533 :0400670000859793E6 @@ -119,7 +119,7 @@ :0400760040B2FD2077 :040077004492442249 :040078008082014140 -:0400790026E1450532 +:0400790021A5450573 :04007A005529B7E16C :04007B0057A980827F :04007C00C991C91944 @@ -147,7300 +147,7411 @@ :04009200C7018B0512 :04009300CA9C478537 :04009400853E57ED61 -:04009500C86380823A -:0400960071390C05AB +:04009500CE63808234 +:0400960071391005A7 :04009700D84ADC2245 :04009800DA26DE0680 :04009900D452D64E19 :04009A00D05AD25610 :04009B008432892AF8 -:04009C004E63552931 -:04009D000C630806E2 -:04009E00CAD10809B2 +:04009C00436355293C +:04009D0001630C06E9 +:04009E00CEDD0C099E :04009F000C4927835E -:0400A00008F6776384 -:0400A10008F5F56306 +:0400A0000AF67C637D +:0400A1000AF5FA63FF :0400A2000D0927839A :0400A30086338E0D05 -:0400A4006E6340C780 -:0400A5004C6306E6BC -:0400A60017FD060735 -:0400A700E9A58DFD3D -:0400A8005A7D4981B3 -:0400A9004B114A8D20 -:0400AA004501E31910 -:0400AB00CE52A08D04 -:0400AC000034751394 -:0400AD0007B3CD09BF -:0400AE0084BA40AB25 -:0400AF0000E7F36310 -:0400B0008C0984BE75 -:0400B100003477930D -:0400B2005529C7996C -:0400B3004491A0894B -:0400B40000EAE36318 -:0400B500087C84BA85 -:0400B600013685B3D7 -:0400B700953E8626C6 -:0400B800C436C63A4A -:0400B90066C040EFEE -:0400BA000B89278304 -:0400BB004732467210 -:0400BC00C39097A2B4 -:0400BD000C09278380 -:0400BE008F0599A66B -:0400BF00010786139C -:0400C00046A24B9C6D -:0400C100CF918B89C7 -:0400C200C21C47898C -:0400C30050F2556D35 -:0400C40054D254625C -:0400C50059B2594291 -:0400C6005A925A22CE -:0400C70061215B0256 -:0400C800041180821D -:0400C9005529B751AD -:0400CA00C945808222 -:0400CB00D422717951 -:0400CC00D606C85A32 -:0400CD00D04AD2261D -:0400CE00CC52CE4EF4 -:0400CF00C65ECA56E9 -:0400D000842AC46258 -:0400D10055298B32F0 -:0400D2002783C61D9D -:0400D30027030B8470 -:0400D4008A360BC499 -:0400D50084AE97AEB0 -:0400D600FE6396BE71 -:0400D700FC6300E7DF -:0400D8002A8300E691 -:0400D9004B810D0446 -:0400DA000355DAB33D -:0400DB000CC42783A7 -:0400DC0000FAEF63D4 -:0400DD0050B24501D7 -:0400DE0054925422C2 -:0400DF0049F2590287 -:0400E0004AD24A6254 -:0400E1004BB24B4291 -:0400E20061454C2206 -:0400E30003E3808231 -:0400E4002983FE0A64 -:0400E5004C010D04B9 -:0400E600413009B3E9 -:0400E7000099F9B3D0 -:0400E80001348463F8 -:0400E90041348C33DF -:0400EA00852285CE18 -:0400EB00F5613DB9C5 -:0400EC000D042903D3 -:0400ED004189093309 -:0400EE00012A73630D -:0400EF00874A895261 -:0400F000017B06B3D7 -:0400F10085CE86260C -:0400F20035718522BD -:0400F3000A33F54D8A -:0400F4009BCA412A38 -:0400F5000A8594CA1A -:0400F6005529BF5178 -:0400F700C91D80821D -:0400F80057A987324B -:0400F9002783CA0D82 -:0400FA0026030B8549 -:0400FB0095BE0BC5DE -:0400FC0000B688338F -:0400FD00F06357A9AC -:0400FE007E6302C556 -:0400FF00114100C8E3 -:04010000853A863680 -:0401010040EFC606FF -:0401020040B254A013 -:04010300853E47816D -:0401040080820141B3 -:04010500853E57A933 -:04010600CD4D8082D9 -:040107000C052703B9 -:04010800CB5557B5C7 -:040109000C852783B7 -:04010A004718EBA9FE -:04010B00771346B16F -:04010C0007930FF74F -:04010D00E963FEB7ED -:04010E0066D902F6B6 -:04010F008693078A42 -:0401100097B6ADC62B -:040111008782439C02 -:04011200200007932F -:040113000D0526832D -:040114000C4526036D -:0401150002F685B3B6 -:0401160000B61663B6 -:040117000CC525836B -:0401180004F5866301 -:040119000205282390 -:04011A00A0B557B580 -:04011B004000079306 -:04011C006785BFF143 -:04011D00800787933D -:04011E004358BFD1B2 -:04011F00771347D932 -:040120000F630FF763 -:0401210047E100F7BB -:0401220000F70F6370 -:0401230057B546D1B5 -:0401240004D7136386 -:04012500020007933A -:040126000793BF5527 -:04012700B77D04009C -:040128000800079331 -:040129000793B7651C -:04012A00B74D1000BD -:04012B00C6061141B2 -:04012C000CE52C238F -:04012D00470565DD40 -:04012E00D918DD5CA3 -:04012F0002052A2378 -:04013000C134DD10E9 -:040131003A45859333 -:0401320040B22201B4 -:04013300853E47813D -:040134008082014183 -:04013500853E57A903 -:0401360047958082E7 -:0401370002F6063393 -:040138000005242377 -:04013900C91C478511 -:04013A0002C5D5B372 -:04013B00F79315FD24 -:04013C0081A10FF599 -:04013D00F593C11C59 -:04013E00C14C0FF5AC -:04013F00080007931A -:040140008082C51CD8 -:040141008A050586A0 -:04014200C54C95B261 -:040143000900079315 -:040144000105071397 -:04014500431CC91C72 -:04014600FFF58B89AD -:04014700811D4908C5 -:040148008082890523 -:040149000105071392 -:04014A000680079391 -:04014B000793E1999C -:04014C00C91C0200C8 -:04014D008B89431C3B -:04014E004548FFF52C -:04014F00C54C808299 -:04015000010507138B -:04015100050007930B -:0401520047C1E211AE -:04015300431CC91C64 -:04015400FFF58B899F -:04015500811D4908B7 -:040156008082890515 -:040157000693478143 -:04015800C36302C0BB -:04015900808200C7D9 -:04015A000105081380 -:04015B002703C91499 -:04015C008B09000803 -:04015D00C599FF6DD4 -:04015E0000C52803AD -:04015F0000F58733ED -:040160000107002370 -:04016100BFF107855E -:0401620046F147819A -:0401630000C7C363AB -:0401640087338082DB -:04016500470300F557 -:040166000813000773 -:04016700C558010571 -:040168002703C9148C -:040169008B090008F6 -:04016A000785FF6D99 -:04016B0047EDB7C5E0 -:04016C0002F5053360 -:04016D005533479D22 -:04016E00E46302F54F -:04016F00450100A79F -:040170000001808288 -:04017100BFD507856A -:04017200451CC1194E -:0401730067DDEF91C4 -:040174003A07A7831C -:040175001141C395DC -:040176009782C606A0 -:0401770047D940B272 -:040178005529C11C28 -:04017900808201413E -:04017A00C14C419C97 -:04017B00419CC11CC6 -:04017C00C188C3C8AB -:04017D008082450136 -:04017E00051365619F -:04017F0047D98D458A -:040180005529C11C20 -:040181000000808278 -:0401820000B567332A -:04018300FFF00393F3 -:0401840000377713B6 -:0401850010071063EC -:040186007F7F87B739 -:04018700F7F787936C -:040188000005260345 -:040189000005A68344 -:04018A0000F672B356 -:04018B0000F66333E4 -:04018C0000F282B348 -:04018D000062E2B377 -:04018E0010729263F6 -:04018F0008D6166315 -:0401900000452603FD -:040191000045A683FC -:0401920000F672B34E -:0401930000F66333DC -:0401940000F282B340 -:040195000062E2B36F -:040196000C729E63E6 -:0401970006D616630F -:0401980000852603B5 -:040199000085A683B4 -:04019A0000F672B346 -:04019B0000F66333D4 -:04019C0000F282B338 -:04019D000062E2B367 -:04019E000C729863E4 -:04019F0004D6166309 -:0401A00000C526036D -:0401A10000C5A6836C -:0401A20000F672B33E -:0401A30000F66333CC -:0401A40000F282B330 -:0401A5000062E2B35F -:0401A6000C729263E2 -:0401A70002D6166303 -:0401A8000105260324 -:0401A9000105A68323 -:0401AA0000F672B336 -:0401AB0000F66333C4 -:0401AC0000F282B328 -:0401AD000062E2B357 -:0401AE000A729C63D2 -:0401AF0001450513EE -:0401B00001458593ED -:0401B100F4D60EE38F -:0401B2000106171318 -:0401B3000106979317 -:0401B40000F71E63CF -:0401B50001065713D5 -:0401B6000106D793D4 -:0401B70040F70533D5 -:0401B8000FF5759337 -:0401B9000205906348 -:0401BA00000080675A -:0401BB0001075713CE -:0401BC000107D793CD -:0401BD0040F70533CF -:0401BE000FF5759331 -:0401BF000005946340 -:0401C0000000806754 -:0401C1000FF77713AA -:0401C2000FF7F793A9 -:0401C30040F70533C9 -:0401C4000000806750 -:0401C50000054603E8 -:0401C6000005C683E7 -:0401C7000015051307 -:0401C8000015859306 -:0401C90000D61463E5 -:0401CA00FE0616E334 -:0401CB0040D60533E2 -:0401CC000000806748 -:0401CD0000450513D1 -:0401CE0000458593D0 -:0401CF00FCD61CE35B -:0401D0000000051313 -:0401D1000000806743 -:0401D200008505138C -:0401D300008585938B -:0401D400FCD612E360 -:0401D500000005130E -:0401D600000080673E -:0401D70000C5051347 -:0401D80000C5859346 -:0401D900FAD618E357 -:0401DA000000051309 -:0401DB000000806739 -:0401DC000105051301 -:0401DD000105859300 -:0401DE00F8D61EE34E -:0401DF000000051304 -:0401E0000000806734 -:0401E100120D07B73D -:0401E20087931101ED -:0401E300C03E2827CB -:0401E4000793CE06A9 -:0401E500E219F80023 -:0401E6000400079377 -:0401E7000323069E4A -:0401E800E79300A1F8 -:0401E900E693008712 -:0401EA008B0D0086F3 -:0401EB004505858AB7 -:0401EC0000F10223F9 -:0401ED0000D102A398 -:0401EE0000E103A386 -:0401EF00C602C4027E -:0401F00040F226C1F2 -:0401F10080826105A2 -:0401F20047021101AE -:0401F300080007B742 -:0401F4008FF9CE06AB -:0401F500110A0737AD -:0401F6001047071394 -:0401F700C03E8FD99E -:0401F80000414783F8 -:0401F9000037E79351 -:0401FA0000F10223EB -:0401FB00C111479552 -:0401FC009713478D81 -:0401FD00478300270D -:0401FE00858A0041AD -:0401FF009B8D45058A -:0402000002238FD96D -:04020100578300F12E -:04020200F79300610D -:04020300132370074A -:04020400219900F14B -:04020500610540F25D -:0402060011418082A0 -:040207001437C422C2 -:04020800C226000208 -:0402090084AA46017C -:04020A0004C0059394 -:04020B0004040513CF -:04020C0039C9C60620 -:04020D000FF4F59362 -:04020E00051346018D -:04020F0033010404AF -:0402100004C005938E -:0402110004040513C9 -:04021200396D4605F7 -:0402130004040513C7 -:0402140040B244228E -:040215004585449245 -:04021600B1E9014108 -:04021700C4221141AB -:040218000002143795 -:04021900C04AC226EF -:04021A00892A84AEFB -:04021B000593460100 -:04021C00051304C002 -:04021D00C606040409 -:04021E007593317132 -:04021F0046010FF98C -:0402200004040513BA -:040221000513396D1B -:04022200442204046A -:04022300490240B29A -:04022400449285A6D5 -:040225000141460548 -:040226001141B15D74 -:040227003FB5C60613 -:04022800751340B258 -:0402290001410FF58B -:04022A00114180827C -:04022B00377DC6064F -:04022C00450140B296 -:04022D008082014189 -:04022E00CC221101CC -:04022F00C84ACA26C9 -:0402300084AACE06C8 -:040231004401892ECD -:0402320000C4496358 -:04023300446240F2EF -:04023400494244D225 -:040235006105450119 -:0402360007B3808208 -:04023700C5830089F2 -:040238008533000703 -:0402390075130084B5 -:04023A00C6320FF5C4 -:04023B0004053F85F2 -:04023C00BFD94632AE -:04023D003E80079365 -:04023E0002F505338D -:04023F00823FF06F9B -:0402400045811141A2 -:04024100C606453D6B -:0402420040B2374D42 -:0402430005134581D9 -:04024400014106105E -:040245001141BF594B -:04024600645DC4220D -:0402470047840413D1 -:0402480000244583C6 -:04024900C60645158B -:04024A004783374966 -:04024B0005930024F3 -:04024C00451103D085 -:04024D009713839DE3 -:04024E0047830027BB -:04024F009BED00C45F -:0402500006238FD919 -:04025100379500F4E9 -:04025200376D4505BA -:04025300451145F517 -:0402540005933FA926 -:04025500051303008A -:040256003F810610CE -:040257000B200593E0 -:0402580037A1452560 -:040259000F8005937A -:04025A00378145297A -:04025B000370059394 -:04025C003F25452DC8 -:04025D00453D458155 -:04025E0045813F0D8A -:04025F000C900513E7 -:040260004581372D70 -:040261000CA00513D5 -:040262004581370D8E -:040263000CB00513C3 -:0402640045813F2968 -:040265000CC00513B1 -:0402660045813F0986 -:040267000CD005139F -:04026800458137296C -:040269000CE005138D -:04026A00458137098A -:04026B000CF005137B -:04026C0045813DED9E -:04026D000D00051368 -:04026E0045833DCDBA -:04026F0044220044E1 -:04027000051340B280 -:0402710001410E1029 -:040272007179B5CD1C -:04027300D226D42299 -:04027400CE4ED04A50 -:040275003E800413B0 -:040276004785D606DC -:04027700892E89AA99 -:040278000285543374 -:040279009563648D98 -:04027A00648900F59E -:04027B0080048493E4 -:04027C00453D458532 -:04027D003D55C632F3 -:04027E00051345819E -:04027F00357503309E -:040280000084D5938E -:04028100034005131E -:040282004581354D30 -:04028300035005130C -:0402840075933D69C8 -:0402850005130FF45A -:040286003D410300F3 -:040287000084559307 -:040288000FF5F593E6 -:040289000310051346 -:04028A00559335490A -:04028B00F5930104E2 -:04028C0005130FF552 -:04028D003D95032078 -:04028E00453D458124 -:04028F00458135BDB3 -:040290000C500513F6 -:0402910064DD359D56 -:040292004784879383 -:040293000137A42368 -:040294008493478583 -:04029500440947844D -:040296000363463286 -:04029700442900F9FD -:0402980082234785F1 -:0402990083A300F447 -:04029A004705008490 -:04029B00036347BDF5 -:04029C00478100E6B0 -:04029D0000F482A344 -:04029E000C000593B8 -:04029F000E00051335 -:0402A0004785352D2C -:0402A10005900513AC -:0402A2000AF91B63D7 -:0402A30075933539E1 -:0402A400E5930FC50A -:0402A5000513001528 -:0402A6003D01059081 -:0402A7003BF54511CD -:0402A8000EB5759387 -:0402A90035114511B5 -:0402AA000044C583C4 -:0402AB000E10051319 -:0402AC0005933BED8E -:0402AD0005130E40E7 -:0402AE003BC50E201E -:0402AF000054C583AF -:0402B0000E300513F4 -:0402B10033D589BDFB -:0402B2000E80059322 -:0402B3000E400513E1 -:0402B40045853BE958 -:0402B5003BD1453DB7 -:0402B6000513458166 -:0402B70033F1091006 -:0402B8000513458164 -:0402B90033D1092014 -:0402BA00051345855E -:0402BB003B75093056 -:0402BC000513458160 -:0402BD003B55094064 -:0402BE000C04659334 -:0402BF00098005139A -:0402C0004593336DC2 -:0402C10005920FF49F -:0402C20000B5E5930B -:0402C3000FB5F593EB -:0402C4000990051385 -:0402C50045813B59DB -:0402C6003B41453D36 -:0402C7000C1005937F -:0402C8000E0005130C -:0402C900079333590B -:0402CA008323FC107E -:0402CB0050B200F439 -:0402CC0054925422D2 -:0402CD0049F2590297 -:0402CE00614545053C -:0402CF003BB180823D -:0402D0000FC575934E -:0402D1001141BF898F -:0402D200C606451106 -:0402D300659333B943 -:0402D400F593008519 -:0402D50045110FF5CB -:0402D60040B23B896E -:0402D7000300059388 -:0402D80006100513F4 -:0402D900B39101419B -:0402DA004511114178 -:0402DB003335C606EB -:0402DC00659340B234 -:0402DD00F593004550 -:0402DE0045110FF5C2 -:0402DF00B3350141F1 -:0402E000C6061141FC -:0402E100E911C42239 -:0402E200051345813A -:0402E3003B310CD0CF -:0402E40040B24505DA -:0402E500014144226D -:0402E600842E808260 -:0402E700D9F54501FF -:0402E800453D4585C6 -:0402E90045833319FD -:0402EA0005130034C4 -:0402EB0039F505805C -:0402EC000044458302 -:0402ED000590051360 -:0402EE00458339CD3E -:0402EF00051300549F -:0402F00031E505A04F -:0402F10000644583DD -:0402F20005B005133B -:0402F300458339F90D -:0402F400051300747A -:0402F50039D105C036 -:0402F60000844583B8 -:0402F70005E0051306 -:0402F800458331E920 -:0402F9000513009455 -:0402FA0031C105F019 -:0402FB0000A4458393 -:0402FC0006000513E0 -:0402FD004583395D9F -:0402FE00051300B430 -:0402FF00317506103F -:0403000000C445836D -:0403010006200513BA -:040302004583314DB1 -:04030300051300D40A -:040304003961063025 -:0403050000E4458348 -:040306000640051395 -:040307004583317980 -:04030800051300F4E5 -:040309003151065018 -:04030A000034079321 -:04030B0004414581E3 -:04030C000007C7031C -:04030D008D9907853A -:04030E000FF5F5935F -:04030F00FEF41AE3FB -:0403100006F58593D6 -:040311000FF5F5935C -:0403120005D00513FA -:04031300458139B92E -:0403140039A1453D89 -:04031500BF15458D3E -:04031600C6061141C5 -:04031700E911C42202 -:040318000513458103 -:0403190031910CE032 -:04031A0040B24505A3 -:04031B000141442236 -:04031C00842E808229 -:04031D00D9F54501C8 -:04031E00453D45858F -:04031F004583313DA4 -:04032000051300348D -:04032100311506800C -:0403220000444583CB -:040323000690051328 -:0403240045833929AB -:040325000513006458 -:04032600390106B0E3 -:040327000074458396 -:0403280006C00513F3 -:0403290045833119BE -:04032A0047830034D1 -:04032B000513004472 -:04032C0097AE06D0B2 -:04032D00071005931D -:04032E0047838D9DD7 -:04032F008D9D00544C -:04033000006447839B -:0403310047838D9DD4 -:040332008D9D007429 -:040333000FF5F5933A -:0403340045813EE9D8 -:040335003ED1453D33 -:04033600B761458DD9 -:04033700C42211418A -:04033800842A45814D -:04033900C606453D72 -:04033A00051336C9A8 -:04033B0036750C10F7 -:04033C0000803433D6 -:04033D000FE57593C0 -:04033E0005138DC155 -:04033F0036750C10F3 -:0403400040B2442261 -:040341000513458DCE -:0403420001410C6009 -:040343007179BE7995 -:04034400D04AD226A3 -:04034500892A84AECF -:04034600451145F523 -:04034700CC52CE4E78 -:04034800D606C636D9 -:04034900CA56D4229A -:04034A0036418A327C -:04034B0069DD46B270 -:04034C004789871343 -:04034D0097938A8573 -:04034E0046830016CC -:04034F00899300C7C7 -:040350009AF547894A -:0403510006238EDD14 -:040352008A8900D7BD -:040353004505C29901 -:0403540005133771E5 -:04035500C4030700D6 -:0403560036810039B3 -:040357000034FA93E1 -:0403580075934785CD -:040359008663003582 -:04035A00478908FACD -:04035B0008FA8663B3 -:04035C00008477930F -:04035D00E593C399C8 -:04035E00779302058A -:04035F00C39900142A -:040360000105E5931B -:04036100002477936A -:04036200E593C399C3 -:040363008811008578 -:04036400E593C01944 -:040365000513004537 -:040366003E0107004D -:040367001A0A9B6370 -:04036800003A7A13CA -:040369000C63478555 -:04036A00478904FAC1 -:04036B001AFA136304 -:04036C0001849793DE -:04036D00440187E1DF -:04036E000007D4634D -:04036F000A00041369 -:040370000304F493FB -:0403710002000793EC -:0403720014F48C6390 -:0403730003000793E9 -:0403740004F48363A7 -:0403750065DD47C13A -:0403760016F4916385 -:040377008593460D17 -:0403780005133AC56A -:040379003CC9073044 -:04037A00464965DDAE -:04037B00C8C58593D9 -:04037C00E593A83528 -:04037D00BFAD040507 -:04037E000805E593F6 -:04037F009793BF95FC -:0403800087E101848C -:040381000404F41369 -:04038200FA07DCE3B7 -:04038300FA04641301 -:040384000FF47413EB -:0403850065DDB77506 -:040386008593460D08 -:0403870005133B051A -:040388003C590730A5 -:04038900464965DD9F -:04038A00CA05859388 -:04038B0007600513EF -:04038C00A89FF0EF47 -:04038D000513448987 -:04038E00F0EF072065 -:04038F007593A61F9D -:040390008C4501C5D2 -:0403910005138DC102 -:04039200F0EF072061 -:04039300C783A61F57 -:04039400458500C9D2 -:04039500E3918B89DC -:040396000513458185 -:04039700F0EF0C0077 -:0403980045D5A4DFC4 -:04039900F0EF45112B -:04039A0045C1A45F56 -:04039B000610051330 -:04039C00A3BFF0EF1C -:04039D001163478918 -:04039E0005930EF9BC -:04039F0005130880BA -:0403A000F0EF062054 -:0403A10045C1A29F11 -:0403A2000630051309 -:0403A300A1FFF0EFD7 -:0403A4000840059375 -:0403A50006400513F6 -:0403A600A13FF0EF94 -:0403A700F0EF450529 -:0403A8004511A57FD7 -:0403A9009F7FF0EF53 -:0403AA0001D5759371 -:0403AB00F0EF451119 -:0403AC0005139FDFB7 -:0403AD00F0EF064027 -:0403AE004511A3FF53 -:0403AF009DFFF0EFCF -:0403B00001557593EB -:0403B100F0EF451113 -:0403B20004139E5F33 -:0403B3004539064082 -:0403B4009CBFF0EF0B -:0403B500E901894190 -:0403B6000442147D6C -:0403B7008041450537 -:0403B800A15FF0EF62 -:0403B9004581F46D19 -:0403BA00F0EF4531EA -:0403BB0005939C1FEB -:0403BC0045350400BF -:0403BD009B7FF0EF43 -:0403BE0045394585F3 -:0403BF009AFFF0EFC2 -:0403C00045394581F5 -:0403C1009A7FF0EF40 -:0403C2009F9FF0EF1A -:0403C300542250B2BE -:0403C40059025492F4 -:0403C5004A6249F24D -:0403C60045054AD2CD -:0403C700808261458A -:0403C800460D65DD9C -:0403C9003B058593D8 -:0403CA0007300513E0 -:0403CB0098DFF0EFD8 -:0403CC00464965DD5C -:0403CD00C7858593C8 -:0403CE00460DBDD546 -:0403CF003AC5859313 -:0403D00007300513DA -:0403D100975FF0EF53 -:0403D200464965DD56 -:0403D300C645859303 -:0403D4004401BDF132 -:0403D500B5C54481E5 -:0403D600051345E1E5 -:0403D700F0EF06201D -:0403D80045C194DFA8 -:0403D90006300513D2 -:0403DA00943FF0EF6D -:0403DB00B71D45B154 -:0403DC00C4221141E5 -:0403DD00842AC22686 -:0403DE00453D84AE67 -:0403DF00C606458188 -:0403E00092BFF0EFE9 -:0403E100059005136B -:0403E200913FF0EF68 -:0403E30002F5759317 -:0403E400041AE4997A -:0403E500F5938DC13E -:0403E600E5930FF597 -:0403E70044220105A6 -:0403E800449240B249 -:0403E9000590051363 -:0403EA00F06F01416E -:0403EB0067DD901F1B -:0403EC00B8878793B4 -:0403ED002423675D01 -:0403EE00A70348F722 -:0403EF0005130C875F -:0403F000CB01F380CA -:0403F1000D47A7030A -:0403F200100007935D -:0403F30000F7136399 -:0403F40080824501BD -:0403F50067DD11416E -:0403F60086AEC226E7 -:0403F70085AA84AEA1 -:0403F8004887A5038A -:0403F900C04AC42210 -:0403FA008432C6067D -:0403FB00BF2FF0EF31 -:0403FC00F37009137E -:0403FD00892AE5095B -:0403FE00996394A2C9 -:0403FF0040B2008484 -:04040000854A4422C3 -:0404010049024492D6 -:0404020080820141B2 -:0404030000044503A9 -:0404040020EF0405DC -:040405000FA35BC026 -:04040600B7C5FEA4D4 -:04040700CC221101F1 -:04040800C64EC84ACA -:04040900CE06C45205 -:04040A00C256CA26E6 -:04040B000FF67793DE -:04040C008A2E892A81 -:04040D001993843289 -:04040E00C795008608 -:04040F000AB384CADE -:040410009563012AC5 -:0404110067DD049A05 -:040412004887A5036F -:04041300F0047593E9 -:0404140086CA8752BB -:0404150005A2864E68 -:040416009FEFF0EF75 -:04041700CD0987AADA -:04041800F350079303 -:0404190067DDA811E2 -:04041A004887A50367 -:04041B00F0EF85CEAB -:04041C00079399CFDA -:04041D00D179F3603E -:04041E00446240F202 -:04041F00494244D238 -:040420004A2249B271 -:04042100853E4A9238 -:04042200808261056E -:040423000004C50309 -:0404240020EF04853C -:040425008FA353C08E -:04042600B765FEA414 -:04042700CC221101D1 -:04042800C64EC84AAA -:04042900C256C452A1 -:04042A00CA26CE060A -:04042B008A32892E5A -:04042C00440189B648 -:04042D000A93478166 -:04042E0060631000F7 -:04042F00450103245C -:040430000147846399 -:04043100F34005137C -:04043200446240F2EE -:04043300494244D224 -:040434004A2249B25D -:0404350061054A9281 -:0404360004B3808209 -:04043700F4634089A1 -:040438000493009A8F -:04043900864E1000DB -:04043A00852285A6EC -:04043B00FD6935E53D -:04043C00001436135F -:04043D00854E85A6BD -:04043E00536020EFF8 -:04043F00041387AA71 -:04044000BF65100480 -:04044100CC221101B7 -:04044200C62A645D05 -:0404430048C4051391 -:0404440030EFCE06C1 -:0404450045B25480E8 -:040446000713E909A6 -:0404470046812000CA -:040448000513460151 -:0404490030EF48C484 -:04044A0040F267C055 -:04044B0061054462A1 -:04044C0065DD808268 -:04044D008593655DD1 -:04044E0005134E053F -:04044F00206F4A05CB -:0404500065DD75C031 -:040451008593655DCD -:040452000513540535 -:04045300206F4B4586 -:04045400114174C01E -:04045500C606C422F1 -:04045600F0EF842A15 -:040457004581A0FF3C -:04045800F0EF45017B -:04045900E435AF7F58 -:04045A000713675DC0 -:04045B0043484F477C -:04045C00019C07B741 -:04045D00CC078793AE -:04045E0002A7D7B367 -:04045F0000A70603E9 -:04046000450345E922 -:0404610066DD01074C -:040462005DC686935A -:040463000413645DBD -:04046400063355C442 -:04046500458302B613 -:0404660087B30264F2 -:0404670096B202A7A0 -:0404680000E6D503D2 -:0404690002744603D0 -:04046A0002A787B3AB -:04046B0000C745037E -:04046C0087B3050548 -:04046D00450302A79A -:04046E00050500E799 -:04046F0002F505335A -:0404700080BFF0EF6A -:0404710002644503D9 -:0404720040B244222E -:04047300F06F0141E4 -:0404740040B2DFAF04 -:0404750001414422DB -:04047600114180822E -:04047700892AC04AC4 -:04047800C60645056A -:04047900C226C422B1 -:04047A00AF5FF0EF91 -:04047B0095BFF0EF4A -:04047C004501458170 -:04047D0098DFF0EF25 -:04047E000019369398 -:04047F00458146016C -:04048000F0EF45054F -:040481001563B0DF70 -:04048200645D0209AA -:04048300041364DD1D -:0404840084934F44CA -:04048500470355C410 -:04048600C68300F435 -:0404870046010164C5 -:040488004501458164 -:04048900D60FF0EFAB -:04048A000164C783BF -:04048B0002F406A3CE -:04048C003705854A61 -:04048D0040B2442213 -:04048E004902449249 -:04048F0001414501E1 -:04049000A9DFF06F81 -:04049100C606114149 -:04049200ED2DC42266 -:04049300C78367DDD7 -:0404940047214C5759 -:0404950004E78C6389 -:0404960089634741EE -:04049700471100E722 -:0404980004E79E6374 -:04049900453020EFDB -:04049A00A0A14505D3 -:04049B000713675D7F -:04049C0043504F4733 -:04049D00019C07B700 -:04049E00CC0787936D -:04049F0002C7D7B306 -:0404A00000A70603A8 -:0404A100675D45E965 -:0404A2005DC7071318 -:0404A300063386AAEC -:0404A400973202B6D3 -:0404A50000E7570312 -:0404A60002E787B32F -:0404A70001C9C73789 -:0404A80037F7071308 -:0404A90000F7636392 -:0404AA00853646BD90 -:0404AB0040B020EF4E -:0404AC004422450D94 -:0404AD00014140B217 -:0404AE007C40206FFF -:0404AF003FB020EF4B -:0404B000BFC545017E -:0404B100871367DD69 -:0404B200468355C761 -:0404B30047090207EC -:0404B40055C7841391 -:0404B5008363453DDB -:0404B600450100E616 -:0404B7003DB020EF45 -:0404B8000204478370 -:0404B900450D47099D -:0404BA00FCF775E3F3 -:0404BB008D1D451539 -:0404BC000FF57513B0 -:0404BD007179BF7D15 -:0404BE00D60667896E -:0404BF00D226D4224B -:0404C000CE4ED04A02 -:0404C100CA56CC52F9 -:0404C200C65EC85AF0 -:0404C300C266C462E7 -:0404C40071078793A2 -:0404C50000021737E3 -:0404C6000C07268376 -:0404C7000006D463F4 -:0404C800FBFD17FD24 -:0404C900643020EF8C -:0404CA0087936BDDCC -:0404CB00C4834F4B4C -:0404CC008A2A00B7C1 -:0404CD004F4B8413FA -:0404CE0017B7C499FF -:0404CF00A483000200 -:0404D00080C10C07D4 -:0404D1000513888DFA -:0404D20020EF0370A4 -:0404D3008AAA7B0076 -:0404D4000380051389 -:0404D5007A6020EF3A -:0404D600199367858A -:0404D7008793008582 -:0404D800F9B3F0077D -:0404D900579300F93C -:0404DA008B850065A9 -:0404DB000513892A52 -:0404DC0004A30390E2 -:0404DD0020EF00F418 -:0404DE008CAA78402C -:0404DF0003A005135E -:0404E00077A020EFF2 -:0404E100000217B747 -:0404E2000C07A783D9 -:0404E300E9B3470929 -:0404E4008B630159CC -:0404E500470302E4E3 -:0404E600E70900B46E -:0404E70018F00713EF -:0404E80003377463FF -:0404E9009D634705C3 -:0404EA00D99300E4BE -:0404EB00F993012759 -:0404EC0009857FF906 -:0404ED001909B7131F -:0404EE000017471399 -:0404EF00A8114C0103 -:0404F0000209791371 -:0404F100FE0908E315 -:0404F2000C89B713A7 -:0404F3000017471394 -:0404F40046834C05EA -:0404F50067DD00B40B -:0404F60087936ADDA1 -:0404F700849346871D -:0404F8006B5D55CA19 -:0404F90018069F63DF -:0404FA00380A006359 -:0404FB0036070E634F -:0404FC00000787036B -:0404FD00D1634689F8 -:0404FE00802318E659 -:0404FF004785000726 -:0405000000F405A35B -:04050100A783491172 -:040502001A134F4B2E -:040503006505008505 -:04050400F0050513E6 -:0405050000AA7A339B -:0405060000178693C1 -:04050700019A6A33B8 -:04050800ED63675DDB -:04050900869301369E -:04050A00E963001988 -:04050B00405C00F65A -:04050C0001479663AA -:04050D00008447839C -:04050E0033878B6341 -:04050F0052070EA3DE -:0405100000C4C70359 -:0405110002344783E6 -:0405120008F71A6369 -:0405130000D4C70346 -:0405140002444783D3 -:0405150008F714636C -:0405160000E4C70333 -:0405170002544783C0 -:0405180006F71E6361 -:0405190000F4C70320 -:04051A0002644783AD -:04051B0006F7186364 -:04051C000104C7030C -:04051D00027447839A -:04051E0006F7126367 -:04051F000074C7039A -:0405200001E4478328 -:0405210004F71C635C -:040522000084C70387 -:0405230001F4478315 -:0405240004F716635F -:040525000094C70374 -:040526000204478301 -:0405270004F7106362 -:0405280000A4C70361 -:0405290002144783EE -:04052A0002F71A6357 -:04052B0000B4C7034E -:04052C0002244783DB -:04052D0002F714635A -:04052E000194C7036A -:04052F0003044783F7 -:0405300000F71E634F -:0405310001A4C70357 -:0405320003144783E4 -:0405330000F7186352 -:040534000244C703B3 -:0405350003B4478341 -:0405360000F709635E -:0405370087CA47091F -:0405380000E9736300 -:04053900F9134789E2 -:04053A00C7030FF7ED -:04053B00478301747D -:04053C00086302E46A -:04053D00078302F737 -:04053E00476900A465 -:04053F0002E787B395 -:040540000713675DD9 -:0405410097BA5DC741 -:040542000107D703D3 -:0405430020D007932A -:0405440000F7196340 -:0405450087CA470911 -:0405460000E97363F2 -:04054700F9134789D4 -:0405480047830FF7DF -:04054900C3B1555B8A -:04054A0000A40703FF -:04054B0067DD46E939 -:04054C0002D7073398 -:04054D005DC787936C -:04054E00010445035C -:04054F00010A1593F5 -:04055000460181C11E -:04055100D70397BA7B -:04055200053300E786 -:04055300470302E573 -:040554000542030455 -:04055500C709814110 -:040556000187D60340 -:040557008A0582058A -:04055800754020EFDB -:04055900000913631F -:04055A00AA23490582 -:04055B0022234F3BCD -:04055C00042301442F -:04055D00A035018440 -:04055E0080230705EA -:04055F00490100E767 -:040560000463B55922 -:040561001163000A18 -:0405620086831E0767 -:0405630056710007C6 -:040564001CC6D76377 -:0405650000078023E8 -:04056600000405A3E5 -:0405670014E349113F -:04056800C703E607D8 -:04056900478355CAA5 -:04056A001E63017497 -:04056B00C70306F7C5 -:04056C0047830014AD -:04056D00186301848A -:04056E00C70306F7C2 -:04056F00478300249A -:04057000126301947D -:04057100C70306F7BF -:040572004783003487 -:040573001C6301A460 -:04057400C70304F7BE -:040575004783004474 -:04057600166301B453 -:04057700C70304F7BB -:040578004783005461 -:04057900106301C446 -:04057A00C70304F7B8 -:04057B00478301248D -:04057C001A63029468 -:04057D00C70302F7B7 -:04057E00478301347A -:04057F00146302A45B -:04058000C70302F7B4 -:040581004783014467 -:040582001E6302B43E -:04058300C70300F7B3 -:040584004783011494 -:040585001863028471 -:04058600C70300F7B0 -:040587004783025450 -:04058800056303C440 -:04058900136300F701 -:04058A004905000916 -:04058B000184C5031F -:04058C0002F44783AB -:04058D0000A78B63D5 -:04058E00010445839C -:04058F0011F020EF58 -:0405900000A408A318 -:0405910000091363E7 -:04059200C50349054F -:04059300478301C4D5 -:040594008463033445 -:0405950020EF00A7AC -:04059600C50313D0B6 -:04059700478301D4C1 -:040598008463034431 -:0405990020EF00A7A8 -:04059A00C5035D40F8 -:04059B00478301E4AD -:04059C00846303541D -:04059D0020EF00A7A4 -:04059E00C5035CE055 -:04059F004783021478 -:0405A000C583038488 -:0405A1009663022437 -:0405A200478300A7E4 -:0405A30084630394D6 -:0405A40020EF00B78D -:0405A500C50358A092 -:0405A600478301B4D2 -:0405A7008B6303243B -:0405A80047E100A780 -:0405A90002F505331F -:0405AA00879367D9F3 -:0405AB00953E7E8774 -:0405AC0070E020EFEC -:0405AD000204C5037C -:0405AE000374478308 -:0405AF0000A78463BA -:0405B000B85FF0EF51 -:0405B10001F4C50389 -:0405B2000364478314 -:0405B30000A78463B6 -:0405B40000B020EF84 -:0405B50069DD65DDBA -:0405B6008593461DC6 -:0405B7008513534510 -:0405B80030EF58596F -:0405B900C50923E06D -:0405BA0058598513F4 -:0405BB00560020EFD7 -:0405BC000264C7030B -:0405BD0003D4478399 -:0405BE0000F71863C7 -:0405BF000274C703F8 -:0405C00003E4478386 -:0405C10000F70663D6 -:0405C2000154C50318 -:0405C300A47FF0EF32 -:0405C400C78367DDA5 -:0405C500CF81556726 -:0405C6000284C503E3 -:0405C70003F447836F -:0405C80000A787639E -:0405C9000562155161 -:0405CA0020EF856138 -:0405CB0085930240D2 -:0405CC00051355CAF4 -:0405CD00061301749C -:0405CE0030EF0310F7 -:0405CF0050B22160A5 -:0405D0000AA3542204 -:0405D100854A540BF8 -:0405D20059025492E4 -:0405D3004A6249F23D -:0405D4004B424AD27A -:0405D5004C224BB2B7 -:0405D60061454C929D -:0405D70016FD80820B -:0405D80000D78023A5 -:0405D900BD254901F2 -:0405DA000007802373 -:0405DB004783BFE5AE -:0405DC00468553D726 -:0405DD00CCD786E30E -:0405DE00F793078503 -:0405DF000EA30FF761 -:0405E0009FE352F74C -:0405E1004709CAD725 -:0405E200736387CAEE -:0405E300478900E95B -:0405E4000FF7F91301 -:0405E500675DB17528 -:0405E600C6221141D7 -:0405E7004F470693E1 -:0405E80000A68E03D8 -:0405E90068DD45E99B -:0405EA0002BE05B395 -:0405EB000176C503CD -:0405EC005DC88793CC -:0405ED000106C6033A -:0405EE000713468920 -:0405EF0088934F4757 -:0405F00097AE5DC89D -:0405F1000147C303F8 -:0405F20000C7D80363 -:0405F3000137C58384 -:0405F40018D514639F -:0405F50001874683B1 -:0405F600F6930685ED -:0405F70055030FF6A3 -:0405F8004F830147E5 -:0405F90047910227FD -:0405FA0080050E93D7 -:0405FB00020E826307 -:0405FC008E936785EE -:0405FD00E56380072B -:0405FE0007931AAE97 -:0405FF00096302008A -:04060000E1631CF5A1 -:040601004EC118A727 -:0406020003634791B6 -:0406030087B201D5E4 -:040604004E83655D5F -:0406050045214C55EA -:0406060000AE966349 -:0406070003420306A1 -:040608000103531384 -:04060900012745037D -:04060A0078634F05BD -:04060B000333006550 -:04060C001F1340A3D5 -:04060D005F13010373 -:04060E004569010F2A -:04060F0002AE0533FF -:0406100040F602B3FB -:0406110044054E0945 -:040612005E83954628 -:04061300450300A5F6 -:040614008333012506 -:0406150003B303D256 -:04061600433300AFBB -:040617004E0303C3C8 -:0406180082B3029710 -:040619000342025343 -:04061A000103531372 -:04061B00200003B701 -:04061C0003C78E334F -:04061D0093169E1A78 -:04061E0000D742833C -:04061F0003420E4242 -:04062000010E5E1356 -:04062100010353136B -:04062200936302FAE2 -:040623004381008F80 -:0406240002A60533F2 -:0406250000100FB7FB -:04062600800F8F931F -:040627000E4E07C2AA -:0406280005AE06EE27 -:040629000EB3443296 -:04062A00052E03D6C0 -:04062B0001F575332D -:04062C0003E60633A8 -:04062D007FFEFE93BB -:04062E00005EEEB3C9 -:04062F0001D5653359 -:040630000FF00EB702 -:0406310076330652C4 -:040632008E4901D616 -:0406330000766633B4 -:040634000002153774 -:040635000AC52823A7 -:04063600011746035F -:0406370001074E83E6 -:040638000EAA0636CA -:0406390001D666334D -:04063A00E7B38FD1C2 -:04063B00E7B301C759 -:04063C002023006710 -:04063D0047830AF5F0 -:04063E00460300C7A8 -:04063F00436901C743 -:04064000066A07F649 -:0406410046038FD10C -:04064200065202A7B3 -:0406430006038FD14A -:04064400063300A7D2 -:0406450098B20266FF -:040646000158C6038E -:040647008FD1064603 -:04064800E6B38EDDAA -:040649008ECD01064B -:04064A0008D5282384 -:04064B0003C7478317 -:04064C0002B7468328 -:04064D0006AA07BA38 -:04064E0046838FD57B -:04064F008FD501B78B -:0406500001A7468335 -:0406510001974703C3 -:040652008FD506A694 -:040653008FD9071222 -:0406540008F5202362 -:04065500808201415D -:0406560046814E8506 -:04065700E9D511E3ED -:040658000187D5033E -:0406590000C746830D -:04065A00C509890540 -:04065B00E60689E343 -:04065C00B5B5468D5D -:04065D00E60685E345 -:04065E000177C683D7 -:04065F0036B316F1A7 -:04066000BDB100D058 -:0406610010000E93E4 -:0406620003E3478DDA -:040663000793E9D53B -:040664001EE3200071 -:040665004503E6F56E -:04066600479102872F -:04066700A8358F899A -:040668000F636E8925 -:04066900E86303D56A -:04066A0012E300AEE9 -:04066B004503E6F568 -:04066C004795028725 -:04066D006791B7E5F5 -:04066E0002F50F631F -:04066F0018E367A184 -:040670004503E4F565 -:04067100479902871C -:04067200F7938F89E2 -:04067300A8110FF7C4 -:0406740002874503B1 -:040675008F89478D95 -:04067600F793078669 -:04067700BD0D0FF7AF -:0406780097E387B2CB -:040679001821E20F53 -:04067A00084205B17C -:04067B00581305C249 -:04067C0081C101082F -:04067D004795BD31AF -:04067E001101B7EDC2 -:04067F00C64E67DD1F -:0406800069DD4705E4 -:0406810052E78EA30B -:040682004F498793C2 -:0406830043C4CA267C -:04068400CE06CC22B0 -:04068500C452C84A49 -:040686008413C256C1 -:040687008A634F49EA -:04068800A783180428 -:0406890086634F49EC -:04068A00C83718074E -:04068B000813A0EEC2 -:04068C005833B00827 -:04068D00478302F8A5 -:04068E000A370084A3 -:04068F000A13019CAD -:040690005A33CC0A03 -:040691008463029AE2 -:0406920054B3160740 -:0406930005130298B1 -:0406940020EF03B0A0 -:04069500892A0A8024 -:0406960003C0051385 -:0406970009E020EF67 -:04069800016447832F -:040699000084460390 -:04069A00002797138B -:04069B00879367D901 -:04069C0097BAB3470F -:04069D00A7034394D8 -:04069E0007934F4926 -:04069F00E219070055 -:0406A0000690079326 -:0406A10000016637B7 -:0406A2000613655D79 -:0406A30045C5BD8606 -:0406A4004B450513AA -:0406A500795020EF79 -:0406A6003E800693F9 -:0406A70006400813EE -:0406A800655D47291C -:0406A900051345C52B -:0406AA00763354054A -:0406AB00DAB302DAE2 -:0406AC0057330304B9 -:0406AD00663702E6C4 -:0406AE0087D60001EA -:0406AF00BE0606136A -:0406B0000304F83314 -:0406B10002DA56B360 -:0406B200761020EFAF -:0406B300C78367DDB5 -:0406B400E3995D97D2 -:0406B500E6EFF0EF8D -:0406B600C68364DDB6 -:0406B70045835544DE -:0406B800A503008412 -:0406B90086564F49C9 -:0406BA006C8020EF41 -:0406BB00096357FD7B -:0406BC0005230CF511 -:0406BD00070300A48B -:0406BE0067DD00A450 -:0406BF008D2346E958 -:0406C000073348E7CD -:0406C10067DD02D718 -:0406C2005DC78793F6 -:0406C3000104468365 -:0406C4004803405057 -:0406C50097BA0304D9 -:0406C6000167C50300 -:0406C7005544C703CC -:0406C800675D8D7964 -:0406C9004CA702A395 -:0406CA0000E7D583ED -:0406CB000FF9771399 -:0406CC0002D585B31B -:0406CD000733468128 -:0406CE0005C202B7A8 -:0406CF00573381C15B -:0406D000064202C715 -:0406D10006638241F9 -:0406D200D6830008C3 -:0406D3008285018794 -:0406D40077138A8589 -:0406D50020EF0FF70C -:0406D60045034B008D -:0406D700F0EF0374C9 -:0406D8004583EE6FF9 -:0406D90045030104D0 -:0406DA0020EF02F417 -:0406DB0008A33F0031 -:0406DC00F0EF00A497 -:0406DD004783C25F2E -:0406DE00450302C40A -:0406DF00458100E46D -:0406E0004583E791D6 -:0406E1008D8900F40B -:0406E2000015B593B7 -:0406E300BE4FF0EF27 -:0406E40003A44783A1 -:0406E50002C4450303 -:0406E6004462CB851A -:0406E70044D240F2C7 -:0406E80049B2494288 -:0406E9004A924A22C5 -:0406EA00F06F610547 -:0406EB000806E30F0B -:0406EC006485BD69FB -:0406ED0084936A1177 -:0406EE000A13770470 -:0406EF00BD41D54AEA -:0406F0000523479106 -:0406F100BF0500F44D -:0406F2004703E10DCC -:0406F300468300F446 -:0406F400446202D486 -:0406F50044D240F2B9 -:0406F60049B249427A -:0406F7004A924A22B7 -:0406F80045814601F1 -:0406F900E06F610548 -:0406FA0040F2B9FF12 -:0406FB0044D244623F -:0406FC0049B2494274 -:0406FD004A924A22B1 -:0406FE008082610590 -:0406FF00C2261141BD -:04070000C50364DDEC -:04070100C42249D4F1 -:0407020010EFC60628 -:04070300842A7E20A6 -:04070400C683E121A6 -:0407050067DD49D48F -:0407060088A3472954 -:0407070067DD4ED785 -:0407080058C7C78384 -:0407090000E785631D -:04070A000F23675DF5 -:04070B0067DD48F767 -:04070C004F27C703A9 -:04070D00C701478158 -:04070E00C78367DD59 -:04070F00675D49E7F2 -:040710004D07071377 -:04071100453D97BA11 -:0407120000D7802369 -:0407130061C010EFC2 -:0407140040B2852248 -:0407150044924422A4 -:04071600808201419B -:04071700C2261141A4 -:04071800C50364DDD4 -:04071900C42249D4D9 -:04071A0010EFC60610 -:04071B00842A5FE0ED -:04071C00C683E51D8E -:04071D0067DD49D477 -:04071E004ED788A387 -:04071F00C70367DDC8 -:0407200047814F2797 -:0407210067DDC701C8 -:0407220050A7C78392 -:040723000713675DF4 -:0407240097BA4D072C -:040725008023453DAB -:0407260010EF00D7F9 -:0407270085225CE0EB -:04072800442240B275 -:0407290001414492B4 -:04072A0067DD808285 -:04072B0049A7C70310 -:04072C0067DD46E956 -:04072D0052E78E23DE -:04072E0002D70733B4 -:04072F00879367DD68 -:0407300097BA5DC750 -:0407310000E7D68384 -:040732001E23675DBE -:04073300C6834CD756 -:04073400675D0147B5 -:0407350054D71C2356 -:040736000127C6834E -:040737001C23675DBB -:04073800D68348D745 -:04073900675D00A751 -:04073A004CD716235F -:04073B000157C68319 -:04073C001323675DBF -:04073D00C6834CD74C -:04073E00675D0137BB -:04073F0054D719234F -:0407400000C7D70314 -:04074100952367DDB8 -:0407420080824CE77E -:04074300C60367DDA5 -:0407440067DD53C753 -:040745004DC7DF03BA -:04074600DE8367DD0A -:0407470067DD55878E -:040748004987CE030C -:0407490067DD675DA4 -:04074A004CC7D303C2 -:04074B004F470713FA -:04074C0086AA67DD35 -:04074D004C67D8839A -:04074E0000B74503A8 -:04074F00C80367DD97 -:0407500067DD5527E5 -:040751004CA7D58359 -:04075200879367DD45 -:04075300C9295DC78C -:0407540000A70703F0 -:0407550004C716635C -:0407560007334769B5 -:04075700973E02E6E1 -:0407580000E755035E -:0407590003E51A6337 -:04075A00014745030B -:04075B0003D5166349 -:04075C000127450329 -:04075D0003C512635B -:04075E0000A7550398 -:04075F0000651E63B0 -:0407600001574503F5 -:0407610001151A6301 -:040762000137450313 -:040763000105166313 -:0407640000C7570370 -:0407650000B7066370 -:040766004505675D81 -:0407670054A70AA3E6 -:0407680006334769A4 -:04076900655D02E6E2 -:04076A004E05051320 -:04076B00663797B2A4 -:04076C0096230001CF -:04076D00972300B717 -:04076E008A2301E7F2 -:04076F00892301D702 -:04077000952301C705 -:040771008AA30067F0 -:0407720089A301173F -:040773000613010761 -:0407740045C57D06F4 -:040775004550206F5C -:04077600C606114161 -:0407770047C5C4228C -:040778000002143730 -:040779000EF4202337 -:04077A000E04202326 -:04077B000A04282321 -:04077C0028236509C0 -:04077D000513080454 -:04077E00E0EF710532 -:04077F000713B24F5B -:0407800067DD1D1004 -:040781004CE794238A -:040782000003153724 -:040783001D100793AB -:040784000EF420232C -:04078500D40505137F -:04078600B06FE0EF81 -:04078700000626370B -:04078800019C05B714 -:04078900040405134C -:04078A00A8060613A4 -:04078B00CC05859381 -:04078C00EAAFE0EF01 -:04078D001C7010EFDD -:04078E00401010EF18 -:04078F00C94154798F -:0407900010EF450120 -:0407910007934B90EF -:0407920054750FF09B -:0407930008F5016301 -:040794000B2020EF27 -:04079500E0EF450943 -:0407960047CDA45F48 -:04079700186354711E -:04079800E0EF06F593 -:0407990010EFAB5F53 -:04079A00C50910B0CD -:04079B00470567DDCA -:04079C0054E78B2370 -:04079D0093AFF0EF37 -:04079E00ED39842A83 -:04079F0065D9239560 -:0407A0000613655D7A -:0407A1008593031029 -:0407A2000513C8056E -:0407A30020EF50B53E -:0407A40065D92C30B7 -:0407A5000613655D75 -:0407A60085930360D4 -:0407A7000513DF0552 -:0407A80020EF5905E0 -:0407A900453D2AF0B0 -:0407AA00544010EFB8 -:0407AB00C50367DD3E -:0407AC0010EF4F17E4 -:0407AD0017B753A087 -:0407AE00A78300021B -:0407AF0097130D0788 -:0407B000436300E7B8 -:0407B1002B99000779 -:0407B200F0EF45011E -:0407B3008522B10FDB -:0407B400442240B2E9 -:0407B50080820141FC -:0407B600BFD5547DDA -:0407B70067DD713950 -:0407B800C483DA26F6 -:0407B900DC2249B73E -:0407BA000485645DF1 -:0407BB000FF4F493B0 -:0407BC004C8457838F -:0407BD0004C204B2BC -:0407BE00672180C16E -:0407BF008FD98FC57A -:0407C0004CF41423BE -:0407C100D84ADE062E -:0407C200D05AD452E3 -:0407C300CC62CE5ED8 -:0407C400C86ACA66CF -:0407C50000021737E0 -:0407C600D256D64EE3 -:0407C7006637C66E5D -:0407C800695D000166 -:0407C9000EF72023E4 -:0407CA00B606061356 -:0407CB00051345C508 -:0407CC0020EF4E09C3 -:0407CD00F0EF2F70AA -:0407CE006C419FCF0C -:0407CF007B716A5D73 -:0407D00047857BF5E9 -:0407D100FFFC0C938A -:0407D200590A0A13A3 -:0407D3001BFD1B7D72 -:0407D40000016D377C -:0407D50000021DB74A -:0407D6000D0DA983D9 -:0407D7000199F9B3D8 -:0407D8008263E3DD78 -:0407D90057030A09AF -:0407DA005783016AD6 -:0407DB0016634C84D1 -:0407DC00F7B30F3729 -:0407DD001423017769 -:0407DE00A0234CF414 -:0407DF0000230EFDE8 -:0407E000F0EF4E09DF -:0407E10025379B0F0E -:0407E20005130006F5 -:0407E300E0EFA80596 -:0407E4005783990F8F -:0407E50067114C84C8 -:0407E6003E80051339 -:0407E7008FD98FC552 -:0407E8004CF4142396 -:0407E9000EFDA0233E -:0407EA0012D020EF1A -:0407EB0020EF4D8529 -:0407EC0017B7151016 -:0407ED00166300028D -:0407EE00AA8301B524 -:0407EF00D8E307073D -:0407F00020EFFE0AEE -:0407F10097131550F5 -:0407F2008341010A34 -:0407F300FFF7079372 -:0407F40083C107C2F4 -:0407F500FFDC06938C -:0407F60002F6FE63A6 -:0407F7000001663760 -:0407F800B6C6061368 -:0407F900051345C5DA -:0407FA0020EF4E0995 -:0407FB00F0EF23F008 -:0407FC005783944F3C -:0407FD0017374C84DA -:0407FE0077B30002CB -:0407FF00142300FBC4 -:0408000020234CF471 -:0408010065090EF780 -:040802007105051364 -:04080300912FE0EF62 -:04080400B78987CE5B -:04080500D79365859B -:0408060015FD010AD1 -:04080700064006138E -:0408080056B38FED67 -:04080900773302C778 -:04080A009C6302C722 -:04080B00663700B795 -:04080C0006130001CE -:04080D0045C5B746E0 -:04080E004E09051377 -:04080F001ED020EFE8 -:04081000F833B77D85 -:0408110045C502C710 -:040812004E09051373 -:0408130002C7D7B38E -:04081400B80D061302 -:040815001D5020EF63 -:040816005703BF596C -:040817001AE3018A55 -:0408180007D2F937D3 -:04081900142383D150 -:04081A0050F24CF458 -:04081B00A023546260 -:04081C0054D20EFDA7 -:04081D0059B2594231 -:04081E005A925A226E -:04081F004BF25B023B -:040820004CD24C6208 -:040821004DB24D4245 -:04082200612145010A -:04082300114180827D -:04082400F0EFC60625 -:0408250010EF969F9B -:0408260067DD351045 -:04082700C50340B213 -:04082800014157171C -:04082900936FF06F6A -:04082A00DC52715DCE -:04082B00C686DA564D -:04082C00C2A6C4A2FA -:04082D00DE4EC0CA11 -:04082E00D65ED85A60 -:04082F00D266D46257 -:04083000CE6ED06A4E -:04083100D15FF0EFB4 -:040832006ADD6A5DB4 -:040833001005426307 -:040834000001663722 -:040835000520071380 -:0408360006134681DE -:0408370045C5B946B4 -:040838004B4A05130F -:04083900145020EF48 -:04083A00000165B79D -:04083B008593464516 -:04083C008513BC451F -:04083D0020EF540A4A -:04083E00F0EF05B022 -:04083F00A537848FC6 -:040840000513000795 -:04084100645D1205DB -:04084200E0EF64DDA2 -:040843004D01814F93 -:040844006B5D49019E -:040845004F44041305 -:040846004C3D6BDDDD -:0408470055C484937D -:04084800000217B7DC -:040849000D07A7836D -:04084A00000306B7EA -:04084B00971365DDBD -:04084C0083410107DC -:04084D005CEB282315 -:04084E00FFF7C713D6 -:04084F008F7583E13D -:040850000FF7F61395 -:040851008C2366DDB1 -:04085200AA235CC5B4 -:0408530065DD5CE61D -:040854000793CF81B6 -:04085500F793FFE630 -:04085600450D0FF746 -:0408570000F57663CF -:040858005CC5C78331 -:0408590000C79463DD -:04085A005C0B2823E8 -:04085B00862367DDAC -:04085C00A6035CC5CE -:04085D00A4235C87ED -:04085E00E2495CE728 -:04085F0069DD2EC55C -:040860005D99C78354 -:04086100C7818CAA15 -:0408620000EF45015D -:0408630067DD62905B -:0408640049E7C70396 -:040865000164468361 -:040866008D6385BE5B -:040867008A2312E6E8 -:04086800478D558BD8 -:0408690004E7FF633E -:04086A00F06347955B -:04086B004D8506E7CA -:04086C004909468967 -:04086D00FFF70793F7 -:04086E000FF7F793F6 -:04086F006A63462151 -:04087000665904F6CB -:040871000613078AD9 -:0408720097B2B10682 -:040873008782439C99 -:0408740000016637E2 -:04087500061386AA36 -:0408760045C5BA8634 -:040877004B4A0513D0 -:04087800049020EFD9 -:04087900000165B75E -:04087A0085934645D7 -:04087B00851312C50A -:04087C0020EF540A0B -:04087D00E0EF127026 -:04087E00A001F4DF02 -:04087F005C06AA2346 -:040880004D8DBFB526 -:04088100490146855E -:040882004D89B77570 -:04088300BFE5468106 -:0408840067DD4D09D6 -:040885004F27C783AF -:0408860067DDC39DCA -:040887004D078793FF -:0408880067DD973E53 -:04088900000745031C -:04088A004F17C7033A -:04088B0000A7096356 -:04088C0088A3C63641 -:04088D0010EF4EA773 -:04088E0046B21B60F3 -:04088F00C78365DDD9 -:0408900005A349E58E -:040891004705000413 -:0408920000F40B2340 -:040893000374478320 -:040894007663458DB5 -:04089500459500F78E -:04089600F5938D9DAC -:0408970085360FF59E -:04089800053010EF28 -:04089900125010EFFA -:04089A00901FE0EFDC -:04089B00C78367DDCB -:04089C00C781556754 -:04089D0010EF856E65 -:04089E0085EA4B207C -:04089F0010EF854A87 -:0408A000478361D059 -:0408A1004645016463 -:0408A2004B4A0513A5 -:0408A3000027971380 -:0408A400879367D9F6 -:0408A50097BAB34704 -:0408A6002223438C3A -:0408A70020EF00043A -:0408A80065B707B079 -:0408A90046450001BF -:0408AA00BB858593F2 -:0408AB00540A851353 -:0408AC00069020EFA3 -:0408AD005D99C78307 -:0408AE00E0EFE399FB -:0408AF0067DDE89F7A -:0408B00049C7C7036A -:0408B100176347A9D9 -:0408B200856300F763 -:0408B300453D000CB3 -:0408B400799000EF48 -:0408B5000154C70320 -:0408B60002C44783AE -:0408B70002F70363DE -:0408B800468147012D -:0408B900458146012E -:0408BA00E0EF450125 -:0408BB00C503C9AFF9 -:0408BC00E0EF015414 -:0408BD00C783EE9F60 -:0408BE00222301549C -:0408BF000623000408 -:0408C000C78302F4F4 -:0408C100E395015466 -:0408C2000164C68384 -:0408C30002D4478391 -:0408C40000D78D6369 -:0408C50000F44703F1 -:0408C6004581460121 -:0408C700E0EF450118 -:0408C800C783C66FAD -:0408C90006A301641D -:0408CA00478302F46A -:0408CB00CFA901644C -:0408CC00854A85EAEA -:0408CD00FC3FE0EF1D -:0408CE000D634789E6 -:0408CF00479108F550 -:0408D00002F50463C6 -:0408D10011634785E3 -:0408D200478304F55F -:0408D300CF8D00B411 -:0408D400C46FF0EF0E -:0408D5004D0DA81508 -:0408D60047C1BD6DEC -:0408D70054FB8A2321 -:0408D800BD454D05C8 -:0408D900B5754D01A3 -:0408DA0000B447839C -:0408DB00675DC39DF5 -:0408DC004C8757836B -:0408DD000027E79376 -:0408DE004CF714239C -:0408DF0000021737C5 -:0408E0000EF72023CC -:0408E100D0BFF0EFA5 -:0408E20012C0051328 -:0408E300D93FD0EF3A -:0408E4002223BB41CF -:0408E50010EF00040C -:0408E60047837F20A5 -:0408E700464501641D -:0408E8004B4A05135F -:0408E900002797133A -:0408EA00879367D9B0 -:0408EB0097BAB347BE -:0408EC0020EF438C2A -:0408ED0065B7766015 -:0408EE00464500017A -:0408EF00BB858593AD -:0408F000540A85130E -:0408F100754020EF3F -:0408F2005D99C783C2 -:0408F300E0EFFFD55E -:0408F400BF5DD75FAE -:0408F50000B4478381 -:0408F600F0EFDBC57F -:0408F700B76DE20FE8 -:0408F800655D65D9FC -:0408F9000613114190 -:0408FA0085930310CF -:0408FB000513C80514 -:0408FC00C60655C512 -:0408FD0055C020EFD3 -:0408FE00655D65DDF2 -:0408FF002F2006138D -:040900008305859353 -:040901005DC50513B8 -:04090200548020EF0E -:0409030067DD40B2BA -:040904008AA3470576 -:04090500450154E76D -:0409060080820141A9 -:04090700C4A2715DB8 -:04090800DE4EC2A657 -:0409090069DD64D967 -:04090A00C0CA645D9E -:04090B00D266DC5282 -:04090C00DA56C6866B -:04090D00D65ED85A80 -:04090E00D06AD46275 -:04090F004901CE6E5E -:0409100084934C81FF -:040911008A4ED844EE -:04091200590404136D -:04091300000165B7C3 -:04091400859346453C -:040915008513E285DF -:0409160020EF4A097B -:0409170097136BE0E7 -:040918009726002CF2 -:04091900655D430CC9 -:04091A000513464536 -:04091B0020EF4E0576 -:04091C00E0EF6AA0FE -:04091D006AC1CC1FC0 -:04091E008D134701ED -:04091F006B5DFFFA13 -:040920006DB76BDD67 -:040921006C5D000108 -:04092200000216B702 -:040923000D06A58395 -:040924000003053790 -:0409250001A5F633FF -:040926005CCB28235B -:040927000D06A68390 -:04092800FFF6C6937D -:04092900AA238EE986 -:04092A00C21D5CDBB3 -:04092B00032602633A -:04092C00001C969382 -:04092D00E32D96A27E -:04092E0000B690235C -:04092F008593464521 -:040930000513E30DBB -:0409310020EF4A0A5F -:04093200E0EF65206D -:040933004705C69F0F -:040934005C8C2603AE -:040935005D4BA683ED -:040936009063E20DDB -:040937009F63035661 -:0409380065D9040C6D -:04093900036006133E -:04093A00DF058593BD -:04093B00C636852215 -:04093C00460020EF62 -:04093D00470946B26E -:04093E0024234CED35 -:04093F00C63A5CDC7C -:0409400029034689B8 -:040941000E635D0BD9 -:04094200650902D76A -:040943007105051322 -:04094400C0FFD0EF31 -:04094500BF8D4732E9 -:040946000006D6834E -:0409470009E3470970 -:0409480067B7FAD6BD -:04094900464500011E -:04094A00E387859327 -:04094B004A0A05133C -:04094C005E8020EFBA -:04094D00BFFFE0EF19 -:04094E00BF59470145 -:04094F0047091CF93F -:040950000C85BF6DE6 -:0409510053E34769BC -:040952004426F197AF -:04095300449640B6D0 -:0409540059F2490605 -:040955005AD25A62B6 -:040956005BB25B42F3 -:040957005C925C2230 -:040958004DF25D02FD -:040959006161453D56 -:04095A005010006FCA -:04095B000793711D70 -:04095C00CA3E00B1DE -:04095D00879367DD38 -:04095E00CC3E5687AE -:04095F00879367DD36 -:04096000CE3E56979A -:04096100879367DD34 -:04096200D03E56A786 -:04096300879367DD32 -:04096400D23E56B772 -:0409650067DDD43E38 -:0409660056C7879356 -:0409670027B7D63E9A -:0409680087930F035F -:04096900C63EF0177F -:04096A00303007938F -:04096B0000F118235C -:04096C00675D478DEF -:04096D0000F1092369 -:04096E00CCA267DDD3 -:04096F005D07A60377 -:04097000590704130C -:04097100CAA6CE86BE -:04097200C6CEC8CA5B -:04097300C2D6C4D252 -:04097400DE5EC0DAA9 -:04097500000105A3D5 -:040976005907071303 -:0409770045E9478186 -:04097800000756839B -:0409790000D61C6325 -:04097A006363476903 -:04097B00675910F7B1 -:04097C000713078ACC -:04097D0097BACB4713 -:04097E008782439C8D -:04097F00846307097D -:0409800007853AB7F6 -:040981004789BFF1F2 -:04098200D70366DD54 -:0409830047134C8644 -:0409840094231007A1 -:04098500675D4CE678 -:040986005D47268320 -:0409870000F69713CC -:0409880000075D63A4 -:04098900C70367DD5C -:04098A00462550A707 -:04098B000663478533 -:04098C00079300C706 -:04098D00F7930017C5 -:04098E0097130FF7B5 -:04098F005E6300E6BD -:04099000675D000798 -:0409910055C746837D -:040992004601458550 -:0409930000D5E56343 -:04099400F6130685CB -:040995000E230FF628 -:04099600472954C7D2 -:04099700866345012D -:04099800675D00E7B0 -:0409990048F70F23E9 -:04099A0067DD4505CB -:04099B004C87D703AB -:04099C00C68366DDCB -:04099D009B4D5D967B -:04099E008341074248 -:04099F004CE794236A -:0409A00066DDEA81A5 -:0409A1004DA6C68316 -:0409A2008F55068ADD -:0409A3004CE7942366 -:0409A4004C87D703A2 -:0409A500446640F66E -:0409A600000217B77D -:0409A7000EE7A02394 -:0409A800494644D6A2 -:0409A9004A2649B6DB -:0409AA004B064A9618 -:0409AB0061255BF275 -:0409AC00478D808271 -:0409AD004791BF911E -:0409AE004795BF8129 -:0409AF004799B7B1FC -:0409B000479DB7A107 -:0409B10047A1B79112 -:0409B20047A5B7811D -:0409B300675DBF3588 -:0409B4005D97478381 -:0409B5000017B693DE -:0409B6005CD70CA35B -:0409B7004683675DAF -:0409B800675D4F1711 -:0409B90048D70EA36A -:0409BA004505E79177 -:0409BB000C7000EFCD -:0409BC00BF1947A96F -:0409BD00A4FFE0EFC4 -:0409BE0064DDBFE550 -:0409BF00000217B764 -:0409C0004F44849389 -:0409C1000C07A40378 -:0409C20000A4878383 -:0409C30066374769E3 -:0409C40087B30001F4 -:0409C500675D02E781 -:0409C6005DC70713EF -:0409C7000613655D51 -:0409C80045C5D20649 -:0409C9004A050513C3 -:0409CA0067DD973E10 -:0409CB004F17C68379 -:0409CC002F8020EF69 -:0409CD0000B4C78328 -:0409CE006785C7B9B9 -:0409CF008007879383 -:0409D00076938FE1AA -:0409D10037337FF445 -:0409D200068500F0A6 -:0409D30000E696B3F1 -:0409D400071396BAB5 -:0409D500C3990700BB -:0409D600069007136D -:0409D700880D8041C6 -:0409D80002A00793DF -:0409D9000793E01987 -:0409DA0016370200CA -:0409DB0028030002EB -:0409DC00655D060649 -:0409DD000001663778 -:0409DE00D2C6061364 -:0409DF00051345C5F2 -:0409E00020EF4E05B1 -:0409E100E0EF2A60B9 -:0409E200B79D9ADF44 -:0409E3005783675D72 -:0409E400C7934C87E2 -:0409E50014230107CF -:0409E600BF994CF772 -:0409E700C70367DDFE -:0409E800460555C7A4 -:0409E900656346817B -:0409EA00070500E617 -:0409EB000FF77693F9 -:0409EC0054D78E232B -:0409ED0067DDBF35CE -:0409EE0055C787134F -:0409EF0000174703A3 -:0409F000879346059E -:0409F100468155C71F -:0409F20000E6656353 -:0409F30076930705EB -:0409F40080A30FF7D6 -:0409F500BF2900D73F -:0409F6000793675D9F -:0409F700C78355C796 -:0409F800071300479A -:0409F900C78155C796 -:0409FA00F79317FD5B -:0409FB0002230FF7CD -:0409FC00BDFD00F746 -:0409FD000713675D18 -:0409FE00478355C70F -:0409FF0046B90047AE -:040A000000F6F363A6 -:040A0100078547B965 -:040A020065B7B7DD40 -:040A0300655D00012C -:040A0400859346454B -:040A05000513D3C53D -:040A060020EF4A058E -:040A070065B72FE0C0 -:040A08006ADD0001A2 -:040A09008593464546 -:040A0A008513D4C5B7 -:040A0B0020EF4E0A80 -:040A0C0069412EA06E -:040A0D006A5D69DDD8 -:040A0E00E0EF648928 -:040A0F001B378F9F63 -:040A1000197D00024A -:040A110089934BED8D -:040A12000A134F492B -:040A130084935DCAA1 -:040A1400270371043F -:040A150087A20D0B9C -:040A1600773346816B -:040A1700D6030127DA -:040A1800066300076A -:040A1900068500E668 -:040A1A009AE30789CB -:040A1B008783FF7658 -:040A1C00476900A97D -:040A1D0002E787B3B2 -:040A1E0097D247150F -:040A1F000177C78311 -:040A200002F7646312 -:040A21004A634711CC -:040A2200181804D7C5 -:040A23004703973EB0 -:040A24005733FDC780 -:040A25008B0540D726 -:040A2600078ACF115B -:040A270097BA18184A -:040A2800FE47A7835B -:040A290000D780234F -:040A2A0089BFE0EFB1 -:040A2B008CA367DD54 -:040A2C00BD3D5C0769 -:040A2D000001663727 -:040A2E000613068520 -:040A2F0045C5D5865E -:040A30004E0A8513D2 -:040A3100164020EF5C -:040A320086BFE0EFAC -:040A33000007A537DC -:040A3400120505138F -:040A350084BFD0EFBB -:040A360047B1BFC144 -:040A3700FCF686E360 -:040A3800D0EF852650 -:040A3900B7B583DFEB -:040A3A00871367DDDA -:040A3B00470355C751 -:040A3C00467901876F -:040A3D0055C787937F -:040A3E006563468125 -:040A3F00070500E6C1 -:040A40000FF77693A3 -:040A410000D78C232B -:040A420067DDB3E5D4 -:040A430055C78713F9 -:040A440001874703DC -:040A450055C7879377 -:040A4600D76D46FD25 -:040A4700B7CD177D93 -:040A4800000165B78D -:040A49004645655D5C -:040A4A00D685859335 -:040A4B004A05051340 -:040A4C001E8020EFF9 -:040A4D00000165B788 -:040A4E004645655D57 -:040A4F00D78585932F -:040A50004E05051337 -:040A51001D4020EF35 -:040A52006489694109 -:040A5300FE6FE0EF63 -:040A5400000219B7CC -:040A55004A6D197D50 -:040A56004B314AA531 -:040A5700710484930F -:040A58000D09A6835B -:040A59004781872228 -:040A5A000126F6B3C8 -:040A5B000007560337 -:040A5C0000D60A6353 -:040A5D0007090785F9 -:040A5E00FF479AE3D1 -:040A5F00D0EF852629 -:040A6000BFF9FA0FD1 -:040A610000FACC6368 -:040A62000785472994 -:040A630002E7E7B30C -:040A64000EA3675D19 -:040A6500F0EF48F76F -:040A6600B739A66F87 -:040A6700FF6790E3B2 -:040A68004785B721E6 -:040A690047A9B19553 -:040A6A000113B1BD06 -:040A6B001808DA018C -:040A6C0024112E2300 -:040A6D0024812C2391 -:040A6E0024912A2382 -:040A6F0025212823F2 -:040A700025312623E3 -:040A710025412423D4 -:040A720025512223C5 -:040A730025612023B6 -:040A740023712E2399 -:040A750023812C238A -:040A760023912A237B -:040A770023A128236C -:040A7800F24FE0EF6A -:040A790064DD892A85 -:040A7A006B5010EFBE -:040A7B001963440DAA -:040A7C004611160900 -:040A7D000808180C41 -:040A7E00120020EF53 -:040A7F00000165B756 -:040A80008593461103 -:040A81000808FD055F -:040A82000D4020EF14 -:040A8300064009130D -:040A840014051863DA -:040A8500034157834F -:040A86000361059370 -:040A870005134621EC -:040A88001A230161CB -:040A890020EF00F169 -:040A8A0057830F205F -:040A8B000EA303E1D2 -:040A8C00162300012C -:040A8D00578300F19A -:040A8E001723040125 -:040A8F00453200F1FB -:040A90003CB000EF87 -:040A91000421578362 -:040A92001623D02A2D -:040A9300578300F194 -:040A940017230441DF -:040A9500453200F1F5 -:040A96003B3000EF02 -:040A9700046157831C -:040A98001623D22A25 -:040A9900578300F18E -:040A9A001723048199 -:040A9B00453200F1EF -:040A9C0039B000EF7E -:040A9D002503D42A2F -:040A9E00C62A22C181 -:040A9F0038F000EF3C -:040AA000D62A55827B -:040AA1001E20079379 -:040AA200FE65871353 -:040AA3000CE7E86311 -:040AA40018084605E3 -:040AA50039B000EF75 -:040AA600091357B227 -:040AA7001163066071 -:040AA80066370CF5AC -:040AA900645D000187 -:040AAA00FD860613AC -:040AAB00051345C525 -:040AAC0010EF4A04F9 -:040AAD005692777076 -:040AAE0000016637A6 -:040AAF00FE860613A6 -:040AB000851345C5A0 -:040AB10010EF4E04F0 -:040AB200E0EF7630CB -:040AB3005A92E68FDE -:040AB40049815BA277 -:040AB5000C134501D8 -:040AB6006CDD2000D3 -:040AB7000F59E4638C -:040AB80024AB9C636C -:040AB900016147830D -:040ABA00014146832D -:040ABB00015147039B -:040ABC001007886334 -:040ABD00000167B716 -:040ABE00EE878793A5 -:040ABF000001663795 -:040AC00001610813B5 -:040AC100FF460613D3 -:040AC200051345C50E -:040AC30010EF4A04E2 -:040AC40065B771B0F1 -:040AC50046450001A1 -:040AC60004C585934B -:040AC7004E04851341 -:040AC800631010EFB8 -:040AC9006ADD69C1B8 -:040ACA00E0EF6909E7 -:040ACB001A37E08F67 -:040ACC0019FD00020E -:040ACD00590A8B1324 -:040ACE00710909138E -:040ACF000D0A278362 -:040AD000590AD703E5 -:040AD1000137F7B33F -:040AD2000CF70163B9 -:040AD300002B57039A -:040AD4001EF708639E -:040AD500D0EF854A8F -:040AD600B7CDDC8F2D -:040AD70006500913A9 -:040AD80053D010EFF8 -:040AD9000E634785DC -:040ADA00CC6322F9CE -:040ADB0007931F2737 -:040ADC000D63F35063 -:040ADD00C96322F9CE -:040ADE0007931D2736 -:040ADF000C63F34071 -:040AE00065B722F9DB -:040AE10085930001F8 -:040AE2004645F1C5CF -:040AE3004E04851325 -:040AE400789010EF07 -:040AE500D9EFE0EF76 -:040AE600000F453781 -:040AE70024050513CA -:040AE800D7EFD0EF85 -:040AE9002409526327 -:040AEA0024805063B1 -:040AEB000001663769 -:040AEC000613655D2B -:040AED0045C503C632 -:040AEE004A0505139D -:040AEF0066D010EFCE -:040AF000A8B5147D14 -:040AF100413A8A33C9 -:040AF200014C7463DC -:040AF30020000A13C2 -:040AF40020098B1337 -:040AF500010A1713C8 -:040AF6004681834171 -:040AF700009B5613F7 -:040AF8008513180C3E -:040AF90010EF48CCE6 -:040AFA00892A3BD03A -:040AFB001405146367 -:040AFC000019B61314 -:040AFD00180885D27E -:040AFE00237000EF72 -:040AFF00BDF989DADA -:040B0000000167B7D2 -:040B010012C78793FD -:040B020000EFBDD56E -:040B0300675D77F0C3 -:040B04004C87578340 -:040B05000513650966 -:040B0600E7937105FB -:040B0700142300278C -:040B080020234CF763 -:040B0900D0EF0EFA21 -:040B0A0065B7CF8F6D -:040B0B0005130001CD -:040B0C0046454A040C -:040B0D0000058593C7 -:040B0E006E1010EF66 -:040B0F006B37440DEF -:040B10004645000155 -:040B110000CB05937D -:040B12004E048513F5 -:040B13006CD010EFA3 -:040B1400CE2FE0EF11 -:040B15000C134981F3 -:040B16006CDD200072 -:040B170010000B932C -:040B1800E5635A1225 -:040B190065B707496C -:040B1A0069DD000190 -:040B1B008593464533 -:040B1C00851301C577 -:040B1D0010EF4A0982 -:040B1E0046456A30AE -:040B1F0000CB05936F -:040B20004E048513E7 -:040B2100695010EF18 -:040B2200CAAFE0EF87 -:040B2300559256226F -:040B2400450118145B -:040B2500C08FE0EFAE -:040B260013E3892A22 -:040B270010EFEC05DA -:040B280065B73FF07E -:040B2900464500013C -:040B2A0006058593A4 -:040B2B004A098513DB -:040B2C004A1010EF6C -:040B2D00000165B7A7 -:040B2E008593464520 -:040B2F00851302C563 -:040B300010EF4E0470 -:040B3100E0EF65701C -:040B3200A001C6CF89 -:040B3300413A0A3306 -:040B340074638AD28A -:040B35000A93014CD2 -:040B36008D132000FB -:040B370097132009E7 -:040B38008341010AEA -:040B39005613468188 -:040B3A00180C409DB6 -:040B3B0048CC85130A -:040B3C002B3010EF5B -:040B3D000933C501B2 -:040B3E00B59D40A081 -:040B3F004089D9937D -:040B4000F46385D6FF -:040B41000593015BBC -:040B4200864E1000CB -:040B4300E0EF1808BF -:040B4400892AB0EF5B -:040B4500E40516E3CA -:040B4600014BE46318 -:040B4700B78989EAF7 -:040B480000198613F7 -:040B4900F00A859396 -:040B4A00E0EF1A08B6 -:040B4B00892AAF2F15 -:040B4C00B53DD57569 -:040B4D00B52D440D71 -:040B4E000913440D36 -:040B4F00B50D06706A -:040B50000913440D34 -:040B5100BD29068034 -:040B5200F3600793B2 -:040B530006F90A6332 -:040B5400F3700793A0 -:040B5500E2F917E3C7 -:040B5600000165B77E -:040B5700F7C58593C6 -:040B58000793B52D1D -:040B590002630660CD -:040B5A00CF6306F966 -:040B5B0007930127D4 -:040B5C0001630640EB -:040B5D00079306F9FB -:040B5E0014E3065046 -:040B5F0065B7E0F99D -:040B60008593000178 -:040B6100B511F34592 -:040B6200067007937F -:040B630004F9096325 -:040B6400068007936D -:040B6500DEF917E3BB -:040B6600000165B76E -:040B6700F6858593F7 -:040B680065B7B3EDCD -:040B6900859300016F -:040B6A00B3C5F245D8 -:040B6B00000165B769 -:040B6C00F9C58593AF -:040B6D0065B7BBD9D4 -:040B6E00859300016A -:040B6F00B3F1FAC51F -:040B7000000165B764 -:040B7100F8C58593AB -:040B720065B7B3C9E7 -:040B73008593000165 -:040B7400BB65F44524 -:040B7500000165B75F -:040B7600FC05859362 -:040B770065B7B37D2E -:040B78008593000160 -:040B7900B355F54536 -:040B7A0025C12083EE -:040B7B0025812403A9 -:040B7C002541248368 -:040B7D002501290322 -:040B7E0024C12983E2 -:040B7F0024812A03A0 -:040B800024412A835F -:040B810024012B031D -:040B820023C12B83DD -:040B830023812C039B -:040B840023412C835A -:040B850023012D0318 -:040B86000113557D85 -:040B87008082260141 -:040B8800663786AA9C -:040B8900655D0001A5 -:040B8A007CC606130C -:040B8B00051345C544 -:040B8C00106F4E0593 -:040B8D0006933F701C -:040B8E0006B3465014 -:040B8F00079302D5F1 -:040B9000663706407E -:040B9100655D00019D -:040B9200120606132E -:040B9300051345C53C -:040B9400C6B34E0591 -:040B9500106F02F6E5 -:040B960086AA3D30BE -:040B970000016637BC -:040B98000613655D7E -:040B990045C50DC67B -:040B9A004E050513EC -:040B9B003BD0106FCC -:040B9C00000F46B749 -:040B9D002406869311 -:040B9E0002D5053344 -:040B9F008793678948 -:040BA000071396475A -:040BA10036B73E80A5 -:040BA20086930063D3 -:040BA3006637EA06C1 -:040BA4000613000133 -:040BA50045C50D062F -:040BA60002F557B34A -:040BA70002E7F7B3B7 -:040BA80056B34729D0 -:040BA900655D02D5AF -:040BAA004E050513DC -:040BAB0002E7D73353 -:040BAC003790106FFF -:040BAD0046700693F5 -:040BAE0002D506B3B3 -:040BAF000640079362 -:040BB00000016637A3 -:040BB1000613655D65 -:040BB20045C57C4673 -:040BB3004E050513D3 -:040BB40002F6C6B3CC -:040BB5003550106F38 -:040BB600663786AA6E -:040BB700655D000177 -:040BB800108606138A -:040BB900051345C516 -:040BBA00106F4E0565 -:040BBB00069333F07A -:040BBC0006B3271045 -:040BBD00079302D5C3 -:040BBE006637064050 -:040BBF00655D00016F -:040BC0001286061380 -:040BC100051345C50E -:040BC200C6B34E0563 -:040BC300106F02F6B7 -:040BC400069331B0B3 -:040BC50005052710EB -:040BC60002D505331C -:040BC700064006934B -:040BC800000166378B -:040BC9001286061377 -:040BCA0046B345C524 -:040BCB00655D02D58D -:040BCC004E050513BA -:040BCD002F50106F26 -:040BCE0001851693F4 -:040BCF00663786E11E -:040BD000655D00015E -:040BD100061316D120 -:040BD20045C50B46C4 -:040BD3004E050513B3 -:040BD4002D90106FE1 -:040BD500053345E9B6 -:040BD60065DD02B522 -:040BD7005DC58593E0 -:040BD80095AA46454F -:040BD9000513655D3E -:040BDA00106F4E0545 -:040BDB0017933AF042 -:040BDC006559002532 -:040BDD000FC5051328 -:040BDE00410C953EF3 -:040BDF004645655DC5 -:040BE0004E050513A6 -:040BE1003950106F08 -:040BE2000F6347A9AD -:040BE300179300F56F -:040BE400655D002526 -:040BE500CB450513E4 -:040BE600410C953EEB -:040BE7004645655DBD -:040BE8004E0505139E -:040BE9003750106F02 -:040BEA00000165B7EA -:040BEB000E8585935B -:040BEC0067DDB7F515 -:040BED005D07A703F6 -:040BEE00879367DDA5 -:040BEF0046AD5907AF -:040BF000D58346451E -:040BF10094630167A1 -:040BF200F69306E58B -:040BF300E1190FF6FF -:040BF40028070B6360 -:040BF500C64E1101D6 -:040BF600C80369DDEA -:040BF700C84A469909 -:040BF8000793695D99 -:040BF90015132B891C -:040BFA0097AA00387E -:040BFB00CC22CE0634 -:040BFC00A883CA26DA -:040BFD00C7830007A3 -:040BFE004751004714 -:040BFF000048A60301 -:040C000002E787334D -:040C0100FF56859382 -:040C02000FF5F59362 -:040C03008993431579 -:040C040009134699F1 -:040C050097322B896E -:040C0600004746035A -:040C070002B3686369 -:040C0800058A63599D -:040C09000743031387 -:040C0A00418C959AEA -:040C0B000685858253 -:040C0C0098E30789D9 -:040C0D004681F8C65E -:040C0E00E399BF594E -:040C0F000008C7838F -:040C1000F79317FD42 -:040C1100954A0FF7FA -:040C120000F50223C4 -:040C130044814401D3 -:040C1400C703A099D9 -:040C15000785000847 -:040C1600E7B3954A61 -:040C1700B7ED02E74C -:040C18000008066367 -:040C19008023187D9F -:040C1A00B7CD010948 -:040C1B0040F24462FD -:040C1C00494244D233 -:040C1D0067DD49B294 -:040C1E005C078CA340 -:040C1F00E06F61051C -:040C2000478D8C4F21 -:040C210004F60D6365 -:040C220011E3479102 -:040C2300471CFCF678 -:040C240097824485EA -:040C2500C783842AD3 -:040C2600655D0009FF -:040C2700078E4645A9 -:040C28004783993E27 -:040C290029830049D2 -:040C2A004951000923 -:040C2B0003278933DF -:040C2C000049A78351 -:040C2D004A0505135C -:040C2E00438C97CA92 -:040C2F0025D010EFCD -:040C30000049A7834D -:040C310097CA469187 -:040C32000047C703AD -:040C330012E6EA6378 -:040C3400070A66D96C -:040C350008C68693D4 -:040C36004318973692 -:040C37004B1C8702C9 -:040C38009782C3914B -:040C39000009C78364 -:040C3A008593485105 -:040C3B00078E001709 -:040C3C0000F9073381 -:040C3D004703431412 -:040C3E0042D0004759 -:040C3F00030707336D -:040C40000035969352 -:040C410000D905339E -:040C4200000528037E -:040C43004718973285 -:040C440000E804635D -:040C45000005022381 -:040C4600C78397CAFF -:040C470047510047CA -:040C480087B396CA0E -:040C4900802302E71B -:040C4A00963E00B919 -:040C4B00C29C461CE5 -:040C4C004791BF31DC -:040C4D00F0C7ECE31D -:040C4E00879367D948 -:040C4F00060A0A0780 -:040C5000421C963E6E -:040C5100470C878243 -:040C52004503483DD1 -:040C5300460300C78D -:040C5400C78300D77B -:040C5500470300054C -:040C56009D6300E7B3 -:040C570078630106B7 -:040C580017FD00F68E -:040C59000FF7F71387 -:040C5A0000E580230E -:040C5B00FD6DB5C5B1 -:040C5C00BFDD87323F -:040C5D0000E7F86351 -:040C5E00F6130785FD -:040C5F0080230FF7E8 -:040C6000B5E900C52D -:040C6100863AFD6D65 -:040C62004710BFDD9B -:040C6300578345BDB1 -:040C64009C63000687 -:040C6500570300B67B -:040C6600756300C7EB -:040C670017FD00F77E -:040C680083C107C27B -:040C690000F610235E -:040C6A005703B55522 -:040C6B00FBE300E7C0 -:040C6C000785FEE713 -:040C6D004750B7F540 -:040C6E00421845BD26 -:040C6F0000074783B0 -:040C700000B69963CE -:040C710017FDC78123 -:040C72000FF7F793EE -:040C730000F7002363 -:040C74004683BDB541 -:040C7500F5630046DD -:040C7600078500D717 -:040C77000FF7F693EA -:040C780000D700237E -:040C79004798B5A53E -:040C7A00655D4B9CCD -:040C7B000007470324 -:040C7C0005134645D1 -:040C7D00070A4E050F -:040C7E00438C97BA52 -:040C7F0011D010EF91 -:040C800040F2446298 -:040C8100494244D2CE -:040C8200610549B20D -:040C8300F27FD06FBD -:040C84004B9C4798A6 -:040C8500000745031C -:040C8600B7DD9782BD -:040C87004B9C4798A3 -:040C88000007550309 -:040C8900BFE99782A6 -:040C8A00802367DD7F -:040C8B00BFC94E0788 -:040C8C00C005C49546 -:040C8D00000166B745 -:040C8E000C468693F7 -:040C8F0000016637C3 -:040C90000613655D85 -:040C910045C50CC683 -:040C92004E050513F3 -:040C93007DC010EF21 -:040C940066B7BF453B -:040C95008693000141 -:040C9600B7CD0BC605 -:040C9700D7E947DC76 -:040C9800479C43989A -:040C99008082BF4551 -:040C9A00EF6347BD00 -:040C9B0057B716A78A -:040C9C00712D445220 -:040C9D0035578793AD -:040C9E0057B7C03E46 -:040C9F0087930041F6 -:040CA000C23E4417F5 -:040CA1008793679539 -:040CA20014232007F0 -:040CA300379300F192 -:040CA400242300A560 -:040CA50005231141D1 -:040CA6000A1300F13C -:040CA7002E230105F2 -:040CA8002C231011D8 -:040CA9002A23108169 -:040CAA00282310915A -:040CAB0026231121CA -:040CAC0022231131BD -:040CAD00202311519E -:040CAE0047A51161E4 -:040CAF00F9630A22B9 -:040CB00065DD08A74F -:040CB1000440079361 -:040CB20085934629B7 -:040CB30005134D05D3 -:040CB40005A300D1C3 -:040CB500062300F121 -:040CB60010EF00013A -:040CB70067DD67602E -:040CB80049E7C783BE -:040CB900061365DDDC -:040CBA000C230360A4 -:040CBB0067DD00F100 -:040CBC0049C7C783DA -:040CBD0059058593BD -:040CBE0001B1051368 -:040CBF0000F10CA391 -:040CC000C78367DDA2 -:040CC1000BA34F270B -:040CC20067DD00F1F9 -:040CC3004DA7C783EF -:040CC40000F10D230B -:040CC50063C010EF09 -:040CC60005938652BA -:040CC700850A051085 -:040CC800CFDFD0EFBB -:040CC90000A035331F -:040CCA0040A005330E -:040CCB0011C12083B0 -:040CCC00118124036B -:040CCD00114124832A -:040CCE0011012903E4 -:040CCF0010C12983A4 -:040CD00010812A0362 -:040CD10010412A8321 -:040CD20010012B03DF -:040CD30080826115A5 -:040CD400031007936F -:040CD50000F105A382 -:040CD60057C965DDB8 -:040CD70000F106A37F -:040CD80003100613EC -:040CD900859347892F -:040CDA00051355C5E4 -:040CDB00072300F1FA -:040CDC006ADD00F1DC -:040CDD0000010623E9 -:040CDE005D8010EF36 -:040CDF005DCA8593D2 -:040CE0000C000613EB -:040CE10010EF008888 -:040CE20086525CA03A -:040CE3001000059365 -:040CE400D0EF850ABE -:040CE5004905C8BF36 -:040CE6000C00099362 -:040CE70023200413AF -:040CE80010000B13DA -:040CE9005DCA8A93C3 -:040CEA00746384A209 -:040CEB000493008BE3 -:040CEC0004C210002E -:040CED0085B380C18A -:040CEE008626013A1B -:040CEF008C05850AE1 -:040CF000590010EFA8 -:040CF100044299A67A -:040CF200014906337B -:040CF300850A85A643 -:040CF400090509C223 -:040CF500D0EF80417B -:040CF600D993C47F4B -:040CF7007913010963 -:040CF800F0790FF987 -:040CF900B799450161 -:040CFA008082557D22 -:040CFB0026237169D2 -:040CFC00242312118A -:040CFD00222312811B -:040CFE00202312910C -:040CFF002E2313216C -:040D00002C2311315E -:040D01002A2311414F -:040D02002823115140 -:040D03002623116131 -:040D04002423117122 -:040D050047BD118154 -:040D060002A7FA63E3 -:040D0700208359FDEF -:040D0800240312C1ED -:040D0900854E128180 -:040D0A0012412483EB -:040D0B0012012903A5 -:040D0C0011C1298365 -:040D0D0011812A0323 -:040D0E0011412A83E2 -:040D0F0011012B03A0 -:040D100010C12B8360 -:040D110010812C031E -:040D12008082615525 -:040D1300010504933F -:040D1400860A04C285 -:040D15001000059332 -:040D1600D0EF85266F -:040D1700FD5DB7BF08 -:040D1800000165B7BA -:040D19007E0585933B -:040D1A00D0EF850A87 -:040D1B0089AA99EF19 -:040D1C0014051B633C -:040D1D000081478387 -:040D1E0014079963BA -:040D1F0000914703F5 -:040D20000520079310 -:040D210014F713634D -:040D220000A1478362 -:040D23004705CFC9E8 -:040D2400F8E797E372 -:040D25004703493205 -:040D2600779300B10E -:040D270007A20FF917 -:040D280007138FD945 -:040D29009CE3031034 -:040D2A005913F6E77C -:040D2B0009420089F0 -:040D2C00010959134D -:040D2D002F200793D9 -:040D2E00F6F913E3DC -:040D2F000613655DE5 -:040D30000593031014 -:040D3100051300F1B5 -:040D32006ADD55C55C -:040D3300484010EF35 -:040D340004134A0159 -:040D35000B93040018 -:040D36008A9310008C -:040D37000C135DCA72 -:040D38000B130F206A -:040D390086331000ED -:040D3A000533408BB2 -:040D3B0005B3015AA1 -:040D3C00849300811B -:040D3D000F6310042C -:040D3E0004330B89E6 -:040D3F0010EF408BE6 -:040D40009452452064 -:040D4100F009091399 -:040D420001041A137B -:040D4300860A0942D1 -:040D44001000059303 -:040D45005A13852692 -:040D46005913010A32 -:040D4700D0EF0109DF -:040D48004401AB7F38 -:040D49004783B7C95C -:040D4A00470300C19A -:040D4B0007A200B14A -:040D4C0007138FD921 -:040D4D0094E30440E7 -:040D4E0066DDEEE789 -:040D4F004781870A47 -:040D5000869345251C -:040D510045A94D065D -:040D520000D746037D -:040D530000C566630E -:040D540000D7883309 -:040D550000C80023AF -:040D56000705078501 -:040D5700FEB796E36A -:040D5800019147833B -:040D59000E23675DA1 -:040D5A00472548F7EA -:040D5B0000F76663D4 -:040D5C000F23675D9D -:040D5D00A02948F78A -:040D5E000181478345 -:040D5F00FEF77AE33E -:040D600001714703D3 -:040D6100655D67DD88 -:040D62004EE78923AC -:040D6300C70367DD7E -:040D640067DD4D07F3 -:040D6500036006130E -:040D66004EE788A329 -:040D670001A147039C -:040D6800059367DDAB -:040D6900051301B1BC -:040D6A008D23590577 -:040D6B0010EF4CE752 -:040D6C00B5B53A20BF -:040D6D000F2006133A -:040D6E00398010EFC9 -:040D6F00470567DDF0 -:040D700054E78AA317 -:040D71004985BDA94A -:040D72004989BD9955 -:040D73006785BD894A -:040D740080278793BA -:040D750002F507B3C9 -:040D76000002273719 -:040D77001107071346 -:040D780067218FF967 -:040D79000207071353 -:040D7A0002E5053356 -:040D7B0000088737AE -:040D7C00440707130E -:040D7D008D5D8D7982 -:040D7E00879367C12F -:040D7F000533101711 -:040D8000814102F5B6 -:040D81000FF57513E2 -:040D82005713808201 -:040D8300179301853C -:040D84008FD901857D -:040D850000FF06B7AE -:040D860000851713BA -:040D87008FD98F75FC -:040D880007136741A5 -:040D89008121F007CD -:040D8A008D5D8D7975 -:040D8B00C609808293 -:040D8C00000217B793 -:040D8D000007A02398 -:040D8E00F693470190 -:040D8F001637FFC54F -:040D900007B30002A3 -:040D9100646300E5B2 -:040D9200898D02D76E -:040D93009463470D11 -:040D9400D68302E51B -:040D95001737000705 -:040D96001223000222 -:040D9700C78300D737 -:040D9800022300270B -:040D990017B700F791 -:040D9A004B88000280 -:040D9B00439C808273 -:040D9C00C25C07111D -:040D9D004709B7F952 -:040D9E0000E5996370 -:040D9F000007D7036F -:040DA000000217B77F -:040DA10000E79223B2 -:040DA2004705BFF949 -:040DA300FCE59DE3EB -:040DA4000007C7037A -:040DA500000217B77A -:040DA60000E78223BD -:040DA7001141B7E956 -:040DA8001437C42216 -:040DA900C22600025C -:040DAA0084AA4601D0 -:040DAB0004A0059308 -:040DAC000404051323 -:040DAD00C0EFC606C7 -:040DAE0085A6E4FF33 -:040DAF0005134601E1 -:040DB000C0EF040488 -:040DB1000593E7DFE0 -:040DB200051304A081 -:040DB30046050404E9 -:040DB400E35FC0EF4A -:040DB500040405131A -:040DB60040B24422E1 -:040DB7004585449298 -:040DB800C06F0141C6 -:040DB9001141E43FC1 -:040DBA001437C42204 -:040DBB00C22600024A -:040DBC0084AEC04AF7 -:040DBD004601892A38 -:040DBE0004A00593F5 -:040DBF000404051310 -:040DC000C0EFC606B4 -:040DC10085CAE03FC0 -:040DC20005134601CE -:040DC300C0EF040475 -:040DC4000513E31F11 -:040DC50044220404BC -:040DC600490240B2EC -:040DC700449285A627 -:040DC800014146059A -:040DC900E1BFC06F57 -:040DCA00C4221141ED -:040DCB001433440594 -:040DCC00741300A4F8 -:040DCD0085A20FF4F8 -:040DCE00C6064519F7 -:040DCF00FABFF0EF88 -:040DD000442285A292 -:040DD100451D40B2CA -:040DD200F06F01417C -:040DD3001141F9DFF2 -:040DD400C42205062A -:040DD5000FF574138F -:040DD600450585A2A8 -:040DD700F0EFC6066D -:040DD80085A2F89F59 -:040DD90040B24422BE -:040DDA000141450985 -:040DDB00F7BFF06FFF -:040DDC004515114167 -:040DDD00F0EFC60667 -:040DDE000713F29F66 -:040DDF0047810860E0 -:040DE00004E51363B0 -:040DE100090005936D -:040DE20002000513F3 -:040DE300F5BFF0EF79 -:040DE400051345812D -:040DE500F0EF021019 -:040DE6004581F51F2F -:040DE70002200513CE -:040DE800F47FF0EFB5 -:040DE9000513458D1C -:040DEA00F0EF0230F4 -:040DEB004581F3DF6C -:040DEC000280051369 -:040DED00F33FF0EFF1 -:040DEE000300059366 -:040DEF0007100513D1 -:040DF000F27FF0EFAF -:040DF10040B2478540 -:040DF2000141853EF8 -:040DF30011018082E8 -:040DF40000A107A3B0 -:040DF50000021537AC -:040DF6004605CC22C0 -:040DF7000513842E2E -:040DF8000593040556 -:040DF900CE0600F131 -:040DFA00DA1FC0EF4D -:040DFB00C0EF85229E -:040DFC0040F2931F0F -:040DFD0061054462E6 -:040DFE00114180829D -:040DFF00645DC42249 -:040E00004C84578344 -:040E0100C226C60639 -:040E0200F9F7F79372 -:040E030083C107C2DE -:040E04004CF4142373 -:040E0500000214B71C -:040E06000EF4A02323 -:040E0700C0EF4551A2 -:040E080045D1901F21 -:040E0900038005134A -:040E0A00FA7FF0EF8C -:040E0B00051345D1B5 -:040E0C00F0EF039070 -:040E0D0045D1F9DFF3 -:040E0E00F0EF45516B -:040E0F0045D1F95F71 -:040E100007100513AF -:040E1100F8BFF0EF47 -:040E1200051345D1AE -:040E1300F0EF05E017 -:040E140045D1F81FAD -:040E150006D00513EB -:040E1600F77FF0EF83 -:040E1700453145D14B -:040E1800F6FFF0EF02 -:040E1900320005930B -:040E1A00F0EF4505AB -:040E1B0045D1F65F68 -:040E1C00F0EF451995 -:040E1D000593F5DF65 -:040E1E004509320050 -:040E1F00F53FF0EFBC -:040E20004C84578324 -:040E2100E79340B261 -:040E2200142304078A -:040E230044224CF425 -:040E24000EF4A02305 -:040E250001414492B1 -:040E260011018082B4 -:040E2700645DCC2218 -:040E28004C8457831C -:040E2900CA26CE0601 -:040E2A00F9F7F7934A -:040E2B0083C107C2B6 -:040E2C00C64EC84A9C -:040E2D001423C45274 -:040E2E0014B74CF4B5 -:040E2F008A2A000209 -:040E30000EF4A023F9 -:040E3100892E4505BC -:040E320032000593F2 -:040E3300F03FF0EFAD -:040E34004C84578310 -:040E3500855245C1DC -:040E36000207E79335 -:040E37004CF4142340 -:040E38000EF4A023F1 -:040E390026C010EFD0 -:040E3A000FF57993A4 -:040E3B0000099B63AC -:040E3C00000175B785 -:040E3D00859346450E -:040E3E008552B485A0 -:040E3F0021C010EFCF -:040E4000448149851B -:040E4100009A07B359 -:040E42000007C503DD -:040E4300048545D10C -:040E4400EBFFF0EFE1 -:040E45000FF4F7931C -:040E4600FF37E6E3A9 -:040E47004C845783FD -:040E4800000214B7D9 -:040E4900F79345D105 -:040E4A0007C2FDF7E7 -:040E4B00142383C128 -:040E4C00A0234CF49F -:040E4D0005130EF487 -:040E4E00F0EF0C00B5 -:040E4F005783E95F7D -:040E500045C14C84C8 -:040E5100E793854A54 -:040E5200142302075C -:040E5300A0234CF498 -:040E540010EF0EF499 -:040E550079931FE08E -:040E56009B630FF596 -:040E570075B7000962 -:040E5800464500010A -:040E5900B485859344 -:040E5A0010EF854AC6 -:040E5B0049851AE0CB -:040E5C0007B3448113 -:040E5D00C503009930 -:040E5E0045D1000773 -:040E5F00F0EF048527 -:040E6000F793E51F00 -:040E6100E6E30FF4C1 -:040E62005783FF377C -:040E630040F24C8489 -:040E6400000217373A -:040E65000407E79304 -:040E66004CF4142311 -:040E6700202344629E -:040E680044D20EF76B -:040E690049B24942FF -:040E6A0061054A22B2 -:040E6B00114180822F -:040E6C001437C42251 -:040E6D00C226000297 -:040E6E0084AA46010B -:040E6F0002C0059325 -:040E7000040405135E -:040E7100C0EFC60602 -:040E720085A6B3FF9F -:040E73000513460518 -:040E7400C0EF0404C3 -:040E75000593B6DF4C -:040E7600051302C09E -:040E77004605040424 -:040E7800B25FC0EFB6 -:040E79000404051355 -:040E7A0040B244221C -:040E7B0045854492D3 -:040E7C00C06F014101 -:040E7D001141B33F2D -:040E7E001437C4223F -:040E7F00C226000285 -:040E800084AEC04A32 -:040E81004601892A73 -:040E820002C0059312 -:040E8300040405134B -:040E8400C0EFC606EF -:040E850085CAAF3F2C -:040E86000513460109 -:040E8700C0EF0404B0 -:040E88000513B21F7D -:040E890044220404F7 -:040E8A00490240B227 -:040E8B00449285A662 -:040E8C0001414605D5 -:040E8D00B0BFC06FC3 -:040E8E000002153712 -:040E8F0046011141C6 -:040E90000513458180 -:040E9100C606040588 -:040E9200AF7FC0EF7F -:040E9300C0EF45293E -:040E940045E1ED0F38 -:040E9500F0EF450530 -:040E960045E1FA1F19 -:040E9700F0EF45092A -:040E980045E1F99F98 -:040E9900F0EF450D24 -:040E9A004505F91FF2 -:040E9B00F43FF0EF41 -:040E9C00152140B22A -:040E9D0000153513F4 -:040E9E00808201410C -:040E9F00C422114117 -:040EA0004505842A56 -:040EA100F0EFC606A2 -:040EA200991DF29F05 -:040EA3008C49040E64 -:040EA4000FF47413C0 -:040EA500450585A2D8 -:040EA600F5FFF0EF75 -:040EA700450985A2D2 -:040EA800F57FF0EFF3 -:040EA900442285A2B8 -:040EAA00450D40B200 -:040EAB00F06F0141A2 -:040EAC001141F49F5D -:040EAD00842AC422AD -:040EAE00C60645052A -:040EAF00EF3FF0EF32 -:040EB00075134789E6 -:040EB10013630D8535 -:040EB200641302F4CF -:040EB30085A20015FF -:040EB400F0EF450511 -:040EB50085A2F25FC1 -:040EB600F0EF45090B -:040EB70085A2F1DF40 -:040EB80040B24422DE -:040EB9000141450DA1 -:040EBA00F0FFF06FE6 -:040EBB008C49041644 -:040EBC000FF47413A8 -:040EBD000044641376 -:040EBE001141BFD946 -:040EBF001437C422FE -:040EC000C226000244 -:040EC10084AA4601B8 -:040EC20005C00593CF -:040EC300040405130B -:040EC400C0EFC606AF -:040EC500F5939F3FC3 -:040EC60046050FF4DA -:040EC7000404051307 -:040EC800A1FFC0EFD7 -:040EC90005C00593C8 -:040ECA000404051304 -:040ECB00C0EF460529 -:040ECC0005139D7FEE -:040ECD0044220404B3 -:040ECE00449240B258 -:040ECF000141458513 -:040ED0009E5FC06FF2 -:040ED100C4221141E5 -:040ED20000021437CF -:040ED300C04AC22629 -:040ED400892A84AE35 -:040ED500059346013A -:040ED600051305C03B -:040ED700C606040443 -:040ED8009A5FC0EF6E -:040ED9000FF9759305 -:040EDA0005134601B5 -:040EDB00C0EF04045C -:040EDC0005139D1F3E -:040EDD0044220404A3 -:040EDE00490240B2D3 -:040EDF00449285A60E -:040EE0000141460581 -:040EE1009BBFC06F84 -:040EE20005131141A2 -:040EE300C60602201D -:040EE400C226C4223C -:040EE500F67FF0EFB5 -:040EE6000FF574137D -:040EE700455945CD57 -:040EE800FA5FF0EFCE -:040EE9008513648980 -:040EEA00C0EF7104E0 -:040EEB00458DD74F0B -:040EEC00F0EF455D81 -:040EED008513F93F31 -:040EEE00C0EF7104DC -:040EEF006593D64FE2 -:040EF00005130804DA -:040EF100F0EF0220FC -:040EF2000513F7FFEE -:040EF300C0EF3E808E -:040EF4007593D50F0E -:040EF500442207F498 -:040EF600449240B230 -:040EF70002200513BD -:040EF800F06F014155 -:040EF9001141F63F6E -:040EFA006409C422A1 -:040EFB007104051366 -:040EFC00C0EFC60677 -:040EFD0045C5D2CF46 -:040EFE00F0EF455973 -:040EFF000513F4BF24 -:040F0000C0EF7104C9 -:040F0100455DD1CFAA -:040F0200F0EF45893E -:040F03000513F3BF20 -:040F0400442271040E -:040F0500014140B2B4 -:040F0600D06FC06F79 -:040F0700C4221141AE -:040F080085AA842E04 -:040F0900C60645498A -:040F0A00F1DFF0EF34 -:040F0B00442285A255 -:040F0C00454D40B25D -:040F0D00F06F01413F -:040F0E0085AAF0FFC1 -:040F0F0003D00513F3 -:040F1000F05FF06F2F -:040F1100454585AA23 -:040F1200EFDFF06FAE -:040F1300C6061141BC -:040F14004783C42229 -:040F1500842A0065C5 -:040F16009593456DFD -:040F17008DDD004725 -:040F18000FF5F59349 -:040F1900EE1FF0EFE8 -:040F1A0000644583A7 -:040F1B00F0EF45713D -:040F1C004583ED7F9D -:040F1D00452900342E -:040F1E00ECDFF0EF25 -:040F1F0000444583C2 -:040F2000F0EF452584 -:040F21004583EC3FD9 -:040F22004521005411 -:040F2300EB9FF0EF61 -:040F240000044583FD -:040F2500F0EF45356F -:040F26004583EAFF16 -:040F2700453100143C -:040F2800EA5FF0EF9D -:040F290000244583D8 -:040F2A0040B244226B -:040F2B000141452D0E -:040F2C00E95FF06F1A -:040F2D00CC221101C0 -:040F2E004511842ABB -:040F2F00C632CA26D6 -:040F3000CE0684AEB7 -:040F3100E37FF0EF7B -:040F3200759346323B -:040F3300CE4D0F850B -:040F34007FF00793B0 -:040F35000A87EA63DA -:040F3600E5934511E9 -:040F3700F0EF0015C2 -:040F38001513E67F28 -:040F39001413001479 -:040F3A0080410105EC -:040F3B000044559386 -:040F3C000FF5F59325 -:040F3D00F0EF450587 -:040F3E001593E4FF24 -:040F3F00F5930044E2 -:040F400045090F054B -:040F4100E41FF0EFCA -:040F4200019C05B752 -:040F4300CC058593C1 -:040F44000295D5B38A -:040F450002255737F3 -:040F46000FF7071387 -:040F470085B34781A6 -:040F4800706302854B -:040F4900273702B78D -:040F4A000713042C59 -:040F4B004785D7F708 -:040F4C0000B779630E -:040F4D00080BF7B7DF -:040F4E00FBF7879393 -:040F4F0000B7B7B37D -:040F5000675D078949 -:040F51004507071336 -:040F52004503973E7E -:040F53000713000779 -:040F540005330280DF -:040F5500571302E547 -:040F5600953A0014B4 -:040F57000285453397 -:040F58007513471DA9 -:040F590075930FF588 -:040F5A0073630FF5B9 -:040F5B00459D00A709 -:040F5C0040F24462B9 -:040F5D00058E44D2E7 -:040F5E008DDD079A84 -:040F5F000F85F59372 -:040F60006105450DD5 -:040F6100DC1FF06F32 -:040F6200F0EF451156 -:040F6300BFB9DBBF78 -:040F6400C422114151 -:040F65004569842A2C -:040F6600F0EFC606DC -:040F67004785D61FC5 -:040F68000FA57593C9 -:040F690000F41A6313 -:040F6A000025E593E6 -:040F6B0040B244222A -:040F6C000141456991 -:040F6D00D91FF06F29 -:040F6E0000A5E59362 -:040F6F005583BFC522 -:040F7000114100A586 -:040F710081A1C42274 -:040F72000513842AB5 -:040F7300C60604B0FA -:040F7400D75FF0EF64 -:040F750000A445830C -:040F760004A00513BB -:040F7700D69FF0EF22 -:040F780000C45583D9 -:040F790004D0051388 -:040F7A00F0EF81A172 -:040F7B004583D5BF16 -:040F7C00051300C495 -:040F7D00F0EF04C0CD -:040F7E005583D4FFC4 -:040F7F00051300E472 -:040F800081A104F057 -:040F8100D41FF0EF9A -:040F820000E44583BF -:040F830004E005136E -:040F8400D35FF0EF58 -:040F8500004455834C -:040F8600051005133A -:040F8700F0EF81A165 -:040F88004583D27F4C -:040F89000513004408 -:040F8A00F0EF05007F -:040F8B005583D1BFFA -:040F8C0005130064E5 -:040F8D0081A1053009 -:040F8E00D0DFF0EFD1 -:040F8F000064458332 -:040F90000520051320 -:040F9100D01FF0EF8E -:040F920000845583FF -:040F930005500513ED -:040F9400F0EF81A158 -:040F95004583CF3F82 -:040F960005130084BB -:040F9700F0EF054032 -:040F98005583CE7F30 -:040F99000513010437 -:040F9A0081A10570BC -:040F9B00CD9FF0EF07 -:040F9C000104458384 -:040F9D0005600513D3 -:040F9E00CCDFF0EFC5 -:040F9F000124558351 -:040FA00005900513A0 -:040FA100F0EF81A14B -:040FA2004583CBFFB9 -:040FA300051301240D -:040FA400F0EF0580E5 -:040FA5005583CB3F66 -:040FA60005130144EA -:040FA70081A105B06F -:040FA800CA5FF0EF3D -:040FA9000144458337 -:040FAA0040B24422EB -:040FAB0005A0051385 -:040FAC00F06F0141A0 -:040FAD001141C93FE6 -:040FAE00842AC422AB -:040FAF0003F0051333 -:040FB000F0EFC60692 -:040FB1007593C39FD2 -:040FB2008DC10F05D9 -:040FB30040B24422E2 -:040FB40003F005132E -:040FB500F06F014197 -:040FB6001141C6FF20 -:040FB700842AC422A2 -:040FB800C6064569BB -:040FB900C17FF0EF15 -:040FBA000064159327 -:040FBB007513442244 -:040FBC0040B203F547 -:040FBD00F5938DC952 -:040FBE0045690FF57D -:040FBF00F06F01418D -:040FC0001101C47FD8 -:040FC100461D65DD87 -:040FC200B805859356 -:040FC300CE0600282E -:040FC400241000EF06 -:040FC500C75FF0EF23 -:040FC600F0EF450102 -:040FC7004585E77FF6 -:040FC80003500513BA -:040FC900C21FF0EF64 -:040FCA00051365594D -:040FCB00F0EF7E8540 -:040FCC004501E91FD3 -:040FCD00FA7FF0EFC8 -:040FCE00F0EF4519E2 -:040FCF000513D01F17 -:040FD000F0EF0440FA -:040FD1004581D03F47 -:040FD200F0EF4505F2 -:040FD3000028CD3FE6 -:040FD400CFDFF0EF8C -:040FD500610540F280 -:040FD6001101808203 -:040FD700842ACC227A -:040FD800CE064511EB -:040FD900F0EFC62E41 -:040FDA0045B2B95F04 -:040FDB0002B4043325 -:040FDC000075759394 -:040FDD0077934511B0 -:040FDE00078E01F485 -:040FDF00F0EF8DDDC5 -:040FE0005513BC7F6A -:040FE10040F2405446 -:040FE20075134462DD -:040FE30061050FF5A0 -:040FE40011418082B5 -:040FE500842AC42274 -:040FE600C6064541B5 -:040FE700B5FFF0EF73 -:040FE8000034159329 -:040FE900891D4422F8 -:040FEA008DC940B2BB -:040FEB000FF5F59376 -:040FEC000141454139 -:040FED00B91FF06FC9 -:040FEE001141C139B3 -:040FEF000513C226FE -:040FF00084AE026069 -:040FF100080005935C -:040FF200C606C42249 -:040FF300F0EF843265 -:040FF4004785B77FF7 -:040FF50000940593CC -:040FF60000F4896317 -:040FF700059347A176 -:040FF800846305A465 -:040FF900059300F468 -:040FFA004422018408 -:040FFB00449240B22A -:040FFC000FF5F59365 -:040FFD0003100513C5 -:040FFE00F06F01414E -:040FFF004581B4BFB5 -:041000000260051372 -:041001001101BFDD3D -:04100200CA26CC220C -:04100300C64EC84AC3 -:04100400CE06C452FE -:04100500842A47856D -:0410060089B2892EF4 -:0410070084BA8A36E7 -:0410080000F50E637E -:04100900006347A198 -:04100A00059306F54F -:04100B00F5930067F2 -:04100C0045150FF582 -:04100D00B11FF0EF30 -:04100E00A80945C127 -:04100F00002705931E -:041010000FF5F59350 -:04101100F0EF4515A2 -:041012004599AFFF4E -:04101300F0EF45199C -:0410140085A2AF7F83 -:0410150045058626E1 -:04101600F61FF0EFE2 -:0410170045B147C1D7 -:0410180000F403637A -:04101900051345A1D5 -:04101A00F0EF0220D1 -:04101B004462ADBFBF -:04101C0044D240F288 -:04101D0085CE8652A4 -:04101E0049B24A2267 -:04101F004942854A73 -:04102000F06F610507 -:041021000593C33F31 -:04102200F593032718 -:0410230045150FF56B -:04102400AB5FF0EFDF -:04102500020005932D -:041026001141BF5560 -:04102700FFE58793C7 -:04102800C226C422F6 -:04102900F793C6066D -:04102A0047050FF770 -:04102B00842E84AAE1 -:04102C0006F76963F7 -:04102D0047814709A7 -:04102E0000E5136363 -:04102F009713478943 -:041030009593002470 -:041031008DD9004411 -:041032008DC5079AC7 -:04103300F5938DDDC7 -:0410340045650FF50A -:04103500A71FF0EF12 -:04103600F0EF454151 -:04103700478DA21F20 -:041038000F85759318 -:0410390000F4146348 -:04103A000055E593E5 -:04103B00F0EF45414C -:04103C004789A57FBC -:04103D0002F49D63B9 -:04103E00EA63478595 -:04103F00059302878C -:041040000463052020 -:04104100059300F41F -:0410420045390530F7 -:04104300A39FF0EF88 -:041044003E800513D2 -:0410450080AFC0EFC9 -:04104600F0EF455131 -:0410470045819E1F22 -:041048004789A03DF7 -:0410490037B3FD4973 -:04104A00078500B066 -:04104B000593BF4901 -:04104C00453905B06D -:04104D00A11FF0EF00 -:04104E003E800513C8 -:04104F00FE3FB0EFC1 -:04105000F0EF455127 -:04105100478D9B9F8D -:041052001AE345C197 -:041053004561FCF403 -:041054009F5FF0EFBB -:0410550040B244223F -:0410560045814492FA -:04105700036005131A -:04105800F06F0141F3 -:0410590011019E3FA4 -:04105A00842ACC22F6 -:04105B00C62E455107 -:04105C00F0EFCE06DD -:04105D004709989F08 -:04105E000FF5779380 -:04105F00156345B21E -:04106000470502E45A -:0410610000E59C63A7 -:0410620009057513F4 -:04106300F705051375 -:04106400001535132B -:04106500446240F2AF -:04106600808261051E -:041067007513E59187 -:0410680005130885DF -:04106900B7EDF78563 -:04106A000017D51383 -:04106B00B7DD89055F -:04106C0047917159DE -:04106D0000F11E234D -:04106E00871367DDA0 -:04106F00D6A24F476F -:04107000D2CAD4A666 -:04107100CED2D0CE3D -:04107200CADACCD634 -:04107300C6E2C8DE2B -:04107400C2EAC4E622 -:04107500842AC0EE1B -:0410760001E7450346 -:041077004E034621BD -:04107800163302E742 -:04107900450300A685 -:04107A00661301F701 -:04107B001F230086A9 -:04107C00061300C196 -:04107D001633040022 -:04107E00450300A680 -:04107F001023020731 -:04108000061302C190 -:0410810016334000E2 -:04108200450300A67C -:04108300112302171C -:04108400660902C136 -:0410850000A6163378 -:0410860002C112236E -:0410870001B106139A -:04108800665DD4329B -:041089005176061383 -:04108A00665DD63297 -:04108B005186061371 -:04108C00665DD83293 -:04108D00519606135F -:04108E00665DDA328F -:04108F0051A606134D -:04109000675DDC328A -:041091000613665D7F -:04109200635D51B693 -:041093008187071337 -:0410940004000F370E -:041095000DA3DE3297 -:04109600061300013C -:0410970048815DC36C -:041098004F478793A4 -:041099005DC303131D -:04109A000F93C63AB0 -:04109B00628510005A -:04109C004000049379 -:04109D002000091313 -:04109E00099343C1AE -:04109F000A1304002C -:0410A0000A930800A7 -:0410A1004B110200ED -:0410A2000C134BA13F -:0410A3004C8D20D080 -:0410A4000F134D05D4 -:0410A5009513040F8C -:0410A6004775018801 -:0410A7009463856168 -:0410A800557D00E88A -:0410A9004703A271E6 -:0410AA004E950176E8 -:0410AB00016648038F -:0410AC000EEEE563FC -:0410AD001E934DB28F -:0410AE009DF6002784 -:0410AF00000DAD8300 -:0410B000D07A8D82E3 -:0410B10000D87833B8 -:0410B2000C080963BA -:0410B30004010D9394 -:0410B400AE839EEE7B -:0410B5005803FE8E50 -:0410B600CE8301865E -:0410B7000E86000E93 -:0410B800DE839EEE47 -:0410B9007EB3FDCE37 -:0410BA00886301D86E -:0410BB0048130A0EBE -:0410BC007813FFF8AE -:0410BD0012630018A2 -:0410BE0058030AB811 -:0410BF0008790106A5 -:0410C00008886D63CC -:0410C1009723480524 -:0410C2008823000778 -:0410C3008923010775 -:0410C4009A23000764 -:0410C5008E6301D75E -:0410C600E96319FEC3 -:0410C70084630BDF54 -:0410C800E763167E46 -:0410C900856309D35F -:0410CA0098630F6EAA -:0410CB004685077ED1 -:0410CC0000D78623A0 -:0410CD0003B7C6831C -:0410CE0010069E6307 -:0410CF0086B346E9B5 -:0410D000969A02D812 -:0410D10000E6D6035C -:0410D20057700693BA -:0410D30010C6E463FC -:0410D400FFF7061309 -:0410D5000FF6761389 -:0410D600ED634689F7 -:0410D70086A30EC618 -:0410D800882300D792 -:0410D900156300D7C4 -:0410DA00C7030CD765 -:0410DB00186303177C -:0410DC0047051007AD -:0410DD0000E787237E -:0410DE005D83A86521 -:0410DF0093E3010690 -:0410E0004D83F58DBA -:0410E1009D63FFD636 -:0410E2001563019DF4 -:0410E3007813000E70 -:0410E400BF0D0EF836 -:0410E50017E34D8937 -:0410E6000885F3BEC8 -:0410E700BDE50669F4 -:0410E800000E15637E -:0410E9000FB87813B1 -:0410EA001DE3BF3112 -:0410EB00B7F5F1AEB6 -:0410EC000F3E8163CF -:0410ED000F4E8A63B5 -:0410EE00FF5E91E32D -:0410EF0020100713B3 -:0410F00000E796235C -:0410F10088234719F0 -:0410F200A09D00E7D6 -:0410F3000E5E8B639F -:0410F40001D2EF63D3 -:0410F5000E9E826366 -:0410F600800E8713CE -:0410F7009EE3C3654C -:0410F8000713FB2EB1 -:0410F90096232020FA -:0410FA00471500E7AF -:0410FB006711BFE9D1 -:0410FC000CEE8C6307 -:0410FD008263672182 -:0410FE0067090EEE82 -:0410FF00F8EE9FE385 -:0411000096234711DA -:04110100071300E7E9 -:0411020089230780B6 -:04110300A00D00E754 -:041104000007962327 -:041105000FD7771376 -:041106001B6346859C -:04110700C70300D743 -:04110800C70D0317F5 -:0411090088234709E7 -:04110A00470500E7AE -:04110B0000E787A3CF -:04110C0054A654365B -:04110D005986591690 -:04110E004AE64A76ED -:04110F004BC64B562A -:041110004CA64C3667 -:041111004D864D16A4 -:041112008082616511 -:0411130087234705E2 -:04111400BFE100E750 -:04111500FFE7069357 -:04111600000786A3A5 -:041117000FD6F69366 -:041118004695D68999 -:04111900FCD716E306 -:04111A0088B34769E6 -:04111B00071302E8CC -:04111C0093464AF0BC -:04111D0000E3568312 -:04111E00EED779E3AC -:04111F0086A3BF558F -:041120004709000774 -:041121000713B78970 -:0411220096232010E0 -:04112300471100E789 -:041124004689BF1D1C -:0411250000D7962336 -:041126001BE3468DF4 -:04112700C703F8D72B -:0411280008E30317BE -:04112900BFF1EC071F -:04112A001020071377 -:04112B0000E7962320 -:04112C000713B741AD -:04112D00BFD12020EE -:04112E00BFE9468D42 -:04112F002030071352 -:041130000713B7E901 -:04113100B7052030AE -:04113200204007133F -:0411330000E7962318 -:0411340088234715B0 -:04113500476100E727 -:041136000713BF0DCF -:04113700962320409B -:04113800471900E76C -:0411390000E7882320 -:04113A00B70547515D -:04113B0017931101F4 -:04113C00CC2201853B -:04113D00CE06CA26EA -:04113E00842A87E197 -:04113F00DD6384AE3A -:0411400045810007DE -:04114100077005131B -:0411420001A337D5F9 -:04114300478500A13B -:0411440006A7EE63A9 -:0411450007F4741324 -:041146004591265158 -:041147002E814501AF -:04114800D79326858E -:0411490002A3018478 -:04114A00D79300F146 -:04114B000323010475 -:04114C00D79300F144 -:04114D0003A3008474 -:04114E00022300F187 -:04114F0004230081F4 -:0411500007130091F0 -:0411510007930400FC -:0411520009630950D4 -:04115300071300E49A -:041154004785048047 -:0411550000E414633B -:041156000870079383 -:04115700004845996E -:0411580000F104A3FB -:0411590045152CED1F -:04115A00842A26B508 -:04115B0005134585AE -:04115C002CF500313D -:04115D0000310783D3 -:04115E000007D6634D -:04115F004785264951 -:04116000FEF506E3AF -:041161002E41E0112A -:041162000031450310 -:04116300446240F2B0 -:04116400610544D20B -:0411650071398082DA -:041166004581DA26BF -:04116700051384AA3E -:04116800DE0604900B -:04116900D84ADC2262 -:04116A00F0EFD64E7E -:04116B00C909F43F7B -:04116C0050F24501F7 -:04116D0054D25462A2 -:04116E0059B25942D7 -:04116F0080826121F8 -:041170004515842A73 -:04117100892A2E0198 -:041172000FF00993DE -:041173000513458596 -:041174002C7100B129 -:0411750000B14783FB -:041176000137966344 -:041177004785260D75 -:04117800FEF506E397 -:0411790000091363F3 -:04117A004703263DC4 -:04117B00079300B125 -:04117C001FE30FE07E -:04117D0045C9FAF76F -:04117E002C95006844 -:04117F000044C783DE -:041180000027F7133A -:041181004503CF153E -:04118200478301217D -:0411830047030131EC -:04118400890D01616F -:041185008D5D052255 -:041186000141478359 -:041187004403050A0E -:041188008399011135 -:0411890047838D5DAE -:04118A00831D01516F -:04118B000786883D0E -:04118C008FD98B99D3 -:04118D000505943E82 -:04118E00153314659C -:04118F00BF95008583 -:04119000CB898B91EB -:0411910001414503D0 -:04119200015147833D -:041193008D5D052247 -:04119400B7CD47C5C7 -:041195004501478148 -:041196007179BFF1BB -:04119700D04A65D9FC -:04119800892A462931 -:04119900AD05859388 -:04119A00D226004811 -:04119B00CA56CE4E14 -:04119C00D422D6067D -:04119D00498DCC525A -:04119E0044852CE177 -:04119F000AA00A9305 -:0411A0002C2922C90B -:0411A10045A924B187 -:0411A2002AD1004806 -:0411A300202345813F -:0411A4000513000926 -:0411A500F0EF040063 -:0411A600842AE57F33 -:0411A7000E9514632A -:0411A8001AA00593F1 -:0411A90004800513A6 -:0411AA00E45FF0EF1F -:0411AB00089513632D -:0411AC00850A4591DA -:0411AD0047832A6DDD -:0411AE009563002124 -:0411AF0047830C97CF -:0411B0009163003116 -:0411B10005130D57BE -:0411B20024293E802E -:0411B30019632C0D83 -:0411B40005B70095E6 -:0411B50005134000DE -:0411B600F0EF0E90B8 -:0411B700F57DE13FA2 -:0411B80007632C3964 -:0411B9004401029556 -:0411BA0019FD243DBA -:0411BB000FF9F9939C -:0411BC0008098C632F -:0411BD004785D4513D -:0411BE00008902237F -:0411BF0000F92023F0 -:0411C000F0EF854A7D -:0411C100157DE95F50 -:0411C20000A9242339 -:0411C300A8B5226544 -:0411C4000513458149 -:0411C500F0EF07A0A0 -:0411C600F571DD7F63 -:0411C700850A4591BF -:0411C800478322B97E -:0411C90044310001AC -:0411CA000407F7938C -:0411CB004411FFD5F7 -:0411CC004581BF6535 -:0411CD000E90051368 -:0411CE00DB5FF0EF04 -:0411CF0004100A13EB -:0411D00000A4E5632F -:0411D1000A134409B0 -:0411D20005130E9063 -:0411D30022590FA0EE -:0411D4001763227DFE -:0411D50045810095BB -:0411D600F0EF85525F -:0411D700F96DD93F96 -:0411D800E1112A797E -:0411D9002A4544015E -:0411DA000513458133 -:0411DB00F0EF07B07A -:0411DC00C111D7FF67 -:0411DD000593440131 -:0411DE0005132000D5 -:0411DF00F0EF050028 -:0411E000D525D6FF3C -:0411E100B795440179 -:0411E20028D5F43DDB -:0411E30000143513AC -:0411E400542250B28F -:0411E50059025492C5 -:0411E6004A6249F21E -:0411E70061454AD242 -:0411E800451C8082A0 -:0411E900D422717922 -:0411EA00D226D6062D -:0411EB00CE4ED04ACA -:0411EC00E463440D67 -:0411ED0084BA02C7F7 -:0411EE00C305440DE4 -:0411EF0000454783ED -:0411F000893689AE05 -:0411F100E3918BA15A -:0411F20085B2062696 -:0411F30005100513CB -:0411F400D1DFF0EF68 -:0411F5004409C919C7 -:0411F6008522205DD1 -:0411F700542250B27C -:0411F80059025492B2 -:0411F900614549F211 -:0411FA0005138082D7 -:0411FB0020DD0640AD -:0411FC000FF00413D9 -:0411FD00051345850C -:0411FE00289500F13F -:0411FF0000F1478331 -:04120000008796636A -:04120100478528ED08 -:04120200FEF506E30C -:041203004703222952 -:04120400079300F15B -:0412050010E30FE003 -:041206000413FCF7DA -:04120700043320206C -:041208008C054124EC -:0412090080410442DA -:04120A00000905636F -:04120B00450185CA4A -:04120C0085A6283D4E -:04120D002825854EBD -:04120E00450185A26F -:04120F004401280D61 -:041210002637BF615D -:0412110005B7000617 -:041212001537019CEF -:0412130006130002BC -:041214008593A80610 -:041215000513CC05EC -:04121600B06F0205AE -:04121700862EC81F38 -:04121800153785AA57 -:0412190005130002B7 -:04121A00B06F0205AA -:04121B00862ED1FF4B -:04121C00153785AA53 -:04121D0005130002B3 -:04121E00B06F0205A6 -:04121F008082CE3FBC -:041220005783675D2C -:04122100F7934C876C -:0412220007C2F7F711 -:04122300142383C14C -:0412240017374CF735 -:041225002023000280 -:0412260080820EF7BD -:041227005783675D25 -:04122800E7934C8775 -:04122900142308077B -:04122A0017374CF72F -:04122B00202300027A -:04122C0080820EF7B7 -:04122D000029363727 -:04122E00019C05B763 -:04122F00000215376D -:041230002E0606136D -:04123100CC058593D0 -:041232000205051399 -:04123300C0FFB06FD9 -:04123400F73FF06F21 -:041235002783676143 -:04123600E3858D07B8 -:041237008793679D95 -:04123800053397875C -:04123900114102F568 -:04123A002823C60699 -:04123B00B0EF8CA7DD -:04123C0040B2855FD8 -:04123D000141450125 -:04123E004505808260 -:04123F001141808257 -:04124000B0EFC6063F -:0412410067E1865F7C -:041242008D07A783EA -:04124300353340B24D -:04124400014100F56F -:0412450067E180825B -:041246008C07A82346 -:0412470000008082A1 -:041248000000071388 -:0412490000E6166342 -:04124A000000051388 -:04124B0000008067B8 -:04124C0000E507B3FF -:04124D00001707136C -:04124E0000E586B37E -:04124F000007C7834A -:04125000FFF6C6835C -:04125100FED780E361 -:0412520040D78533C9 -:0412530000008067B0 -:0412540000A5C7B377 -:041255000037F793D4 -:0412560000C5073395 -:041257000007966393 -:0412580000300793C8 -:0412590002C7E26383 -:04125A0000050793F1 -:04125B000AE57C63C1 -:04125C000005C68340 -:04125D00001787935C -:04125E00001585935F -:04125F00FED78FA384 -:04126000FEE7E8E3DA -:0412610000008067A2 -:04126200003576934A -:0412630000050793E8 -:0412640000068E638F -:041265000005C68337 -:041266000017879353 -:041267000015859356 -:04126800FED78FA37B -:041269000037F693C1 -:04126A00FE9FF06F84 -:04126B00FFC77693B0 -:04126C00FE068613E1 -:04126D0006C7F46359 -:04126E000005A38351 -:04126F000045A28311 -:041270000085AF83C3 -:0412710000C5AF0302 -:041272000105AE8341 -:041273000145AE0380 -:041274000185A3034A -:0412750001C5A88384 -:041276000245859315 -:041277000077A02339 -:04127800FFC5A80303 -:041279000057A22355 -:04127A0001F7A423B1 -:04127B0001E7A623BE -:04127C0001D7A823CB -:04127D0001C7AA23D8 -:04127E000067AC2336 -:04127F000117AE2382 -:041280000247879307 -:04128100FF07AE2392 -:04128200FADFF06F30 -:041283000005A603B9 -:041284000047879305 -:041285000045859308 -:04128600FEC7AE23CE -:04128700FED7E8E3C3 -:04128800F4E7E8E3BC -:04128900000080677A -:04128A00F601011355 -:04128B0006912A237B -:04128C0008F12A2318 -:04128D0006112E23F5 -:04128E00000177B72D -:04128F0006812C2385 -:0412900008D1262338 -:0412910008E1282325 -:0412920009012C23FF -:0412930009112E23EC -:041294003FC7A48329 -:041295000205D26319 -:0412960008B0079302 -:0412970000F4A0239C -:04129800FFF005134B -:0412990007C12083E6 -:04129A0007812403A1 -:04129B000741248360 -:04129C000A0101132F -:04129D000000806766 -:04129E002080079312 -:04129F0000F11A231D -:0412A00000A1242362 -:0412A10000A12C2359 -:0412A20000000793AE -:0412A300000584635B -:0412A400FFF5879338 -:0412A50000F1282309 -:0412A60000F12E2302 -:0412A70008C10693E1 -:0412A800FFF00793B9 -:0412A90000058413A5 -:0412AA0000048513A4 -:0412AB000081059326 -:0412AC0000F11B230F -:0412AD0000D1222327 -:0412AE00484000EFC5 -:0412AF00FFF00793B2 -:0412B00000F556638C -:0412B10008B00793E7 -:0412B20000F4A02381 -:0412B300F8040CE34C -:0412B400008127830B -:0412B500000780238B -:0412B600F8DFF06FFE -:0412B70002060A63BE -:0412B800FFF6061324 -:0412B9000000071317 -:0412BA0000E507B391 -:0412BB0000E586B311 -:0412BC000007C783DD -:0412BD000006C683DE -:0412BE0000D798635A -:0412BF0000C70663FB -:0412C00000170713F9 -:0412C100FE0792E3AF -:0412C20040D7853359 -:0412C3000000806740 -:0412C400000005130E -:0412C500000080673E -:0412C6000005079385 -:0412C70000060E63AC -:0412C80000158593F5 -:0412C900FFF5C70363 -:0412CA0000178793EF -:0412CB00FFF6061311 -:0412CC00FEE78FA307 -:0412CD00FE0714E321 -:0412CE0000C786339C -:0412CF0000C794635D -:0412D0000000806733 -:0412D10000178793E8 -:0412D200FE078FA3E1 -:0412D300FF1FF06F9A -:0412D40000B505B3A9 -:0412D5000005079376 -:0412D60000B7866374 -:0412D7000007C70342 -:0412D8000007166392 -:0412D90040A7853372 -:0412DA000000806729 -:0412DB0000178793DE -:0412DC00FE9FF06F12 -:0412DD001005846311 -:0412DE00FFC5A7831E -:0412DF00FF010113F7 -:0412E0000081242342 -:0412E10000112623AF -:0412E2000091222332 -:0412E300FFC58413AC -:0412E4000007D463C8 -:0412E50000F40433DA -:0412E6000005049368 -:0412E70058D000EFEC -:0412E8000001773753 -:0412E90046C727834A -:0412EA0000070613E0 -:0412EB000207926301 -:0412EC0000042223B5 -:0412ED0046872623E7 -:0412EE000081240354 -:0412EF0000C1208397 -:0412F000000485135E -:0412F1000041248311 -:0412F20001010113E2 -:0412F3005610006F22 -:0412F40002F4786325 -:0412F5000004268348 -:0412F60000D40733E6 -:0412F70000E79A630F -:0412F8000007A70341 -:0412F9000047A78380 -:0412FA0000D70733DF -:0412FB0000E42023C8 -:0412FC0000F42223B5 -:0412FD0046862623D8 -:0412FE00FC1FF06F72 -:0412FF00000707934A -:041300000047A703F8 -:04130100000704637A -:04130200FEE47AE3A8 -:041303000007A683B6 -:0413040000D7863355 -:0413050002861863E1 -:0413060000042603B6 -:0413070000C686B3E3 -:0413080000D7A02347 -:0413090000D7863350 -:04130A00F8C718E325 -:04130B0000072603AE -:04130C00004727036C -:04130D0000D606B34D -:04130E0000D7A02341 -:04130F0000E7A2232E -:04131000F79FF06FE4 -:0413110000C4786339 -:0413120000C007937D -:0413130000F4A0231F -:04131400F69FF06FE1 -:0413150000042603A7 -:0413160000C406B356 -:0413170000D71A637E -:041318000007268321 -:04131900004727035F -:04131A0000C686B3D0 -:04131B0000D42023B7 -:04131C0000E42223A4 -:04131D000087A22380 -:04131E00F41FF06F59 -:04131F0000008067E3 -:04132000FE010113B6 -:0413210000912A23EA -:04132200003584937B -:04132300FFC4F4937C -:0413240000112E2363 -:0413250000812C23F4 -:041326000121282356 -:041327000131262347 -:041328000084849326 -:0413290000C0079366 -:04132A0006F4F8636A -:04132B0000C0049367 -:04132C0006B4E663BA -:04132D00000509139B -:04132E00471000EF75 -:04132F00000177B78B -:0413300046C7A70302 -:0413310046C7869392 -:041332000007041399 -:0413330006041C632D -:041334000001743709 -:041335004704041352 -:041336000004278305 -:0413370000079A63AE -:041338000000059319 -:04133900000905138F -:04133A00375000EF39 -:04133B0000A42023C7 -:04133C000004859391 -:04133D00000905138B -:04133E00365000EF36 -:04133F00FFF009931F -:041340000B351A63EC -:0413410000C007934E -:0413420000F920236B -:041343000009051385 -:0413440041D000EFA5 -:041345000100006F34 -:04134600F804DCE3E8 -:0413470000C0079348 -:0413480000F5202369 -:041349000000051388 -:04134A0001C120833A -:04134B0001812403F5 -:04134C0001412483B4 -:04134D00010129036E -:04134E0000C129832E -:04134F000201011383 -:0413500000008067B2 -:0413510000042783EA -:04135200409787B386 -:041353000407CE635A -:0413540000B00613CC -:0413550000F67A63C1 -:0413560000F420235C -:0413570000F4043367 -:0413580000942023BA -:041359000100006F20 -:04135A0000442783A1 -:04135B0002871A6388 -:04135C0000F6A023D4 -:04135D00000905136B -:04135E003B5000EF11 -:04135F0000B40513BE -:0413600000440793AB -:04136100FF8575137C -:0413620040F5073318 -:04136300F8070EE396 -:0413640000E404336A -:0413650040A787B363 -:0413660000F420234C -:04136700F8DFF06F4C -:0413680000F7222345 -:04136900FD1FF06F05 -:04136A000004071361 -:04136B000044240313 -:04136C00F1DFF06F4E -:04136D000035041330 -:04136E00FFC4741331 -:04136F00FA8502E316 -:0413700040A405B3DD -:041371000009051357 -:04137200295000EF0F -:04137300F9351AE34B -:04137400F35FF06FC4 -:04137500FE01011361 -:041376000121282306 -:041377000085A90341 -:0413780000812C23A1 -:0413790001412423E7 -:04137A0001612023CA -:04137B0000112E230C +:0400A400636340C78B +:0400A50041630AE6C3 +:0400A60017FD0A0731 +:0400A700EDC98DFD15 +:0400A8002AB76A0504 +:0400A9006B210002C5 +:0400AA000A1349816B +:0400AB008A93802A8A +:0400AC000B13110A17 +:0400AD00E319020B46 +:0400AE00A8BD4501A3 +:0400AF00CE3E57FDED +:0400B0000034751390 +:0400B1004791CD099D +:0400B20084BA8F89F4 +:0400B30000E7F3630C +:0400B4008C0984BE71 +:0400B5000034779309 +:0400B6005529CB817C +:0400B700478DA8B118 +:0400B800E363449129 +:0400B90084BA00E71E +:0400BA0085B3087C86 +:0400BB00953E013637 +:0400BC00C63A862694 +:0400BD0040EFC43616 +:0400BE0046A27C607A +:0400BF00087C473240 +:0400C0000008853778 +:0400C10088B3684157 +:0400C200051300978B +:0400C30008134405D5 +:0400C4009D63101810 +:0400C5002783031773 +:0400C60046720B89EA +:0400C70097A299A6BD +:0400C8002783C39037 +:0400C9008F050C098A +:0400CA000107861391 +:0400CB008B894B9C36 +:0400CC004789CF9DF4 +:0400CD00556DC21C8F +:0400CE00546250F236 +:0400CF00594254D26C +:0400D0005A2259B2A5 +:0400D1005B025A92E2 +:0400D20080826121A6 +:0400D3000007C583DA +:0400D40086330785E3 +:0400D50085B30345A7 +:0400D6007633036515 +:0400D7008DE9015658 +:0400D80006338E4D10 +:0400D9008241030657 +:0400DA00FEC78FA32B +:0400DB000411B75DF8 +:0400DC005529B79952 +:0400DD00C94580820F +:0400DE00D42271793E +:0400DF00D606C85A1F +:0400E000D04AD2260A +:0400E100CC52CE4EE1 +:0400E200C65ECA56D6 +:0400E300842AC46245 +:0400E40055298B32DD +:0400E5002783C61D8A +:0400E60027030B845D +:0400E7008A360BC486 +:0400E80084AE97AE9D +:0400E900FE6396BE5E +:0400EA00FC6300E7CC +:0400EB002A8300E67E +:0400EC004B810D0433 +:0400ED000355DAB32A +:0400EE000CC4278394 +:0400EF0000FAEF63C1 +:0400F00050B24501C4 +:0400F10054925422AF +:0400F20049F2590274 +:0400F3004AD24A6241 +:0400F4004BB24B427E +:0400F50061454C22F3 +:0400F60003E380821E +:0400F7002983FE0A51 +:0400F8004C010D04A6 +:0400F900413009B3D6 +:0400FA000099F9B3BD +:0400FB0001348463E5 +:0400FC0041348C33CC +:0400FD00852285CE05 +:0400FE00F5613D0962 +:0400FF000D042903C0 +:0401000041890933F5 +:04010100012A7363F9 +:04010200874A89524D +:04010300017B06B3C3 +:0401040085CE8626F8 +:040105003581852299 +:040106000A33F54D76 +:040107009BCA412A24 +:040108000A8594CA06 +:040109005529BF5164 +:04010A0057A98082EF +:04010B00C641C549DB +:04010C000B852783B5 +:04010D000BC52703F4 +:04010E00883395BEDF +:04010F0057A900B636 +:0401100006E5FB63A2 +:0401110006E8796320 +:04011200C4221141B1 +:0401130086B28436F6 +:040114008622853684 +:0401150040EFC606EB +:0401160086AA6660EF +:04011700253765859E +:040118006821000258 +:04011900000888B79B +:04011A0046016341F6 +:04011B008025859323 +:04011C0011050513B1 +:04011D0002080813B9 +:04011E004408889376 +:04011F0010130313A3 +:0401200000864863AA +:04012100442240B282 +:04012200853E47814E +:040123008082014194 +:0401240000C68E3350 +:04012500000E47037E +:0401260007B3060510 +:04012700073302B7E1 +:040128008FE9030751 +:040129000117773310 +:04012A0087B38FD92F +:04012B0083C1026723 +:04012C0000FE0023AE +:04012D00853EB7F163 +:04012E00CD4D8082B1 +:04012F000C05270391 +:04013000CB5557B59F +:040131000C8527838F +:040132004718EBA9D6 +:04013300771346B147 +:0401340007930FF727 +:04013500E963FEB7C5 +:0401360066D902F68E +:040137008693078A1A +:0401380097B6C4862C +:040139008782439CDA +:04013A002000079307 +:04013B000D05268305 +:04013C000C45260345 +:04013D0002F685B38E +:04013E0000B616638E +:04013F000CC5258343 +:0401400004F58663D9 +:040141000205282368 +:04014200A0B557B558 +:0401430040000793DE +:040144006785BFF11B +:040145008007879315 +:040146004358BFD18A +:04014700771347D90A +:040148000F630FF73B +:0401490047E100F793 +:04014A0000F70F6348 +:04014B0057B546D18D +:04014C0004D713635E +:04014D000200079312 +:04014E000793BF55FF +:04014F00B77D040074 +:040150000800079309 +:040151000793B765F4 +:04015200B74D100095 +:04015300C60611418A +:040154000CE52C2367 +:04015500470565DD18 +:04015600D918DD5C7B +:0401570002052A2350 +:04015800C134DD10C1 +:04015900560585932F +:04015A0040B222018C +:04015B00853E478115 +:04015C00808201415B +:04015D00853E57A9DB +:04015E0047958082BF +:04015F0002F606336B +:04016000000524234F +:04016100C91C4785E9 +:0401620002C5D5B34A +:04016300F79315FDFC +:0401640081A10FF571 +:04016500F593C11C31 +:04016600C14C0FF584 +:0401670008000793F2 +:040168008082C51CB0 +:040169008A05058678 +:04016A00C54C95B239 +:04016B0009000793ED +:04016C00010507136F +:04016D00431CC91C4A +:04016E00FFF58B8985 +:04016F00811D49089D +:0401700080828905FB +:04017100010507136A +:040172000680079369 +:040173000793E19974 +:04017400C91C0200A0 +:040175008B89431C13 +:040176004548FFF504 +:04017700C54C808271 +:040178000105071363 +:0401790005000793E3 +:04017A0047C1E21186 +:04017B00431CC91C3C +:04017C00FFF58B8977 +:04017D00811D49088F +:04017E0080828905ED +:04017F00069347811B +:04018000C36302C093 +:04018100808200C7B1 +:040182000105081358 +:040183002703C91471 +:040184008B090008DB +:04018500C599FF6DAC +:0401860000C5280385 +:0401870000F58733C5 +:040188000107002348 +:04018900BFF1078536 +:04018A0046F1478172 +:04018B0000C7C36383 +:04018C0087338082B3 +:04018D00470300F52F +:04018E00081300074B +:04018F00C558010549 +:040190002703C91464 +:040191008B090008CE +:040192000785FF6D71 +:0401930047EDB7C5B8 +:0401940002F5053338 +:040195005533479DFA +:04019600E46302F527 +:04019700450100A777 +:040198000001808260 +:04019900BFD5078542 +:04019A00451CC11926 +:04019B0067DDEF919C +:04019C0055C7A78319 +:04019D001141C395B4 +:04019E009782C60678 +:04019F0047D940B24A +:0401A0005529C11C00 +:0401A1008082014116 +:0401A200C14C419C6F +:0401A300419CC11C9E +:0401A400C188C3C883 +:0401A500808245010E +:0401A6000513656177 +:0401A70047D9A90586 +:0401A8005529C11CF8 +:0401A9000000808250 +:0401AA0000B5673302 +:0401AB00FFF00393CB +:0401AC00003777138E +:0401AD0010071063C4 +:0401AE007F7F87B711 +:0401AF00F7F7879344 +:0401B000000526031D +:0401B1000005A6831C +:0401B20000F672B32E +:0401B30000F66333BC +:0401B40000F282B320 +:0401B5000062E2B34F +:0401B60010729263CE +:0401B70008D61663ED +:0401B80000452603D5 +:0401B9000045A683D4 +:0401BA0000F672B326 +:0401BB0000F66333B4 +:0401BC0000F282B318 +:0401BD000062E2B347 +:0401BE000C729E63BE +:0401BF0006D61663E7 +:0401C000008526038D +:0401C1000085A6838C +:0401C20000F672B31E +:0401C30000F66333AC +:0401C40000F282B310 +:0401C5000062E2B33F +:0401C6000C729863BC +:0401C70004D61663E1 +:0401C80000C5260345 +:0401C90000C5A68344 +:0401CA0000F672B316 +:0401CB0000F66333A4 +:0401CC0000F282B308 +:0401CD000062E2B337 +:0401CE000C729263BA +:0401CF0002D61663DB +:0401D00001052603FC +:0401D1000105A683FB +:0401D20000F672B30E +:0401D30000F663339C +:0401D40000F282B300 +:0401D5000062E2B32F +:0401D6000A729C63AA +:0401D70001450513C6 +:0401D80001458593C5 +:0401D900F4D60EE367 +:0401DA0001061713F0 +:0401DB0001069793EF +:0401DC0000F71E63A7 +:0401DD0001065713AD +:0401DE000106D793AC +:0401DF0040F70533AD +:0401E0000FF575930F +:0401E1000205906320 +:0401E2000000806732 +:0401E30001075713A6 +:0401E4000107D793A5 +:0401E50040F70533A7 +:0401E6000FF5759309 +:0401E7000005946318 +:0401E800000080672C +:0401E9000FF7771382 +:0401EA000FF7F79381 +:0401EB0040F70533A1 +:0401EC000000806728 +:0401ED0000054603C0 +:0401EE000005C683BF +:0401EF0000150513DF +:0401F00000158593DE +:0401F10000D61463BD +:0401F200FE0616E30C +:0401F30040D60533BA +:0401F4000000806720 +:0401F50000450513A9 +:0401F60000458593A8 +:0401F700FCD61CE333 +:0401F80000000513EB +:0401F900000080671B +:0401FA000085051364 +:0401FB000085859363 +:0401FC00FCD612E338 +:0401FD0000000513E6 +:0401FE000000806716 +:0401FF0000C505131F +:0402000000C585931D +:04020100FAD618E32E +:0402020000000513E0 +:040203000000806710 +:0402040001050513D8 +:0402050001058593D7 +:04020600F8D61EE325 +:0402070000000513DB +:04020800000080670B +:04020900120D07B714 +:04020A0087931101C4 +:04020B00C03E2827A2 +:04020C000793CE0680 +:04020D00E219F800FA +:04020E00040007934E +:04020F000323069E21 +:04021000E79300A1CF +:04021100E6930087E9 +:040212008B0D0086CA +:040213004505858A8E +:0402140000F10223D0 +:0402150000D102A36F +:0402160000E103A35D +:04021700C602C40255 +:0402180040F226C1C9 +:040219008082610579 +:04021A004702110185 +:04021B00080007B719 +:04021C008FF9CE0682 +:04021D00110A073784 +:04021E00104707136B +:04021F00C03E8FD975 +:0402200000414783CF +:040221000037E79328 +:0402220000F10223C2 +:04022300C111479529 +:040224009713478D58 +:0402250047830027E4 +:04022600858A004184 +:040227009B8D450561 +:0402280002238FD945 +:04022900578300F106 +:04022A00F7930061E5 +:04022B001323700722 +:04022C00219900F123 +:04022D00610540F235 +:04022E001141808278 +:04022F001437C4229A +:04023000C2260002E0 +:0402310084AA460154 +:0402320004C005936C +:0402330004040513A7 +:0402340039C9C606F8 +:040235000FF4F5933A +:040236000513460165 +:040237003301040487 +:0402380004C0059366 +:0402390004040513A1 +:04023A00396D4605CF +:04023B00040405139F +:04023C0040B2442266 +:04023D00458544921D +:04023E00B1E90141E0 +:04023F00C422114183 +:04024000000214376D +:04024100C04AC226C7 +:04024200892A84AED3 +:0402430005934601D8 +:04024400051304C0DA +:04024500C6060404E1 +:04024600759331710A +:0402470046010FF964 +:040248000404051392 +:040249000513396DF3 +:04024A004422040442 +:04024B00490240B272 +:04024C00449285A6AD +:04024D000141460520 +:04024E001141B15D4C +:04024F003FB5C606EB +:04025000751340B230 +:0402510001410FF563 +:040252001141808254 +:04025300377DC60627 +:04025400450140B26E +:040255008082014161 +:04025600CC221101A4 +:04025700C84ACA26A1 +:0402580084AACE06A0 +:040259004401892EA5 +:04025A0000C4496330 +:04025B00446240F2C7 +:04025C00494244D2FD +:04025D0061054501F1 +:04025E0007B38082E0 +:04025F00C5830089CA +:0402600085330007DB +:04026100751300848D +:04026200C6320FF59C +:0402630004053F85CA +:04026400BFD9463286 +:040265003E8007933D +:0402660002F5053365 +:04026700F82FF06F0D +:04026800458111417A +:04026900C606453D43 +:04026A0040B2374D1A +:04026B0005134581B1 +:04026C000141061036 +:04026D001141BF5923 +:04026E00645DC422E5 +:04026F0063440413CD +:04027000002445839E +:04027100C606451563 +:04027200478337493E +:0402730005930024CB +:04027400451103D05D +:040275009713839DBB +:040276004783002793 +:040277009BED00C437 +:0402780006238FD9F1 +:04027900379500F4C1 +:04027A00376D450592 +:04027B00451145F5EF +:04027C0005933FA9FE +:04027D000513030062 +:04027E003F810610A6 +:04027F000B200593B8 +:0402800037A1452538 +:040281000F80059352 +:040282003781452952 +:04028300037005936C +:040284003F25452DA0 +:04028500453D45812D +:0402860045813F0D62 +:040287000C900513BF +:040288004581372D48 +:040289000CA00513AD +:04028A004581370D66 +:04028B000CB005139B +:04028C0045813F2940 +:04028D000CC0051389 +:04028E0045813F095E +:04028F000CD0051377 +:040290004581372944 +:040291000CE0051365 +:040292004581370962 +:040293000CF0051353 +:0402940045813DED76 +:040295000D00051340 +:0402960045833DCD92 +:0402970044220044B9 +:04029800051340B258 +:0402990001410E1001 +:04029A007179B5CDF4 +:04029B00D226D42271 +:04029C00CE4ED04A28 +:04029D003E80041388 +:04029E004785D606B4 +:04029F00892E89AA71 +:0402A000028554334C +:0402A1009563648D70 +:0402A200648900F576 +:0402A30080048493BC +:0402A400453D45850A +:0402A5003D55C632CB +:0402A6000513458176 +:0402A7003575033076 +:0402A8000084D59366 +:0402A90003400513F6 +:0402AA004581354D08 +:0402AB0003500513E4 +:0402AC0075933D69A0 +:0402AD0005130FF432 +:0402AE003D410300CB +:0402AF0000845593DF +:0402B0000FF5F593BE +:0402B100031005131E +:0402B20055933549E2 +:0402B300F5930104BA +:0402B40005130FF52A +:0402B5003D95032050 +:0402B600453D4581FC +:0402B700458135BD8B +:0402B8000C500513CE +:0402B90064DD359D2E +:0402BA00634487937F +:0402BB000137A42340 +:0402BC00849347855B +:0402BD004409634449 +:0402BE00036346325E +:0402BF00442900F9D5 +:0402C00082234785C9 +:0402C10083A300F41F +:0402C2004705008468 +:0402C300036347BDCD +:0402C400478100E688 +:0402C50000F482A31C +:0402C6000C00059390 +:0402C7000E0005130D +:0402C8004785352D04 +:0402C9000590051384 +:0402CA000AF91B63AF +:0402CB0075933539B9 +:0402CC00E5930FC5E2 +:0402CD000513001500 +:0402CE003D01059059 +:0402CF003BF54511A5 +:0402D0000EB575935F +:0402D100351145118D +:0402D2000044C5839C +:0402D3000E100513F1 +:0402D40005933BED66 +:0402D50005130E40BF +:0402D6003BC50E20F6 +:0402D7000054C58387 +:0402D8000E300513CC +:0402D90033D589BDD3 +:0402DA000E800593FA +:0402DB000E400513B9 +:0402DC0045853BE930 +:0402DD003BD1453D8F +:0402DE00051345813E +:0402DF0033F10910DE +:0402E000051345813C +:0402E10033D10920EC +:0402E2000513458536 +:0402E3003B7509302E +:0402E4000513458138 +:0402E5003B5509403C +:0402E6000C0465930C +:0402E7000980051372 +:0402E8004593336D9A +:0402E90005920FF477 +:0402EA0000B5E593E3 +:0402EB000FB5F593C3 +:0402EC00099005135D +:0402ED0045813B59B3 +:0402EE003B41453D0E +:0402EF000C10059357 +:0402F0000E000513E4 +:0402F10007933359E3 +:0402F2008323FC1056 +:0402F30050B200F411 +:0402F40054925422AA +:0402F50049F259026F +:0402F6006145450514 +:0402F7003BB1808215 +:0402F8000FC5759326 +:0402F9001141BF8967 +:0402FA00C6064511DE +:0402FB00659333B91B +:0402FC00F5930085F1 +:0402FD0045110FF5A3 +:0402FE0040B23B8946 +:0402FF000300059360 +:0403000006100513CB +:04030100B391014172 +:04030200451111414F +:040303003335C606C2 +:04030400659340B20B +:04030500F593004527 +:0403060045110FF599 +:04030700B3350141C8 +:04030800C6061141D3 +:04030900E911C42210 +:04030A000513458111 +:04030B003B310CD0A6 +:04030C0040B24505B1 +:04030D000141442244 +:04030E00842E808237 +:04030F00D9F54501D6 +:04031000453D45859D +:0403110045833319D4 +:04031200051300349B +:0403130039F5058033 +:0403140000444583D9 +:040315000590051337 +:04031600458339CD15 +:040317000513005476 +:0403180031E505A026 +:0403190000644583B4 +:04031A0005B0051312 +:04031B00458339F9E4 +:04031C000513007451 +:04031D0039D105C00D +:04031E00008445838F +:04031F0005E00513DD +:04032000458331E9F7 +:04032100051300942C +:0403220031C105F0F0 +:0403230000A445836A +:0403240006000513B7 +:040325004583395D76 +:04032600051300B407 +:040327003175061016 +:0403280000C4458345 +:040329000620051392 +:04032A004583314D89 +:04032B00051300D4E2 +:04032C0039610630FD +:04032D0000E4458320 +:04032E00064005136D +:04032F004583317958 +:04033000051300F4BD +:0403310031510650F0 +:0403320000340793F9 +:0403330004414581BB +:040334000007C703F4 +:040335008D99078512 +:040336000FF5F59337 +:04033700FEF41AE3D3 +:0403380006F58593AE +:040339000FF5F59334 +:04033A0005D00513D2 +:04033B00458139B906 +:04033C0039A1453D61 +:04033D00BF15458D16 +:04033E00C60611419D +:04033F00E911C422DA +:0403400005134581DB +:0403410031910CE00A +:0403420040B245057B +:04034300014144220E +:04034400842E808201 +:04034500D9F54501A0 +:04034600453D458567 +:040347004583313D7C +:040348000513003465 +:0403490031150680E4 +:04034A0000444583A3 +:04034B000690051300 +:04034C004583392983 +:04034D000513006430 +:04034E00390106B0BB +:04034F00007445836E +:0403500006C00513CB +:040351004583311996 +:0403520047830034A9 +:04035300051300444A +:0403540097AE06D08A +:0403550007100593F5 +:0403560047838D9DAF +:040357008D9D005424 +:040358000064478373 +:0403590047838D9DAC +:04035A008D9D007401 +:04035B000FF5F59312 +:04035C0045813EE9B0 +:04035D003ED1453D0B +:04035E00B761458DB1 +:04035F00C422114162 +:04036000842A458125 +:04036100C606453D4A +:04036200051336C980 +:0403630036750C10CF +:0403640000803433AE +:040365000FE5759398 +:0403660005138DC12D +:0403670036750C10CB +:0403680040B2442239 +:040369000513458DA6 +:04036A0001410C60E1 +:04036B007179BE796D +:04036C00D04AD2267B +:04036D00892A84AEA7 +:04036E00451145F5FB +:04036F00CC52CE4E50 +:04037000D606C636B1 +:04037100CA56D42272 +:0403720036418A3254 +:0403730069DD46B248 +:04037400634987133F +:0403750097938A854B +:0403760046830016A4 +:04037700899300C79F +:040378009AF5634946 +:0403790006238EDDEC +:04037A008A8900D795 +:04037B004505C299D9 +:04037C0005133771BD +:04037D00C4030700AE +:04037E00368100398B +:04037F000034FA93B9 +:0403800075934785A5 +:04038100866300355A +:04038200478908FAA5 +:0403830008FA86638B +:0403840000847793E7 +:04038500E593C399A0 +:040386007793020562 +:04038700C399001402 +:040388000105E593F3 +:040389000024779342 +:04038A00E593C3999B +:04038B008811008550 +:04038C00E593C0191C +:04038D00051300450F +:04038E003E01070025 +:04038F001A0A9B6348 +:04039000003A7A13A2 +:040391000C6347852D +:04039200478904FA99 +:040393001AFA1363DC +:0403940001849793B6 +:04039500440187E1B7 +:040396000007D46325 +:040397000A00041341 +:040398000304F493D3 +:0403990002000793C4 +:04039A0014F48C6368 +:04039B0003000793C1 +:04039C0004F483637F +:04039D0065DD47C112 +:04039E0016F491635D +:04039F008593460DEF +:0403A0000513568566 +:0403A1003CC907301C +:0403A200464965DD86 +:0403A300E345859316 +:0403A400E593A83500 +:0403A500BFAD0405DF +:0403A6000805E593CE +:0403A7009793BF95D4 +:0403A80087E1018464 +:0403A9000404F41341 +:0403AA00FA07DCE38F +:0403AB00FA046413D9 +:0403AC000FF47413C3 +:0403AD0065DDB775DE +:0403AE008593460DE0 +:0403AF00051356C517 +:0403B0003C5907307D +:0403B100464965DD77 +:0403B200E4858593C6 +:0403B30007600513C7 +:0403B400A89FF0EF1F +:0403B500051344895F +:0403B600F0EF07203D +:0403B7007593A61F75 +:0403B8008C4501C5AA +:0403B90005138DC1DA +:0403BA00F0EF072039 +:0403BB00C783A61F2F +:0403BC00458500C9AA +:0403BD00E3918B89B4 +:0403BE00051345815D +:0403BF00F0EF0C004F +:0403C00045D5A4DF9C +:0403C100F0EF451103 +:0403C20045C1A45F2E +:0403C3000610051308 +:0403C400A3BFF0EFF4 +:0403C50011634789F0 +:0403C60005930EF994 +:0403C7000513088092 +:0403C800F0EF06202C +:0403C90045C1A29FE9 +:0403CA0006300513E1 +:0403CB00A1FFF0EFAF +:0403CC00084005934D +:0403CD0006400513CE +:0403CE00A13FF0EF6C +:0403CF00F0EF450501 +:0403D0004511A57FAF +:0403D1009F7FF0EF2B +:0403D20001D5759349 +:0403D300F0EF4511F1 +:0403D40005139FDF8F +:0403D500F0EF0640FF +:0403D6004511A3FF2B +:0403D7009DFFF0EFA7 +:0403D80001557593C3 +:0403D900F0EF4511EB +:0403DA0004139E5F0B +:0403DB00453906405A +:0403DC009CBFF0EFE3 +:0403DD00E901894168 +:0403DE000442147D44 +:0403DF00804145050F +:0403E000A15FF0EF3A +:0403E1004581F46DF1 +:0403E200F0EF4531C2 +:0403E30005939C1FC3 +:0403E4004535040097 +:0403E5009B7FF0EF1B +:0403E60045394585CB +:0403E7009AFFF0EF9A +:0403E80045394581CD +:0403E9009A7FF0EF18 +:0403EA009F9FF0EFF2 +:0403EB00542250B296 +:0403EC0059025492CC +:0403ED004A6249F225 +:0403EE0045054AD2A5 +:0403EF008082614562 +:0403F000460D65DD74 +:0403F10056C58593D5 +:0403F20007300513B8 +:0403F30098DFF0EFB0 +:0403F400464965DD34 +:0403F500E205859305 +:0403F600460DBDD51E +:0403F700568585930F +:0403F80007300513B2 +:0403F900975FF0EF2B +:0403FA00464965DD2E +:0403FB00E0C5859341 +:0403FC004401BDF10A +:0403FD00B5C54481BD +:0403FE00051345E1BD +:0403FF00F0EF0620F5 +:0404000045C194DF7F +:0404010006300513A9 +:04040200943FF0EF44 +:04040300B71D45B12B +:04040400C4221141BC +:04040500842AC2265D +:04040600453D84AE3E +:04040700C60645815F +:0404080092BFF0EFC0 +:040409000590051342 +:04040A00913FF0EF3F +:04040B0002F57593EE +:04040C00041AE49951 +:04040D00F5938DC115 +:04040E00E5930FF56E +:04040F00442201057D +:04041000449240B220 +:04041100059005133A +:04041200F06F014145 +:0404130067DD901FF2 +:04041400D3078793F0 +:040415002223675DDA +:04041600A70364F7DD +:0404170005130C8736 +:04041800CB01F380A1 +:040419000D47A703E1 +:04041A001000079334 +:04041B0000F7136370 +:04041C008082450194 +:04041D0086AE67DD63 +:04041E00A50385AA03 +:04041F0011416447DC +:04042000F0EFC6062D +:04042100C119BA8FB4 +:04042200F37005135B +:04042300014140B2A1 +:0404240011018082C0 +:040425008432CC222F +:04042600CE06CA260E +:040427000FF47793C4 +:04042800872E86AAEB +:0404290064DD062266 +:04042A00A503C385DE +:04042B00759364441D +:04042C0005A2F00431 +:04042D009A2FF0EF23 +:04042E000513C119D8 +:04042F0040F2F35054 +:0404300044D244620C +:04043100808261055F +:04043200A503C42A30 +:04043300C62E644429 +:04043400C23285B299 +:04043500936FF0EFE2 +:0404360046A2461282 +:04043700D571473202 +:04043800F360051355 +:040439001101BFE905 +:04043A00C84ACC22BE +:04043B00C452C64E93 +:04043C00CA26CE06F8 +:04043D00842E892A56 +:04043E000A1389B262 +:04043F00E8111000B0 +:0404400040F2450140 +:0404410044D24462FB +:0404420049B2494230 +:0404430061054A22E3 +:0404440084A280828C +:04044500008A746352 +:04044600100004930B +:0404470085A6864EB2 +:040448003F85854A1D +:040449009926FD797A +:04044A0009858C058F +:04044B001101BFC913 +:04044C00C84ACC22AC +:04044D00C452C64E81 +:04044E00CE06C256BE +:04044F00892ECA2602 +:0404500089B68A32AD +:04045100478144019A +:0404520010000A93F9 +:0404530003246063BB +:040454008463450177 +:040455000513014743 +:0404560040F2F3403D +:0404570044D24462E5 +:0404580049B249421A +:040459004A924A2257 +:04045A008082610536 +:04045B00408904B31D +:04045C00009AF463AB +:04045D0010000493F4 +:04045E0085A6864E9B +:04045F003DDD8522D8 +:040460003613FD69E9 +:0404610085A6001458 +:0404620020EF854EB4 +:0404630087AA610003 +:040464001004041369 +:040465001101BF655D +:04046600645DCC22E3 +:040467000513C62A89 +:04046800CE066484D4 +:04046900622030EFEE +:04046A00E90945B2A5 +:04046B002000071353 +:04046C00460146817E +:04046D00648405138B +:04046E00756030EF96 +:04046F00446240F2B1 +:040470008082610520 +:04047100CC22110187 +:04047200C64EC84A60 +:04047300C256C45257 +:04047400CE06C05A96 +:04047500892ACA26E0 +:04047600843289AE95 +:040477000A938A3624 +:040478006B5D200098 +:040479004501E01940 +:04047A0084A2A01D9B +:04047B00008AF4639C +:04047C0020000493C5 +:04047D0001049713CC +:04047E0046818341EF +:04047F0085D2864A52 +:04048000648B051371 +:0404810070A030EF48 +:040482000533CD0968 +:0404830040F240A063 +:0404840044D24462B8 +:0404850049B24942ED +:040486004A924A222A +:0404870061054B02BE +:04048800864E80829A +:04048900855285A66D +:04048A00F1753D7D4E +:04048B000084D7937F +:04048C0099BE090507 +:04048D00B77D8C05A6 +:04048E00655D65DD66 +:04048F0069C5859323 +:0404900065C5051326 +:040491007C20206F3C +:04049200655D65DD62 +:040493006FC5859319 +:0404940067050513E0 +:040495007B20206F39 +:04049600C42211412A +:04049700842AC606E7 +:040498009A9FF0EF48 +:040499004501458153 +:04049A00A91FF0EFB7 +:04049B00675DE43580 +:04049C006B070713D0 +:04049D0007B7434812 +:04049E008793019CA3 +:04049F00D7B3CC07FC +:0404A000060302A7A6 +:0404A10045E900A782 +:0404A2000107450306 +:0404A300869366DDF9 +:0404A400645D798694 +:0404A5007184041347 +:0404A60002B6063361 +:0404A7000264458323 +:0404A80002A787B36D +:0404A900D50396B22F +:0404AA00460300E61F +:0404AB0087B302749D +:0404AC00450302A75B +:0404AD00050500C77A +:0404AE0002A787B367 +:0404AF0000E745031A +:0404B0000533050506 +:0404B100F0EF02F571 +:0404B2004503FA4FB5 +:0404B3004422026479 +:0404B400014140B210 +:0404B500D94FF06FBC +:0404B600442240B2EA +:0404B70080820141FD +:0404B800C04A1141E4 +:0404B9004505892A42 +:0404BA00C422C6068C +:0404BB00F0EFC22676 +:0404BC00F0EFA8FFB6 +:0404BD0045818F5F87 +:0404BE00F0EF450115 +:0404BF003693927F5F +:0404C00046010019D8 +:0404C1004505458127 +:0404C200AA7FF0EF2E +:0404C30002091563B2 +:0404C40064DD645D32 +:0404C5006B040413AD +:0404C6007184849326 +:0404C70000F44703F3 +:0404C8000164C68382 +:0404C9004581460122 +:0404CA00F0EF450109 +:0404CB00C783CFAF65 +:0404CC0006A301641E +:0404CD00854A02F466 +:0404CE004422370588 +:0404CF00449240B261 +:0404D0004501490297 +:0404D100F06F014186 +:0404D2001141A37FB2 +:0404D300C422C60673 +:0404D40067DDED2DC6 +:0404D5006817C7835A +:0404D6008C634721CB +:0404D700474104E7AE +:0404D80000E789634D +:0404D9009E634711C6 +:0404DA0020EF04E724 +:0404DB0045054B90F8 +:0404DC00675DA0A117 +:0404DD006B0707138F +:0404DE0007B74350C9 +:0404DF008793019C62 +:0404E000D7B3CC07BB +:0404E100060302C745 +:0404E20045E900A741 +:0404E3000713675D37 +:0404E40086AA7987E4 +:0404E50002B6063322 +:0404E60057039732EF +:0404E70087B300E7F0 +:0404E800C73702E729 +:0404E900071301C92B +:0404EA00636337F71A +:0404EB0046BD00F713 +:0404EC0020EF853642 +:0404ED00450D471062 +:0404EE0040B24422B2 +:0404EF00206F014138 +:0404F00020EF02B047 +:0404F100450146106B +:0404F20067DDBFC53E +:0404F3007187871373 +:0404F4000207468332 +:0404F500841347091C +:0404F600453D718788 +:0404F70000E6836335 +:0404F80020EF4501AB +:0404F90047834410E1 +:0404FA0047090204A8 +:0404FB0075E3450D53 +:0404FC004515FCF7AF +:0404FD0075138D1DC9 +:0404FE00BF7D0FF5BA +:0404FF00678971791F +:04050000D422D60625 +:04050100D04AD226E4 +:04050200CC52CE4EBB +:04050300C85ACA56B2 +:04050400C462C65EA9 +:040505008793C266B0 +:04050600173771072B +:040507002683000245 +:04050800D4630C07A5 +:0405090017FD0006D4 +:04050A0020EFFBFDE6 +:04050B006BDD6A90AA +:04050C006B0B87935B +:04050D0000B7C483EC +:04050E0084138A2A9E +:04050F00C4996B0B15 +:04051000000217B717 +:040511000C07A483AC +:04051200888D80C18F +:040513000370051359 +:04051400017020EF63 +:0405150005138AAA96 +:0405160020EF03804F +:04051700678500D024 +:0405180000851993AE +:04051900F0078793CD +:04051A0000F9F9B338 +:04051B00006557938D +:04051C00892A8B8518 +:04051D00039005132F +:04051E0000F404A33E +:04051F007EA020EFAB +:0405200005138CAA89 +:0405210020EF03A024 +:0405220017B77E0089 +:04052300A7830002A8 +:0405240047090C0770 +:040525000159E9B3DC +:0405260002E48B63FD +:0405270000B44703D2 +:040528000713E709C5 +:04052900746318F0EF +:04052A004705033747 +:04052B0000E49D63E8 +:04052C000127D99337 +:04052D007FF9F993C6 +:04052E00B713098571 +:04052F00471319094C +:040530004C01001763 +:040531007913A81181 +:0405320008E30209CF +:04053300B713FE09F3 +:0405340047130C89D4 +:040535004C0500175A +:0405360000B4468344 +:040537006ADD67DD35 +:0405380062478793FC +:04053900718A8493AC +:04053A009F636B5DF3 +:04053B00006318063B +:04053C000E63380A08 +:04053D0087033607F3 +:04053E0046890007E3 +:04053F0018E6D16386 +:04054000000780230D +:0405410005A3478542 +:04054200491100F467 +:040543006B0BA78314 +:0405440000851A1301 +:040545000513650530 +:040546007A33F0050F +:04054700869300AAED +:040548006A330017FB +:04054900675D019A4F +:04054A000136ED6326 +:04054B00001986937A +:04054C0000F6E96369 +:04054D009663405C15 +:04054E004783014797 +:04054F008B63008436 +:040550000CA333873E +:04055100C7036E0767 +:04055200478300C417 +:040553001A630234F1 +:04055400C70308F7DA +:04055500478300D404 +:0405560014630244E4 +:04055700C70308F7D7 +:04055800478300E4F1 +:040559001E630254C7 +:04055A00C70306F7D6 +:04055B00478300F4DE +:04055C0018630264BA +:04055D00C70306F7D3 +:04055E0047830104CA +:04055F0012630274AD +:04056000C70306F7D0 +:040561004783007458 +:040562001C6301E431 +:04056300C70304F7CF +:040564004783008445 +:04056500166301F424 +:04056600C70304F7CC +:040567004783009432 +:040568001063020416 +:04056900C70304F7C9 +:04056A00478300A41F +:04056B001A630214F9 +:04056C00C70302F7C8 +:04056D00478300B40C +:04056E0014630224EC +:04056F00C70302F7C5 +:040570004783019428 +:040571001E630304FE +:04057200C70300F7C4 +:04057300478301A415 +:0405740018630314F1 +:04057500C70300F7C1 +:040576004783024471 +:04057700096303B45D +:04057800470900F738 +:04057900736387CA57 +:04057A00478900E9C4 +:04057B000FF7F9136A +:04057C000174C7033C +:04057D0002E44783CA +:04057E0002F7086315 +:04057F0000A407834A +:0405800087B347698D +:04058100675D02E7C9 +:04058200798707135B +:04058300D70397BA49 +:0405840007930107D1 +:04058500196320D006 +:04058600470900F72A +:04058700736387CA49 +:04058800478900E9B6 +:040589000FF7F9135C +:04058A00711B478317 +:04058B000703C3B1EE +:04058C0046E900A498 +:04058D00073367DDEC +:04058E00879302D776 +:04058F004503798720 +:0405900015930104BA +:0405910081C1010A19 +:0405920097BA4601CD +:0405930000E7D703A3 +:0405940002E5053344 +:040595000304470311 +:040596008141054258 +:04059700D603C709B7 +:040598008205018750 +:0405990020EF8A05C0 +:04059A0013637BA0CC +:04059B004905000905 +:04059C006B3BA823EA +:04059D0001442223D0 +:04059E0001840423AD +:04059F000705A03577 +:0405A00000E78023CD +:0405A100B5594901FE +:0405A200000A0463E4 +:0405A3001E071163BB +:0405A4000007868343 +:0405A500D763567151 +:0405A60080231CC6CC +:0405A70005A30007A1 +:0405A80049110004F1 +:0405A900E60714E36A +:0405AA00718AC70388 +:0405AB00017447830D +:0405AC0006F71E63CD +:0405AD000014C7036C +:0405AE0001844783FA +:0405AF0006F71863D0 +:0405B0000024C70359 +:0405B10001944783E7 +:0405B20006F71263D3 +:0405B3000034C70346 +:0405B40001A44783D4 +:0405B50004F71C63C8 +:0405B6000044C70333 +:0405B70001B44783C1 +:0405B80004F71663CB +:0405B9000054C70320 +:0405BA0001C44783AE +:0405BB0004F71063CE +:0405BC000124C7034C +:0405BD0002944783DA +:0405BE0002F71A63C3 +:0405BF000134C70339 +:0405C00002A44783C7 +:0405C10002F71463C6 +:0405C2000144C70326 +:0405C30002B44783B4 +:0405C40000F71E63BB +:0405C5000114C70353 +:0405C60002844783E1 +:0405C70000F71863BE +:0405C8000254C7030F +:0405C90003C447839D +:0405CA0000F70563CE +:0405CB0000091363AD +:0405CC00C503490515 +:0405CD0047830184DB +:0405CE008B6302F445 +:0405CF00458300A7B9 +:0405D00020EF010413 +:0405D10008A3185013 +:0405D200136300A40B +:0405D30049050009CD +:0405D40001C4C50396 +:0405D5000334478321 +:0405D60000A7846393 +:0405D7001A3020EFC7 +:0405D80001D4C50382 +:0405D900034447830D +:0405DA0000A784638F +:0405DB0063A020EF0A +:0405DC0001E4C5036E +:0405DD0003544783F9 +:0405DE0000A784638B +:0405DF00634020EF66 +:0405E0000214C50339 +:0405E10003844783C5 +:0405E2000224C583A7 +:0405E30000A7966374 +:0405E40003944783B2 +:0405E50000B7846374 +:0405E6005F0020EFA3 +:0405E70001B4C50393 +:0405E800032447831E +:0405E90000A78B6379 +:0405EA00053347E1AD +:0405EB0067DD02F5D1 +:0405EC009907879351 +:0405ED0020EF953E28 +:0405EE00C50377408A +:0405EF004783020438 +:0405F00084630374A9 +:0405F100F0EF00A780 +:0405F200C503B85F26 +:0405F300478301F445 +:0405F40084630364B5 +:0405F50020EF00A74C +:0405F60065DD0710A8 +:0405F700461D69DD57 +:0405F8006F05859373 +:0405F90074198513D9 +:0405FA002A4030EF74 +:0405FB008513C50996 +:0405FC0020EF74195F +:0405FD00C7035C6074 +:0405FE0047830264C9 +:0405FF00186303D4A6 +:04060000C70300F735 +:0406010047830274B5 +:04060200066303E4A4 +:04060300C50300F734 +:04060400F0EF0154BE +:0406050067DDA47F8A +:040606007127C7830E +:04060700C503CF81D7 +:04060800478302849E +:04060900876303F40C +:04060A00155100A7DF +:04060B00856105629E +:04060C0008A020EF33 +:04060D00718A8593D6 +:04060E00017405135B +:04060F0003100613BB +:0406100027C030EFE0 +:04061100542250B26D +:04061200700B08A3BE +:040613005492854A2E +:0406140049F259024C +:040615004AD24A6219 +:040616004BB24B4256 +:040617004C924C2293 +:040618008082614536 +:04061900802316FD27 +:04061A00490100D7BB +:04061B008023BD2556 +:04061C00BFE500072F +:04061D006F97478309 +:04061E0086E34685A4 +:04061F000785CCD7A8 +:040620000FF7F79346 +:040621006EF70CA3C1 +:04062200CAD79FE3B1 +:0406230087CA470932 +:0406240000E9736313 +:04062500F9134789F5 +:04062600B1750FF7A4 +:040627001141675DB9 +:040628000693C6224D +:040629008E036B07CA +:04062A0045E900A6F8 +:04062B0005B368DDCE +:04062C00C50302BE42 +:04062D008793017638 +:04062E00C6037988FE +:04062F0046890106F1 +:040630006B0707133A +:0406310079888893A9 +:04063200C30397AEB9 +:04063300D8030147A0 +:04063400C58300C7B3 +:040635001463013712 +:04063600468318D50A +:0406370006850187AC +:040638000FF6F69330 +:04063900014755031D +:04063A0002274F83C1 +:04063B000E93479142 +:04063C008263800550 +:04063D006785020EBD +:04063E0080078E9310 +:04063F001AAEE563A7 +:04064000020007931A +:040641001CF5096338 +:0406420018A7E163B1 +:0406430047914EC1CC +:0406440001D5036376 +:04064500655D87B2B6 +:0406460068154E8362 +:040647009663452150 +:04064800030600AEF7 +:040649005313034202 +:04064A004503010360 +:04064B004F0501272F +:04064C00006578636A +:04064D0040A3033390 +:04064E0001031F1372 +:04064F00010F5F1325 +:0406500005334569C0 +:0406510002B302AE40 +:040652004E0940F617 +:04065300954644057F +:0406540000A55E831C +:040655000125450333 +:0406560003D2833315 +:0406570000AF03B33A +:0406580003C3433362 +:0406590002974E03B3 +:04065A00025382B312 +:04065B0053130342F0 +:04065C0003B70103DC +:04065D008E332000B8 +:04065E009E1A03C716 +:04065F004283931629 +:040660000E4200D76F +:040661005E130342DF +:040662005313010E1F +:0406630002FA010393 +:04066400008F93630D +:040665000533438195 +:040666000FB702A622 +:040667008F9300105D +:0406680007C2800F36 +:0406690006EE0E4E3D +:04066A00443205AE63 +:04066B0003D60EB3F1 +:04066C007533052EAF +:04066D00063301F55A +:04066E00FE9303E60E +:04066F00EEB37FFE69 +:040670006533005E90 +:040671000EB701D5EA +:0406720006520FF02D +:0406730001D6763303 +:0406740066338E4912 +:0406750015370076BF +:040676002823000233 +:0406770046030AC567 +:040678004E83011795 +:040679000636010739 +:04067A0066330EAA2B +:04067B008FD101D644 +:04067C0001C7E7B318 +:04067D000067E7B378 +:04067E000AF5202336 +:04067F0000C74783E6 +:0406800001C7460365 +:0406810007F64369CC +:040682008FD1066AA4 +:0406830002A7460381 +:040684008FD10652BA +:0406850000A70603C1 +:0406860002660633CF +:04068700C60398B25C +:0406880006460158C9 +:040689008EDD8FD1A2 +:04068A000106E6B3CC +:04068B0028238ECDC5 +:04068C00478308D5C3 +:04068D00468303C7D6 +:04068E0007BA02B7EE +:04068F008FD506AA53 +:0406900001B74683E5 +:0406910046838FD538 +:04069200470301A772 +:0406930006A601971F +:0406940007128FD5E5 +:0406950020238FD9B6 +:04069600014108F521 +:040697004E8580828A +:0406980011E34681A3 +:04069900D503E9D5C7 +:04069A00468301870B +:04069B00890500C706 +:04069C0089E3C50920 +:04069D00468DE6069A +:04069E0085E3B5B586 +:04069F00C683E60622 +:0406A00016F10177D7 +:0406A10000D036B39C +:0406A2000E93BDB145 +:0406A300478D10006F +:0406A400E9D503E3AE +:0406A5002000079397 +:0406A600E6F51EE374 +:0406A700028745037E +:0406A8008F8947915E +:0406A9006E89A83579 +:0406AA0003D50F6302 +:0406AB0000AEE86352 +:0406AC00E6F512E37A +:0406AD000287450378 +:0406AE00B7E54795D0 +:0406AF000F636791DD +:0406B00067A102F547 +:0406B100E4F518E371 +:0406B2000287450373 +:0406B3008F8947994B +:0406B4000FF7F793B2 +:0406B5004503A81140 +:0406B600478D0287E3 +:0406B70007868F899A +:0406B8000FF7F793AE +:0406B90087B2BD0D3A +:0406BA00E20F97E3D1 +:0406BB0005B118214C +:0406BC0005C2084229 +:0406BD0001085813C5 +:0406BE00BD3181C108 +:0406BF00B7ED4795B7 +:0406C00067DD1101E0 +:0406C1004705C64ED5 +:0406C2008CA369DDBF +:0406C30087936EE7C4 +:0406C400CA266B09CE +:0406C500CC2243C43C +:0406C600C84ACE064A +:0406C700C256C45201 +:0406C8006B09841323 +:0406C90018048A6324 +:0406CA006B09A7838E +:0406CB001807866323 +:0406CC00A0EEC8379D +:0406CD00B008081356 +:0406CE0002F85833A3 +:0406CF0000844783D9 +:0406D000019C0A3748 +:0406D100CC0A0A1332 +:0406D200029A5A33FB +:0406D300160784631F +:0406D400029854B381 +:0406D50003B0051356 +:0406D60010E020EF21 +:0406D7000513892A54 +:0406D80020EF03C04C +:0406D9004783104003 +:0406DA00460301646E +:0406DB0097130084ED +:0406DC0067D90027B3 +:0406DD00CA0787932E +:0406DE00439497BAF0 +:0406DF006B09A703F9 +:0406E0000700079375 +:0406E1000793E21980 +:0406E20066370690E1 +:0406E300655D000150 +:0406E400D4460613DF +:0406E500051345C5EF +:0406E60020EF670595 +:0406E70006937FB047 +:0406E80008133E8035 +:0406E9004729064057 +:0406EA0045C5655D40 +:0406EB006FC50513BF +:0406EC0002DA763385 +:0406ED000304DAB375 +:0406EE0002E6573396 +:0406EF000001663769 +:0406F000061387D690 +:0406F100F833D4C640 +:0406F20056B30304F4 +:0406F30020EF02DA18 +:0406F40067DD7C70D2 +:0406F5007957C783E7 +:0406F600F0EFE399A5 +:0406F70064DDE6EFE9 +:0406F8007104C68340 +:0406F90000844583B1 +:0406FA006B09A503E0 +:0406FB0020EF865610 +:0406FC0057FD72E054 +:0406FD000CF509638C +:0406FE0000A405232C +:0406FF0000A4070349 +:0407000046E967DD82 +:0407010064E78B23FB +:0407020002D70733E0 +:04070300879367DD94 +:040704004683798728 +:04070500405001045B +:04070600030448039D +:04070700C50397BAD5 +:04070800C7030167BB +:040709008D79710471 +:04070A0000A3675D84 +:04070B00D58368A783 +:04070C00771300E778 +:04070D0085B30FF9A8 +:04070E00468102D549 +:04070F0002B70733F3 +:0407100081C105C2DC +:0407110002C7573391 +:0407120082410642D8 +:040713000008066371 +:040714000187D68300 +:040715008A858285CA +:040716000FF777134F +:04071700516020EF1E +:04071800037445031E +:04071900EE6FF0EFA0 +:04071A00010445830E +:04071B0002F445039C +:04071C00456020EF25 +:04071D0000A408A389 +:04071E00C25FF0EFD7 +:04071F0002C4478346 +:0407200000E44503A9 +:04072100E791458196 +:0407220000F4458317 +:04072300B5938D8974 +:04072400F0EF0015DD +:040725004783B7EF60 +:04072600450303A4E0 +:04072700CB8502C4B8 +:0407280040F24462F5 +:04072900494244D22B +:04072A004A2249B264 +:04072B0061054A9288 +:04072C00E30FF06F78 +:04072D00BD69080694 +:04072E006A11648563 +:04072F007704849334 +:04073000D54A0A1389 +:040731004791BD41EE +:0407320000F40523A7 +:04073300E10DBF0510 +:0407340000F4470383 +:0407350002D4468321 +:0407360040F24462E7 +:04073700494244D21D +:040738004A2249B256 +:0407390046014A9299 +:04073A00610545818F +:04073B00B39FE06F19 +:04073C00446240F2E1 +:04073D00494244D217 +:04073E004A2249B250 +:04073F0061054A9274 +:040740001141808261 +:0407410064DDC2268B +:040742006594C503F2 +:04074300C606C42200 +:04074400706010EFE2 +:04074500E121842A00 +:040746006594C6836D +:04074700472967DDFA +:040748006AD786A343 +:04074900C78367DD1E +:04074A0085637487C8 +:04074B00675D00E7FF +:04074C0064F70D231E +:04074D00C70367DD9A +:04074E0047816AE78E +:04074F0067DDC7019A +:0407500065A7C7834F +:040751000713675DC6 +:0407520097BA68C723 +:040753008023453D7D +:0407540010EF00D7CB +:0407550085225AC0DF +:04075600442240B247 +:040757000141449286 +:040758001141808249 +:0407590064DDC22673 +:04075A006594C503DA +:04075B00C606C422E8 +:04075C0058E010EF62 +:04075D00E51D842AE8 +:04075E006594C68355 +:04075F0086A367DD29 +:0407600067DD6AD710 +:040761006AE7C70379 +:04076200C701478103 +:04076300C78367DD04 +:04076400675D6C67FA +:0407650068C7071347 +:04076600453D97BABC +:0407670000D7802314 +:0407680055E010EF59 +:0407690040B28522F3 +:04076A00449244224F +:04076B008082014146 +:04076C00C70367DD7B +:04076D0046E965678D +:04076E008C2367DD94 +:04076F0007336EE7F7 +:0407700067DD02D768 +:04077100798787936A +:04077200D68397BAD9 +:04077300675D00E7D7 +:0407740068D71C2303 +:040775000147C683EF +:040776001A23675D7E +:04077700C68370D7EE +:04077800675D012791 +:0407790064D71A2304 +:04077A0000A7D6837B +:04077B001423675D7F +:04077C00C68368D7F1 +:04077D00675D01575C +:04077E0068D7112304 +:04077F000137C683F5 +:040780001723675D77 +:04078100D70370D753 +:0407820067DD00C768 +:0407830068E793236D +:0407840067DD80822B +:040785006F87C603B1 +:04078600DF0367DD49 +:0407870067DD69873A +:040788007147DE8354 +:04078900CE0367DD57 +:04078A00675D6547FB +:04078B00D30367DD50 +:04078C000713688760 +:04078D0067DD6B07B2 +:04078E00D88386AADC +:04078F00450368278F +:0407900067DD00B76A +:0407910070E7C80342 +:04079200D58367DDC7 +:0407930067DD68674F +:040794007987879347 +:040795000703C92964 +:04079600166300A73F +:04079700476904C7E3 +:0407980002E607333B +:040799005503973E2F +:04079A001A6300E7F7 +:04079B00450303E52A +:04079C001663014798 +:04079D00450303D538 +:04079E0012630127BA +:04079F00550303C536 +:0407A0001E6300A72D +:0407A10045030065A7 +:0407A2001A6301577E +:0407A30045030115F4 +:0407A40016630137A0 +:0407A50057030105F0 +:0407A600066300C71F +:0407A700675D00B7D3 +:0407A80008A3450558 +:0407A900476970A785 +:0407AA0002E606332A +:0407AB000513655D70 +:0407AC0097B269C5D2 +:0407AD00000176379A +:0407AE0000B79623D7 +:0407AF0001E79723A4 +:0407B00001D78A23C0 +:0407B10001C78923D0 +:0407B2000067952324 +:0407B30001178AA3FD +:0407B400010789A30D +:0407B500950606138C +:0407B600206F45C5A6 +:0407B70011414BB0F1 +:0407B800C422C6068B +:0407B900143747C5E5 +:0407BA0020230002F6 +:0407BB0020230EF4F5 +:0407BC0028230E04DC +:0407BD0065090A04BC +:0407BE0008042823E0 +:0407BF0071050513A8 +:0407C000A1EFE0EFD6 +:0407C1001D100713ED +:0407C200922367DD3A +:0407C300153768E797 +:0407C4000793000394 +:0407C50020231D10C0 +:0407C60005130EF415 +:0407C700E0EFD40586 +:0407C8002637A00F21 +:0407C90005B700066A +:0407CA000513019C76 +:0407CB000613040409 +:0407CC008593A80663 +:0407CD00E0EFCC0588 +:0407CE0010EFE44FF5 +:0407CF0010EF22D035 +:0407D00054794670A2 +:0407D1004501C941D4 +:0407D20051F010EFE3 +:0407D3000FF0079389 +:0407D40001635475F4 +:0407D50020EF08F514 +:0407D6004509118040 +:0407D7009DFFE0EFB3 +:0407D800547147CD44 +:0407D90006F51863A6 +:0407DA00A4FFE0EFA9 +:0407DB00171010EFF4 +:0407DC0067DDC50907 +:0407DD008923470520 +:0407DE00F0EF70E7E1 +:0407DF00842A8D4F8C +:0407E0002395ED3937 +:0407E100655D65D914 +:0407E20003100613E7 +:0407E300DEC5859357 +:0407E4006C75051318 +:0407E500329020EF3F +:0407E600655D65D90F +:0407E7000360061392 +:0407E800F5C585933B +:0407E90074C50513BB +:0407EA00315020EF7B +:0407EB0010EF453D89 +:0407EC0067DD4680FF +:0407ED006AD7C503FF +:0407EE0045E010EFE3 +:0407EF00000217B736 +:0407F0000D07A783C7 +:0407F10000E7971373 +:0407F2000007436356 +:0407F30045012B99F8 +:0407F400B10FF0EF62 +:0407F50040B2852267 +:0407F6000141442257 +:0407F700547D80822B +:0407F8007139BFD5BF +:0407F900DA2667DDB8 +:0407FA006577C483D8 +:0407FB00645DDC223B +:0407FC00F4930485E9 +:0407FD0057830FF41B +:0407FE0004B2684495 +:0407FF0080C104C2EF +:040800008FC5672118 +:0408010012238FD956 +:04080200DE0668F4B2 +:04080300D452D84AA9 +:04080400CE5ED05A9A +:04080500CA66CC6291 +:040806001737C86A6E +:04080700D64E0002C7 +:04080800C66ED25690 +:04080900000166374D +:04080A002023695DE1 +:04080B0006130EF7CB +:04080C0045C5CCC64C +:04080D0069C905139D +:04080E0035D020EFD2 +:04080F009FCFF0EF98 +:040810006A5D6C4170 +:040811007BF57B7187 +:040812000C93478577 +:040813000A13FFFCC9 +:040814001B7D74CA0A +:040815006D371BFD23 +:040816001DB7000109 +:04081700A9830002AF +:04081800F9B30D0D16 +:04081900E3DD019981 +:04081A000A098263E2 +:04081B00016A570314 +:04081C006844578352 +:04081D000F37166318 +:04081E000177F7B3B4 +:04081F0068F4122344 +:040820000EFDA02306 +:0408210068090E2331 +:040822009B0FF0EF49 +:04082300000625376F +:04082400A80505130B +:0408250088AFE0EFC9 +:040826006844578348 +:04082700051367113D +:040828008FC53E80BA +:0408290012238FD92E +:04082A00A02368F4AB +:04082B0020EF0EFDAF +:04082C004D851930AD +:04082D001B7020EF2D +:04082E00000217B7F6 +:04082F0001B5166396 +:040830000707AA8389 +:04083100FE0AD8E300 +:040832001BB020EFE8 +:04083300010A97130C +:040834000793834162 +:0408350007C2FFF700 +:04083600069383C1E1 +:04083700FE63FFDC81 +:04083800663702F627 +:0408390006130001A1 +:04083A0045C5CD865D +:04083B0069C905136F +:04083C002A5020EF2F +:04083D00944FF0EFF5 +:04083E006844578330 +:04083F000002173765 +:0408400000FB77B38F +:0408410068F4122322 +:040842000EF720236A +:04084300051365092B +:04084400E0EF71056B +:0408450087CE80CF0B +:040846006585B78984 +:04084700010AD79338 +:04084800061315FD81 +:040849008FED0640E9 +:04084A0002C756B3D8 +:04084B0002C7773336 +:04084C0000B79C63F2 +:04084D000001663709 +:04084E00CE060613B9 +:04084F00051345C583 +:0408500020EF69C963 +:04085100B77D25301A +:0408520002C7F833AE +:04085300051345C57F +:04085400D7B369C9E4 +:04085500061302C7BD +:0408560020EFCECDF4 +:04085700BF5923B0B2 +:04085800018A5703B7 +:04085900F9371AE36E +:04085A0083D107D26D +:04085B0068F4122308 +:04085C00546250F2A0 +:04085D000EFDA023C9 +:04085E00594254D2D5 +:04085F005A2259B20E +:040860005B025A924B +:040861004C624BF2A8 +:040862004D424CD2E5 +:0408630045014DB24C +:04086400808261210C +:04086500C606114171 +:04086600969FF0EF7A +:040867003B7010EFE3 +:0408680040B267DD56 +:0408690072D7C5037A +:04086A00F06F0141E9 +:04086B00715D936FB9 +:04086C00DA56DC522A +:04086D00C4A2C686D5 +:04086E00C0CAC2A694 +:04086F00D85ADE4E27 +:04087000D462D65E1A +:04087100D06AD26611 +:04087200F0EFCE6E67 +:040873006A5DD15F8A +:0408740042636ADD94 +:0408750066371005CD +:040876000713000163 +:040877004681052091 +:04087800D00606138D +:04087900051345C559 +:04087A0020EF670AFA +:04087B0065B71AB093 +:04087C0046450001EC +:04087D00D305859387 +:04087E006FCA8513A5 +:04087F000C1020EF4A +:04088000848FF0EF82 +:040881000007A53790 +:040882001205051343 +:0408830064DD645D6F +:04088400F0FFD0EFC2 +:0408850049014D01D7 +:0408860004136B5D8F +:040887006BDD6B04B6 +:0408880084934C3DCC +:0408890017B77184A8 +:04088A00A78300023E +:04088B0006B70D0798 +:04088C0065DD000323 +:04088D0001079713B5 +:04088E002623834159 +:04088F00C71378EB28 +:0408900083E1FFF70A +:04089100F6138F7556 +:0408920066DD0FF719 +:0408930078C58A2377 +:0408940078E6A82337 +:04089500CF8165DDCD +:04089600FFE60793DF +:040897000FF7F793CD +:040898007663450D31 +:04089900C78300F51C +:04089A009463788566 +:04089B00262300C749 +:04089C0067DD780B91 +:04089D0078C5842373 +:04089E007847A603EE +:04089F0078E7A22331 +:0408A0002EC5E24936 +:0408A100C78369DDC3 +:0408A2008CAA79594A +:0408A3004501C781C3 +:0408A4005B9000EF76 +:0408A500C70367DD41 +:0408A600468365A779 +:0408A70085BE0164A5 +:0408A80012E68D6364 +:0408A900718B8823A4 +:0408AA00FF63478D14 +:0408AB00479504E782 +:0408AC0006E7F06308 +:0408AD0046894D85A6 +:0408AE00079349095A +:0408AF00F793FFF7C5 +:0408B00046210FF7D7 +:0408B10004F66A637C +:0408B200078A6659F2 +:0408B300C7C606139B +:0408B400439C97B218 +:0408B5006637878299 +:0408B60086AA00010D +:0408B700D14606130D +:0408B800051345C51A +:0408B90020EF670ABB +:0408BA0065B70AF024 +:0408BB0046450001AD +:0408BC002985859372 +:0408BD006FCA851366 +:0408BE0018D020EF3F +:0408BF00F4DFE0EF93 +:0408C000A823A001C8 +:0408C100BFB5780641 +:0408C20046854D8D8D +:0408C300B7754901BB +:0408C40046814D8993 +:0408C5004D09BFE535 +:0408C600C78367DDA0 +:0408C700C39D6AE77C +:0408C800879367DDCE +:0408C900973E68C727 +:0408CA00450367DD9E +:0408CB00C703000758 +:0408CC0009636AD77B +:0408CD00C63600A784 +:0408CE006AA786A3EC +:0408CF000DA010EF79 +:0408D00065DD46B2EA +:0408D10065A5C783CF +:0408D200000405A376 +:0408D3000B234705A7 +:0408D400478300F462 +:0408D500458D0374D6 +:0408D60000F776634E +:0408D7008D9D459519 +:0408D8000FF5F59390 +:0408D90010EF853661 +:0408DA0010EF0B9080 +:0408DB00E0EF18B082 +:0408DC0067DD89BF8C +:0408DD007127C78335 +:0408DE00856EC781DB +:0408DF00518010EF45 +:0408E000854A85EAD6 +:0408E100683010EF7C +:0408E20001644783E3 +:0408E300051346456E +:0408E4009713670AF5 +:0408E50067D90027A8 +:0408E600CA07879323 +:0408E700438C97BAED +:0408E80000042223C3 +:0408E9000E1020EFDE +:0408EA00000165B7ED +:0408EB008593464566 +:0408EC008513D24559 +:0408ED0020EF6FCABF +:0408EE00C7830CF0C0 +:0408EF00E3997959B7 +:0408F000E89FE0EFAE +:0408F100C70367DDF5 +:0408F20047A9658726 +:0408F30000F7176390 +:0408F400000C85630C +:0408F50000EF453D8E +:0408F600C703729032 +:0408F70047830154DE +:0408F800036302C4D0 +:0408F900470102F7BA +:0408FA0046014681EC +:0408FB0045014581ED +:0408FC00C34FE0EF17 +:0408FD000154C503DA +:0408FE00EE9FE0EF9A +:0408FF000154C78356 +:0409000000042223AA +:0409010002F40623D3 +:040902000154C78352 +:04090300C683E3952F +:0409040047830164C0 +:040905008D6302D428 +:04090600470300D7CC +:04090700460100F4B1 +:0409080045014581DF +:04090900C00FE0EF4C +:04090A000164C7833A +:04090B0002F406A349 +:04090C0001644783B8 +:04090D0085EACFA9FF +:04090E00E0EF854A47 +:04090F004789FC3FD9 +:0409100008F50D6376 +:0409110004634791A3 +:04091200478502F51E +:0409130004F5116373 +:0409140000B4478361 +:04091500F0EFCF8DA3 +:04091600A815C46FED +:04091700BD6D4D0D58 +:04091800882347C128 +:040919004D0570FB1D +:04091A004D01BD4589 +:04091B004783B575E4 +:04091C00C39D00B4C3 +:04091D005783675D38 +:04091E00E7936847AC +:04091F001223002778 +:04092000173768F726 +:04092100202300028D +:04092200F0EF0EF7ED +:040923000513D0BF29 +:04092400D0EF12C03E +:04092500BB41C8DF2B +:040926000004222384 +:04092700059010EF38 +:04092800016447839C +:040929000513464527 +:04092A009713670AAE +:04092B0067D9002761 +:04092C00CA078793DC +:04092D00438C97BAA6 +:04092E007CC020EF7A +:04092F00000165B7A7 +:040930008593464520 +:040931008513D24513 +:0409320020EF6FCA79 +:04093300C7837BA05B +:04093400FFD5795919 +:04093500D75FE0EFB9 +:040936004783BF5DD7 +:04093700DBC500B468 +:04093800E20FF0EFEB +:0409390065D9B76D58 +:04093A001141655DA5 +:04093B00031006138C +:04093C00DEC58593FC +:04093D0071850513A8 +:04093E0020EFC606DA +:04093F0065DD5C20F6 +:040940000613655DD8 +:0409410085932F204B +:0409420005139D8577 +:0409430020EF7985A3 +:0409440040B25AE083 +:04094500470567DD1E +:0409460070E788A32B +:040947000141450124 +:04094800715D8082DB +:04094900C2A6C4A2DC +:04094A0064D9DE4E40 +:04094B00645D69DDA1 +:04094C00DC52C0CAEF +:04094D00C686D26622 +:04094E00D85ADA5643 +:04094F00D462D65E3A +:04095000CE6ED06A2D +:040951004C8149018B +:04095200EF04849397 +:0409530004138A4EB1 +:0409540065B774C44B +:040955004645000112 +:04095600F945859347 +:0409570065C98513D6 +:04095800724020EFDA +:04095900002C9713C4 +:04095A00430C97268D +:04095B004645655D4B +:04095C0069C5051351 +:04095D00710020EF16 +:04095E00CC1FE0EFDB +:04095F0047016AC121 +:04096000FFFA8D13FA +:040961006BDD6B5D82 +:0409620000016DB76C +:0409630016B76C5DFA +:04096400A583000265 +:0409650005370D063F +:04096600F633000361 +:04096700262301A59D +:04096800A68378CB1F +:04096900C6930D061E +:04096A008EE9FFF61D +:04096B0078DBA8236A +:04096C000263C21D43 +:04096D009693032634 +:04096E0096A2001C31 +:04096F009023E32DC1 +:04097000464500B642 +:04097100F9CD8593A4 +:0409720065CA05133A +:040973006B8020EF86 +:04097400C69FE0EF4B +:040975002603470509 +:04097600A683784C90 +:04097700E20D790B09 +:04097800035690632F +:04097900040C9F6368 +:04097A00061365D922 +:04097B0085930360FD +:04097C008522F5C516 +:04097D0020EFC6366B +:04097E0046B24C60D1 +:04097F004CED4709EB +:0409800078DC2223DA +:040981004689C63AA3 +:0409820078CB290302 +:0409830002D70E6326 +:0409840005136509E9 +:04098500D0EF710539 +:040986004732B09FA5 +:04098700D683BF8DC7 +:040988004709000615 +:04098900FAD609E3AE +:04098A00000167B74A +:04098B0085934645C5 +:04098C000513FA470E +:04098D0020EF65CA28 +:04098E00E0EF64E052 +:04098F004701BFFF5E +:040990001CF9BF5936 +:04099100BF6D4709E6 +:0409920047690C8520 +:04099300F19753E3A2 +:0409940040B64426FF +:040995004906449635 +:040996005A6259F256 +:040997005B425AD293 +:040998005C225BB2D0 +:040999005D025C920D +:04099A00453D4DF298 +:04099B00006F616127 +:04099C00711D491070 +:04099D0000B107930B +:04099E0067DDCA3E09 +:04099F007247879381 +:0409A00067DDCC3E05 +:0409A100725787936F +:0409A20067DDCE3E01 +:0409A300726787935D +:0409A40067DDD03EFD +:0409A500727787934B +:0409A600D43ED23E2B +:0409A700879367DDEE +:0409A800D63E72873E +:0409A9000F0327B75A +:0409AA00F017879328 +:0409AB000793C63EAA +:0409AC0018233030AC +:0409AD00478D00F181 +:0409AE000923675D55 +:0409AF0067DD00F10F +:0409B000A603CCA22C +:0409B100041378C7EC +:0409B200CE8674C7B2 +:0409B300C8CACAA63E +:0409B400C4D2C6CE15 +:0409B500C0DAC2D60C +:0409B60005A3DE5E59 +:0409B7000713000121 +:0409B800478174C738 +:0409B900568345E933 +:0409BA001C630007B3 +:0409BB00476900D6B2 +:0409BC0010F763636A +:0409BD00078A6759E5 +:0409BE00E207071332 +:0409BF00439C97BA04 +:0409C000070987821A +:0409C1003AB784635A +:0409C200BFF10785F5 +:0409C30066DD47891D +:0409C4006846D703A7 +:0409C50010074713BD +:0409C60068E692232A +:0409C7002683675DBF +:0409C8009713790701 +:0409C9005D6300F674 +:0409CA0067DD0007DE +:0409CB006C67C7038B +:0409CC0047854625F0 +:0409CD0000C70663F6 +:0409CE000017079374 +:0409CF000FF7F79394 +:0409D00000E6971393 +:0409D10000075E635A +:0409D2004683675D94 +:0409D300458571875E +:0409D400E563460190 +:0409D500068500D5BE +:0409D6000FF6F6130F +:0409D70070C70C23B6 +:0409D8004501472965 +:0409D90000E786634A +:0409DA000D23675D25 +:0409DB00450564F773 +:0409DC00D70367DDF9 +:0409DD0066DD684724 +:0409DE007956C683FD +:0409DF0007429B4DE3 +:0409E000922383419A +:0409E100EA8168E758 +:0409E200C68366DD85 +:0409E300068A6966B1 +:0409E40092238F5576 +:0409E500D70368E7E5 +:0409E60040F6684728 +:0409E70017B7446694 +:0409E800A023000246 +:0409E90044D60EE7FB +:0409EA0049B649467B +:0409EB004A964A26B8 +:0409EC005BF24B0669 +:0409ED00808261257E +:0409EE00BF91478DE1 +:0409EF00BF814791EC +:0409F000B7B14795BF +:0409F100B7A14799CA +:0409F200B791479DD5 +:0409F300B78147A1E0 +:0409F400BF3547A51F +:0409F5004783675D70 +:0409F600B6937957E4 +:0409F7000AA3001738 +:0409F800675D78D7E8 +:0409F9006AD74683F0 +:0409FA000CA3675D86 +:0409FB00E79164D745 +:0409FC0000EF4505BE +:0409FD0047A9057091 +:0409FE00E0EFBF194E +:0409FF00BFE5A4FFAD +:040A000017B764DDE3 +:040A010084930002D8 +:040A0200A4036B04DA +:040A030087830C07D2 +:040A0400476900A49A +:040A0500000166374F +:040A060002E787B3C9 +:040A07000713675D0D +:040A0800655D798728 +:040A0900E8C6061322 +:040A0A00051345C5C6 +:040A0B00973E65C5E8 +:040A0C00C68367DD59 +:040A0D0020EF6AD795 +:040A0E00C78335E085 +:040A0F00C7B900B4AF +:040A100087936785DC +:040A11008FE18007EA +:040A12007FF4769364 +:040A130000F0373385 +:040A140096B306850A +:040A150096BA00E6A7 +:040A160007000713BB +:040A17000713C39965 +:040A18008041069083 +:040A19000793880DAA +:040A1A00E01902A03D +:040A1B00020007933B +:040A1C000002163787 +:040A1D00060628039E +:040A1E006637655D75 +:040A1F0006130001B9 +:040A200045C5E98659 +:040A210069C505138B +:040A220030C020EFD1 +:040A23009ADFE0EF87 +:040A2400675DB79DB6 +:040A25006847578344 +:040A26000107C7936A +:040A270068F7122337 +:040A280067DDBF992E +:040A29007187C70307 +:040A2A0046814605B6 +:040A2B0000E6656319 +:040A2C0076930705B1 +:040A2D008C230FF710 +:040A2E00BF3570D789 +:040A2F00871367DDE5 +:040A30004703718780 +:040A3100460500175F +:040A320071878793AE +:040A33006563468130 +:040A3400070500E6CC +:040A35000FF77693AE +:040A360000D780A3C2 +:040A3700675DBF290F +:040A38007187079328 +:040A39000047C78328 +:040A3A0071870713A6 +:040A3B0017FDC7815B +:040A3C000FF7F79326 +:040A3D0000F7022399 +:040A3E00675DBDFD36 +:040A3F0071870713A1 +:040A400000474783A1 +:040A4100F36346B95C +:040A420047B900F6BA +:040A4300B7DD07858F +:040A4400000165B791 +:040A45004645655D60 +:040A4600EA85859325 +:040A470065C5051369 +:040A4800364020EF25 +:040A4900000165B78C +:040A4A0046456ADDD6 +:040A4B00EB8585931F +:040A4C0069CA8513DB +:040A4D00350020EF61 +:040A4E0069DD6941B4 +:040A4F0064896A5DEF +:040A50008F9FE0EFA5 +:040A510000021B374D +:040A52004BED197DD2 +:040A53006B0989930F +:040A5400798A0A137E +:040A55007104849311 +:040A56000D0B27035A +:040A5700468187A2AB +:040A580001277733C8 +:040A59000007D603B9 +:040A5A0000E6066349 +:040A5B00078906857C +:040A5C00FF769AE3A4 +:040A5D0000A98783E2 +:040A5E0087B34769AA +:040A5F00471502E74E +:040A6000C78397D2DF +:040A61006463017752 +:040A6200471102F73F +:040A630004D74A6307 +:040A6400973E181889 +:040A6500FDC747037F +:040A660040D75733EB +:040A6700CF118B051B +:040A68001818078AC9 +:040A6900A78397BA0E +:040A6A008023FE47A0 +:040A6B00E0EF00D7E1 +:040A6C0067DD89BFFA +:040A6D0078078AA3D9 +:040A6E006637BD3DED +:040A6F0006850001F7 +:040A7000EC46061337 +:040A7100851345C5DF +:040A720020EF69CA3E +:040A7300E0EF1CA0F4 +:040A7400A53786BF5D +:040A7500051300075E +:040A7600D0EF1205A6 +:040A7700BFC1F44FB8 +:040A780086E347B119 +:040A79008526FCF6DC +:040A7A00F36FD0EF57 +:040A7B0067DDB7B5C7 +:040A7C0071878713E4 +:040A7D0001874703A3 +:040A7E00879346799B +:040A7F0046817187B4 +:040A800000E66563C4 +:040A8100769307055C +:040A82008C230FF7BB +:040A8300B3E500D700 +:040A8400871367DD90 +:040A8500470371872B +:040A860087930187CA +:040A870046FD718730 +:040A8800177DD76D92 +:040A890065B7B7CDC9 +:040A8A00655D0001A5 +:040A8B0085934645C4 +:040A8C000513ED451C +:040A8D0020EF65C52C +:040A8E0065B724E044 +:040A8F00655D0001A0 +:040A900085934645BF +:040A91000513EE4516 +:040A920020EF69C523 +:040A9300694123A0F2 +:040A9400E0EF6489A2 +:040A950019B7FE6F20 +:040A9600197D0002C4 +:040A97004AA54A6DB5 +:040A980084934B31C7 +:040A9900A6837104BB +:040A9A0087220D0999 +:040A9B00F6B34781E6 +:040A9C0056030126D6 +:040A9D000A630007E1 +:040A9E00078500D6F2 +:040A9F009AE30709C6 +:040AA0008526FF4761 +:040AA100E9AFD0EFFA +:040AA200CC63BFF969 +:040AA300472900FAE5 +:040AA400E7B3078528 +:040AA500675D02E7A0 +:040AA60064F70CA342 +:040AA700A66FF0EF57 +:040AA80090E3B739E7 +:040AA900B721FF670B +:040AAA00B195478536 +:040AAB00B1BD47A9E9 +:040AAC00DA01011357 +:040AAD002E231808D4 +:040AAE002C232411C0 +:040AAF002A23248151 +:040AB0002823249142 +:040AB10026232521B2 +:040AB20024232531A3 +:040AB3002223254194 +:040AB4002023255185 +:040AB5002E23256166 +:040AB6002C23237159 +:040AB7002A2323814A +:040AB800E0EF2391B7 +:040AB900892AEB4F4C +:040ABA0010EF64DDF8 +:040ABB00440D71F085 +:040ABC00160919639B +:040ABD00180C4611BA +:040ABE0020EF080815 +:040ABF0065B718A05F +:040AC00046110001DA +:040AC10013C5859341 +:040AC20020EF080811 +:040AC300091313E020 +:040AC400186306406D +:040AC500578314053A +:040AC6000593034150 +:040AC7004621036160 +:040AC80001610513B0 +:040AC90000F11A23FB +:040ACA0015C020EF44 +:040ACB0003E1578369 +:040ACC0000010EA374 +:040ACD0000F11623FB +:040ACE000401578345 +:040ACF0000F11723F8 +:040AD00000EF4532BC +:040AD10057834350B4 +:040AD200D02A042101 +:040AD30000F11623F5 +:040AD40004415783FF +:040AD50000F11723F2 +:040AD60000EF4532B6 +:040AD700578341D030 +:040AD800D22A0461B9 +:040AD90000F11623EF +:040ADA0004815783B9 +:040ADB0000F11723EC +:040ADC0000EF4532B0 +:040ADD00D42A405087 +:040ADE0022C1250309 +:040ADF0000EFC62A34 +:040AE00055823F906C +:040AE1000793D62A77 +:040AE20087131E2038 +:040AE300E863FE6561 +:040AE40046050CE7D0 +:040AE50000EF1808FE +:040AE60057B2405073 +:040AE7000660091389 +:040AE8000CF5116395 +:040AE900000166376B +:040AEA000613645D2E +:040AEB0045C51446A3 +:040AEC0065C40513C5 +:040AED007E1010EF78 +:040AEE00663756927F +:040AEF0006130001E9 +:040AF00045C515469D +:040AF10069C485133C +:040AF2007CD010EFB5 +:040AF300E6CFE0EF7B +:040AF4005BA25A9215 +:040AF50045014981ED +:040AF60020000C13BD +:040AF700E4636CDD6B +:040AF80098630F5997 +:040AF90047831EAB66 +:040AFA0046830161CD +:040AFB00470301416B +:040AFC0088630151B9 +:040AFD0067B71007C0 +:040AFE0087930001D9 +:040AFF00663705470A +:040B000008130001D5 +:040B01000613016175 +:040B020045C51606C9 +:040B030065C40513AD +:040B0400785010EF26 +:040B0500000165B7CF +:040B06008593464548 +:040B070085131B85B2 +:040B080010EF69C4BD +:040B090069C169B0A5 +:040B0A0069096ADD2E +:040B0B00E0CFE0EF68 +:040B0C0000021A3792 +:040B0D008B1319FD30 +:040B0E00091374CA89 +:040B0F0027837109BE +:040B1000D7030D0AF0 +:040B1100F7B374CAF8 +:040B12000163013743 +:040B130057030CF781 +:040B14000463002B4B +:040B1500854A18F7FE +:040B1600CC6FD0EFE1 +:040B17000913B7CD3A +:040B180010EF065084 +:040B190047855A7042 +:040B1A001CF90A6355 +:040B1B001927C8636B +:040B1C00F3500793F8 +:040B1D001CF9096353 +:040B1E001727C5636D +:040B1F00F340079305 +:040B20001CF9086351 +:040B2100000165B7B3 +:040B2200088585932A +:040B230085134645AB +:040B240010EF69C4A1 +:040B2500E0EF7F304E +:040B26004537DA2F46 +:040B27000513000FA3 +:040B2800D0EF2405E1 +:040B29005E63C7CF71 +:040B2A005C631C09E3 +:040B2B0066371C808D +:040B2C00655D000102 +:040B2D001A8606130B +:040B2E00051345C5A1 +:040B2F0010EF65C599 +:040B3000147D6D7053 +:040B31008A33A8B5A6 +:040B32007463413A6D +:040B33000A13014C54 +:040B34008B132000FF +:040B35001713200969 +:040B36008341010AEC +:040B3700561346818A +:040B3800180C009BFA +:040B3900648C851330 +:040B3A00427010EF06 +:040B3B001063892A90 +:040B3C00B6130E05D9 +:040B3D0085D2001944 +:040B3E0000EF1808A4 +:040B3F0089DA2A1015 +:040B400067B7BDF9DD +:040B41008793000195 +:040B4200BDD529876D +:040B43007E9000EFB1 +:040B44005783675D0F +:040B4500650968478F +:040B4600710505131D +:040B47000027E79309 +:040B480068F7122315 +:040B49000EFA20235D +:040B4A00BF6FD0EFBA +:040B4B00000165B789 +:040B4C0065C4051364 +:040B4D008593464501 +:040B4E0010EF16C5C9 +:040B4F00440D74B02D +:040B500000016A37FF +:040B5100059346457D +:040B52008513178A66 +:040B530010EF69C472 +:040B5400E0EF7370EB +:040B55005612CE6FF7 +:040B560045811814A9 +:040B5700E0EF450581 +:040B5800892AC66FB1 +:040B5900EE051FE3A3 +:040B5A00000165B77A +:040B5B00464569DDC5 +:040B5C0018858593E0 +:040B5D0065C98513CE +:040B5E0070D010EF54 +:040B5F00059346456F +:040B60008513178A58 +:040B610010EF69C464 +:040B6200E0EF6FF061 +:040B63005622CAEF5D +:040B6400181455927A +:040B6500E0EF450177 +:040B6600892AB98F90 +:040B6700EC0513E3A3 +:040B6800469010EFB4 +:040B6900000165B76B +:040B6A0085934645E4 +:040B6B0085131CC50D +:040B6C0010EF65C958 +:040B6D0065B750B068 +:040B6E0046450001F7 +:040B6F0019858593CC +:040B700069C48513BC +:040B71006C1010EF05 +:040B7200C70FE0EFDA +:040B7300440DA0018C +:040B7400440DBD4926 +:040B750006700913EA +:040B7600440DB5690C +:040B770006800913D8 +:040B78000793B549E1 +:040B79000A63F360B8 +:040B7A00079306F9DE +:040B7B001BE3F37015 +:040B7C0065B7E8F978 +:040B7D00859300015B +:040B7E00BD490E85DA +:040B7F000660079372 +:040B800006F902630D +:040B81000127CF6316 +:040B8200064007938F +:040B830006F901630B +:040B8400065007937D +:040B8500E6F918E392 +:040B8600000165B74E +:040B87000A05859343 +:040B88000793B5B565 +:040B89000963067086 +:040B8A00079304F9D0 +:040B8B001BE30680E2 +:040B8C0065B7E4F96C +:040B8D00859300014B +:040B8E00BD890D45CB +:040B8F00000165B745 +:040B9000090585933B +:040B910065B7B5A1EE +:040B92008593000146 +:040B9300BD3D1085CF +:040B9400000165B740 +:040B950011858593AE +:040B960065B7BD156D +:040B97008593000141 +:040B9800B52D0F85E3 +:040B9900000165B73B +:040B9A000B0585932F +:040B9B0065B7B50580 +:040B9C00859300013C +:040B9D00BD1912C5A7 +:040B9E00000165B736 +:040B9F000C05859329 +:040BA0002083B531C8 +:040BA100240325C143 +:040BA2002483258102 +:040BA30029032541BC +:040BA400298325017B +:040BA5002A0324C13A +:040BA6002A832481F9 +:040BA7002B032441B7 +:040BA8002B83240176 +:040BA9002C0323C135 +:040BAA002C832381F4 +:040BAB00557D234110 +:040BAC00260101130A +:040BAD0086AA808212 +:040BAE000001763795 +:040BAF000613655D67 +:040BB00045C594C6DD +:040BB10069C50513FA +:040BB2004CD0106FA4 +:040BB300465006930F +:040BB40002D506B3AD +:040BB500064007935C +:040BB600000166379D +:040BB7000613655D5F +:040BB80045C528C641 +:040BB90069C50513F2 +:040BBA0002F6C6B3C6 +:040BBB004A90106FDD +:040BBC00663786AA68 +:040BBD00655D000171 +:040BBE002486061370 +:040BBF00051345C510 +:040BC000106F69C584 +:040BC10046B74930BA +:040BC2008693000F07 +:040BC30005332406CC +:040BC400678902D566 +:040BC5009647879335 +:040BC6003E80071353 +:040BC700006336B7DA +:040BC800EA06869320 +:040BC900000166378A +:040BCA0023C6061325 +:040BCB0057B345C512 +:040BCC00F7B302F584 +:040BCD00472902E7CB +:040BCE0002D556B343 +:040BCF000513655D48 +:040BD000D73369C5E9 +:040BD100106F02E7B8 +:040BD200069344F052 +:040BD30006B34670AF +:040BD400079302D5AC +:040BD5007637064029 +:040BD600655D000158 +:040BD7009446061327 +:040BD800051345C5F7 +:040BD900C6B369C571 +:040BDA00106F02F6A0 +:040BDB0086AA42B0F4 +:040BDC000001663777 +:040BDD000613655D39 +:040BDE0045C527469C +:040BDF0069C50513CC +:040BE0004150106F01 +:040BE1002710069340 +:040BE20002D506B37F +:040BE300064007932E +:040BE400000166376F +:040BE5000613655D31 +:040BE60045C5294692 +:040BE70069C50513C4 +:040BE80002F6C6B398 +:040BE9003F10106F3A +:040BEA002710069337 +:040BEB0005330505C4 +:040BEC00069302D595 +:040BED006637064021 +:040BEE0006130001E9 +:040BEF0045C5294689 +:040BF00002D546B331 +:040BF1000513655D26 +:040BF200106F69C552 +:040BF30016933CB069 +:040BF40086E1018510 +:040BF500000166375E +:040BF60016D1655D52 +:040BF70022060613B9 +:040BF800051345C5D7 +:040BF900106F69C54B +:040BFA0045E93AF09F +:040BFB0002B5053307 +:040BFC00859365DD9B +:040BFD00464579856B +:040BFE00655D95AAF2 +:040BFF0069C50513AC +:040C00004850106FD9 +:040C01000025179320 +:040C02000513655918 +:040C0300953E26856F +:040C0400655D410CDD +:040C05000513464548 +:040C0600106F69C53D +:040C070047A946B003 +:040C080000F50F6381 +:040C09000025179318 +:040C0A000513655D0C +:040C0B00953EE5C568 +:040C0C00655D410CD5 +:040C0D000513464540 +:040C0E00106F69C535 +:040C0F0065B744B0D1 +:040C100085930001C7 +:040C1100B7F52545C9 +:040C1200A70367DDF0 +:040C130067DD78C75A +:040C140074C7879387 +:040C1500464546AD5D +:040C16000167D5831A +:040C170006E59463F7 +:040C18000FF6F6934A +:040C19000B63E1196F +:040C1A001101280795 +:040C1B0069DDC64E7B +:040C1C006259C8034E +:040C1D00695DC84AFB +:040C1E0047490793A8 +:040C1F000038151371 +:040C2000CE0697AABB +:040C2100CA26CC22F1 +:040C22000007A8839C +:040C23000047C7833C +:040C2400A60347518B +:040C250087330048C9 +:040C2600859302E7C9 +:040C2700F593FF56EC +:040C280043150FF56C +:040C290062598993F0 +:040C2A00474909131A +:040C2B0046039732B3 +:040C2C0068630047B2 +:040C2D00635902B352 +:040C2E000313058A1D +:040C2F00959A1E0371 +:040C30008582418CEC +:040C310007890685A4 +:040C3200F8C698E385 +:040C3300BF594681DE +:040C3400C783E399F6 +:040C350017FD00089F +:040C36000FF7F7932A +:040C37000223954AB5 +:040C3800440100F57E +:040C3900A0994481B9 +:040C3A000008C703E4 +:040C3B00954A07854A +:040C3C0002E7E7B331 +:040C3D000663B7EDA6 +:040C3E00187D000815 +:040C3F000109802304 +:040C40004462B7CD86 +:040C410044D240F267 +:040C420049B2494228 +:040C43008AA367DD3C +:040C440061057807C7 +:040C4500934FE06F7A +:040C46000D63478D66 +:040C4700479104F6D7 +:040C4800FCF611E3C2 +:040C49004485471C7B +:040C4A00842A9782DF +:040C4B000009C78352 +:040C4C004645655D57 +:040C4D00993E078E37 +:040C4E00004947838F +:040C4F0000092983EC +:040C5000893349514A +:040C5100A78303274B +:040C5200051300493D +:040C530097CA65C512 +:040C540010EF438CCE +:040C5500A78333300E +:040C5600469100497A +:040C5700C70397CA6E +:040C5800EA63004704 +:040C590066D912E660 +:040C5A008693070A6C +:040C5B0097361F8623 +:040C5C0087024318B0 +:040C5D00C3914B1CD8 +:040C5E00C78397822F +:040C5F0048510009EF +:040C60000017859361 +:040C61000733078EC0 +:040C6200431400F93E +:040C630000474703FC +:040C6400073342D040 +:040C65009693030758 +:040C6600053300351D +:040C6700280300D985 +:040C680097320005BA +:040C690004634718C1 +:040C6A00022300E879 +:040C6B0097CA00051F +:040C6C000047C783F3 +:040C6D0096CA47518B +:040C6E0002E787B35F +:040C6F0000B9802325 +:040C7000461C963E4A +:040C7100BF31C29C31 +:040C7200ECE34791D7 +:040C730067D9F0C786 +:040C740020C787937B +:040C7500963E060A97 +:040C76008782421C13 +:040C7700483D470CA1 +:040C780000C7450369 +:040C790000D7460357 +:040C7A000005C78327 +:040C7B0000E7470344 +:040C7C0001069D636D +:040C7D0000F67863A2 +:040C7E00F71317FD54 +:040C7F0080230FF7C8 +:040C8000B5C500E511 +:040C81008732FD6D4C +:040C8200F863BFDD77 +:040C8300078500E7FA +:040C84000FF7F6135D +:040C850000C5802303 +:040C8600FD6DB5E962 +:040C8700BFDD863A0D +:040C880045BD47100F +:040C89000006578387 +:040C8A0000B69C63B1 +:040C8B0000C7570344 +:040C8C0000F7756395 +:040C8D0007C217FD86 +:040C8E00102383C1EB +:040C8F00B55500F661 +:040C900000E757031F +:040C9100FEE7FBE39C +:040C9200B7F5078526 +:040C930045BD4750C4 +:040C94004783421838 +:040C95009963000758 +:040C9600C78100B65C +:040C9700F79317FDBB +:040C980000230FF72F +:040C9900BDB500F7EE +:040C9A000046468347 +:040C9B0000D7F56326 +:040C9C00F69307853F +:040C9D0000230FF72A +:040C9E00B5A500D721 +:040C9F004B9C47988B +:040CA0004703655D44 +:040CA10046450007BD +:040CA20069C5051308 +:040CA30097BA070AEB +:040CA40010EF438C7E +:040CA50044621F3056 +:040CA60044D240F202 +:040CA70049B24942C3 +:040CA800D06F6105A3 +:040CA9004798F97FF0 +:040CAA0045034B9C17 +:040CAB009782000725 +:040CAC004798B7DDD1 +:040CAD0055034B9C04 +:040CAE009782000722 +:040CAF0067DDBFE955 +:040CB00068078E2320 +:040CB100C495BFC95E +:040CB20066B7C0055C +:040CB3008693000123 +:040CB4006637230676 +:040CB500655D000178 +:040CB6002386061378 +:040CB700051345C517 +:040CB80010EF69C50B +:040CB900BF450B30F8 +:040CBA00000166B718 +:040CBB002286869374 +:040CBC0047DCB7CD8D +:040CBD004398D7E998 +:040CBE00BF45479C4B +:040CBF0047BD80822B +:040CC00010A7E9632D +:040CC100445257B78B +:040CC2008793716D36 +:040CC300C03E3557A3 +:040CC400004157B7DD +:040CC50044178793B6 +:040CC6006795C23E2E +:040CC70020078793E8 +:040CC80000F1142300 +:040CC90000A53793B8 +:040CCA00108124234E +:040CCB0000F105230C +:040CCC000105041307 +:040CCD0010112623B9 +:040CCE00042247A510 +:040CCF0006A7FD6314 +:040CD000079365DD44 +:040CD100462904406C +:040CD20068C58593D9 +:040CD30000D1051334 +:040CD40000F105A383 +:040CD50000010623F1 +:040CD600764010EF65 +:040CD700C78367DD8B +:040CD80065DD65A7CA +:040CD900036006139B +:040CDA0000F10C23F6 +:040CDB00C78367DD87 +:040CDC008593658710 +:040CDD00051374C5C2 +:040CDE000CA301B1B1 +:040CDF0067DD00F1DC +:040CE0006AE7C78375 +:040CE10000F10BA370 +:040CE200C78367DD80 +:040CE3000D2369670D +:040CE40010EF00F11C +:040CE500862272A051 +:040CE600051005935D +:040CE700D0EF850ABB +:040CE8003533CF5F72 +:040CE900053300A02F +:040CEA00208340A083 +:040CEB00240310C10D +:040CEC0061511081C1 +:040CED000793808267 +:040CEE0005A3031047 +:040CEF0065DD00F1CE +:040CF00006A357C937 +:040CF100061300F1F5 +:040CF200478903101B +:040CF30071858593EF +:040CF40000F10513F3 +:040CF50000F10723E0 +:040CF60000010623D0 +:040CF7006E0010EF8C +:040CF800061365DD9D +:040CF90085930C00D3 +:040CFA000088798570 +:040CFB006D0010EF89 +:040CFC0005938622B4 +:040CFD00850A100054 +:040CFE00C9BFD0EFAB +:040CFF000613656112 +:040D00000593001443 +:040D01000513232093 +:040D0200D0EF858524 +:040D03004501CDDFFA +:040D0400557DBF69F1 +:040D0500716980820E +:040D0600121126237D +:040D0700128124230E +:040D080012912223FF +:040D0900132120236F +:040D0A0011312E2352 +:040D0B0011412C2343 +:040D0C0011512A2334 +:040D0D001161282325 +:040D0E001171262316 +:040D0F001181242307 +:040D1000FA6347BD7E +:040D110059FD02A7DF +:040D120012C1208367 +:040D13001281240322 +:040D14002483854E61 +:040D1500290312415B +:040D1600298312011A +:040D17002A0311C1D9 +:040D18002A83118198 +:040D19002B03114156 +:040D1A002B83110115 +:040D1B002C0310C1D4 +:040D1C00615510818C +:040D1D000493808239 +:040D1E0004C2010505 +:040D1F000593860AA8 +:040D20008526100014 +:040D2100BF1FD0EF31 +:040D220075B7FD5D47 +:040D230085930001B3 +:040D2400850A98851F +:040D2500A14FD0EF1B +:040D26001B6389AA18 +:040D270047831405E5 +:040D2800996300814A +:040D29004703140761 +:040D2A00079300919A +:040D2B001363052029 +:040D2C00478314F7EE +:040D2D00CFC900A189 +:040D2E0097E34705FB +:040D2F004932F8E766 +:040D300000B14703C4 +:040D31000FF97793AC +:040D32008FD907A2AC +:040D3300031007138F +:040D3400F6E79CE35F +:040D350000895913C5 +:040D36005913094202 +:040D37000793010914 +:040D380013E32F2072 +:040D3900655DF6F905 +:040D3A000310061389 +:040D3B0000F105932B +:040D3C0071850513A5 +:040D3D0010EF6ADD6C +:040D3E004A015C60AA +:040D3F000400041395 +:040D400010000B9301 +:040D4100798A8A938E +:040D42000F200C135F +:040D430010000B137E +:040D4400408B863327 +:040D4500015A053317 +:040D4600008105B370 +:040D4700100484937D +:040D48000B890F63A1 +:040D4900408B0433A4 +:040D4A00594010EF0D +:040D4B0009139452A2 +:040D4C001A13F0097D +:040D4D000942010452 +:040D4E000593860A79 +:040D4F0085261000E5 +:040D5000010A5A1327 +:040D51000109591328 +:040D5200B2DFD0EF4D +:040D5300B7C94401D7 +:040D540000C1478310 +:040D550000B147039F +:040D56008FD907A288 +:040D5700044007133A +:040D5800EEE794E34B +:040D5900870A66DDC2 +:040D5A004525478163 +:040D5B0068C686934D +:040D5C00460345A95C +:040D5D00666300D7F2 +:040D5E00883300C511 +:040D5F00002300D796 +:040D6000078500C83B +:040D610096E3070509 +:040D62004783FEB70E +:040D6300675D019136 +:040D640064F70C2301 +:040D65006663472555 +:040D6600675D00F7CE +:040D670064F70D23FD +:040D68004783A029F4 +:040D69007AE30181A7 +:040D6A004703FEF746 +:040D6B0067DD0171CE +:040D6C008723655D17 +:040D6D0067DD6AE7ED +:040D6E0068C7C70388 +:040D6F00061367DD23 +:040D700086A30360F3 +:040D710047036AE7E3 +:040D720067DD01A197 +:040D730001B1059332 +:040D740074C505132A +:040D750068E78B237D +:040D76004E4010EFEC +:040D77000613B5B5F5 +:040D780010EF0F2049 +:040D790067DD4DA045 +:040D7A0088A34705FE +:040D7B00BDA970E7B7 +:040D7C00BD9949854F +:040D7D00BD8949895A +:040D7E00DC01011380 +:040D7F002823080815 +:040D80002E232321DA +:040D81002C232211EC +:040D82002A2322817D +:040D83002623229170 +:040D840024232331D0 +:040D850022232341C1 +:040D860020232351B2 +:040D87002E23236193 +:040D8800D0EF217116 +:040D8900892AB75F9D +:040D8A003E0010EF28 +:040D8B0014091163D3 +:040D8C0075B7645D76 +:040D8D0046450001D6 +:040D8E0096058593AE +:040D8F0065C405131F +:040D900047C010EF59 +:040D910069C16ADDED +:040D920064896A5DA9 +:040D9300680A8E2339 +:040D940000021B3707 +:040D9500BE5FD0EF7E +:040D96000B9319FDA5 +:040D9700849374CA03 +:040D98002783710438 +:040D990057030D0BE4 +:040D9A00F7B374CA6D +:040D9B000A630137AF +:040D9C00D70300F782 +:040D9D000C63002BB8 +:040D9E0085260EF7A1 +:040D9F00AA3FC0EFB8 +:040DA00075B7B7CD9F +:040DA10046450001C2 +:040DA2009745859359 +:040DA30065C405130B +:040DA40042C010EF4A +:040DA500000165B72D +:040DA60085934645A6 +:040DA7008513178514 +:040DA80010EF69CA15 +:040DA900D0EF5E2009 +:040DAA004481B93F88 +:040DAB007AB76A5D4C +:040DAC000B13000124 +:040DAD00498505204F +:040DAE0094136B85AA +:040DAF000413010424 +:040DB0008425200472 +:040DB1004681472D03 +:040DB200004C862249 +:040DB300648A051336 +:040DB40023E010EF39 +:040DB5008593ED49EC +:040DB6000048988ACF +:040DB700FCDFC0EFAE +:040DB8000485CD31B0 +:040DB9009AE347C1B1 +:040DBA0010EFFCF446 +:040DBB00453D31E0A1 +:040DBC00D27FF0EF03 +:040DBD00C78367DDA4 +:040DBE00675D65A761 +:040DBF0068C70713E7 +:040DC000C50397BA16 +:040DC10067DD0007E3 +:040DC2006AA786A3F3 +:040DC300D0BFF0EFBE +:040DC40023C12083A4 +:040DC500238124035F +:040DC6002483854AB3 +:040DC7002903234198 +:040DC8002983230157 +:040DC9002A0322C116 +:040DCA002A832281D5 +:040DCB002B03224193 +:040DCC002B83220152 +:040DCD00011321C12C +:040DCE0080822401FA +:040DCF0000C1478395 +:040DD0004783F3CD95 +:040DD1009EE300D1CC +:040DD2004783F967F3 +:040DD300EAE300E16E +:040DD4009593F8F902 +:040DD50095DE008423 +:040DD60033200613AD +:040DD70001378463F9 +:040DD80005100613E9 +:040DD9008522081453 +:040DDA00A5DFD0EFD2 +:040DDB0010EFD93DFF +:040DDC00597D29A074 +:040DDD005713BF7178 +:040DDE0017930185E1 +:040DDF008FD9018522 +:040DE00000FF06B753 +:040DE100008517135F +:040DE2008FD98F75A1 +:040DE300071367414A +:040DE4008121F00772 +:040DE5008D5D8D791A +:040DE600C609808238 +:040DE700000217B738 +:040DE8000007A0233D +:040DE900F693470135 +:040DEA001637FFC5F4 +:040DEB0007B3000248 +:040DEC00646300E557 +:040DED00898D02D713 +:040DEE009463470DB6 +:040DEF00D68302E5C0 +:040DF00017370007AA +:040DF10012230002C7 +:040DF200C78300D7DC +:040DF30002230027B0 +:040DF40017B700F736 +:040DF5004B88000225 +:040DF600439C808218 +:040DF700C25C0711C2 +:040DF8004709B7F9F7 +:040DF90000E5996315 +:040DFA000007D70314 +:040DFB00000217B724 +:040DFC0000E7922357 +:040DFD004705BFF9EE +:040DFE00FCE59DE390 +:040DFF000007C7031F +:040E0000000217B71E +:040E010000E7822361 +:040E02001141B7E9FA +:040E03001437C422BA +:040E0400C226000200 +:040E050084AA460174 +:040E060004A00593AC +:040E070004040513C7 +:040E0800C0EFC6066B +:040E090085A6D83FA3 +:040E0A000513460185 +:040E0B00C0EF04042C +:040E0C000593DB1F50 +:040E0D00051304A025 +:040E0E00460504048D +:040E0F00D69FC0EFBB +:040E100004040513BE +:040E110040B2442285 +:040E1200458544923C +:040E1300C06F01416A +:040E14001141D77F32 +:040E15001437C422A8 +:040E1600C2260002EE +:040E170084AEC04A9B +:040E18004601892ADC +:040E190004A0059399 +:040E1A0004040513B4 +:040E1B00C0EFC60658 +:040E1C0085CAD37F31 +:040E1D000513460172 +:040E1E00C0EF040419 +:040E1F000513D65F82 +:040E20004422040460 +:040E2100490240B290 +:040E2200449285A6CB +:040E2300014146053E +:040E2400D4FFC06FC8 +:040E2500C422114191 +:040E26001433440538 +:040E2700741300A49C +:040E280085A20FF49C +:040E2900C60645199B +:040E2A00FABFF0EF2C +:040E2B00442285A236 +:040E2C00451D40B26E +:040E2D00F06F014120 +:040E2E001141F9DF96 +:040E2F00C4220506CE +:040E30000FF5741333 +:040E3100450585A24C +:040E3200F0EFC60611 +:040E330085A2F89FFD +:040E340040B2442262 +:040E35000141450929 +:040E3600F7BFF06FA3 +:040E3700451511410B +:040E3800F0EFC6060B +:040E39000713F29F0A +:040E3A004781086084 +:040E3B0004E5136354 +:040E3C000900059311 +:040E3D000200051397 +:040E3E00F5BFF0EF1D +:040E3F0005134581D1 +:040E4000F0EF0210BD +:040E41004581F51FD3 +:040E42000220051372 +:040E4300F47FF0EF59 +:040E44000513458DC0 +:040E4500F0EF023098 +:040E46004581F3DF10 +:040E4700028005130D +:040E4800F33FF0EF95 +:040E4900030005930A +:040E4A000710051375 +:040E4B00F27FF0EF53 +:040E4C0040B24785E4 +:040E4D000141853E9C +:040E4E00110180828C +:040E4F0000A107A354 +:040E50000002153750 +:040E51004605CC2264 +:040E52000513842ED2 +:040E530005930405FA +:040E5400CE0600F1D5 +:040E5500CD5FC0EFBE +:040E5600C0EF852242 +:040E570040F2FC4F1A +:040E5800610544628A +:040E59001141808241 +:040E5A00645DC422ED +:040E5B00684457830D +:040E5C00C226C606DE +:040E5D00F9F7F79317 +:040E5E0083C107C283 +:040E5F0068F41223FE +:040E6000000214B7C1 +:040E61000EF4A023C8 +:040E6200C0EF455147 +:040E630045D1F94F2D +:040E640003800513EF +:040E6500FA7FF0EF31 +:040E6600051345D15A +:040E6700F0EF039015 +:040E680045D1F9DF98 +:040E6900F0EF455110 +:040E6A0045D1F95F16 +:040E6B000710051354 +:040E6C00F8BFF0EFEC +:040E6D00051345D153 +:040E6E00F0EF05E0BC +:040E6F0045D1F81F52 +:040E700006D0051390 +:040E7100F77FF0EF28 +:040E7200453145D1F0 +:040E7300F6FFF0EFA7 +:040E740032000593B0 +:040E7500F0EF450550 +:040E760045D1F65F0D +:040E7700F0EF45193A +:040E78000593F5DF0A +:040E790045093200F5 +:040E7A00F53FF0EF61 +:040E7B0068445783ED +:040E7C00E79340B206 +:040E7D001223040731 +:040E7E00442268F4AE +:040E7F000EF4A023AA +:040E80000141449256 +:040E81001101808259 +:040E8200645DCC22BD +:040E830068445783E5 +:040E8400CA26CE06A6 +:040E8500F9F7F793EF +:040E860083C107C25B +:040E8700C64EC84A41 +:040E88001223C4521B +:040E890014B768F43E +:040E8A008A2A0002AE +:040E8B000EF4A0239E +:040E8C00892E450561 +:040E8D003200059397 +:040E8E00F03FF0EF52 +:040E8F0068445783D9 +:040E9000855245C181 +:040E91000207E793DA +:040E920068F41223CB +:040E93000EF4A02396 +:040E940026C010EF75 +:040E95000FF5799349 +:040E960000099B6351 +:040E9700000175B72A +:040E980085934645B3 +:040E99008552CF05AA +:040E9A0021C010EF74 +:040E9B0044814985C0 +:040E9C00009A07B3FE +:040E9D000007C50382 +:040E9E00048545D1B1 +:040E9F00EBFFF0EF86 +:040EA0000FF4F793C1 +:040EA100FF37E6E34E +:040EA20068445783C6 +:040EA300000214B77E +:040EA400F79345D1AA +:040EA50007C2FDF78C +:040EA600122383C1CF +:040EA700A02368F428 +:040EA80005130EF42C +:040EA900F0EF0C005A +:040EAA005783E95F22 +:040EAB0045C1684491 +:040EAC00E793854AF9 +:040EAD001223020703 +:040EAE00A02368F421 +:040EAF0010EF0EF43E +:040EB00079931FE033 +:040EB1009B630FF53B +:040EB20075B7000907 +:040EB30046450001AF +:040EB400CF0585934E +:040EB50010EF854A6B +:040EB60049851AE070 +:040EB70007B34481B8 +:040EB800C5030099D5 +:040EB90045D1000718 +:040EBA00F0EF0485CC +:040EBB00F793E51FA5 +:040EBC00E6E30FF466 +:040EBD005783FF3721 +:040EBE0040F2684452 +:040EBF0000021737DF +:040EC0000407E793A9 +:040EC10068F412239C +:040EC2002023446243 +:040EC30044D20EF710 +:040EC40049B24942A4 +:040EC50061054A2257 +:040EC60011418082D4 +:040EC7001437C422F6 +:040EC800C22600023C +:040EC90084AA4601B0 +:040ECA0002C00593CA +:040ECB000404051303 +:040ECC00C0EFC606A7 +:040ECD0085A6A73F10 +:040ECE0005134605BD +:040ECF00C0EF040468 +:040ED0000593AA1FBD +:040ED100051302C043 +:040ED20046050404C9 +:040ED300A59FC0EF28 +:040ED40004040513FA +:040ED50040B24422C1 +:040ED6004585449278 +:040ED700C06F0141A6 +:040ED8001141A67F9F +:040ED9001437C422E4 +:040EDA00C22600022A +:040EDB0084AEC04AD7 +:040EDC004601892A18 +:040EDD0002C00593B7 +:040EDE0004040513F0 +:040EDF00C0EFC60694 +:040EE00085CAA27F9E +:040EE10005134601AE +:040EE200C0EF040455 +:040EE3000513A55FEF +:040EE400442204049C +:040EE500490240B2CC +:040EE600449285A607 +:040EE700014146057A +:040EE800A3FFC06F35 +:040EE90000021537B7 +:040EEA00460111416B +:040EEB000513458125 +:040EEC00C60604052D +:040EED00A2BFC0EFF1 +:040EEE00C0EF4529E3 +:040EEF0045E1D64FB4 +:040EF000F0EF4505D5 +:040EF10045E1FA1FBE +:040EF200F0EF4509CF +:040EF30045E1F99F3D +:040EF400F0EF450DC9 +:040EF5004505F91F97 +:040EF600F43FF0EFE6 +:040EF700152140B2CF +:040EF8000015351399 +:040EF90080820141B1 +:040EFA00C4221141BC +:040EFB004505842AFB +:040EFC00F0EFC60647 +:040EFD00991DF29FAA +:040EFE008C49040E09 +:040EFF000FF4741365 +:040F0000450585A27C +:040F0100F5FFF0EF19 +:040F0200450985A276 +:040F0300F57FF0EF97 +:040F0400442285A25C +:040F0500450D40B2A4 +:040F0600F06F014146 +:040F07001141F49F01 +:040F0800842AC42251 +:040F0900C6064505CE +:040F0A00EF3FF0EFD6 +:040F0B00751347898A +:040F0C0013630D85D9 +:040F0D00641302F473 +:040F0E0085A20015A3 +:040F0F00F0EF4505B5 +:040F100085A2F25F65 +:040F1100F0EF4509AF +:040F120085A2F1DFE4 +:040F130040B2442282 +:040F14000141450D45 +:040F1500F0FFF06F8A +:040F16008C490416E8 +:040F17000FF474134C +:040F1800004464131A +:040F19001141BFD9EA +:040F1A001437C422A2 +:040F1B00C2260002E8 +:040F1C0084AA46015C +:040F1D0005C0059373 +:040F1E0004040513AF +:040F1F00C0EFC60653 +:040F2000F593927F34 +:040F210046050FF47E +:040F220004040513AB +:040F2300953FC0EF47 +:040F240005C005936C +:040F250004040513A8 +:040F2600C0EF4605CD +:040F2700051390BF5F +:040F28004422040457 +:040F2900449240B2FC +:040F2A0001414585B7 +:040F2B00919FC06F63 +:040F2C00C422114189 +:040F2D000002143773 +:040F2E00C04AC226CD +:040F2F00892A84AED9 +:040F300005934601DE +:040F3100051305C0DF +:040F3200C6060404E7 +:040F33008D9FC0EFDF +:040F34000FF97593A9 +:040F35000513460159 +:040F3600C0EF040400 +:040F37000513905FAF +:040F38004422040447 +:040F3900490240B277 +:040F3A00449285A6B2 +:040F3B000141460525 +:040F3C008EFFC06FF5 +:040F3D000513114146 +:040F3E00C6060220C1 +:040F3F00C226C422E0 +:040F4000F67FF0EF59 +:040F41000FF5741321 +:040F4200455945CDFB +:040F4300FA5FF0EF72 +:040F44008513648924 +:040F4500C0EF710484 +:040F4600458DC08F86 +:040F4700F0EF455D25 +:040F48008513F93FD5 +:040F4900C0EF710480 +:040F4A006593BF8F5D +:040F4B00051308047E +:040F4C00F0EF0220A0 +:040F4D000513F7FF92 +:040F4E00C0EF3E8032 +:040F4F007593BE4F89 +:040F5000442207F43C +:040F5100449240B2D4 +:040F52000220051361 +:040F5300F06F0141F9 +:040F54001141F63F12 +:040F55006409C42245 +:040F5600710405130A +:040F5700C0EFC6061B +:040F580045C5BC0FC0 +:040F5900F0EF455917 +:040F5A000513F4BFC8 +:040F5B00C0EF71046E +:040F5C00455DBB0F25 +:040F5D00F0EF4589E3 +:040F5E000513F3BFC5 +:040F5F0044227104B3 +:040F6000014140B259 +:040F6100B9AFC06FF5 +:040F6200C422114153 +:040F630085AA842EA9 +:040F6400C60645492F +:040F6500F1DFF0EFD9 +:040F6600442285A2FA +:040F6700454D40B202 +:040F6800F06F0141E4 +:040F690085AAF0FF66 +:040F6A0003D0051398 +:040F6B00F05FF06FD4 +:040F6C00454585AAC8 +:040F6D00EFDFF06F53 +:040F6E00C606114161 +:040F6F004783C422CE +:040F7000842A00656A +:040F71009593456DA2 +:040F72008DDD0047CA +:040F73000FF5F593EE +:040F7400EE1FF0EF8D +:040F7500006445834C +:040F7600F0EF4571E2 +:040F77004583ED7F42 +:040F780045290034D3 +:040F7900ECDFF0EFCA +:040F7A000044458367 +:040F7B00F0EF452529 +:040F7C004583EC3F7E +:040F7D0045210054B6 +:040F7E00EB9FF0EF06 +:040F7F0000044583A2 +:040F8000F0EF453514 +:040F81004583EAFFBB +:040F820045310014E1 +:040F8300EA5FF0EF42 +:040F8400002445837D +:040F850040B2442210 +:040F86000141452DB3 +:040F8700E95FF06FBF +:040F8800CC22110165 +:040F89004511842A60 +:040F8A00C632CA267B +:040F8B00CE0684AE5C +:040F8C00E37FF0EF20 +:040F8D0075934632E0 +:040F8E00CE4D0F85B0 +:040F8F007FF0079355 +:040F90000A87EA637F +:040F9100E59345118E +:040F9200F0EF001567 +:040F93001513E67FCD +:040F9400141300141E +:040F95008041010591 +:040F9600004455932B +:040F97000FF5F593CA +:040F9800F0EF45052C +:040F99001593E4FFC9 +:040F9A00F593004487 +:040F9B0045090F05F0 +:040F9C00E41FF0EF6F +:040F9D00019C05B7F7 +:040F9E00CC05859366 +:040F9F000295D5B32F +:040FA0000225573798 +:040FA1000FF707132C +:040FA20085B347814B +:040FA30070630285F0 +:040FA400273702B732 +:040FA5000713042CFE +:040FA6004785D7F7AD +:040FA70000B77963B3 +:040FA800080BF7B784 +:040FA900FBF7879338 +:040FAA0000B7B7B322 +:040FAB00675D0789EE +:040FAC0060C7071300 +:040FAD004503973E23 +:040FAE00071300071E +:040FAF000533028084 +:040FB000571302E5EC +:040FB100953A001459 +:040FB200028545333C +:040FB3007513471D4E +:040FB40075930FF52D +:040FB50073630FF55E +:040FB600459D00A7AE +:040FB70040F244625E +:040FB800058E44D28C +:040FB9008DDD079A29 +:040FBA000F85F59317 +:040FBB006105450D7A +:040FBC00DC1FF06FD7 +:040FBD00F0EF4511FB +:040FBE00BFB9DBBF1D +:040FBF00C4221141F6 +:040FC0004569842AD1 +:040FC100F0EFC60681 +:040FC2004785D61F6A +:040FC3000FA575936E +:040FC40000F41A63B8 +:040FC5000025E5938B +:040FC60040B24422CF +:040FC7000141456936 +:040FC800D91FF06FCE +:040FC90000A5E59307 +:040FCA005583BFC5C7 +:040FCB00114100A52B +:040FCC0081A1C42219 +:040FCD000513842A5A +:040FCE00C60604B09F +:040FCF00D75FF0EF09 +:040FD00000A44583B1 +:040FD10004A0051360 +:040FD200D69FF0EFC7 +:040FD30000C455837E +:040FD40004D005132D +:040FD500F0EF81A117 +:040FD6004583D5BFBB +:040FD700051300C43A +:040FD800F0EF04C072 +:040FD9005583D4FF69 +:040FDA00051300E417 +:040FDB0081A104F0FC +:040FDC00D41FF0EF3F +:040FDD0000E4458364 +:040FDE0004E0051313 +:040FDF00D35FF0EFFD +:040FE00000445583F1 +:040FE10005100513DF +:040FE200F0EF81A10A +:040FE3004583D27FF1 +:040FE40005130044AD +:040FE500F0EF050024 +:040FE6005583D1BF9F +:040FE700051300648A +:040FE80081A10530AE +:040FE900D0DFF0EF76 +:040FEA0000644583D7 +:040FEB0005200513C5 +:040FEC00D01FF0EF33 +:040FED0000845583A4 +:040FEE000550051392 +:040FEF00F0EF81A1FD +:040FF0004583CF3F27 +:040FF1000513008460 +:040FF200F0EF0540D7 +:040FF3005583CE7FD5 +:040FF40005130104DC +:040FF50081A1057061 +:040FF600CD9FF0EFAC +:040FF7000104458329 +:040FF8000560051378 +:040FF900CCDFF0EF6A +:040FFA0001245583F6 +:040FFB000590051345 +:040FFC00F0EF81A1F0 +:040FFD004583CBFF5E +:040FFE0005130124B2 +:040FFF00F0EF05808A +:041000005583CB3F0A +:04100100051301448E +:0410020081A105B013 +:04100300CA5FF0EFE1 +:0410040001444583DB +:0410050040B244228F +:0410060005A0051329 +:04100700F06F014144 +:041008001141C93F8A +:04100900842AC4224F +:04100A0003F00513D7 +:04100B00F0EFC60636 +:04100C007593C39F76 +:04100D008DC10F057D +:04100E0040B2442286 +:04100F0003F00513D2 +:04101000F06F01413B +:041011001141C6FFC4 +:04101200842AC42246 +:04101300C60645695F +:04101400C17FF0EFB9 +:0410150000641593CB +:0410160075134422E8 +:0410170040B203F5EB +:04101800F5938DC9F6 +:0410190045690FF521 +:04101A00F06F014131 +:04101B001101C47F7C +:04101C00461D65DD2B +:04101D00D285859360 +:04101E00CE060028D2 +:04101F00241000EFAA +:04102000C75FF0EFC7 +:04102100F0EF4501A6 +:041022004585E77F9A +:04102300035005135E +:04102400C21FF0EF08 +:041025000513655DED +:04102600F0EF990549 +:041027004501E91F77 +:04102800FA7FF0EF6C +:04102900F0EF451986 +:04102A000513D01FBB +:04102B00F0EF04409E +:04102C004581D03FEB +:04102D00F0EF450596 +:04102E000028CD3F8A +:04102F00CFDFF0EF30 +:04103000610540F224 +:0410310011018082A7 +:04103200842ACC221E +:04103300CE0645118F +:04103400F0EFC62EE5 +:0410350045B2B95FA8 +:0410360002B40433C9 +:041037000075759338 +:041038007793451154 +:04103900078E01F429 +:04103A00F0EF8DDD69 +:04103B005513BC7F0E +:04103C0040F24054EA +:04103D007513446281 +:04103E0061050FF544 +:04103F001141808259 +:04104000842AC42218 +:04104100C606454159 +:04104200B5FFF0EF17 +:0410430000341593CD +:04104400891D44229C +:041045008DC940B25F +:041046000FF5F5931A +:0410470001414541DD +:04104800B91FF06F6D +:041049001141C13957 +:04104A000513C226A2 +:04104B0084AE02600D +:04104C000800059300 +:04104D00C606C422ED +:04104E00F0EF843209 +:04104F004785B77F9B +:041050000094059370 +:0410510000F48963BB +:04105200059347A11A +:04105300846305A409 +:04105400059300F40C +:0410550044220184AC +:04105600449240B2CE +:041057000FF5F59309 +:041058000310051369 +:04105900F06F0141F2 +:04105A004581B4BF59 +:04105B000260051317 +:04105C001101BFDDE2 +:04105D00CA26CC22B1 +:04105E00C64EC84A68 +:04105F00CE06C452A3 +:04106000842A478512 +:0410610089B2892E99 +:0410620084BA8A368C +:0410630000F50E6323 +:04106400006347A13D +:04106500059306F5F4 +:04106600F593006797 +:0410670045150FF527 +:04106800B11FF0EFD5 +:04106900A80945C1CC +:04106A0000270593C3 +:04106B000FF5F593F5 +:04106C00F0EF451547 +:04106D004599AFFFF3 +:04106E00F0EF451941 +:04106F0085A2AF7F28 +:041070004505862686 +:04107100F61FF0EF87 +:0410720045B147C17C +:0410730000F403631F +:04107400051345A17A +:04107500F0EF022076 +:041076004462ADBF64 +:0410770044D240F22D +:0410780085CE865249 +:0410790049B24A220C +:04107A004942854A18 +:04107B00F06F6105AC +:04107C000593C33FD6 +:04107D00F5930327BD +:04107E0045150FF510 +:04107F00AB5FF0EF84 +:0410800002000593D2 +:041081001141BF5505 +:04108200FFE587936C +:04108300C226C4229B +:04108400F793C60612 +:0410850047050FF715 +:04108600842E84AA86 +:0410870006F769639C +:04108800478147094C +:0410890000E5136308 +:04108A0097134789E8 +:04108B009593002415 +:04108C008DD90044B6 +:04108D008DC5079A6C +:04108E00F5938DDD6C +:04108F0045650FF5AF +:04109000A71FF0EFB7 +:04109100F0EF4541F6 +:04109200478DA21FC5 +:041093000F857593BD +:0410940000F41463ED +:041095000055E5938A +:04109600F0EF4541F1 +:041097004789A57F61 +:0410980002F49D635E +:04109900EA6347853A +:04109A000593028731 +:04109B0004630520C5 +:04109C00059300F4C4 +:04109D00453905309C +:04109E00A39FF0EF2D +:04109F003E80051377 +:0410A000E9FFB0EFC5 +:0410A100F0EF4551D6 +:0410A20045819E1FC7 +:0410A3004789A03D9C +:0410A40037B3FD4918 +:0410A500078500B00B +:0410A6000593BF49A6 +:0410A700453905B012 +:0410A800A11FF0EFA5 +:0410A9003E8005136D +:0410AA00E77FB0EF3D +:0410AB00F0EF4551CC +:0410AC00478D9B9F32 +:0410AD001AE345C13C +:0410AE004561FCF4A8 +:0410AF009F5FF0EF60 +:0410B00040B24422E4 +:0410B100458144929F +:0410B20003600513BF +:0410B300F06F014198 +:0410B40011019E3F49 +:0410B500842ACC229B +:0410B600C62E4551AC +:0410B700F0EFCE0682 +:0410B8004709989FAD +:0410B9000FF5779325 +:0410BA00156345B2C3 +:0410BB00470502E4FF +:0410BC0000E59C634C +:0410BD000905751399 +:0410BE00F70505131A +:0410BF0000153513D0 +:0410C000446240F254 +:0410C10080826105C3 +:0410C2007513E5912C +:0410C3000513088584 +:0410C400B7EDF78508 +:0410C5000017D51328 +:0410C600B7DD890504 +:0410C7004791715983 +:0410C80000F11E23F2 +:0410C900871367DD45 +:0410CA00D6A26B0738 +:0410CB00D2CAD4A60B +:0410CC00CED2D0CEE2 +:0410CD00CADACCD6D9 +:0410CE00C6E2C8DED0 +:0410CF00C2EAC4E6C7 +:0410D000842AC0EEC0 +:0410D10001E74503EB +:0410D2004E03462162 +:0410D300163302E7E7 +:0410D400450300A62A +:0410D500661301F7A6 +:0410D6001F2300864E +:0410D700061300C13B +:0410D80016330400C7 +:0410D900450300A625 +:0410DA0010230207D6 +:0410DB00061302C135 +:0410DC001633400087 +:0410DD00450300A621 +:0410DE0011230217C1 +:0410DF00660902C1DB +:0410E00000A616331D +:0410E10002C1122313 +:0410E20001B106133F +:0410E300665DD43240 +:0410E4006D3606134C +:0410E500665DD6323C +:0410E6006D4606133A +:0410E700665DD83238 +:0410E8006D56061328 +:0410E900665DDA3234 +:0410EA006D66061316 +:0410EB00675DDC322F +:0410EC000613665D24 +:0410ED00635D6D765C +:0410EE009C07071341 +:0410EF0004000F37B3 +:0410F0000DA3DE323C +:0410F10006130001E1 +:0410F2004881798335 +:0410F3006B0787936D +:0410F40079830313E6 +:0410F5000F93C63A55 +:0410F60062851000FF +:0410F700400004931E +:0410F80020000913B8 +:0410F900099343C153 +:0410FA000A130400D1 +:0410FB000A9308004C +:0410FC004B11020092 +:0410FD000C134BA1E4 +:0410FE004C8D20D025 +:0410FF000F134D0579 +:041100009513040F30 +:0411010047750188A5 +:04110200946385610C +:04110300557D00E82E +:041104004703A2718A +:041105004E9501768C +:041106000166480333 +:041107000EEEE563A0 +:041108001E934DB233 +:041109009DF6002728 +:04110A00000DAD83A4 +:04110B00D07A8D8287 +:04110C0000D878335C +:04110D000C0809635E +:04110E0004010D9338 +:04110F00AE839EEE1F +:041110005803FE8EF4 +:04111100CE83018602 +:041112000E86000E37 +:04111300DE839EEEEB +:041114007EB3FDCEDB +:04111500886301D812 +:0411160048130A0E62 +:041117007813FFF852 +:041118001263001846 +:0411190058030AB8B5 +:04111A000879010649 +:04111B0008886D6370 +:04111C0097234805C8 +:04111D00882300071C +:04111E008923010719 +:04111F009A23000708 +:041120008E6301D702 +:04112100E96319FE67 +:0411220084630BDFF8 +:04112300E763167EEA +:04112400856309D303 +:0411250098630F6E4E +:041126004685077E75 +:0411270000D7862344 +:0411280003B7C683C0 +:0411290010069E63AB +:04112A0086B346E959 +:04112B00969A02D8B6 +:04112C0000E6D60300 +:04112D00577006935E +:04112E0010C6E463A0 +:04112F00FFF70613AD +:041130000FF676132D +:04113100ED6346899B +:0411320086A30EC6BC +:04113300882300D736 +:04113400156300D768 +:04113500C7030CD709 +:041136001863031720 +:041137004705100751 +:0411380000E7872322 +:041139005D83A865C5 +:04113A0093E3010634 +:04113B004D83F58D5E +:04113C009D63FFD6DA +:04113D001563019D98 +:04113E007813000E14 +:04113F00BF0D0EF8DA +:0411400017E34D89DB +:041141000885F3BE6C +:04114200BDE5066998 +:04114300000E156322 +:041144000FB8781355 +:041145001DE3BF31B6 +:04114600B7F5F1AE5A +:041147000F3E816373 +:041148000F4E8A6359 +:04114900FF5E91E3D1 +:04114A002010071357 +:04114B0000E7962300 +:04114C008823471994 +:04114D00A09D00E77A +:04114E000E5E8B6343 +:04114F0001D2EF6377 +:041150000E9E82630A +:04115100800E871372 +:041152009EE3C365F0 +:041153000713FB2E55 +:04115400962320209E +:04115500471500E753 +:041156006711BFE975 +:041157000CEE8C63AB +:041158008263672126 +:0411590067090EEE26 +:04115A00F8EE9FE329 +:04115B00962347117F +:04115C00071300E78E +:04115D00892307805B +:04115E00A00D00E7F9 +:04115F0000079623CC +:041160000FD777131B +:041161001B63468541 +:04116200C70300D7E8 +:04116300C70D03179A +:04116400882347098C +:04116500470500E753 +:0411660000E787A374 +:0411670054A6543600 +:041168005986591635 +:041169004AE64A7692 +:04116A004BC64B56CF +:04116B004CA64C360C +:04116C004D864D1649 +:04116D0080826165B6 +:04116E008723470587 +:04116F00BFE100E7F5 +:04117000FFE70693FC +:04117100000786A34A +:041172000FD6F6930B +:041173004695D6893E +:04117400FCD716E3AB +:0411750088B347698B +:04117600071302E871 +:0411770093464AF061 +:0411780000E35683B7 +:04117900EED779E351 +:04117A0086A3BF5534 +:04117B004709000719 +:04117C000713B78915 +:04117D009623201085 +:04117E00471100E72E +:04117F004689BF1DC1 +:0411800000D79623DB +:041181001BE3468D99 +:04118200C703F8D7D0 +:0411830008E3031763 +:04118400BFF1EC07C4 +:04118500102007131C +:0411860000E79623C5 +:041187000713B74152 +:04118800BFD1202093 +:04118900BFE9468DE7 +:04118A0020300713F7 +:04118B000713B7E9A6 +:04118C00B705203053 +:04118D0020400713E4 +:04118E0000E79623BD +:04118F008823471555 +:04119000476100E7CC +:041191000713BF0D74 +:041192009623204040 +:04119300471900E711 +:0411940000E78823C5 +:04119500B705475102 +:041196001793110199 +:04119700CC220185E0 +:04119800CE06CA268F +:04119900842A87E13C +:04119A00DD6384AEDF +:04119B004581000783 +:04119C0007700513C0 +:04119D0001A337D59E +:04119E00478500A1E0 +:04119F0006A7EE634E +:0411A00007F47413C9 +:0411A10045912651FD +:0411A2002E81450154 +:0411A300D793268533 +:0411A40002A301841D +:0411A500D79300F1EB +:0411A600032301041A +:0411A700D79300F1E9 +:0411A80003A3008419 +:0411A900022300F12C +:0411AA000423008199 +:0411AB000713009195 +:0411AC0007930400A1 +:0411AD000963095079 +:0411AE00071300E43F +:0411AF0047850480EC +:0411B00000E41463E0 +:0411B1000870079328 +:0411B2000048459913 +:0411B30000F104A3A0 +:0411B40045152CEDC4 +:0411B500842A26B5AD +:0411B6000513458553 +:0411B7002CF50031E2 +:0411B8000031078378 +:0411B9000007D663F2 +:0411BA0047852649F6 +:0411BB00FEF506E354 +:0411BC002E41E011CF +:0411BD0000314503B5 +:0411BE00446240F255 +:0411BF00610544D2B0 +:0411C000713980827F +:0411C1004581DA2664 +:0411C200051384AAE3 +:0411C300DE060490B0 +:0411C400D84ADC2207 +:0411C500F0EFD64E23 +:0411C600C909F43F20 +:0411C70050F245019C +:0411C80054D2546247 +:0411C90059B259427C +:0411CA00808261219D +:0411CB004515842A18 +:0411CC00892A2E013D +:0411CD000FF0099383 +:0411CE00051345853B +:0411CF002C7100B1CE +:0411D00000B14783A0 +:0411D10001379663E9 +:0411D2004785260D1A +:0411D300FEF506E33C +:0411D4000009136398 +:0411D5004703263D69 +:0411D600079300B1CA +:0411D7001FE30FE023 +:0411D80045C9FAF714 +:0411D9002C950068E9 +:0411DA000044C78383 +:0411DB000027F713DF +:0411DC004503CF15E3 +:0411DD004783012122 +:0411DE004703013191 +:0411DF00890D016114 +:0411E0008D5D0522FA +:0411E10001414783FE +:0411E2004403050AB3 +:0411E30083990111DA +:0411E40047838D5D53 +:0411E500831D015114 +:0411E6000786883DB3 +:0411E7008FD98B9978 +:0411E8000505943E27 +:0411E9001533146541 +:0411EA00BF95008528 +:0411EB00CB898B9190 +:0411EC000141450375 +:0411ED0001514783E2 +:0411EE008D5D0522EC +:0411EF00B7CD47C56C +:0411F00045014781ED +:0411F1007179BFF160 +:0411F200D04A65D9A1 +:0411F300892A4629D6 +:0411F400C3C5859357 +:0411F500D2260048B6 +:0411F600CA56CE4EB9 +:0411F700D422D60622 +:0411F800498DCC52FF +:0411F90044852CE11C +:0411FA000AA00A93AA +:0411FB002C2922C9B0 +:0411FC0045A924B12C +:0411FD002AD10048AB +:0411FE0020234581E4 +:0411FF0005130009CB +:04120000F0EF040007 +:04120100842AE57FD7 +:041202000E951463CE +:041203001AA0059395 +:04120400048005134A +:04120500E45FF0EFC3 +:0412060008951363D1 +:04120700850A45917E +:0412080047832A6D81 +:0412090095630021C8 +:04120A0047830C9773 +:04120B0091630031BA +:04120C0005130D5762 +:04120D0024293E80D2 +:04120E0019632C0D27 +:04120F0005B700958A +:041210000513400082 +:04121100F0EF0E905C +:04121200F57DE13F46 +:0412130007632C3908 +:0412140044010295FA +:0412150019FD243D5E +:041216000FF9F99340 +:0412170008098C63D3 +:041218004785D451E1 +:041219000089022323 +:04121A0000F9202394 +:04121B00F0EF854A21 +:04121C00157DE95FF4 +:04121D0000A92423DD +:04121E00A8B52265E8 +:04121F0005134581ED +:04122000F0EF07A044 +:04122100F571DD7F07 +:04122200850A459163 +:04122300478322B922 +:041224004431000150 +:041225000407F79330 +:041226004411FFD59B +:041227004581BF65D9 +:041228000E9005130C +:04122900DB5FF0EFA8 +:04122A0004100A138F +:04122B0000A4E563D3 +:04122C000A13440954 +:04122D0005130E9007 +:04122E0022590FA092 +:04122F001763227DA2 +:04123000458100955F +:04123100F0EF855203 +:04123200F96DD93F3A +:04123300E1112A7922 +:041234002A45440102 +:0412350005134581D7 +:04123600F0EF07B01E +:04123700C111D7FF0B +:0412380005934401D5 +:041239000513200079 +:04123A00F0EF0500CC +:04123B00D525D6FFE0 +:04123C00B79544011D +:04123D0028D5F43D7F +:04123E000014351350 +:04123F00542250B233 +:041240005902549269 +:041241004A6249F2C2 +:0412420061454AD2E6 +:04124300451C808244 +:04124400D4227179C6 +:04124500D226D606D1 +:04124600CE4ED04A6E +:04124700E463440D0B +:0412480084BA02C79B +:04124900C305440D88 +:04124A000045478391 +:04124B00893689AEA9 +:04124C00E3918BA1FE +:04124D0085B206263A +:04124E00051005136F +:04124F00D1DFF0EF0C +:041250004409C9196B +:041251008522205D75 +:04125200542250B220 +:041253005902549256 +:04125400614549F2B5 +:04125500051380827B +:0412560020DD064051 +:041257000FF004137D +:0412580005134585B0 +:04125900289500F1E3 +:04125A0000F14783D5 +:04125B00008796630F +:04125C00478528EDAD +:04125D00FEF506E3B1 +:04125E0047032229F7 +:04125F00079300F100 +:0412600010E30FE0A8 +:041261000413FCF77F +:041262000433202011 +:041263008C05412491 +:04126400804104427F +:041265000009056314 +:04126600450185CAEF +:0412670085A6283DF3 +:041268002825854E62 +:04126900450185A214 +:04126A004401280D06 +:04126B002637BF6102 +:04126C0005B70006BC +:04126D001537019C94 +:04126E000613000261 +:04126F008593A806B5 +:041270000513CC0591 +:04127100B06F020553 +:04127200862EBB5FAA +:04127300153785AAFC +:04127400051300025C +:04127500B06F02054F +:04127600862EC53FBC +:04127700153785AAF8 +:041278000513000258 +:04127900B06F02054B +:04127A008082C17F2E +:04127B005783675DD1 +:04127C00F793684735 +:04127D0007C2F7F7B6 +:04127E00122383C1F3 +:04127F00173768F7BE +:041280002023000225 +:0412810080820EF762 +:041282005783675DCA +:04128300E79368473E +:041284001223080722 +:04128500173768F7B8 +:04128600202300021F +:0412870080820EF75C +:0412880000293637CC +:04128900019C05B708 +:04128A000002153712 +:04128B002E06061312 +:04128C00CC05859375 +:04128D00020505133E +:04128E00B43FB06F4A +:04128F00F73FF06FC6 +:0412900027836761E8 +:04129100E385A8C782 +:041292008793679D3A +:041293000533978701 +:04129400114102F50D +:041295002623C60640 +:04129600B0EFA8A766 +:0412970040B2EE8FE4 +:0412980001414501CA +:041299004505808205 +:04129A0011418082FC +:04129B00B0EFC606E4 +:04129C0067E1EF8F88 +:04129D00A8C7A783B4 +:04129E00353340B2F2 +:04129F00014100F514 +:0412A00067E1808200 +:0412A100A807A623D1 +:0412A2000000808246 +:0412A300000007132D +:0412A40000E61663E7 +:0412A500000005132D +:0412A600000080675D +:0412A70000E507B3A4 +:0412A8000017071311 +:0412A90000E586B323 +:0412AA000007C783EF +:0412AB00FFF6C68301 +:0412AC00FED780E306 +:0412AD0040D785336E +:0412AE000000806755 +:0412AF0000A5C7B31C +:0412B0000037F79379 +:0412B10000C507333A +:0412B2000007966338 +:0412B300003007936D +:0412B40002C7E26328 +:0412B5000005079396 +:0412B6000AE57C6366 +:0412B7000005C683E5 +:0412B8000017879301 +:0412B9000015859304 +:0412BA00FED78FA329 +:0412BB00FEE7E8E37F +:0412BC000000806747 +:0412BD0000357693EF +:0412BE00000507938D +:0412BF0000068E6334 +:0412C0000005C683DC +:0412C10000178793F8 +:0412C20000158593FB +:0412C300FED78FA320 +:0412C4000037F69366 +:0412C500FE9FF06F29 +:0412C600FFC7769355 +:0412C700FE06861386 +:0412C80006C7F463FE +:0412C9000005A383F6 +:0412CA000045A283B6 +:0412CB000085AF8368 +:0412CC0000C5AF03A7 +:0412CD000105AE83E6 +:0412CE000145AE0325 +:0412CF000185A303EF +:0412D00001C5A88329 +:0412D10002458593BA +:0412D2000077A023DE +:0412D300FFC5A803A8 +:0412D4000057A223FA +:0412D50001F7A42356 +:0412D60001E7A62363 +:0412D70001D7A82370 +:0412D80001C7AA237D +:0412D9000067AC23DB +:0412DA000117AE2327 +:0412DB0002478793AC +:0412DC00FF07AE2337 +:0412DD00FADFF06FD5 +:0412DE000005A6035E +:0412DF0000478793AA +:0412E00000458593AD +:0412E100FEC7AE2373 +:0412E200FED7E8E368 +:0412E300F4E7E8E361 +:0412E400000080671F +:0412E500F6010113FA +:0412E60006912A2320 +:0412E70008F12A23BD +:0412E80006112E239A +:0412E900000177B7D2 +:0412EA0006812C232A +:0412EB0008D12623DD +:0412EC0008E12823CA +:0412ED0009012C23A4 +:0412EE0009112E2391 +:0412EF005B87A483F2 +:0412F0000205D263BE +:0412F10008B00793A7 +:0412F20000F4A02341 +:0412F300FFF00513F0 +:0412F40007C120838B +:0412F5000781240346 +:0412F6000741248305 +:0412F7000A010113D4 +:0412F800000080670B +:0412F90020800793B7 +:0412FA0000F11A23C2 +:0412FB0000A1242307 +:0412FC0000A12C23FE +:0412FD000000079353 +:0412FE000005846300 +:0412FF00FFF58793DD +:0413000000F12823AD +:0413010000F12E23A6 +:0413020008C1069385 +:04130300FFF007935D +:041304000005841349 +:041305000004851348 +:0413060000810593CA +:0413070000F11B23B3 +:0413080000D12223CB +:04130900484000EF69 +:04130A00FFF0079356 +:04130B0000F5566330 +:04130C0008B007938B +:04130D0000F4A02325 +:04130E00F8040CE3F0 +:04130F0000812783AF +:04131000000780232F +:04131100F8DFF06FA2 +:0413120002060A6362 +:04131300FFF60613C8 +:0413140000000713BB +:0413150000E507B335 +:0413160000E586B3B5 +:041317000007C78381 +:041318000006C68382 +:0413190000D79863FE +:04131A0000C706639F +:04131B00001707139D +:04131C00FE0792E353 +:04131D0040D78533FD +:04131E0000008067E4 +:04131F0000000513B2 +:0413200000008067E2 +:041321000005079329 +:0413220000060E6350 +:041323000015859399 +:04132400FFF5C70307 +:041325000017879393 +:04132600FFF60613B5 +:04132700FEE78FA3AB +:04132800FE0714E3C5 +:0413290000C7863340 +:04132A0000C7946301 +:04132B0000008067D7 +:04132C00001787938C +:04132D00FE078FA385 +:04132E00FF1FF06F3E +:04132F0000B505B34D +:04133000000507931A +:0413310000B7866318 +:041332000007C703E6 +:041333000007166336 +:0413340040A7853316 +:0413350000008067CD +:041336000017879382 +:04133700FE9FF06FB6 +:0413380010058463B5 +:04133900FFC5A783C2 +:04133A00FF0101139B +:04133B0000812423E6 +:04133C000011262353 +:04133D0000912223D6 +:04133E00FFC5841350 +:04133F000007D4636C +:0413400000F404337E +:04134100000504930C +:0413420058D000EF90 +:0413430000017737F7 +:041344006287278312 +:041345000007061384 +:0413460002079263A5 +:041347000004222359 +:041348006287242371 +:0413490000812403F8 +:04134A0000C120833B +:04134B000004851302 +:04134C0000412483B5 +:04134D000101011386 +:04134E005610006FC6 +:04134F0002F47863C9 +:0413500000042683EC +:0413510000D407338A +:0413520000E79A63B3 +:041353000007A703E5 +:041354000047A78324 +:0413550000D7073383 +:0413560000E420236C +:0413570000F4222359 +:041358006286242362 +:04135900FC1FF06F16 +:04135A0000070793EE +:04135B000047A7039D +:04135C00000704631F +:04135D00FEE47AE34D +:04135E000007A6835B +:04135F0000D78633FA +:041360000286186386 +:04136100000426035B +:0413620000C686B388 +:0413630000D7A023EC +:0413640000D78633F5 +:04136500F8C718E3CA +:041366000007260353 +:041367000047270311 +:0413680000D606B3F2 +:0413690000D7A023E6 +:04136A0000E7A223D3 +:04136B00F79FF06F89 +:04136C0000C47863DE +:04136D0000C0079322 +:04136E0000F4A023C4 +:04136F00F69FF06F86 +:04137000000426034C +:0413710000C406B3FB +:0413720000D71A6323 +:0413730000072683C6 +:041374000047270304 +:0413750000C686B375 +:0413760000D420235C +:0413770000E4222349 +:041378000087A22325 +:04137900F41FF06FFE +:04137A000000806788 +:04137B00FE0101135B :04137C0000912A238F -:04137D0001312623F1 -:04137E0001512223D4 -:04137F0000058413CE -:0413800000060B1345 -:0413810000068A13C5 -:041382000D26EE63E3 -:0413830000C5D78347 -:041384004807F7130C -:041385000C070663E8 -:0413860000042483B8 -:041387000105A58334 -:0413880001442703F2 -:0413890000050A93BE -:04138A0040B489B32F -:04138B000030049397 -:04138C0002E484B340 -:04138D000020071322 -:04138E0002E4C4B3FE -:04138F0000168713AA -:0413900001370733E7 -:0413910000E4F4631D -:0413920000070493B9 -:041393004007F79385 -:041394000C0784635B -:041395000004859338 -:04139600000A8513B1 -:04139700E25FF0EF32 -:041398000005091330 -:0413990004051263D2 -:04139A0000C00793F5 -:04139B0000FAA02391 -:04139C0000C45783AF -:04139D00FFF0051345 -:04139E000407E793C6 -:04139F0000F416231D -:0413A00001C12083E4 -:0413A100018124039F -:0413A200014124835E -:0413A3000101290318 -:0413A40000C12983D8 -:0413A50000812A0396 -:0413A60000412A8355 -:0413A70000012B0313 -:0413A800020101132A -:0413A9000000806759 -:0413AA000104258392 -:0413AB00000986139C -:0413AC00AA1FF0EF95 -:0413AD0000C457839E -:0413AE00B7F7F79303 -:0413AF000807E793B1 -:0413B00000F416230C -:0413B10001242823C8 -:0413B20000942A2356 -:0413B30001390933C0 -:0413B400413484B389 -:0413B50001242023CC -:0413B6000094242358 -:0413B700000A09130C -:0413B800012A74632F -:0413B900000A09130A -:0413BA000004250303 -:0413BB00000906130C -:0413BC00000B05938A -:0413BD001D9000EF90 -:0413BE0000842783FD -:0413BF000000051312 -:0413C000412787B387 -:0413C10000F42423ED -:0413C2000004278379 -:0413C3000127893342 -:0413C40001242023BD -:0413C500F6DFF06FF0 -:0413C6000004861386 -:0413C700000A851380 -:0413C800211000EF01 -:0413C90000050913FF -:0413CA00F8051EE321 -:0413CB000104258371 -:0413CC00000A85137B -:0413CD00C41FF0EF5A -:0413CE00F31FF06FAA -:0413CF0000C5D783FB -:0413D000F401011310 -:0413D1000A912A2330 -:0413D2000B212823A0 -:0413D3000B31262391 -:0413D4000A112E23A9 -:0413D5000A812C233A -:0413D6000B41242380 -:0413D7000B51222371 -:0413D8000B61202362 -:0413D90009712E2345 -:0413DA0009812C2336 -:0413DB0009912A2327 -:0413DC0009A1282318 -:0413DD0009B1262309 -:0413DE000807F79372 -:0413DF000005099369 -:0413E0000005891368 -:0413E100000604936B -:0413E20006078C630B -:0413E3000105A783D6 -:0413E40006079863FD -:0413E5000400059368 -:0413E60000D12623E9 -:0413E700CE5FF0EFF6 -:0413E80000A9202315 -:0413E90000A928230C -:0413EA0000C1268395 -:0413EB00040516637C -:0413EC0000C00793A3 -:0413ED0000F9A02340 -:0413EE00FFF00513F4 -:0413EF000BC120838B -:0413F0000B81240346 -:0413F1000B41248305 -:0413F2000B012903BF -:0413F3000AC129837F -:0413F4000A812A033D -:0413F5000A412A83FC -:0413F6000A012B03BA -:0413F70009C12B837A -:0413F80009812C0338 -:0413F90009412C83F7 -:0413FA0009012D03B5 -:0413FB0008C12D8375 -:0413FC000C010113CC -:0413FD000000806705 -:0413FE00040007934D -:0413FF0000F92A23A4 -:04140000020007934C -:0414010002F10CA345 -:0414020000000AB725 -:041403000300079348 -:0414040002012A2394 -:0414050002F10D23C0 -:0414060000D12E23C0 -:0414070002500C93F0 -:0414080000017B372D -:0414090000017BB7AC -:04140A0000017D3729 -:04140B0000015C3749 -:04140C00000A8A93B5 -:04140D000004841340 -:04140E00000447830C -:04140F0000078463EB -:041410000D979C6335 -:0414110040940DB343 -:04141200020D8663DE -:04141300000D8693AF -:041414000004861337 -:041415000009059332 -:041416000009851331 -:04141700D79FF0EF7C -:04141800FFF0079347 -:0414190024F506634D -:04141A0003412683E1 -:04141B0001B686B3DD -:04141C0002D12A23AC -:04141D0000044783FD -:04141E0022078C63B2 -:04141F00FFF0079340 -:04142000001404931D -:041421000201202381 -:04142200020126237A -:0414230002F122238D -:04142400020124237A -:04142500060101A318 -:0414260006012C236C -:0414270000100D9311 -:041428000004C58374 -:041429000050061356 -:04142A00B44B0513A7 -:04142B007FC000EF8F -:04142C000014841311 -:04142D00020127830E -:04142E000605146338 -:04142F000107F713A7 -:041430000007066348 -:04143100020007139B -:0414320006E101A32B -:041433000087F71324 -:041434000007066344 -:0414350002B00713E7 -:0414360006E101A327 -:041437000004C68364 -:0414380002A00713F4 -:0414390004E68C63D6 -:04143A0002C1278341 -:04143B000004841312 -:04143C000000069313 -:04143D000090061302 -:04143E0000A00513F2 -:04143F00000447035B -:0414400000140593FC -:04144100FD07071389 -:0414420008E67C63D9 -:0414430004068463B4 -:0414440002F1262368 -:041445000400006F30 -:041446000014041377 -:04144700F1DFF06F72 -:04144800B44B071387 -:0414490040E5053342 -:04144A0000AD953329 -:04144B0000A7E7B35C -:04144C0002F1202366 -:04144D000004049300 -:04144E00F69FF06FA6 -:04144F0001C12703AD -:0414500000470693B8 -:041451000007270366 -:0414520000D12E2374 -:0414530004074063E7 -:0414540002E1262368 -:041455000004470345 -:0414560002E0079316 -:0414570008F7166319 -:041458000014470332 -:0414590002A0079353 -:04145A0004F71A6316 -:04145B0001C1278321 -:04145C000024041351 -:04145D0000478713AA -:04145E000007A78359 -:04145F0000E12E2357 -:041460000207CA6352 -:0414610002F122234F -:041462000600006F11 -:0414630040E007332B -:041464000027E793E3 -:0414650002E1262357 -:0414660002F120234C -:04146700FB9FF06F88 -:0414680002A787B39D -:0414690000100693D6 -:04146A0000058413E2 -:04146B0000E787B35C -:04146C00F4DFF06F4A -:04146D00FFF00793F2 -:04146E00FCDFF06F40 -:04146F00001404134E -:041470000201222330 -:0414710000000693DE -:0414720000000793DC -:0414730000900613CC -:0414740000A00513BC -:041475000004470325 -:0414760000140593C6 -:04147700FD07071353 -:0414780008E67263AD -:04147900FA0690E3FC -:04147A0000044583A2 -:04147B000030061324 -:04147C00B4CB851355 -:04147D006B4000EFD1 -:04147E0002050263FE -:04147F00B4CB8793D0 -:0414800040F50533FB -:0414810004000793C9 -:0414820000A797B375 -:04148300020125033A -:041484000014041339 -:0414850000F56533D6 -:0414860002A120237C -:041487000004458395 -:0414880000600613E7 -:04148900B50D051385 -:04148A0000140493B3 -:04148B0002B10C237B -:04148C00678000EF86 -:04148D0008050A63E1 -:04148E00040A986351 -:04148F00020127032C -:0414900001C12783EC -:0414910010077713B6 -:0414920002070863E2 -:0414930000478793F4 -:0414940000F12E2312 -:041495000341278365 -:04149600014787B3D0 -:0414970002F12A2311 -:04149800DD5FF06FB5 -:0414990002A787B36C -:04149A0000100693A5 -:04149B0000058413B1 -:04149C0000E787B32B -:04149D00F61FF06FD7 -:04149E0000778793B9 -:04149F00FF87F79339 -:0414A00000878793A7 -:0414A100FCDFF06F0D -:0414A20001C107136A -:0414A300DD4C069383 -:0414A4000009061322 -:0414A50002010593A8 -:0414A60000098513A1 -:0414A700FFFEB097FD -:0414A800D64080E7C3 -:0414A900FFF00793B6 -:0414AA0000050A131C -:0414AB00FAF514E357 -:0414AC0000C9578399 -:0414AD00FFF0051334 -:0414AE000407F793A5 -:0414AF00D00790E3EF -:0414B00003412503CC -:0414B100CF9FF06F6A -:0414B20001C107135A -:0414B300DD4C069373 -:0414B4000009061312 -:0414B5000201059398 -:0414B6000009851391 -:0414B7001B8000EFA7 -:0414B800FC5FF06F76 -:0414B900FD0101131D -:0414BA0001512A238F -:0414BB000105A783FD -:0414BC0000070A9388 -:0414BD000085A703FC -:0414BE000281242360 -:0414BF000291222351 -:0414C00001312E23A5 -:0414C10001412C2396 -:0414C20002112623CA -:0414C30003212023BE -:0414C4000161282377 -:0414C5000171262368 -:0414C6000005099381 -:0414C7000005841385 -:0414C8000006049383 -:0414C90000068A137C -:0414CA0000E7D46300 -:0414CB00000707937C -:0414CC0000F4A02365 -:0414CD000434470399 -:0414CE0000070663AA -:0414CF0000178793E8 -:0414D00000F4A02361 -:0414D1000004278369 -:0414D2000207F79383 -:0414D3000007886323 -:0414D4000004A783E6 -:0414D50000278793D2 -:0414D60000F4A0235B -:0414D70000042903E1 -:0414D800006979131B -:0414D90000091E6385 -:0414DA0001940B135B -:0414DB00FFF00B9380 -:0414DC0000C427839E -:0414DD000004A7035D -:0414DE0040E787B3A9 -:0414DF0008F9426363 -:0414E000000427835A -:0414E1000434468306 -:0414E2000207F79373 -:0414E30000D036B34C -:0414E4000C079063FE -:0414E50004340613B2 -:0414E600000A059360 -:0414E7000009851360 -:0414E800000A80E78F -:0414E900FFF0079376 -:0414EA0006F5086398 -:0414EB00000427834F -:0414EC0000400613A3 -:0414ED000004A7034D -:0414EE000067F79309 -:0414EF0000C426838C -:0414F0000000049361 -:0414F10000C7986335 -:0414F20040E684B399 -:0414F3000004D463BA -:0414F400000004935D -:0414F50000842783C5 -:0414F60001042703C3 -:0414F70000F7566341 -:0414F80040E787B38F -:0414F90000F484B3C4 -:0414FA0000000913D2 -:0414FB0001A4041331 -:0414FC00FFF00B13DF -:0414FD0009249063CB -:0414FE0000000513D2 -:0414FF000200006F78 -:04150000001006933E -:04150100000B0613C2 -:04150200000A059343 -:041503000009851343 -:04150400000A80E772 -:0415050003751A63ED -:04150600FFF00513DA -:0415070002C120837A -:041508000281240335 -:0415090002412483F4 -:04150A0002012903AE -:04150B0001C129836E -:04150C0001812A032C -:04150D0001412A83EB -:04150E0001012B03A9 -:04150F0000C12B8369 -:0415100003010113BF -:0415110000008067EF -:0415120000190913A0 -:04151300F25FF06F24 -:0415140000D40733C5 -:0415150003000613B6 -:0415160004C701A362 -:04151700045447032E -:04151800001687939F -:0415190000F407B320 -:04151A00002686938E -:04151B0004E781A3BD -:04151C00F25FF06F1B -:04151D000010069321 -:04151E0000040613AC -:04151F00000A059326 -:041520000009851326 -:04152100000A80E755 -:04152200F96508E37C -:04152300001909138F -:04152400F65FF06F0F -:04152500FD010113B0 -:0415260002812423F7 -:0415270002912223E8 -:041528000321202358 -:0415290001312E233B -:04152A000211262361 -:04152B0001412C232B -:04152C0001512A231C -:04152D00016128230D -:04152E000006899397 -:04152F000185C683E9 -:0415300006E0079337 -:041531000006091394 -:041532000005049319 -:041533000005841318 -:0415340004358613E1 -:0415350028F68663AB -:0415360006D7EA6387 -:0415370006300793E0 -:041538000AF68E63BE -:0415390000D7EE6386 -:04153A002A068A6390 -:04153B00058007938D -:04153C001EF68A63AA -:04153D0004240A93E5 -:04153E0004D40123AD -:04153F000B80006FAE -:0415400006400793C7 -:0415410000F68663C7 -:041542000690079375 -:04154300FEF694E339 -:0415440000042783F5 -:041545000007250373 -:041546000807F69309 -:0415470000450593C3 -:0415480008068E63A0 -:0415490000052783EF -:04154A0000B72023A3 -:04154B00000176B76E -:04154C000007D86359 -:04154D0002D00713AE -:04154E0040F007B3AF -:04154F0004E401A30C -:04155000B586869343 -:0415510000A00713DC -:041552000D80006F99 -:0415530007300793C3 -:0415540024F68C638A -:0415550002D7E26374 -:0415560006F0079301 -:0415570006F68E63A3 -:0415580007000793EE -:04155900F8F698E325 -:04155A000005A7835E -:04155B000207E79309 -:04155C0000F5A023D3 -:04155D000140006FDA -:04155E000750079398 -:04155F0004F68E639D -:041560000780079366 -:04156100F6F698E31F -:041562000780079364 -:04156300000176B756 -:0415640004F402A3E6 -:04156500B6C68693ED -:041566001580006F7D -:0415670000072783CF -:0415680004258A9339 -:04156900004786931E -:04156A000007A7834C -:04156B0000D7202362 -:04156C0004F58123DE -:04156D0000100793D0 -:04156E002200006FE8 -:04156F000407F693E4 -:0415700000052783C8 -:0415710000B720237C -:04157200F60682E314 -:041573000107979342 -:041574004107D793C1 -:04157500F59FF06F7F -:0415760000042583C5 -:0415770000072783BF -:041578000805F81357 -:04157900004785138F -:04157A0000080863FA -:04157B0000A7202382 -:04157C000007A7833A -:04157D000140006FBA -:04157E000405F593D8 -:04157F0000A720237E -:04158000FE0588E3F9 -:041581000007D78305 -:0415820006F00593D7 -:0415830000017737B5 -:0415840012B68E63AA -:04158500B58706938D -:0415860000A00713A7 -:04158700040401A3B4 -:041588000044258373 -:0415890000B4242363 -:04158A000005C8632D -:04158B000004250330 -:04158C00FFB575131F -:04158D0000A4202373 -:04158E000007966359 -:04158F0000060A93B5 -:04159000020582636B -:0415910000060A93B3 -:0415920002E7F5B3C4 -:04159300FFFA8A933E -:0415940000B685B365 -:041595000005C58305 -:0415960000BA8023F4 -:0415970002E7D5B3DF -:041598000EE7FC63FB -:041599000080079334 -:04159A0002F71463DD -:04159B00000427839E -:04159C000017F793AA -:04159D0000078E6352 -:04159E0000442703DB -:04159F000104278399 -:0415A00000E7C86335 -:0415A10003000793A9 -:0415A200FEFA8FA31B -:0415A300FFFA8A932E -:0415A4004156063373 -:0415A50000C4282333 -:0415A600000987139E -:0415A700000906939E -:0415A80000C1061365 -:0415A90000040593A2 -:0415AA0000048513A1 -:0415AB00C39FF0EFFB -:0415AC00FFF00A132F -:0415AD001345186367 -:0415AE00FFF0051332 -:0415AF0002C12083D2 -:0415B000028124038D -:0415B100024124834C -:0415B2000201290306 -:0415B30001C12983C6 -:0415B40001812A0384 -:0415B50001412A8343 -:0415B60001012B0301 -:0415B7000301011318 -:0415B8000000806748 -:0415B90004D582A330 -:0415BA00000176B7FF -:0415BB00B5868693D8 -:0415BC00000425837F -:0415BD0000072503FB -:0415BE000805F81311 -:0415BF000005278379 -:0415C00000450513CA -:0415C10002080863B1 -:0415C20000A720233B -:0415C3000015F71305 -:0415C40000070663B3 -:0415C5000205E593A3 -:0415C60000B420232A -:0415C7000100071305 -:0415C800EE079EE3A9 -:0415C9000004258372 -:0415CA00FDF5F593A3 -:0415CB0000B4202325 -:0415CC00EEDFF06FEF -:0415CD000405F81306 -:0415CE0000A720232F -:0415CF00FC0808E329 -:0415D00001079793E5 -:0415D1000107D793A4 -:0415D200FC5FF06F5B -:0415D300B58706933F -:0415D4000080071379 -:0415D500EC9FF06F28 -:0415D60000058793F2 -:0415D700EEDFF06FE4 -:0415D8000005A683E1 -:0415D900000727835D -:0415DA000145A5839F -:0415DB000806F813F3 -:0415DC00004785132C -:0415DD0000080A6395 -:0415DE0000A720231F -:0415DF000007A783D7 -:0415E00000B7A0238D -:0415E1000180006F16 -:0415E20000A720231B -:0415E3000406F69371 -:0415E4000007A783D2 -:0415E500FE0686E395 -:0415E60000B7902397 -:0415E70000042823B1 -:0415E80000060A935C -:0415E900EF5FF06F51 -:0415EA00000727834C -:0415EB000045A6030E -:0415EC000000059363 -:0415ED00004786939A -:0415EE0000D72023DF -:0415EF000007AA83C4 -:0415F000000A851355 -:0415F1000E4000EFB9 -:0415F2000005066387 -:0415F3004155053326 -:0415F40000A422230A -:0415F5000044278304 -:0415F60000F42823B2 -:0415F700040401A344 -:0415F800EB9FF06F06 -:0415F9000104268340 -:0415FA00000A86134A -:0415FB00000905934B -:0415FC00000485134F -:0415FD00000980E77A -:0415FE00ED4500E3D4 -:0415FF00000427833A -:041600000027F79335 -:0416010004079463E3 -:0416020000C1278379 -:0416030000C42503F7 -:04160400EAF556E3CA -:041605000007851342 -:04160600EA5FF06F38 -:041607000010069336 -:04160800000A86133B -:04160900000905933C -:04160A000004851340 -:04160B00000980E76B -:04160C00E96504E3A5 -:04160D00001A0A13A2 -:04160E0000C427836A -:04160F0000C12703EC -:0416100040E787B375 -:04161100FCFA4CE3B0 -:04161200FC1FF06F5A -:0416130000000A13B6 -:0416140001940A93A0 -:04161500FFF00B13C4 -:04161600FE1FF06F54 -:04161700FF010113BB -:041618000081242306 -:0416190000912223F7 -:04161A000001843710 -:04161B00000504932F -:04161C00000585132D -:04161D00001126236F -:04161E008C042A23EB -:04161F00168000EF42 -:04162000FFF007933D -:0416210000F5186355 -:041622008D44278349 -:0416230000078463D5 -:0416240000F4A0230B -:0416250000C120835D -:041626000081240318 -:0416270000412483D7 -:0416280001010113A8 -:0416290000008067D6 -:04162A000FF5F59330 -:04162B0000C50633BD -:04162C0000C516637C -:04162D0000000513A1 -:04162E0000008067D1 -:04162F0000054783E8 -:04163000FEB78CE392 -:041631000015051388 -:04163200FE9FF06FB8 -:0416330004A5FA63AD -:0416340000C586B3B4 -:0416350004D57663FF -:04163600FFF64593E3 -:041637000000079315 -:04163800FFF787939E -:0416390000F59463C1 -:04163A0000008067C5 -:04163B0000F68733FB -:04163C000007480358 -:04163D0000C7873328 -:04163E0000E5073389 -:04163F00010700237C -:04164000FE1FF06F2A -:0416410000F58733F6 -:0416420000074683D4 -:0416430000F5073374 -:041644000017879371 -:0416450000D70023A7 -:04164600FEF616E3B3 -:0416470000008067B8 -:041648000000079304 -:04164900FF5FF06FE0 -:04164A0000008067B5 -:04164B0000008067B4 -:04164C00000596639C -:04164D0000060593FB -:04164E00B48FF06FF6 -:04164F00FE01011384 -:0416500000812C23C6 -:0416510000112E2333 -:0416520000912A23B6 -:041653000121282326 -:041654000131262317 -:041655000006041374 -:04165600020616630F -:04165700A18FF0EF80 -:041658000000091372 -:0416590001C1208328 -:04165A0001812403E3 -:04165B00000905136A -:04165C0001412483A1 -:04165D00010129035B -:04165E0000C129831B -:04165F000201011370 -:04166000000080679F -:041661000005849369 -:0416620000050993E3 -:0416630003C000EFD1 -:0416640000048913E2 -:04166500FC8578E3A5 -:0416660000040593E4 -:0416670000098513DE -:04166800AE0FF0EFE2 -:04166900000509135C -:04166A00FA050EE38C -:04166B00000485935F -:04166C00000406135D -:04166D00F9DFE0EFD2 -:04166E00000485935C -:04166F0000098513D6 -:041670009B4FF0EFAD -:04167100FA1FF06FFD -:04167200FFC5A78386 -:04167300FFC7851315 -:041674000007D86330 -:0416750000A585B394 -:041676000005A78341 -:0416770000F5053342 -:041678000000806787 -:04167900FF01011359 -:04167A0000812423A4 -:04167B0000017437BF -:04167C004744278335 -:04167D000121202304 -:04167E00001126230E -:04167F000091222391 -:041680000005091345 -:0416810047440413C3 -:0416820004079A635C -:04168300000005134B -:0416840000000593CA -:041685000000061348 -:0416860000000693C7 -:041687000000071345 -:041688000D60089356 -:0416890000000073EA -:04168A0000050493C0 -:04168B00020556639B -:04168C00084000EF23 -:04168D00409004B3D2 -:04168E000095202380 -:04168F00FFF0051350 -:0416900000C12083F2 -:0416910000812403AD -:04169200004124836C -:041693000001290326 -:04169400010101133C +:04137D000035849320 +:04137E00FFC4F49321 +:04137F0000112E2308 +:0413800000812C2399 +:0413810001212823FB +:0413820001312623EC +:0413830000848493CB +:0413840000C007930B +:0413850006F4F8630F +:0413860000C004930C +:0413870006B4E6635F +:041388000005091340 +:04138900471000EF1A +:04138A00000177B730 +:04138B006287A703CB +:04138C00628786935B +:04138D00000704133E +:04138E0006041C63D2 +:04138F0000017437AE +:0413900062C404131C +:0413910000042783AA +:0413920000079A6353 +:0413930000000593BE +:041394000009051334 +:04139500375000EFDE +:0413960000A420236C +:041397000004859336 +:041398000009051330 +:04139900365000EFDB +:04139A00FFF00993C4 +:04139B000B351A6391 +:04139C0000C00793F3 +:04139D0000F9202310 +:04139E00000905132A +:04139F0041D000EF4A +:0413A0000100006FD9 +:0413A100F804DCE38D +:0413A20000C00793ED +:0413A30000F520230E +:0413A400000005132D +:0413A50001C12083DF +:0413A600018124039A +:0413A7000141248359 +:0413A8000101290313 +:0413A90000C12983D3 +:0413AA000201011328 +:0413AB000000806757 +:0413AC00000427838F +:0413AD00409787B32B +:0413AE000407CE63FF +:0413AF0000B0061371 +:0413B00000F67A6366 +:0413B10000F4202301 +:0413B20000F404330C +:0413B300009420235F +:0413B4000100006FC5 +:0413B5000044278346 +:0413B60002871A632D +:0413B70000F6A02379 +:0413B8000009051310 +:0413B9003B5000EFB6 +:0413BA0000B4051363 +:0413BB000044079350 +:0413BC00FF85751321 +:0413BD0040F50733BD +:0413BE00F8070EE33B +:0413BF0000E404330F +:0413C00040A787B308 +:0413C10000F42023F1 +:0413C200F8DFF06FF1 +:0413C30000F72223EA +:0413C400FD1FF06FAA +:0413C5000004071306 +:0413C60000442403B8 +:0413C700F1DFF06FF3 +:0413C80000350413D5 +:0413C900FFC47413D6 +:0413CA00FA8502E3BB +:0413CB0040A405B382 +:0413CC0000090513FC +:0413CD00295000EFB4 +:0413CE00F9351AE3F0 +:0413CF00F35FF06F69 +:0413D000FE01011306 +:0413D10001212823AB +:0413D2000085A903E6 +:0413D30000812C2346 +:0413D400014124238C +:0413D500016120236F +:0413D60000112E23B1 +:0413D70000912A2334 +:0413D8000131262396 +:0413D9000151222379 +:0413DA000005841373 +:0413DB0000060B13EA +:0413DC0000068A136A +:0413DD000D26EE6388 +:0413DE0000C5D783EC +:0413DF004807F713B1 +:0413E0000C0706638D +:0413E100000424835D +:0413E2000105A583D9 +:0413E3000144270397 +:0413E40000050A9363 +:0413E50040B489B3D4 +:0413E600003004933C +:0413E70002E484B3E5 +:0413E80000200713C7 +:0413E90002E4C4B3A3 +:0413EA00001687134F +:0413EB00013707338C +:0413EC0000E4F463C2 +:0413ED00000704935E +:0413EE004007F7932A +:0413EF000C07846300 +:0413F00000048593DD +:0413F100000A851356 +:0413F200E25FF0EFD7 +:0413F30000050913D5 +:0413F4000405126377 +:0413F50000C007939A +:0413F60000FAA02336 +:0413F70000C4578354 +:0413F800FFF00513EA +:0413F9000407E7936B +:0413FA0000F41623C2 +:0413FB0001C1208389 +:0413FC000181240344 +:0413FD000141248303 +:0413FE0001012903BD +:0413FF0000C129837D +:0414000000812A033A +:0414010000412A83F9 +:0414020000012B03B7 +:0414030002010113CE +:0414040000008067FD +:041405000104258336 +:041406000009861340 +:04140700AA1FF0EF39 +:0414080000C4578342 +:04140900B7F7F793A7 +:04140A000807E79355 +:04140B0000F41623B0 +:04140C00012428236C +:04140D0000942A23FA +:04140E000139093364 +:04140F00413484B32D +:041410000124202370 +:0414110000942423FC +:04141200000A0913B0 +:04141300012A7463D3 +:04141400000A0913AE +:0414150000042503A7 +:0414160000090613B0 +:04141700000B05932E +:041418001D9000EF34 +:0414190000842783A1 +:04141A0000000513B6 +:04141B00412787B32B +:04141C0000F4242391 +:04141D00000427831D +:04141E0001278933E6 +:04141F000124202361 +:04142000F6DFF06F94 +:04142100000486132A +:04142200000A851324 +:04142300211000EFA5 +:0414240000050913A3 +:04142500F8051EE3C5 +:041426000104258315 +:04142700000A85131F +:04142800C41FF0EFFE +:04142900F31FF06F4E +:04142A0000C5D7839F +:04142B00F4010113B4 +:04142C000A912A23D4 +:04142D000B21282344 +:04142E000B31262335 +:04142F000A112E234D +:041430000A812C23DE +:041431000B41242324 +:041432000B51222315 +:041433000B61202306 +:0414340009712E23E9 +:0414350009812C23DA +:0414360009912A23CB +:0414370009A12823BC +:0414380009B12623AD +:041439000807F79316 +:04143A00000509930D +:04143B00000589130C +:04143C00000604930F +:04143D0006078C63AF +:04143E000105A7837A +:04143F0006079863A1 +:04144000040005930C +:0414410000D126238D +:04144200CE5FF0EF9A +:0414430000A92023B9 +:0414440000A92823B0 +:0414450000C1268339 +:041446000405166320 +:0414470000C0079347 +:0414480000F9A023E4 +:04144900FFF0051398 +:04144A000BC120832F +:04144B000B812403EA +:04144C000B412483A9 +:04144D000B01290363 +:04144E000AC1298323 +:04144F000A812A03E1 +:041450000A412A83A0 +:041451000A012B035E +:0414520009C12B831E +:0414530009812C03DC +:0414540009412C839B +:0414550009012D0359 +:0414560008C12D8319 +:041457000C01011370 +:0414580000008067A9 +:0414590004000793F1 +:04145A0000F92A2348 +:04145B0002000793F1 +:04145C0002F10CA3EA +:04145D0000000AB7CA +:04145E0003000793ED +:04145F0002012A2339 +:0414600002F10D2365 +:0414610000D12E2365 +:0414620002500C9395 +:0414630000017B37D2 +:0414640000017BB751 +:0414650000017D37CE +:0414660000015C37EE +:04146700000A8A935A +:0414680000048413E5 +:0414690000044783B1 +:04146A000007846390 +:04146B000D979C63DA +:04146C0040940DB3E8 +:04146D00020D866383 +:04146E00000D869354 +:04146F0000048613DC +:0414700000090593D7 +:0414710000098513D6 +:04147200D79FF0EF21 +:04147300FFF00793EC +:0414740024F50663F2 +:041475000341268386 +:0414760001B686B382 +:0414770002D12A2351 +:0414780000044783A2 +:0414790022078C6357 +:04147A00FFF00793E5 +:04147B0000140493C2 +:04147C000201202326 +:04147D00020126231F +:04147E0002F1222332 +:04147F00020124231F +:04148000060101A3BD +:0414810006012C2311 +:0414820000100D93B6 +:041483000004C58319 +:0414840000500613FB +:04148500CECB0513B2 +:041486007FC000EF34 +:0414870000148413B6 +:0414880002012783B3 +:0414890006051463DD +:04148A000107F7134C +:04148B0000070663ED +:04148C000200071340 +:04148D0006E101A3D0 +:04148E000087F713C9 +:04148F0000070663E9 +:0414900002B007138C +:0414910006E101A3CC +:041492000004C68309 +:0414930002A0071399 +:0414940004E68C637B +:0414950002C12783E6 +:0414960000048413B7 +:0414970000000693B8 +:0414980000900613A7 +:0414990000A0051397 +:04149A000004470300 +:04149B0000140593A1 +:04149C00FD0707132E +:04149D0008E67C637E +:04149E000406846359 +:04149F0002F126230D +:0414A0000400006FD5 +:0414A100001404131C +:0414A200F1DFF06F17 +:0414A300CECB071392 +:0414A40040E50533E7 +:0414A50000AD9533CE +:0414A60000A7E7B301 +:0414A70002F120230B +:0414A80000040493A5 +:0414A900F69FF06F4B +:0414AA0001C1270352 +:0414AB00004706935D +:0414AC00000727030B +:0414AD0000D12E2319 +:0414AE00040740638C +:0414AF0002E126230D +:0414B00000044703EA +:0414B10002E00793BB +:0414B20008F71663BE +:0414B30000144703D7 +:0414B40002A00793F8 +:0414B50004F71A63BB +:0414B60001C12783C6 +:0414B70000240413F6 +:0414B800004787134F +:0414B9000007A783FE +:0414BA0000E12E23FC +:0414BB000207CA63F7 +:0414BC0002F12223F4 +:0414BD000600006FB6 +:0414BE0040E00733D0 +:0414BF000027E79388 +:0414C00002E12623FC +:0414C10002F12023F1 +:0414C200FB9FF06F2D +:0414C30002A787B342 +:0414C400001006937B +:0414C5000005841387 +:0414C60000E787B301 +:0414C700F4DFF06FEF +:0414C800FFF0079397 +:0414C900FCDFF06FE5 +:0414CA0000140413F3 +:0414CB0002012223D5 +:0414CC000000069383 +:0414CD000000079381 +:0414CE000090061371 +:0414CF0000A0051361 +:0414D00000044703CA +:0414D100001405936B +:0414D200FD070713F8 +:0414D30008E6726352 +:0414D400FA0690E3A1 +:0414D5000004458347 +:0414D60000300613C9 +:0414D700CF4B85135F +:0414D8006B4000EF76 +:0414D90002050263A3 +:0414DA00CF4B8793DA +:0414DB0040F50533A0 +:0414DC00040007936E +:0414DD0000A797B31A +:0414DE0002012503DF +:0414DF0000140413DE +:0414E00000F565337B +:0414E10002A1202321 +:0414E200000445833A +:0414E300006006138C +:0414E400CF8D051390 +:0414E5000014049358 +:0414E60002B10C2320 +:0414E700678000EF2B +:0414E80008050A6386 +:0414E900040A9863F6 +:0414EA0002012703D1 +:0414EB0001C1278391 +:0414EC00100777135B +:0414ED000207086387 +:0414EE000047879399 +:0414EF0000F12E23B7 +:0414F000034127830A +:0414F100014787B375 +:0414F20002F12A23B6 +:0414F300DD5FF06F5A +:0414F40002A787B311 +:0414F500001006934A +:0414F6000005841356 +:0414F70000E787B3D0 +:0414F800F61FF06F7C +:0414F900007787935E +:0414FA00FF87F793DE +:0414FB00008787934C +:0414FC00FCDFF06FB2 +:0414FD0001C107130F +:0414FE00F40C069351 +:0414FF0000090613C7 +:04150000020105934C +:041501000009851345 +:04150200000000974E +:04150300000000E7FD +:04150400FFF007935A +:0415050000050A13C0 +:04150600FAF514E3FB +:0415070000C957833D +:04150800FFF00513D8 +:041509000407F79349 +:04150A00D00790E393 +:04150B000341250370 +:04150C00CF9FF06F0E +:04150D0001C10713FE +:04150E00F40C069340 +:04150F0000090613B6 +:04151000020105933C +:041511000009851335 +:041512001B8000EF4B +:04151300FC5FF06F1A +:04151400FD010113C1 +:0415150001512A2333 +:041516000105A783A1 +:0415170000070A932C +:041518000085A703A0 +:041519000281242304 +:04151A0002912223F5 +:04151B0001312E2349 +:04151C0001412C233A +:04151D00021126236E +:04151E000321202362 +:04151F00016128231B +:04152000017126230C +:041521000005099325 +:041522000005841329 +:041523000006049327 +:0415240000068A1320 +:0415250000E7D463A4 +:041526000007079320 +:0415270000F4A02309 +:04152800043447033D +:04152900000706634E +:04152A00001787938C +:04152B0000F4A02305 +:04152C00000427830D +:04152D000207F79327 +:04152E0000078863C7 +:04152F000004A7838A +:041530000027879376 +:0415310000F4A023FF +:041532000004290385 +:0415330000697913BF +:0415340000091E6329 +:0415350001940B13FF +:04153600FFF00B9324 +:0415370000C4278342 +:041538000004A70301 +:0415390040E787B34D +:04153A0008F9426307 +:04153B0000042783FE +:04153C0004344683AA +:04153D000207F79317 +:04153E0000D036B3F0 +:04153F000C079063A2 +:041540000434061356 +:04154100000A059304 +:041542000009851304 +:04154300000A80E733 +:04154400FFF007931A +:0415450006F508633C +:0415460000042783F3 +:041547000040061347 +:041548000004A703F1 +:041549000067F793AD +:04154A0000C4268330 +:04154B000000049305 +:04154C0000C79863D9 +:04154D0040E684B33D +:04154E000004D4635E +:04154F000000049301 +:041550000084278369 +:041551000104270367 +:0415520000F75663E5 +:0415530040E787B333 +:0415540000F484B368 +:041555000000091376 +:0415560001A40413D5 +:04155700FFF00B1383 +:04155800092490636F +:041559000000051376 +:04155A000200006F1C +:04155B0000100693E3 +:04155C00000B061367 +:04155D00000A0593E8 +:04155E0000098513E8 +:04155F00000A80E717 +:0415600003751A6392 +:04156100FFF005137F +:0415620002C120831F +:0415630002812403DA +:041564000241248399 +:041565000201290353 +:0415660001C1298313 +:0415670001812A03D1 +:0415680001412A8390 +:0415690001012B034E +:04156A0000C12B830E +:04156B000301011364 +:04156C000000806794 +:04156D000019091345 +:04156E00F25FF06FC9 +:04156F0000D407336A +:04157000030006135B +:0415710004C701A307 +:0415720004544703D3 +:041573000016879344 +:0415740000F407B3C5 +:041575000026869333 +:0415760004E781A362 +:04157700F25FF06FC0 +:0415780000100693C6 +:041579000004061351 +:04157A00000A0593CB +:04157B0000098513CB +:04157C00000A80E7FA +:04157D00F96508E321 +:04157E000019091334 +:04157F00F65FF06FB4 +:04158000FD01011355 +:04158100028124239C +:04158200029122238D +:0415830003212023FD +:0415840001312E23E0 +:041585000211262306 +:0415860001412C23D0 +:0415870001512A23C1 +:0415880001612823B2 +:04158900000689933C +:04158A000185C6838E +:04158B0006E00793DC +:04158C000006091339 +:04158D0000050493BE +:04158E0000058413BD +:04158F000435861386 +:0415900028F6866350 +:0415910006D7EA632C +:041592000630079385 +:041593000AF68E6363 +:0415940000D7EE632B +:041595002A068A6335 +:041596000580079332 +:041597001EF68A634F +:0415980004240A938A +:0415990004D4012352 +:04159A000B80006F53 +:04159B00064007936C +:04159C0000F686636C +:04159D00069007931A +:04159E00FEF694E3DE +:04159F00000427839A +:0415A0000007250318 +:0415A1000807F693AE +:0415A2000045059368 +:0415A30008068E6345 +:0415A4000005278394 +:0415A50000B7202348 +:0415A600000176B713 +:0415A7000007D863FE +:0415A80002D0071353 +:0415A90040F007B354 +:0415AA0004E401A3B1 +:0415AB00D00686934D +:0415AC0000A0071381 +:0415AD000D80006F3E +:0415AE000730079368 +:0415AF0024F68C632F +:0415B00002D7E26319 +:0415B10006F00793A6 +:0415B20006F68E6348 +:0415B3000700079393 +:0415B400F8F698E3CA +:0415B5000005A78303 +:0415B6000207E793AE +:0415B70000F5A02378 +:0415B8000140006F7F +:0415B900075007933D +:0415BA0004F68E6342 +:0415BB00078007930B +:0415BC00F6F698E3C4 +:0415BD000780079309 +:0415BE00000176B7FB +:0415BF0004F402A38B +:0415C000D1468693F7 +:0415C1001580006F22 +:0415C2000007278374 +:0415C30004258A93DE +:0415C40000478693C3 +:0415C5000007A783F1 +:0415C60000D7202307 +:0415C70004F5812383 +:0415C8000010079375 +:0415C9002200006F8D +:0415CA000407F69389 +:0415CB00000527836D +:0415CC0000B7202321 +:0415CD00F60682E3B9 +:0415CE0001079793E7 +:0415CF004107D79366 +:0415D000F59FF06F24 +:0415D100000425836A +:0415D2000007278364 +:0415D3000805F813FC +:0415D4000047851334 +:0415D500000808639F +:0415D60000A7202327 +:0415D7000007A783DF +:0415D8000140006F5F +:0415D9000405F5937D +:0415DA0000A7202323 +:0415DB00FE0588E39E +:0415DC000007D783AA +:0415DD0006F005937C +:0415DE00000177375A +:0415DF0012B68E634F +:0415E000D007069397 +:0415E10000A007134C +:0415E200040401A359 +:0415E3000044258318 +:0415E40000B4242308 +:0415E5000005C863D2 +:0415E60000042503D5 +:0415E700FFB57513C4 +:0415E80000A4202318 +:0415E90000079663FE +:0415EA0000060A935A +:0415EB000205826310 +:0415EC0000060A9358 +:0415ED0002E7F5B369 +:0415EE00FFFA8A93E3 +:0415EF0000B685B30A +:0415F0000005C583AA +:0415F10000BA802399 +:0415F20002E7D5B384 +:0415F3000EE7FC63A0 +:0415F40000800793D9 +:0415F50002F7146382 +:0415F6000004278343 +:0415F7000017F7934F +:0415F80000078E63F7 +:0415F9000044270380 +:0415FA00010427833E +:0415FB0000E7C863DA +:0415FC00030007934E +:0415FD00FEFA8FA3C0 +:0415FE00FFFA8A93D3 +:0415FF004156063318 +:0416000000C42823D7 +:041601000009871342 +:041602000009069342 +:0416030000C1061309 +:041604000004059346 +:041605000004851345 +:04160600C39FF0EF9F +:04160700FFF00A13D3 +:04160800134518630B +:04160900FFF00513D6 +:04160A0002C1208376 +:04160B000281240331 +:04160C0002412483F0 +:04160D0002012903AA +:04160E0001C129836A +:04160F0001812A0328 +:0416100001412A83E7 +:0416110001012B03A5 +:0416120003010113BC +:0416130000008067EC +:0416140004D582A3D4 +:04161500000176B7A3 +:04161600D0068693E1 +:041617000004258323 +:04161800000725039F +:041619000805F813B5 +:04161A00000527831D +:04161B00004505136E +:04161C000208086355 +:04161D0000A72023DF +:04161E000015F713A9 +:04161F000007066357 +:041620000205E59347 +:0416210000B42023CE +:0416220001000713A9 +:04162300EE079EE34D +:041624000004258316 +:04162500FDF5F59347 +:0416260000B42023C9 +:04162700EEDFF06F93 +:041628000405F813AA +:0416290000A72023D3 +:04162A00FC0808E3CD +:04162B000107979389 +:04162C000107D79348 +:04162D00FC5FF06FFF +:04162E00D007069348 +:04162F00008007131D +:04163000EC9FF06FCC +:041631000005879396 +:04163200EEDFF06F88 +:041633000005A68385 +:041634000007278301 +:041635000145A58343 +:041636000806F81397 +:0416370000478513D0 +:0416380000080A6339 +:0416390000A72023C3 +:04163A000007A7837B +:04163B0000B7A02331 +:04163C000180006FBA +:04163D0000A72023BF +:04163E000406F69315 +:04163F000007A78376 +:04164000FE0686E339 +:0416410000B790233B +:041642000004282355 +:0416430000060A9300 +:04164400EF5FF06FF5 +:0416450000072783F0 +:041646000045A603B2 +:041647000000059307 +:04164800004786933E +:0416490000D7202383 +:04164A000007AA8368 +:04164B00000A8513F9 +:04164C000E4000EF5D +:04164D00000506632B +:04164E0041550533CA +:04164F0000A42223AE +:0416500000442783A8 +:0416510000F4282356 +:04165200040401A3E8 +:04165300EB9FF06FAA +:0416540001042683E4 +:04165500000A8613EE +:0416560000090593EF +:0416570000048513F3 +:04165800000980E71E +:04165900ED4500E378 +:04165A0000042783DE +:04165B000027F793DA +:04165C000407946388 +:04165D0000C127831E +:04165E0000C425039C +:04165F00EAF556E36F +:0416600000078513E7 +:04166100EA5FF06FDD +:0416620000100693DB +:04166300000A8613E0 +:0416640000090593E1 +:0416650000048513E5 +:04166600000980E710 +:04166700E96504E34A +:04166800001A0A1347 +:0416690000C427830F +:04166A0000C1270391 +:04166B0040E787B31A +:04166C00FCFA4CE355 +:04166D00FC1FF06FFF +:04166E0000000A135B +:04166F0001940A9345 +:04167000FFF00B1369 +:04167100FE1FF06FF9 +:04167200FF01011360 +:0416730000812423AB +:04167400009122239C +:0416750000018437B5 +:0416760000050493D4 +:0416770000058513D2 +:041678000011262314 +:04167900A804282376 +:04167A00168000EFE7 +:04167B00FFF00793E2 +:04167C0000F51863FA +:04167D00A904278312 +:04167E00000784637A +:04167F0000F4A023B0 +:0416800000C1208302 +:0416810000812403BD +:04168200004124837C +:04168300010101134D +:04168400000080677B +:041685000FF5F593D5 +:0416860000C5063362 +:0416870000C5166321 +:041688000000051346 +:041689000000806776 +:04168A00000547838D +:04168B00FEB78CE337 +:04168C00001505132D +:04168D00FE9FF06F5D +:04168E0004A5FA6352 +:04168F0000C586B359 +:0416900004D57663A4 +:04169100FFF6459388 +:0416920000000793BA +:04169300FFF7879343 +:0416940000F5946366 :04169500000080676A -:0416960000A4202369 -:041697000004250323 -:0416980000000593B6 -:041699000000061334 -:04169A0000A905336B -:04169B0000000693B2 -:04169C000000071330 -:04169D0000000793AF -:04169E000D60089340 -:04169F0000000073D4 -:0416A00000050493AA -:0416A10000055A6383 -:0416A200409004B3BD -:0416A300028000EFD2 -:0416A400009520236A -:0416A500FFF00493BB -:0416A6000004278392 -:0416A700FFF0051338 -:0416A80000F9093309 -:0416A900F9249EE39F -:0416AA000094202365 -:0416AB00000785139C -:0416AC00F91FF06FC3 -:0416AD00000177B70A -:0416AE003FC7A5038A -:0416AF000000806750 -:0416B0000000000036 -:0416B1000000000035 -:0416B2000000000034 -:0416B3000000000033 -:0416B400FFFFFFFF36 -:0416B500FFFFFFFF35 -:0416B6000000FFFF32 -:0416B7000001049496 -:0416B8000001049A8F -:0416B900000104A088 -:0416BA00000104A681 -:0416BB0000010448DE -:0416BC0000010468BD -:0416BD0000010468BC -:0416BE0000010468BB -:0416BF0000010468BA -:0416C00000010468B9 -:0416C10000010468B8 -:0416C2000001046CB3 -:0416C30000010472AC -:0416C400000123649A -:0416C50000012210EE -:0416C60000012356A6 -:0416C70000012356A5 -:0416C80000012210EB -:0416C9000001235A9F -:0416CA000001236494 -:0416CB0000012210E8 -:0416CC0000012356A0 -:0416CD0000015BF8C5 -:0416CE0000015C08B3 -:0416CF0000015C14A6 -:0416D00000015C2099 -:0416D10000015C2C8C -:0416D20000015C387F -:0416D30000015C4472 -:0416D40000015C5065 -:0416D50000015C5C58 -:0416D60000015C684B -:0416D70000015C743E -:0416D80074204B4FE0 -:0416D9006E69206FA7 -:0416DA00000074692F -:0416DB00656D69547C -:0416DC000074756FB2 -:0416DD00252E75251C -:0416DE006D75322EC6 -:0416DF000000007394 -:0416E000252E752519 -:0416E1006D75322EC3 -:0416E20075252F73C8 -:0416E300322E252E50 -:0416E40000736D75AD -:0416E5004353534FC9 -:0416E60077662020E3 -:0416E7007525202E17 -:0416E800322E252E4B -:0416E9000000617527 -:0416EA0074696E4968 -:0416EB007272652092 -:0416EC002020726FD9 -:0416ED000000642570 -:0416EE002020202078 -:0416EF0053204F4EE7 -:0416F00000434E590C -:0416F100343130322E -:0416F2003130322D34 -:0416F3006D2020380E -:0416F400737172613B -:0416F50000000000F1 -:0416F6002520732513 -:0416F70000632575F2 -:0416F800252E752501 -:0416F9006B75322EAD -:0416FA0025207A48E5 -:0416FB002E252E75F5 -:0416FC007A48753281 -:0416FD0000000000E9 -:0416FE007473655448 -:0416FF007461702082 -:041700006E7265742C -:0417010000000000E4 -:041702003A315641E1 -:0417030042475220E7 -:04170400000000538E -:041705003A315641DE -:0417060073475220B3 -:04170700000000429C -:041708003A315641DB -:0417090062505920B1 -:04170A000000725019 -:04170B003A325641D7 -:04170C0062505920AE -:04170D000000725016 -:04170E003A325641D4 -:04170F0073475220AA -:041710000000004293 -:041711003A335641D0 -:0417120042475220D8 -:041713000000564834 -:041714003A335641CD -:0417150042475220D5 -:04171600000000537C -:041717003A335641CA -:0417180073475220A1 -:04171900000000428A -:04171A003A335641C7 -:04171B00625059209F -:04171C000000725007 -:04171D007473614C34 -:04171E00657375205A -:04171F000000006462 -:0417200000000000C5 -:0417210000000000C4 -:0417220000000001C2 -:0417230000010101BF -:0417240000000001C0 -:0417250000000000C0 -:0417260000000110AE -:041727000044060B69 -:0417280000000100BC -:0417290000010000BB -:04172A008080800C2F -:04172B00081A1A1A64 -:04172C000000000AAF -:04172D00000129A2EC -:04172E00000126B6DA -:04172F00000126BED1 -:041730000001260688 -:04173100000126BAD3 -:04173200000126C2CA -:04173300000126B2D9 -:04173400000126F09A -:04173500000126C6C3 -:04173600000126CABE -:04173700000126CEB9 -:04173800000126F096 -:04173900000126F095 -:04173A00000126F094 -:04173B00000126F093 -:04173C00000126F092 -:04173D00000126F091 -:04173E00000126FA86 -:04173F000001278CF2 -:041740000001279CE1 -:04174100000127B6C6 -:04174200000127F487 -:04174300000127D8A2 -:041744000001280A6E -:04174500000128E88F -:041746000001290A6B -:041747000001292054 -:04174800666F725006 -:041749002075252EB4 -:04174A0000733925CA -:04174B00756C342560 -:04174C006325632589 -:04174D006C252020C7 -:04174E000000007522 -:04174F00656E694C0E -:04175000746C756DD3 -:04175100646F6D2034 -:0417520000003A65F4 -:0417530073657270D8 -:041754002D312073A0 -:04175500000000355B -:04175600207875255D -:0417570075736E75C3 -:04175800726F7070CC -:04175900006465744F -:04175A00666F7250F4 -:04175B0020656C6930 -:04175C0064616F6CE9 -:04175D000000003A4E -:04175E0073657270CD -:04175F002D30207396 -:04176000000000394C -:0417610000015E48DD -:0417620000015E4CD8 -:0417630000015E50D3 -:0417640000015E54CE -:0417650000015D54CE -:0417660000015E58C8 -:0417670000015E5CC3 -:0417680000015E60BE -:0417690000015D809E -:04176A00000166DC38 -:04176B0000015E64B7 -:04176C0000015E6CAE -:04176D0000015E70A9 -:04176E0000015E78A0 -:04176F0000015E7C9B -:0417700000015E8492 -:0417710000015E8C89 -:0417720000015E9480 -:0417730000015E9C77 -:0417740000015EAC66 -:0417750000015EBC55 -:0417760000015ECC44 -:0417770000015EDC33 -:0417780000015EEC22 -:0417790000015EFC11 -:04177A0000015F0407 -:04177B0000015F0CFE -:04177C003EA93E291B -:04177D003EE93E699A -:04177E003E993E1939 -:04177F003ED93E59B8 -:041780003EC93E39E7 -:041781003E1D3E4D7E -:041782003E2D3EEDCD -:041783003EAD3ECD6C -:041784003E653E6D13 -:041785001C483E01BD -:041786001C501C18BF -:041787001CC81CD08E -:041788005ED85E5871 -:0417890000003EB965 -:04178A0073657250C1 -:04178B0000000073E7 -:04178C00666E6F43D3 -:04178D00006D726910 -:04178E006D73694DC1 -:04178F0068637461B6 -:041790006572202C32 -:0417910000797274F5 -:041792000000003122 -:041793000000003220 -:04179400000000331E -:04179500000000341C -:041796000000003619 -:041797000000003717 -:041798000000003815 -:04179900554E454D17 -:04179A00000000004B -:04179B0000004B4FB0 -:04179C004B43414238 -:04179D000000000048 -:04179E0000005055A2 -:04179F004E574F440E -:0417A0000000000045 -:0417A1005446454C19 -:0417A2000000000043 -:0417A3004847495218 -:0417A40000000054ED -:0417A5004F464E4914 -:0417A600000000003F -:0417A7005F44434C0C -:0417A8004B4341422C -:0417A9004847494C18 -:0417AA0000000054E7 -:0417AB004E41435315 -:0417AC00454E494C11 -:0417AD00444F4D5FF9 -:0417AE0000000045F2 -:0417AF004E41435311 -:0417B000454E494C0D -:0417B1005059545FD8 -:0417B20000000045EE -:0417B3004E4143530D -:0417B400454E494C09 -:0417B500544E495FE6 -:0417B6000000002B04 -:0417B7004E41435309 -:0417B800454E494C05 -:0417B900544E495FE2 -:0417BA000000002DFE -:0417BB00454E494C02 -:0417BC00544C554DE7 -:0417BD00444F4D5FE9 -:0417BE0000000045E2 -:0417BF0053414850FA -:0417C00000002B45B5 -:0417C10053414850F8 -:0417C20000002D45B1 -:0417C300464F5250EB -:0417C4005F454C49E8 -:0417C5004B544F48EA -:0417C6000000594581 -:0417C7006F72724586 -:0417C80000000072AB -:0417C90053206F4EEC -:0417CA0061632044F3 -:0417CB0064206472C0 -:0417CC00002E746512 -:0417CD0061766E498A -:0417CE002064696CBE -:0417CF006461656884 -:0417D000000072653E -:0417D10061766E4986 -:0417D2002064696CBA -:0417D30020726468B4 -:0417D4000043524339 -:0417D50061766E4982 -:0417D6002064696CB6 -:0417D7006174616474 -:0417D8004352432015 -:0417D900000000000C -:0417DA006164705581 -:0417DB0063206574AE -:0417DC0065636E6172 -:0417DD0064656C6C67 -:0417DE000000000007 -:0417DF0073616C4680 -:0417E00065722068A6 -:0417E10065206461BA -:0417E200000072721F -:0417E30073616C467C -:0417E40072652068A2 -:0417E50020657361A7 -:0417E60000727265B6 -:0417E70073616C4678 -:0417E800727720688C -:0417E900206574699A -:0417EA0000727265B2 -:0417EB0073616C4674 -:0417EC006576206896 -:0417ED002066697297 -:0417EE006C6961665B -:0417EF0000000000F6 -:0417F00061766E4967 -:0417F1002064696C9B -:0417F20067616D6955 -:0417F300000000658D -:0417F4004353534FB9 +:0416960000F68733A0 +:0416970000074803FD +:0416980000C78733CD +:0416990000E507332E +:04169A000107002321 +:04169B00FE1FF06FCF +:04169C0000F587339B +:04169D000007468379 +:04169E0000F5073319 +:04169F000017879316 +:0416A00000D700234C +:0416A100FEF616E358 +:0416A200000080675D +:0416A30000000793A9 +:0416A400FF5FF06F85 +:0416A500000080675A +:0416A6000000806759 +:0416A7000005966341 +:0416A80000060593A0 +:0416A900B48FF06F9B +:0416AA00FE01011329 +:0416AB0000812C236B +:0416AC0000112E23D8 +:0416AD0000912A235B +:0416AE0001212823CB +:0416AF0001312623BC +:0416B0000006041319 +:0416B10002061663B4 +:0416B200A18FF0EF25 +:0416B3000000091317 +:0416B40001C12083CD +:0416B5000181240388 +:0416B600000905130F +:0416B7000141248346 +:0416B8000101290300 +:0416B90000C12983C0 +:0416BA000201011315 +:0416BB000000806744 +:0416BC00000584930E +:0416BD000005099388 +:0416BE0003C000EF76 +:0416BF000004891387 +:0416C000FC8578E34A +:0416C1000004059389 +:0416C2000009851383 +:0416C300AE0FF0EF87 +:0416C4000005091301 +:0416C500FA050EE331 +:0416C6000004859304 +:0416C7000004061302 +:0416C800F9DFE0EF77 +:0416C9000004859301 +:0416CA00000985137B +:0416CB009B4FF0EF52 +:0416CC00FA1FF06FA2 +:0416CD00FFC5A7832B +:0416CE00FFC78513BA +:0416CF000007D863D5 +:0416D00000A585B339 +:0416D1000005A783E6 +:0416D20000F50533E7 +:0416D300000080672C +:0416D400FF010113FE +:0416D5000081242349 +:0416D6000001743764 +:0416D70063042783FE +:0416D80001212023A9 +:0416D90000112623B3 +:0416DA000091222336 +:0416DB0000050913EA +:0416DC00630404138C +:0416DD0004079A6301 +:0416DE0000000513F0 +:0416DF00000005936F +:0416E00000000613ED +:0416E100000006936C +:0416E20000000713EA +:0416E3000D600893FB +:0416E400000000738F +:0416E5000005049365 +:0416E6000205566340 +:0416E700084000EFC8 +:0416E800409004B377 +:0416E9000095202325 +:0416EA00FFF00513F5 +:0416EB0000C1208397 +:0416EC000081240352 +:0416ED000041248311 +:0416EE0000012903CB +:0416EF0001010113E1 +:0416F000000080670F +:0416F10000A420230E +:0416F20000042503C8 +:0416F300000005935B +:0416F40000000613D9 +:0416F50000A9053310 +:0416F6000000069357 +:0416F70000000713D5 +:0416F8000000079354 +:0416F9000D600893E5 +:0416FA000000007379 +:0416FB00000504934F +:0416FC0000055A6328 +:0416FD00409004B362 +:0416FE00028000EF77 +:0416FF00009520230F +:04170000FFF004935F +:041701000004278336 +:04170200FFF00513DC +:0417030000F90933AD +:04170400F9249EE343 +:041705000094202309 +:041706000007851340 +:04170700F91FF06F67 +:04170800000177B7AE +:041709005B87A50352 +:04170A0000008067F4 +:04170B0000000000DA +:04170C0000000000D9 +:04170D0000000000D8 +:04170E0000000000D7 +:04170F00FFFFFFFFDA +:04171000FFFFFFFFD9 +:041711000000FFFFD6 +:041712000001053499 +:041713000001053A92 +:04171400000105408B +:041715000001054684 +:04171600000104E8E2 +:0417170000010508C0 +:0417180000010508BF +:0417190000010508BE +:04171A0000010508BD +:04171B0000010508BC +:04171C0000010508BB +:04171D000001050CB6 +:04171E0000010512AF +:04171F000001246A37 +:04172000000123168B +:041721000001245C43 +:041722000001245C42 +:041723000001231688 +:04172400000124603C +:041725000001246A31 +:041726000001231685 +:041727000001245C3D +:0417280000015D64FB +:0417290000015D74EA +:04172A0000015D80DD +:04172B0000015D8CD0 +:04172C0000015D98C3 +:04172D0000015DA4B6 +:04172E0000015DB0A9 +:04172F0000015DBC9C +:0417300000015DC88F +:0417310000015DD482 +:0417320000015DE075 +:0417330074204B4F84 +:041734006E69206F4B +:0417350000007469D3 +:04173600656D695420 +:041737000074756F56 +:04173800252E7525C0 +:041739006D75322E6A +:04173A000000007338 +:04173B00252E7525BD +:04173C006D75322E67 +:04173D0075252F736C +:04173E00322E252EF4 +:04173F0000736D7551 +:041740004353534F6D +:041741007766202087 +:041742007525202EBB +:04174300322E252EEF +:0417440000006175CB +:0417450074696E490C +:041746007272652036 +:041747002020726F7D +:041748000000642514 +:04174900202020201C +:04174A0053204F4E8B +:04174B0000434E59B0 +:04174C0034313032D2 +:04174D003130322DD8 +:04174E006D202038B2 +:04174F0073717261DF +:041750000000000095 +:0417510025207325B7 +:041752000063257596 +:04175300252E7525A5 +:041754006B75322E51 +:0417550025207A4889 +:041756002E252E7599 +:041757007A48753225 +:04175800000000008D +:0417590074736554EC +:04175A007461702026 +:04175B006E726574D1 +:04175C000000000089 +:04175D003A31564186 +:04175E00424752208C +:04175F000000005333 +:041760003A31564183 +:041761007347522058 +:041762000000004241 +:041763003A31564180 +:041764006250592056 +:0417650000007250BE +:041766003A3256417C +:041767006250592053 +:0417680000007250BB +:041769003A32564179 +:04176A00734752204F +:04176B000000004238 +:04176C003A33564175 +:04176D00424752207D +:04176E0000005648D9 +:04176F003A33564172 +:04177000424752207A +:041771000000005321 +:041772003A3356416F +:041773007347522046 +:04177400000000422F +:041775003A3356416C +:041776006250592044 +:0417770000007250AC +:041778007473614CD9 +:0417790065737520FF +:04177A000000006407 +:04177B00000000006A +:04177C000000000069 +:04177D000000000167 +:04177E000001010164 +:04177F000000000165 +:041780000000000065 +:041781000000011053 +:041782000044060B0E +:041783000000010061 +:041784000001000060 +:041785008080800CD4 +:04178600081A1A1A09 +:041787000000000A54 +:0417880000012AA88A +:04178900000127BC78 +:04178A00000127C46F +:04178B000001270C26 +:04178C00000127C071 +:04178D00000127C868 +:04178E00000127B877 +:04178F00000127F638 +:04179000000127CC61 +:04179100000127D05C +:04179200000127D457 +:04179300000127F634 +:04179400000127F633 +:04179500000127F632 +:04179600000127F631 +:04179700000127F630 +:04179800000127F62F +:041799000001280023 +:04179A000001289290 +:04179B00000128A27F +:04179C00000128BC64 +:04179D00000128FA25 +:04179E00000128DE40 +:04179F00000129100C +:0417A000000129EE2D +:0417A10000012A1009 +:0417A20000012A26F2 +:0417A300666F7250AB +:0417A4002075252E59 +:0417A500007339256F +:0417A600756C342505 +:0417A700632563252E +:0417A8006C2520206C +:0417A90000000075C7 +:0417AA00656E694CB3 +:0417AB00746C756D78 +:0417AC00646F6D20D9 +:0417AD0000003A6599 +:0417AE00736572707D +:0417AF002D31207345 +:0417B0000000003500 +:0417B1002078752502 +:0417B20075736E7568 +:0417B300726F707071 +:0417B40000646574F4 +:0417B500666F725099 +:0417B60020656C69D5 +:0417B70064616F6C8E +:0417B8000000003AF3 +:0417B9007365727072 +:0417BA002D3020733B +:0417BB0000000039F1 +:0417BC0000015FB415 +:0417BD0000015FB810 +:0417BE0000015FBC0B +:0417BF0000015FC006 +:0417C00000015EC006 +:0417C10000015FC400 +:0417C20000015FC8FB +:0417C30000015FCCF6 +:0417C40000015EECD6 +:0417C5000001685C5B +:0417C60000015FD0EF +:0417C70000015FD8E6 +:0417C80000015FDCE1 +:0417C90000015FE4D8 +:0417CA0000015FE8D3 +:0417CB0000015FF0CA +:0417CC0000015FF8C1 +:0417CD0000016000B7 +:0417CE0000016008AE +:0417CF00000160189D +:0417D000000160288C +:0417D100000160387B +:0417D200000160486A +:0417D3000001605859 +:0417D4000001606848 +:0417D500000160703F +:0417D6000001607836 +:0417D7003EA93E29C0 +:0417D8003EE93E693F +:0417D9003E993E19DE +:0417DA003ED93E595D +:0417DB003EC93E398C +:0417DC003E1D3E4D23 +:0417DD003E2D3EED72 +:0417DE003EAD3ECD11 +:0417DF003E653E6DB8 +:0417E0001C483E0162 +:0417E1001C501C1864 +:0417E2001CC81CD033 +:0417E3005ED85E5816 +:0417E40000003EB90A +:0417E5007365725066 +:0417E600000000738C +:0417E700666E6F4378 +:0417E800006D7269B5 +:0417E9006D73694D66 +:0417EA00686374615B +:0417EB006572202CD7 +:0417EC00007972749A +:0417ED0000000031C7 +:0417EE0000000032C5 +:0417EF0000000033C3 +:0417F00000000034C1 +:0417F10000000036BE +:0417F20000000037BC +:0417F30000000038BA +:0417F400554E454DBC :0417F50000000000F0 -:0417F600696C615663 -:0417F700697461644C -:0417F8006420676E94 -:0417F90000617461B6 -:0417FA0062207525CF -:0417FB007365747925 -:0417FC0000000000E9 -:0417FD00252E7525FB -:0417FE002575322EED -:0417FF0000732573DB -:04180000616470555A -:04180100676E697431 -:041802000057462025 -:0418030061656C703F -:041804007720657371 -:041805002E74696173 -:0418060000002E2E82 -:041807006972655647 -:041808006E69796626 -:041809006C66206782 -:04180A00006873619E -:04180B0061656C7037 -:04180C00722065736E -:04180D00617473652A -:04180E0000007472F0 -:04180F007274655238 -:04181000676E69791D -:04181100647075206A -:041812000065746198 -:041813006164705547 -:04181400203F657498 -:041815002C593D31DC -:041816004E3D3220F1 -:0418170000000000CD -:041818006D7269463E -:04181900657261771C -:04181A006470752061 -:04181B00646574612B -:04181C0000000000C8 -:04181D000001308214 -:04181E000001306035 -:04181F000001303A5A -:041820000001305241 -:04182100000131325F -:04182200000131325E -:04182300000131E6A9 -:04182400000132107D -:041825000001321C70 -:041826000001325C2F -:04182700000132305A -:041828000001314644 -:041829000001314643 -:04182A000001318AFE -:04182B00000131B6D1 -:04182C00000131B6D0 -:04182D0064206425AA -:04182E000000004274 -:04182F00656E6F442F -:0418300000000000B4 -:041831006C69614637 -:0418320000006465E9 -:041833000000732519 -:04183400252E7525C3 -:041835002075322EBA -:0418360000007375C6 -:041837006C20752587 -:0418380073656E69FD +:0417F60000004B4F55 +:0417F7004B434142DD +:0417F80000000000ED +:0417F9000000505547 +:0417FA004E574F44B3 +:0417FB0000000000EA +:0417FC005446454CBE +:0417FD0000000000E8 +:0417FE0048474952BD +:0417FF000000005492 +:041800004F464E49B8 +:0418010000000000E3 +:041802005F44434CB0 +:041803004B434142D0 +:041804004847494CBC +:04180500000000548B +:041806004E414353B9 +:04180700454E494CB5 +:04180800444F4D5F9D +:041809000000004596 +:04180A004E414353B5 +:04180B00454E494CB1 +:04180C005059545F7C +:04180D000000004592 +:04180E004E414353B1 +:04180F00454E494CAD +:04181000544E495F8A +:041811000000002BA8 +:041812004E414353AD +:04181300454E494CA9 +:04181400544E495F86 +:041815000000002DA2 +:04181600454E494CA6 +:04181700544C554D8B +:04181800444F4D5F8D +:041819000000004586 +:04181A00534148509E +:04181B0000002B4559 +:04181C00534148509C +:04181D0000002D4555 +:04181E00464F52508F +:04181F005F454C498C +:041820004B544F488E +:041821000000594525 +:041822006F7272452A +:04182300000000724F +:0418240053206F4E90 +:041825006163204497 +:041826006420647264 +:04182700002E7465B6 +:0418280061766E492E +:041829002064696C62 +:04182A006461656828 +:04182B0000007265E2 +:04182C0061766E492A +:04182D002064696C5E +:04182E002072646858 +:04182F0000435243DD +:0418300061766E4926 +:041831002064696C5A +:041832006174616418 +:0418330043524320B9 +:0418340000000000B0 +:041835006164705525 +:041836006320657452 +:0418370065636E6116 +:0418380064656C6C0B :0418390000000000AB -:04183A006C206F4E61 -:04183B00006B6E6967 -:04183C000001749B98 -:04183D0000000002A5 -:04183E0000012F6E08 -:04183F00000165B48B -:04184000000165C07E -:04184100000165C875 -:041842007020752578 -:041843006C657869EF -:04184400000000732D -:041845000001749D8D -:041846000000000995 -:0418470000012E204E -:04184800642064258F -:0418490000006765CF -:04184A0025257525B6 -:04184B000000000099 -:04184C006564695610 -:04184D006E69206F31 -:04184E006F72702025 -:04184F003E202063B4 +:04183A0073616C4624 +:04183B00657220684A +:04183C00652064615E +:04183D0000007272C3 +:04183E0073616C4620 +:04183F007265206846 +:04184000206573614B +:04184100007272655A +:0418420073616C461C +:041843007277206830 +:04184400206574693E +:041845000072726556 +:0418460073616C4618 +:04184700657620683A +:04184800206669723B +:041849006C696166FF +:04184A00000000009A +:04184B0061766E490B +:04184C002064696C3F +:04184D0067616D69F9 +:04184E000000006531 +:04184F004353534F5D :041850000000000094 -:04185100706D615302 -:04185200676E696CE8 -:0418530074706F201E -:041854003E20202EE4 -:04185500000000008F -:04185600636E7953F1 -:0418570074706F201A -:041858002020202EFE -:041859003E202020ED -:04185A00000000008A -:04185B007074754FE1 -:04185C006F20747510 -:04185D00202E747055 -:04185E003E202020E8 -:04185F000000000085 -:0418600074736F50DE -:041861006F72702D05 -:0418620020202E63B1 -:041863003E202020E3 -:041864000000000080 -:04186500706D6F43F0 -:0418660062697461DE -:0418670074696C69CB -:041868003E20207985 -:04186900000000007B -:04186A0069647541F7 -:04186B00706F206F0B -:04186C006E6F6974BE -:04186D003E20207386 -:04186E000000000076 -:04186F0074746553D5 -:0418700073676E69C3 -:0418710074706F2000 -:041872003E202020D4 -:041873000000000071 -:04187400616F4C3C18 -:041875007270206409 -:041876006C69666FC4 -:04187700003E2065AA -:041878007661533C06 -:041879007270206504 -:04187A006C69666FC0 -:04187B00003E2065A6 -:04187C007365523C02 -:04187D0073207465FB -:04187E0069747465B0 -:04187F003E73676EDF -:041880000000000064 -:041881006B6E694CD5 -:041882006F727020F1 -:04188300693E2D6627 -:041884007475706E99 -:04188500000000005F -:041886006B6E694CD0 -:04188700706E6920F6 -:041888003E2D747508 -:04188900666F7270A4 -:04188A00000000005A -:04188B0074696E49C5 -:04188C00206C616902 -:04188D0075706E699B -:04188E0000000074E2 -:04188F002044434C62 -:0418900074204C4232 -:041891006F656D69A9 -:041892000000747569 -:041893002E77463C2A -:0418940064707520E7 -:0418950020657461F5 -:041896003E202020B0 -:04189700000000004D -:041898006E776F44B4 -:041899006D61732DDD -:04189A006E696C7097 -:04189B0000000067E2 -:04189C0070617753AD -:04189D0066656C20F0 -:04189E0069722F74C8 -:04189F000074686702 -:0418A0002D657250F0 -:0418A100204344415B -:0418A2006E696167A3 -:0418A3000000000041 -:0418A4006C6C7546AD -:0418A5002058542053 -:0418A600757465737D -:0418A70000000070CD -:0418A8002033564152 -:0418A90065746E698B -:0418AA0063616C7298 -:0418AB00786966658D -:0418AC000000000038 -:0418AD006E616353B2 -:0418AE00656E696C8E -:0418AF0000000073C2 -:0418B0006E616353AF -:0418B100656E696C8B -:0418B20072747320B9 -:0418B3000000002E03 -:0418B400202E6C5323 -:0418B500726279687A -:0418B60073206469CE -:0418B700002E727419 -:0418B8006E616353A7 -:0418B900656E696C83 -:0418BA0074656D20C4 -:0418BB0000646F68EE -:0418BC006E616353A3 -:0418BD00656E696C7F -:0418BE0070797420A9 -:0418BF0000000065C0 -:0418C0006E6163539F -:0418C100656E696C7B -:0418C200696C6120CC -:0418C3002E6D6E67B1 +:04185100696C615607 +:0418520069746164F0 +:041853006420676E38 +:04185400006174615A +:041855006220752573 +:0418560073657479C9 +:04185700000000008D +:04185800252E75259F +:041859002575322E91 +:04185A00007325737F +:04185B0061647055FF +:04185C00676E6974D6 +:04185D0000574620CA +:04185E0061656C70E4 +:04185F007720657316 +:041860002E74696118 +:0418610000002E2E27 +:0418620069726556EC +:041863006E697966CB +:041864006C66206727 +:041865000068736143 +:0418660061656C70DC +:041867007220657313 +:0418680061747365CF +:041869000000747295 +:04186A0072746552DD +:04186B00676E6979C2 +:04186C00647075200F +:04186D00006574613D +:04186E0061647055EC +:04186F00203F65743D +:041870002C593D3181 +:041871004E3D322096 +:041872000000000072 +:041873006D726946E3 +:0418740065726177C1 +:041875006470752006 +:0418760064657461D0 +:04187700000000006D +:041878000001311822 +:04187900000130F644 +:04187A00000130D069 +:04187B00000130E850 +:04187C00000131C86E +:04187D00000131C86D +:04187E000001327CB7 +:04187F00000132A68C +:04188000000132B27F +:04188100000132F23E +:04188200000132C669 +:04188300000131DC53 +:04188400000131DC52 +:04188500000132200C +:041886000001324CDF +:041887000001324CDE +:04188800642064254F +:041889000000004219 +:04188A00656E6F44D4 +:04188B000000000059 +:04188C006C696146DC +:04188D00000064658E +:04188E0000007325BE +:04188F00252E752568 +:041890002075322E5F +:04189100000073756B +:041892006C2075252C +:0418930073656E69A2 +:041894000000000050 +:041895006C206F4E06 +:04189600006B6E690C +:04189700000176577F +:04189800000000024A +:041899000001300416 +:04189A0000016734AE +:04189B0000016740A1 +:04189C000001674898 +:04189D00702075251D +:04189E006C65786994 +:04189F0000000073D2 +:0418A0000001765974 +:0418A100000000093A +:0418A20000012EB65D +:0418A3006420642534 +:0418A4000000676574 +:0418A500252575255B +:0418A600000000003E +:0418A70065646956B5 +:0418A8006E69206FD6 +:0418A9006F727020CA +:0418AA003E20206359 +:0418AB000000000039 +:0418AC00706D6153A7 +:0418AD00676E696C8D +:0418AE0074706F20C3 +:0418AF003E20202E89 +:0418B0000000000034 +:0418B100636E795396 +:0418B20074706F20BF +:0418B3002020202EA3 +:0418B4003E20202092 +:0418B500000000002F +:0418B6007074754F86 +:0418B7006F207475B5 +:0418B800202E7470FA +:0418B9003E2020208D +:0418BA00000000002A +:0418BB0074736F5083 +:0418BC006F72702DAA +:0418BD0020202E6356 +:0418BE003E20202088 +:0418BF000000000025 +:0418C000706D6F4395 +:0418C1006269746183 +:0418C20074696C6970 +:0418C3003E2020792A :0418C4000000000020 -:0418C50069726F488D -:0418C600746E6F7A53 -:0418C7006D206C61C3 -:0418C800006B7361DD -:0418C900747265567A -:0418CA006C61636981 -:0418CB0073616D20B8 -:0418CC000000006BAD -:0418CD006B73614D8B -:0418CE0069726220B9 -:0418CF006E74686764 -:0418D00000737365C9 -:0418D1006576655281 -:0418D20020657372A8 -:0418D3000046504C2F -:0418D4005949443CEE -:0418D50074616C20AE -:0418D6006574202EE7 -:0418D700003E7473E8 -:0418D8007030343206 -:0418D9003838322F3A -:0418DA007270207098 -:0418DB000000636F37 -:0418DC0070343833F9 +:0418C500696475419C +:0418C600706F206FB0 +:0418C7006E6F697463 +:0418C8003E2020732B +:0418C900000000001B +:0418CA00747465537A +:0418CB0073676E6968 +:0418CC0074706F20A5 +:0418CD003E20202079 +:0418CE000000000016 +:0418CF00616F4C3CBD +:0418D00072702064AE +:0418D1006C69666F69 +:0418D200003E20654F +:0418D3007661533CAB +:0418D40072702065A9 +:0418D5006C69666F65 +:0418D600003E20654B +:0418D7007365523CA7 +:0418D80073207465A0 +:0418D9006974746555 +:0418DA003E73676E84 +:0418DB000000000009 +:0418DC006B6E694C7A :0418DD006F72702096 -:0418DE0000000063A3 -:0418DF006930383400 -:0418E0003637352F33 -:0418E1007270206998 -:0418E2000000636F30 -:0418E30070303834F5 -:0418E4003637352F2F -:0418E500727020708D -:0418E6000000636F2C -:0418E70069303639F5 -:0418E8003830312F34 -:0418E90070206930D2 -:0418EA0000636F72B6 -:0418EB00656E694C71 -:0418EC006D207832C1 -:0418ED000065646FBF -:0418EE00656E694C6E -:0418EF006D207833BD -:0418F0000065646FBC -:0418F100656E694C6B -:0418F2006D207834B9 -:0418F3000065646FB9 -:0418F400656E694C68 -:0418F5006D207835B5 -:0418F6000065646FB6 -:0418F700656E694C65 -:0418F80066207835B9 -:0418F900616D726F3C -:0418FA000000007476 -:0418FB0078363532D4 -:0418FC002030343232 -:0418FD00657073613E -:0418FE00000074630F -:0418FF006D205854AC -:041900000065646FAB -:04190100494D4448C0 -:0419020043544920E1 +:0418DE00693E2D66CC +:0418DF007475706E3E +:0418E0000000000004 +:0418E1006B6E694C75 +:0418E200706E69209B +:0418E3003E2D7475AD +:0418E400666F727049 +:0418E50000000000FF +:0418E600706D493C9C +:0418E7002074726F88 +:0418E800747465733C +:0418E9003E20202E4F +:0418EA0000000000FA +:0418EB0074696E4965 +:0418EC00206C6169A2 +:0418ED0075706E693B +:0418EE000000007482 +:0418EF002044434C02 +:0418F00074204C42D2 +:0418F1006F656D6949 +:0418F2000000747509 +:0418F3002E77463CCA +:0418F4006470752087 +:0418F5002065746195 +:0418F6003E20202050 +:0418F70000000000ED +:0418F8006E776F4454 +:0418F9006D61732D7D +:0418FA006E696C7037 +:0418FB000000006782 +:0418FC00706177534D +:0418FD0066656C2090 +:0418FE0069722F7468 +:0418FF0000746867A2 +:041900002D6572508F +:0419010020434441FA +:041902006E69616742 :0419030000000000E0 -:041904006C616E4163 -:041905007320676F75 -:0419060020636E7973 -:041907000046504CFA -:041908006C616E415F -:041909007320676F71 -:04190A0020636E796F -:04190B0000687456A6 -:04190C006E79734835 -:04190D006F74206370 -:04190E006172656C31 -:04190F000065636E9E -:041910006E79735623 -:041911006874206373 -:04191200687365721F -:0419130000646C6F91 -:041914004C502D48BE -:041915007250204CA0 -:041916006F432D6589 -:041917000074736184 -:041918004C502D48BA -:041919006F50204C9F -:04191A00432D747372 -:04191B007473616F11 -:04191C0000000000C7 -:04191D00706D615335 -:04191E00676E696C1B -:04191F00616870206B -:0419200000006573EB -:0419210070303834B6 -:04192200206E6920AA -:04192300706D61730F -:041924000072656C7C -:041925006F6C6C4136 -:04192600565420777C -:0419270050482050B4 -:0419280078324C4C79 -:0419290000000000BA -:04192A006F6C6C4131 -:04192B00707520773C -:04192C00706D617306 -:04192D007832656C3B -:04192E0000000000B5 -:04192F007664413C5D -:041930006974202E88 -:04193100676E696D07 -:041932003E20202013 -:0419330000000000B0 -:041934006564695627 -:04193500504C206F83 -:041936000000004667 -:041937005062505951 -:041938006E69207242 -:041939006C6F43206C -:04193A000061705385 -:04193B0072502F5265 -:04193C0066666F204C -:04193D00007465735A -:04193E0020592F47B6 -:04193F007366666FF6 -:0419400000007465CA -:0419410062502F427F -:0419420066666F2046 -:041943000074657354 -:0419440072502F525C -:04194500696167204D -:041946000000006E2F -:0419470020592F47AD -:041948006E696167FC -:04194900000000009A -:04194A0062502F4276 -:04194B006961672047 -:04194C000000006E29 -:04194D002D65725042 -:04194E0020434441AD -:04194F006E69614715 -:041950000000000093 -:0419510073202E4889 -:041952006C706D61E7 -:0419530074617265E4 -:04195400000000652A -:0419550073202E4885 -:041956006C636E79D7 -:0419570000006E65B9 -:0419580062202E4893 -:04195900706B6361EB -:04195A006863726FDD -:04195B000000000088 -:04195C0061202E4890 -:04195D0076697463D0 -:04195E000000006520 -:04195F0073202E566D -:041960006C636E79CD -:0419610000006E65AF -:0419620062202E567B -:04196300706B6361E1 -:041964006863726FD3 -:04196500000000007E -:0419660061202E5678 -:0419670076697463C6 -:041968000000006516 -:041969000066664F5F -:04196A0000007333D3 -:04196B0000733031A4 -:04196C0000733033A1 -:04196D002D706F5416 -:04196E007466656CCA -:04196F000000000074 -:04197000746E6543E9 -:04197100000072659B -:0419720074746F42D8 -:04197300722D6D6FF5 -:0419740074686769C3 -:04197500000000006E -:041976002066664F32 -:04197700207366284B -:041978003639203D9F -:04197900297A486B14 -:04197A000000000069 -:04197B00202078327E -:04197C002073662846 -:04197D003834203D9D -:04197E00297A486B0F -:04197F000000000064 -:0419800000706F5430 -:0419810074746F42C9 -:0419820000006D6F85 -:0419830069726F48CE -:04198400746E6F7A94 -:0419850000006C6191 -:0419860074726556BC -:041987006C616369C3 -:04198800000000005B -:0419890065746C41D4 -:04198A0074616E72A4 -:04198B0000676E691A -:04198C00746C754DB5 -:04198D00696C7069A8 -:04198E0069746163B4 -:04198F0000006E6F77 -:0419900074627553B5 -:0419910074636172A8 -:04199200006E6F690B -:041993006F747541B7 -:04199400000000004F -:04199500756E614DBD -:0419960000006C6180 -:04199700494D44482A -:04199800000000004B -:041999000049564467 -:04199A0000373A38A0 -:04199B0073736150B1 -:04199C007572687484 -:04199D000000000046 -:04199E00656E694CBD -:04199F002820783252 -:0419A00029626F62E7 -:0419A1000000000042 -:0419A200656E694CB9 -:0419A3000000783296 -:0419A400656E694CB7 -:0419A500282078334B -:0419A6006563616CA8 -:0419A70000002964AF -:0419A800656E694CB3 -:0419A9002820783446 -:0419AA0029626F62DD -:0419AB000000000038 -:0419AC00656E694CAF -:0419AD00000078338B -:0419AE00656E694CAD -:0419AF000000783488 -:0419B000656E694CAB -:0419B1000000783585 -:0419B2003032393165 -:0419B300383031781F -:0419B40000000030FF -:0419B5003030363167 -:0419B6003032317822 -:0419B70000000030FC -:0419B800303239315F -:0419B900303231781F -:0419BA0000000030F9 -:0419BB00656E6547A9 -:0419BC0020636972C9 -:0419BD0000333A3485 -:0419BE007830323318 -:0419BF00203034326E -:0419C0006974706F67 -:0419C10000002E6D87 -:0419C200783635320C -:0419C300203034326A -:0419C4006974706F63 -:0419C50000002E6D83 -:0419C600656E65479E -:0419C70020636972BE -:0419C800393A363141 -:0419C900000000001A -:0419CA004D352E3237 -:0419CB0028207A480E -:0419CC002978616DA8 -:0419CD000000000016 -:0419CE00484D30311F -:0419CF006D28207AE5 -:0419D0000029646521 -:0419D100484D333317 -:0419D2006D28207AE2 -:0419D30000296E6910 -:0419D4002056544401 -:0419D5007030383402 -:0419D600000000000D -:0419D70041534556DD -:0419D8003034362051 -:0419D90030383478F6 -:0419DA000030364063 -:0419DB002E636552C0 -:0419DC003130362050 -:0419DD000000000006 -:0419DE002E636552BD -:0419DF003930372044 -:0419E0000000000003 -:0419E100484D3539FF -:0419E2004828207AF7 -:0419E30020565444F2 -:0419E4000029494944 -:0419E500484D353301 -:0419E6004828207AF3 -:0419E70020565444EE -:0419E8000000294989 -:0419E900484D3631FE -:0419EA004528207AF2 -:0419EB0029565444E1 -:0419EC0000000000F7 -:0419ED007A484D39AE -:0419EE004453282016 -:0419EF000029565421 -:0419F00000006E4F36 -:0419F1006D206425DC -:0419F200000000569B -:0419F3002020202070 -:0419F4000000752555 -:0419F5000001749ADF -:0419F6000000001CD1 -:0419F70000012F5468 -:0419F80044525355AD -:0419F9000041544114 -:0419FA000001676C15 -:0419FB0000002000C8 -:0419FC0020002CE5B6 -:0419FD00E926F4FDE6 -:0419FE0038BC2000D1 -:0419FF0000000000E4 -:041A00000001677802 -:041A010000002000C1 -:041A02002000323E50 -:041A0300F113FA04DD -:041A04003B61200022 -:041A050000000000DD -:041A0600000142C4D5 -:041A0700000142C4D4 -:041A0800000142C4D3 -:041A0900000142C2D4 -:041A0A000001437A1A -:041A0B00000142C4D0 -:041A0C003633353107 -:041A0D0030343278C7 -:041A0E0006000000CE -:041A0F0007FE00F0DE -:041A10000FEA0106D2 -:041A11000112039625 -:041A1200323120024B -:041A130032783038BD -:041A1400000030346A -:041A150000F00500D8 -:041A160001060618A7 -:041A170003480FAAC7 -:041A18000442011271 -:041A190078303639B2 -:041A1A000030343232 -:041A1B0003C0000004 -:041A1C00049200F040 -:041A1D000F8001062F -:041A1E000112033678 -:041A1F0032330082DC -:041A200034327830B4 -:041A21000000003091 -:041A220000F001408F -:041A2300010601AA0D -:041A2400031F0E315D -:041A25004910011251 -:041A260078363532A7 -:041A27000030343225 -:041A280001000000B9 -:041A2900015500F073 -:041A2A000E2701067C -:041A2B000112031988 -:041A2C00343292209E -:041A2D000000703015 -:041A2E0000000000B4 -:041A2F0000F002D0F1 -:041A30000106035A4E -:041A3100033E0F3928 -:041A3200000E01128F -:041A330036333531E0 -:041A340030343278A0 -:041A35000600004C5B -:041A360007FE00F0B7 -:041A370029EA01385F -:041A380001120396FE -:041A39003231200224 -:041A3A003278303896 -:041A3B000000383837 -:041A3C000120050080 -:041A3D00013806184E -:041A3E0003480FAAA0 -:041A3F00044201124A -:041A4000783036398B -:041A410000383832FF -:041A420003C00000DD -:041A430004920120E8 -:041A44000F800138D6 -:041A45000112033651 -:041A460032330082B5 -:041A4700343278308D -:041A480000424C30DC -:041A490000F0014068 -:041A4A00013801AAB4 -:041A4B00031F29311B -:041A4C00491001122A -:041A4D007836353280 -:041A4E004C303432B2 -:041A4F000100004250 -:041A5000015500F04C -:041A51002927013808 -:041A52000112031961 -:041A53003832922073 -:041A540000007038E6 -:041A5500000000008D -:041A5600012002D099 -:041A570001380360EF -:041A5800033F1345F0 -:041A5900000E011268 -:041A5A007034383379 -:041A5B000000000087 -:041A5C0001F0000095 -:041A5D000280018082 -:041A5E001D3201A78D -:041A5F000204033E3C -:041A60003436000E0A -:041A61003034783075 -:041A62000000003050 -:041A6300019002806C -:041A640001C1032099 -:041A650002602430C7 -:041A6600000C02105E -:041A67007830343669 -:041A680000343833DB -:041A690002800000F7 -:041A6A0003200180D4 -:041A6B003F3001EC1B -:041A6C000210026002 -:041A6D003834000EFB -:041A6E0000006930DB +:041904006C6C75464C +:0419050020585420F2 +:04190600757465731C +:04190700000000706C +:0419080020335641F1 +:0419090065746E692A +:04190A0063616C7237 +:04190B00786966652C +:04190C0000000000D7 +:04190D006E61635351 +:04190E00656E696C2D +:04190F000000007361 +:041910006E6163534E +:04191100656E696C2A +:041912007274732058 +:041913000000002EA2 +:04191400202E6C53C2 +:041915007262796819 +:04191600732064696D +:04191700002E7274B8 +:041918006E61635346 +:04191900656E696C22 +:04191A0074656D2063 +:04191B0000646F688D +:04191C006E61635342 +:04191D00656E696C1E +:04191E007079742048 +:04191F00000000655F +:041920006E6163533E +:04192100656E696C1A +:04192200696C61206B +:041923002E6D6E6750 +:0419240000000000BF +:0419250069726F482C +:04192600746E6F7AF2 +:041927006D206C6162 +:04192800006B73617C +:041929007472655619 +:04192A006C61636920 +:04192B0073616D2057 +:04192C000000006B4C +:04192D006B73614D2A +:04192E006972622058 +:04192F006E74686703 +:041930000073736568 +:041931006576655220 +:041932002065737247 +:041933000046504CCE +:041934005949443C8D +:0419350074616C204D +:041936006574202E86 +:04193700003E747387 +:0419380070303432A5 +:041939003838322FD9 +:04193A007270207037 +:04193B000000636FD6 +:04193C007034383398 +:04193D006F72702035 +:04193E000000006342 +:04193F00693038349F +:041940003637352FD2 +:041941007270206937 +:041942000000636FCF +:041943007030383494 +:041944003637352FCE +:04194500727020702C +:041946000000636FCB +:041947006930363994 +:041948003830312FD3 +:041949007020693071 +:04194A0000636F7255 +:04194B00656E694C10 +:04194C006D20783260 +:04194D000065646F5E +:04194E00656E694C0D +:04194F006D2078335C +:041950000065646F5B +:04195100656E694C0A +:041952006D20783458 +:041953000065646F58 +:04195400656E694C07 +:041955006D20783554 +:041956000065646F55 +:04195700656E694C04 +:041958006620783558 +:04195900616D726FDB +:04195A000000007415 +:04195B007836353273 +:04195C0020303432D1 +:04195D0065707361DD +:04195E0000007463AE +:04195F006D2058544B +:041960000065646F4B +:04196100494D444860 +:041962004354492081 +:041963000000000080 +:041964006C616E4103 +:041965007320676F15 +:0419660020636E7913 +:041967000046504C9A +:041968006C616E41FF +:041969007320676F11 +:04196A0020636E790F +:04196B000068745646 +:04196C006E797348D5 +:04196D006F74206310 +:04196E006172656CD1 +:04196F000065636E3E +:041970006E797356C3 +:041971006874206313 +:0419720068736572BF +:0419730000646C6F31 +:041974004C502D485E +:041975007250204C40 +:041976006F432D6529 +:041977000074736124 +:041978004C502D485A +:041979006F50204C3F +:04197A00432D747312 +:04197B007473616FB1 +:04197C000000000067 +:04197D00706D6153D5 +:04197E00676E696CBB +:04197F00616870200B +:04198000000065738B +:041981007030383456 +:04198200206E69204A +:04198300706D6173AF +:041984000072656C1C +:041985006F6C6C41D6 +:04198600565420771C +:041987005048205054 +:0419880078324C4C19 +:04198900000000005A +:04198A006F6C6C41D1 +:04198B0070752077DC +:04198C00706D6173A6 +:04198D007832656CDB +:04198E000000000055 +:04198F007664413CFD +:041990006974202E28 +:04199100676E696DA7 +:041992003E202020B3 +:041993000000000050 +:0419940065646956C7 +:04199500504C206F23 +:041996000000004607 +:0419970050625059F1 +:041998006E692072E2 +:041999006C6F43200C +:04199A000061705325 +:04199B0072502F5205 +:04199C0066666F20EC +:04199D0000746573FA +:04199E0020592F4756 +:04199F007366666F96 +:0419A000000074656A +:0419A10062502F421F +:0419A20066666F20E6 +:0419A30000746573F4 +:0419A40072502F52FC +:0419A50069616720ED +:0419A6000000006ECF +:0419A70020592F474D +:0419A8006E6961679C +:0419A900000000003A +:0419AA0062502F4216 +:0419AB0069616720E7 +:0419AC000000006EC9 +:0419AD002D657250E2 +:0419AE00204344414D +:0419AF006E696147B5 +:0419B0000000000033 +:0419B10073202E4829 +:0419B2006C706D6187 +:0419B3007461726584 +:0419B40000000065CA +:0419B50073202E4825 +:0419B6006C636E7977 +:0419B70000006E6559 +:0419B80062202E4833 +:0419B900706B63618B +:0419BA006863726F7D +:0419BB000000000028 +:0419BC0061202E4830 +:0419BD007669746370 +:0419BE0000000065C0 +:0419BF0073202E560D +:0419C0006C636E796D +:0419C10000006E654F +:0419C20062202E561B +:0419C300706B636181 +:0419C4006863726F73 +:0419C500000000001E +:0419C60061202E5618 +:0419C7007669746366 +:0419C80000000065B6 +:0419C9000066664FFF +:0419CA000000733373 +:0419CB000073303144 +:0419CC000073303341 +:0419CD002D706F54B6 +:0419CE007466656C6A +:0419CF000000000014 +:0419D000746E654389 +:0419D100000072653B +:0419D20074746F4278 +:0419D300722D6D6F95 +:0419D4007468676963 +:0419D500000000000E +:0419D6002066664FD2 +:0419D70020736628EB +:0419D8003639203D3F +:0419D900297A486BB4 +:0419DA000000000009 +:0419DB00202078321E +:0419DC0020736628E6 +:0419DD003834203D3D +:0419DE00297A486BAF +:0419DF000000000004 +:0419E00000706F54D0 +:0419E10074746F4269 +:0419E20000006D6F25 +:0419E30069726F486E +:0419E400746E6F7A34 +:0419E50000006C6131 +:0419E600747265565C +:0419E7006C61636963 +:0419E80000000000FB +:0419E90065746C4174 +:0419EA0074616E7244 +:0419EB0000676E69BA +:0419EC00746C754D55 +:0419ED00696C706948 +:0419EE006974616354 +:0419EF0000006E6F17 +:0419F0007462755355 +:0419F1007463617248 +:0419F200006E6F69AB +:0419F3006F74754157 +:0419F40000000000EF +:0419F500756E614D5D +:0419F60000006C6120 +:0419F700494D4448CA +:0419F80000000000EB +:0419F9000049564407 +:0419FA0000373A3840 +:0419FB007373615051 +:0419FC007572687424 +:0419FD0000000000E6 +:0419FE00656E694C5D +:0419FF0028207832F2 +:041A000029626F6286 +:041A010000000000E1 +:041A0200656E694C58 +:041A03000000783235 +:041A0400656E694C56 +:041A050028207833EA +:041A06006563616C47 +:041A0700000029644E +:041A0800656E694C52 +:041A090028207834E5 +:041A0A0029626F627C +:041A0B0000000000D7 +:041A0C00656E694C4E +:041A0D00000078332A +:041A0E00656E694C4C +:041A0F000000783427 +:041A1000656E694C4A +:041A11000000783524 +:041A12003032393104 +:041A130038303178BE +:041A1400000000309E +:041A15003030363106 +:041A160030323178C1 +:041A1700000000309B +:041A180030323931FE +:041A190030323178BE +:041A1A000000003098 +:041A1B00656E654748 +:041A1C002063697268 +:041A1D0000333A3424 +:041A1E0078303233B7 +:041A1F00203034320D +:041A20006974706F06 +:041A210000002E6D26 +:041A220078363532AB +:041A23002030343209 +:041A24006974706F02 +:041A250000002E6D22 +:041A2600656E65473D +:041A2700206369725D +:041A2800393A3631E0 +:041A290000000000B9 +:041A2A004D352E32D6 +:041A2B0028207A48AD +:041A2C002978616D47 +:041A2D0000000000B5 +:041A2E00484D3031BE +:041A2F006D28207A84 +:041A300000296465C0 +:041A3100484D3333B6 +:041A32006D28207A81 +:041A330000296E69AF +:041A340020565444A0 +:041A350070303834A1 +:041A360000000000AC +:041A3700415345567C +:041A380030343620F0 +:041A39003038347895 +:041A3A000030364002 +:041A3B002E6365525F +:041A3C0031303620EF +:041A3D0000000000A5 +:041A3E002E6365525C +:041A3F0039303720E3 +:041A400000000000A2 +:041A4100484D35399E +:041A42004828207A96 +:041A43002056544491 +:041A440000294949E3 +:041A4500484D3533A0 +:041A46004828207A92 +:041A4700205654448D +:041A48000000294928 +:041A4900484D36319D +:041A4A004528207A91 +:041A4B002956544480 +:041A4C000000000096 +:041A4D007A484D394D +:041A4E0044532820B5 +:041A4F0000295654C0 +:041A500000006E4FD5 +:041A51006D2064257B +:041A5200000000563A +:041A5300202020200F +:041A540000007525F4 +:041A550000017656C0 +:041A56000000001C70 +:041A570000012FEA71 +:041A58006F706D49F5 +:041A5900203F747244 +:041A5A002C593D3195 +:041A5B004E3D3220AA +:041A5C000000000086 +:041A5D0064616F4C05 +:041A5E0020676E6926 +:041A5F0074746573C3 +:041A600073676E69D1 +:041A61000000000081 +:041A62004452535542 +:041A630000415441A9 +:041A6400000168EC29 +:041A6500000020005D +:041A660020002CE54B +:041A6700E926F4FD7B +:041A680038BC200066 +:041A69000000000079 +:041A6A00000168F817 +:041A6B000000200057 +:041A6C002000323EE6 +:041A6D00F113FA0473 +:041A6E003B612000B8 :041A6F000000000073 -:041A700000F002D0B0 -:041A7100020D035A05 -:041A7200033E0F39E7 -:041A7300044F031207 -:041A74007030383462 -:041A7500000000006D -:041A760002D000009A -:041A7700035A01E02D -:041A78001E3C020D01 -:041A79000414063E0D -:041A7A003436000CF2 -:041A7B003834783053 -:041A7C000000003036 -:041A7D0001E0028002 -:041A7E00020D032032 -:041A7F0002602130B0 -:041A8000000C04143E -:041A8100783034364F -:041A820000323135C8 -:041A830002800000DD -:041A84000320020039 -:041A85001C300238D7 -:041A860004140260E2 -:041A87003735000CE3 -:041A880000006936BB -:041A89000000000059 -:041A8A00012002D065 -:041A8B000271036081 -:041A8C00033F1345BC -:041A8D00044F0312ED -:041A8E007036373542 -:041A8F000000000053 -:041A900002D0000080 -:041A910003600240AC -:041A92002744027172 -:041A93000404054002 -:041A94003038000CDA -:041A9500303678303F -:041A9600000000301C -:041A970002580320CE -:041A980002740420B0 -:041A99000480175856 -:041A9A000004001034 -:041A9B00703032373E -:041A9C000000000046 -:041A9D000500000040 -:041A9E00067202D0FA -:041A9F0014DC02EE63 -:041AA00000180528FD -:041AA10030310004DC -:041AA200377834322B -:041AA30000003836D1 -:041AA4000300040037 -:041AA50003260540CF -:041AA60006881DA0F1 -:041AA7000004001027 -:041AA800303832316F -:041AA900323031782E -:041AAA0005000034FF -:041AAB000698040095 -:041AAC0026F8042AEA -:041AAD0000100370B2 -:041AAE0034360004C6 -:041AAF00363978301C -:041AB0000000693099 -:041AB10001E00280CE -:041AB200041A0320EF -:041AB300026021307C -:041AB400000D051408 -:041AB5003038303164 -:041AB60000000069C3 -:041AB70007800000A4 -:041AB8000898021C6C -:041AB900109404651C -:041ABA000518052CDA -:041ABB003031000DB9 -:041ABC00007030384E -:041ABD000000000025 -:041ABE000438078061 -:041ABF00046508981A -:041AC000052C249439 -:041AC1000004001805 -:041AC2003030363159 -:041AC3003032317814 -:041AC40006400030A8 -:041AC500087004B0F1 -:041AC6002EFF04E209 -:041AC700001003F117 -:041AC8000000000416 -:041AC9007665642FAB -:041ACA006370652FB1 -:041ACB006F635F7175 -:041ACC006F72746E53 -:041ACD0072656C6C66 -:041ACE00615F305FC5 -:041ACF006D5F6C7665 -:041AD00000006D6540 -:041AD1002B302D2366 -:041AD20000000020F0 -:041AD300004C6C68EF -:041AD4004567666597 -:041AD5000000474680 -:041AD6003332313046 -:041AD7003736353435 -:041AD8004241393816 -:041AD90046454443F7 -:041ADA000000000008 -:041ADB003332313041 -:041ADC003736353430 -:041ADD0062613938D1 -:041ADE006665646372 +:041A700000014430FD +:041A710000014430FC +:041A720000014430FB +:041A73000001442EFC +:041A7400000144E643 +:041A750000014430F8 +:041A7600363335319D +:041A7700303432785D +:041A78000600000064 +:041A790007FE00F074 +:041A7A000FEA010668 +:041A7B0001120396BB +:041A7C0032312002E1 +:041A7D003278303853 +:041A7E000000303400 +:041A7F0000F005006E +:041A8000010606183D +:041A810003480FAA5D +:041A82000442011207 +:041A83007830363948 +:041A840000303432C8 +:041A850003C000009A +:041A8600049200F0D6 +:041A87000F800106C5 +:041A8800011203360E +:041A89003233008272 +:041A8A00343278304A +:041A8B000000003027 +:041A8C0000F0014025 +:041A8D00010601AAA3 +:041A8E00031F0E31F3 +:041A8F0049100112E7 +:041A9000783635323D +:041A910000303432BB +:041A9200010000004F +:041A9300015500F009 +:041A94000E27010612 +:041A9500011203191E +:041A96003432922034 +:041A970000007030AB +:041A9800000000004A +:041A990000F002D087 +:041A9A000106035AE4 +:041A9B00033E0F39BE +:041A9C00000E011225 +:041A9D003633353176 +:041A9E003034327836 +:041A9F000600004CF1 +:041AA00007FE00F04D +:041AA10029EA0138F5 +:041AA2000112039694 +:041AA30032312002BA +:041AA400327830382C +:041AA50000003838CD +:041AA6000120050016 +:041AA70001380618E4 +:041AA80003480FAA36 +:041AA90004420112E0 +:041AAA007830363921 +:041AAB000038383295 +:041AAC0003C0000073 +:041AAD00049201207E +:041AAE000F8001386C +:041AAF0001120336E7 +:041AB000323300824B +:041AB1003432783023 +:041AB20000424C3072 +:041AB30000F00140FE +:041AB400013801AA4A +:041AB500031F2931B1 +:041AB60049100112C0 +:041AB7007836353216 +:041AB8004C30343248 +:041AB90001000042E6 +:041ABA00015500F0E2 +:041ABB00292701389E +:041ABC0001120319F7 +:041ABD003832922009 +:041ABE00000070387C +:041ABF000000000023 +:041AC000012002D02F +:041AC1000138036085 +:041AC200033F134586 +:041AC300000E0112FE +:041AC400703438330F +:041AC500000000001D +:041AC60001F000002B +:041AC7000280018018 +:041AC8001D3201A723 +:041AC9000204033ED2 +:041ACA003436000EA0 +:041ACB00303478300B +:041ACC0000000030E6 +:041ACD000190028002 +:041ACE0001C103202F +:041ACF00026024305D +:041AD000000C0210F4 +:041AD10078303436FF +:041AD2000034383371 +:041AD300028000008D +:041AD400032001806A +:041AD5003F3001ECB1 +:041AD6000210026098 +:041AD7003834000E91 +:041AD8000000693071 +:041AD9000000000009 +:041ADA0000F002D046 +:041ADB00020D035A9B +:041ADC00033E0F397D +:041ADD00044F03129D +:041ADE0070303834F8 :041ADF000000000003 -:041AE0001A80808068 -:041AE10000081A1AC5 -:041AE2000000000000 -:041AE30000000000FF -:041AE40000016B246E -:041AE50000000000FD -:041AE60000000000FC -:041AE7000001032ACD -:041AE800000103DE18 -:041AE900000101EE09 -:041AEA000001020AEB -:041AEB00000102569E -:041AEC000080000076 -:041AED000080000075 -:041AEE0000000000F4 -:041AEF0000000000F3 -:041AF00000000000F2 -:041AF10000000000F1 -:041AF20000000000F0 +:041AE00002D0000030 +:041AE100035A01E0C3 +:041AE2001E3C020D97 +:041AE3000414063EA3 +:041AE4003436000C88 +:041AE50038347830E9 +:041AE60000000030CC +:041AE70001E0028098 +:041AE800020D0320C8 +:041AE9000260213046 +:041AEA00000C0414D4 +:041AEB0078303436E5 +:041AEC00003231355E +:041AED000280000073 +:041AEE0003200200CF +:041AEF001C3002386D +:041AF0000414026078 +:041AF1003735000C79 +:041AF2000000693651 :041AF30000000000EF -:041AF40000000000EE -:041AF50000000000ED -:041AF60000000000EC -:041AF70000000000EB -:041AF80000000000EA +:041AF400012002D0FB +:041AF5000271036017 +:041AF600033F134552 +:041AF700044F031283 +:041AF80070363735D8 :041AF90000000000E9 -:041AFA0000000000E8 -:041AFB0000000000E7 -:041AFC0000000000E6 -:041AFD0000000000E5 -:041AFE0000000000E4 -:041AFF0000000000E3 -:041B000000000000E1 -:041B010000000000E0 -:041B020000000000DF -:041B030000000000DE -:041B040000000000DD -:041B050000000000DC +:041AFA0002D0000016 +:041AFB000360024042 +:041AFC002744027108 +:041AFD000404054098 +:041AFE003038000C70 +:041AFF0030367830D5 +:041B000000000030B1 +:041B01000258032063 +:041B02000274042045 +:041B030004801758EB +:041B040000040010C9 +:041B050070303237D3 :041B060000000000DB -:041B070000000000DA -:041B080000000000D9 -:041B090000000000D8 -:041B0A0000000000D7 -:041B0B0000000000D6 -:041B0C0000000000D5 -:041B0D0000000000D4 -:041B0E0000000000D3 -:041B0F00000101943C -:041B10000080000051 -:041B110001000000CF -:041B120000020100CC -:041B1300008000004E -:041B140000000001CC -:041B1500000000804C -:041B160000010000CA -:041B170000000100C9 -:041B180000000000C9 -:041B1900020E0409AB -:041B1A003D0E00C8B4 -:041B1B003F6E038393 -:041B1C003ED03DACCE -:041B1D00000003833E -:041B1E00026404B2A7 -:041B1F003C9300E90A -:041B20003F56041612 -:041B21003E9F3D495D -:041B220000000416A5 -:041B2300017804E55C -:041B24003CCE008132 -:041B25003FAE038349 -:041B26003F333D49C3 -:041B27000000038334 -:041B280001B405B847 -:041B29003C490093A0 -:041B2A003F9F0416BF -:041B2B003F103CD952 -:041B2C00000004169B -:041B2D0000015BF860 -:041B2E0000015C084E -:041B2F0000015C1441 -:041B300000015C2034 -:041B310000015C2C27 -:041B320000015C381A -:041B330000015C440D -:041B340000015C5000 -:041B350000015C5CF3 -:041B360000015C68E6 -:041B370000015C74D9 -:041B3800000166EC56 -:041B3900000166F849 -:041B3A000001670837 -:041B3B000001671826 -:041B3C00000166EC52 -:041B3D00000166F845 -:041B3E000001670833 -:041B3F00000166C873 -:041B4000000166D466 -:041B4100000166E059 -:041B4200000165A495 -:041B4300000165A890 -:041B4400000165AC8B -:041B4500000165B086 -:041B460000016544F1 -:041B47000000000298 -:041B4800000174DC48 -:041B490008FC012C67 -:041B4A0000011D0C6D -:041B4B0000016554DC -:041B4C000000000293 -:041B4D0000017558C6 -:041B4E0000FF000A8A -:041B4F0000011D0C68 -:041B500000016560CB -:041B5100000000028E -:041B52000001749882 -:041B530000FF00018E -:041B540000011D0C63 -:041B550000016570B6 -:041B56000000000289 -:041B5700000174CC49 -:041B5800078000C83A -:041B590000011D0C5E -:041B5A000001657CA5 -:041B5B000000000284 -:041B5C00000174C64A -:041B5D00000700017C -:041B5E0000011D0C59 -:041B5F000001658894 -:041B6000000000027F -:041B610000017552B8 -:041B6200003F00013F -:041B630000011D0C54 -:041B6400000165987F -:041B6500000000027A -:041B6600000174CA3C -:041B670004B000C8FE -:041B680000011D0C4F -:041B690000016260B5 +:041B070005000000D5 +:041B0800067202D08F +:041B090014DC02EEF8 +:041B0A000018052892 +:041B0B003031000471 +:041B0C0037783432C0 +:041B0D000000383666 +:041B0E0003000400CC +:041B0F000326054064 +:041B100006881DA086 +:041B110000040010BC +:041B12003038323104 +:041B130032303178C3 +:041B14000500003494 +:041B1500069804002A +:041B160026F8042A7F +:041B17000010037047 +:041B1800343600045B +:041B190036397830B1 +:041B1A00000069302E +:041B1B0001E0028063 +:041B1C00041A032084 +:041B1D000260213011 +:041B1E00000D05149D +:041B1F0030383031F9 +:041B20000000006958 +:041B21000780000039 +:041B22000898021C01 +:041B230010940465B1 +:041B24000518052C6F +:041B25003031000D4E +:041B260000703038E3 +:041B270000000000BA +:041B280004380780F6 +:041B290004650898AF +:041B2A00052C2494CE +:041B2B00000400189A +:041B2C0030303631EE +:041B2D0030323178A9 +:041B2E00064000303D +:041B2F00087004B086 +:041B30002EFF04E29E +:041B3100001003F1AC +:041B320000000004AB +:041B33007665642F40 +:041B34006370652F46 +:041B35006F635F710A +:041B36006F72746EE8 +:041B370072656C6CFB +:041B3800615F305F5A +:041B39006D5F6C76FA +:041B3A0000006D65D5 +:041B3B002B302D23FB +:041B3C000000002085 +:041B3D00004C6C6884 +:041B3E00456766652C +:041B3F000000474615 +:041B400033323130DB +:041B410037363534CA +:041B420042413938AB +:041B4300464544438C +:041B4400000000009D +:041B450033323130D6 +:041B460037363534C5 +:041B47006261393866 +:041B48006665646307 +:041B49000000000098 +:041B4A001A808080FD +:041B4B0000081A1A5A +:041B4C000000000095 +:041B4D000000000094 +:041B4E0000016CCC5A +:041B4F000000000092 +:041B50000000000091 +:041B51000001037616 +:041B52000001042A60 +:041B5300000101EE9E +:041B54000001020A80 +:041B55000001025633 +:041B5600008000000B +:041B5700008000000A +:041B58000000000089 +:041B59000000000088 +:041B5A000000000087 +:041B5B000000000086 +:041B5C000000000085 +:041B5D000000000084 +:041B5E000000000083 +:041B5F000000000082 +:041B60000000000081 +:041B61000000000080 +:041B6200000000007F +:041B6300000000007E +:041B6400000000007D +:041B6500000000007C +:041B6600000000007B +:041B6700000000007A +:041B68000000000079 +:041B69000000000078 :041B6A000000000077 -:041B6B00000175827E -:041B6C000001000173 -:041B6D00000173BC44 -:041B6E0000016270A0 +:041B6B000000000076 +:041B6C000000000075 +:041B6D000000000074 +:041B6E000000000073 :041B6F000000000072 -:041B70000001758378 -:041B7100000100016E -:041B7200000173C437 -:041B7300000162808B -:041B7400000000016C -:041B75000001758472 -:041B76000018000053 -:041B770000012F3802 -:041B78000001629076 -:041B79000000000068 -:041B7A000001757F72 -:041B7B000001000164 -:041B7C00000173C42D -:041B7D00000162A061 -:041B7E000000000063 -:041B7F00000175806C -:041B8000000100015F -:041B8100000173C428 -:041B820000016130CD -:041B8300000000035B -:041B840000017448A0 -:041B8500000000005C -:041B8600000000005B -:041B870000016144B4 -:041B88000000000356 -:041B890000017430B3 -:041B8A000000000057 -:041B8B000000000056 -:041B8C00000161589B -:041B8D000000000351 -:041B8E00000174409E -:041B8F000000000052 -:041B90000000000051 -:041B91000001616C82 -:041B9200000000034C -:041B930000017420B9 -:041B9400000000004D -:041B9500000000004C -:041B96000001618069 -:041B97000000000347 -:041B980000017428AC -:041B99000000000048 -:041B9A000000000047 -:041B9B000001619450 -:041B9C000000000342 -:041B9D0000017410BF -:041B9E000000000043 -:041B9F000000000042 -:041BA000000161A837 -:041BA100000000033D -:041BA20000017408C2 -:041BA300000000003E -:041BA400000000003D -:041BA500000161BC1E -:041BA6000000000338 -:041BA700000174388D -:041BA8000000000039 -:041BA9000000000038 -:041BAA000001636073 -:041BAB000000000036 -:041BAC000001756857 -:041BAD00000400012F -:041BAE00000172D0F0 -:041BAF00000163705E -:041BB0000000000031 -:041BB1000001756951 -:041BB200000100012D -:041BB300000173D4E6 -:041BB4000001637C4D -:041BB500000000002C -:041BB6000001756A4B -:041BB7000003000126 -:041BB800000172E4D2 -:041BB9000001638C38 -:041BBA000000000027 -:041BBB000001756B45 -:041BBC000001000123 -:041BBD00000173D4DC -:041BBE000001639C23 -:041BBF000000000022 -:041BC0000001756C3F -:041BC100000100011E -:041BC200000173CCDF -:041BC300000163AC0E -:041BC400000000001D -:041BC5000001756343 -:041BC6000002000118 -:041BC70000016CE0CD -:041BC800000163B8FD -:041BC9000000000018 -:041BCA00000175643D -:041BCB000003000112 -:041BCC0000016CECBC -:041BCD00000163C4EC -:041BCE000000000013 -:041BCF000001756537 -:041BD000000200010E -:041BD10000016CE0C3 -:041BD200000163D0DB -:041BD300000000000E -:041BD4000001756631 -:041BD5000002000109 -:041BD60000016CE0BE -:041BD700000163DCCA -:041BD8000000000009 -:041BD900000175672B -:041BDA000002000104 -:041BDB0000016CFC9D -:041BDC00000163ECB5 -:041BDD000000000004 -:041BDE000001756D20 -:041BDF000001000100 -:041BE000000173B4D9 -:041BE100000163FCA0 -:041BE20000000000FF -:041BE3000001757117 -:041BE40000010001FB -:041BE500000173EC9C -:041BE6000001640492 -:041BE70000000000FA -:041BE8000001757211 -:041BE90000010001F6 -:041BEA00000173C4BF -:041BEB00000162B4DF -:041BEC0000000000F5 -:041BED000001755C22 -:041BEE0000020001F0 -:041BEF00000173007E -:041BF000000162C0CE -:041BF10000000001EF -:041BF2000001756019 -:041BF300000F0000DF -:041BF40000012F12AB -:041BF500000162D0B9 -:041BF60000000001EA -:041BF7000001755E16 -:041BF800001C0000CD -:041BF90000012EEECB -:041BFA00000162E0A4 -:041BFB0000000000E6 -:041BFC000001755F10 -:041BFD0000010001E2 -:041BFE00000173E48B -:041BFF00000162F08F -:041C000000000000E0 -:041C01000001755D0C -:041C020000020001DB -:041C03000001730C5D -:041C04000001630078 -:041C050000000000DB -:041C06000001756103 -:041C070000010001D7 -:041C0800000173DC88 -:041C0900000163145F -:041C0A0000000001D5 -:041C0B000001756EF1 -:041C0C0000FF0000D5 -:041C0D0000012ED8CC -:041C0E00000163244A -:041C0F0000000001D0 -:041C10000001756FEB -:041C1100003F000090 -:041C120000012ED8C7 -:041C13000001633435 -:041C140000000001CB -:041C150000017570E5 -:041C1600000F0000BB -:041C170000012E207A -:041C18000001634420 -:041C190000000001C6 -:041C1A0000017581CF -:041C1B00001F0000A6 -:041C1C0000012E2075 -:041C1D00000163500F -:041C1E0000000004BE -:041C1F0000011EDCC6 -:041C2000000160F06F -:041C210000000000BF -:041C220000016474E5 -:041C230000000001BC -:041C240000017574D2 -:041C2500001F00019B -:041C260000012E3655 -:041C270000016484D0 -:041C280000000000B8 -:041C290000017573CE -:041C2A0000020001B3 -:041C2B00000172F44E -:041C2C0000016494BB -:041C2D0000000000B3 -:041C2E0000017575C7 -:041C2F0000010001AF -:041C3000000173C478 -:041C3100000164A8A2 -:041C320000000000AE -:041C330000017576C1 -:041C340000010001AA -:041C3500000173C473 -:041C3600000164BC89 -:041C370000000003A6 -:041C38000001740033 -:041C3900000167D46B -:041C3A0000011CAADF -:041C3B00000161D073 -:041C3C0000000004A0 -:041C3D0000011BFC8B -:041C3E00000161142C -:041C3F0000000000A1 -:041C4000000161E05E -:041C4100000000049B -:041C420000011C5C25 -:041C43000001611427 -:041C4400000000009C -:041C4500000161F049 -:041C46000000000496 -:041C4700000123E095 -:041C48000000000098 -:041C49000000000097 -:041C4A00000162042F -:041C4B000000000194 -:041C4C000001758C92 -:041C4D00000A010187 -:041C4E0000012F88DA -:041C4F000001621816 -:041C50000000000090 -:041C5100000174F228 -:041C5200000100018C -:041C5300000173C455 -:041C54000001622CFD -:041C5500000000008B -:041C56000001749C79 -:041C5700000A00017E -:041C580000016CB467 -:041C59000001623CE8 -:041C5A000000000086 -:041C5B00000174DA36 -:041C5C000003000180 -:041C5D0000016D080D -:041C5E000001624CD3 -:041C5F00000000047D -:041C6000000129AAAC -:041C6100000000007F -:041C6200000000007E -:041C63000001641008 -:041C6400000000007C -:041C65000001757B8A -:041C66000003000176 -:041C670000017318ED -:041C680000016420F3 -:041C69000000000176 -:041C6A000001757888 -:041C6B00001F000056 -:041C6C0000012EB491 -:041C6D0000016430DE -:041C6E000000000171 -:041C6F000001757982 -:041C700000FF000071 -:041C710000012E70D0 -:041C720000016440C9 -:041C7300000000016C -:041C74000001757A7C -:041C750000C80A0099 -:041C760000012E70CB -:041C770000016450B4 -:041C78000000000167 -:041C79000001757D74 -:041C7A000005000061 -:041C7B0000012E5ADC -:041C7C00000164609F -:041C7D000000000162 -:041C7E000001757E6E -:041C7F00000500005C -:041C800000012E5AD7 -:041C8100000164D02A -:041C8200000000005E -:041C83000001757C6B -:041C84000005000156 -:041C850000017328BF -:041C8600000164DC19 -:041C87000000000059 -:041C8800000175776B -:041C89000001000155 -:041C8A00000173F4EE -:041C8B00000164EC04 -:041C8C000000000153 -:041C8D000001758558 -:041C8E0000FF000053 -:041C8F0000012E2002 -:041C9000000164F8F3 -:041C9100000000014E -:041C92000001758652 -:041C930000FF00004E -:041C940000012E20FD -:041C950000016504E1 -:041C96000000000149 -:041C9700000175874C -:041C980000FF000049 -:041C990000012E20F8 -:041C9A0000016510D0 -:041C9B000000000144 -:041C9C000001758846 -:041C9D0000FF000044 -:041C9E0000012E20F3 -:041C9F000001651CBF -:041CA000000000013F -:041CA1000001758940 -:041CA20000FF00003F -:041CA30000012E20EE -:041CA40000016528AE -:041CA500000000013A -:041CA6000001758A3A -:041CA70000FF00003A -:041CA80000012E20E9 -:041CA900000165349D -:041CAA000000000135 -:041CAB000001758B34 -:041CAC00000F000025 -:041CAD0000012E20E4 -:041CAE0000017418A5 -:041CAF000000000031 -:041CB0000000000030 -:041CB100000000002F +:041B70000000000071 +:041B71000000000070 +:041B7200000000006F +:041B7300000000006E +:041B7400000000006D +:041B7500000000006C +:041B7600000000006B +:041B7700000000006A +:041B78000000000069 +:041B790000010194D2 +:041B7A0000800000E7 +:041B7B000100000065 +:041B7C000002010062 +:041B7D0000800000E4 +:041B7E000000000162 +:041B7F0000000080E2 +:041B80000001000060 +:041B8100000001005F +:041B8200000000005F +:041B8300020E040941 +:041B84003D0E00C84A +:041B85003F6E038329 +:041B86003ED03DAC64 +:041B870000000383D4 +:041B8800026404B23D +:041B89003C9300E9A0 +:041B8A003F560416A8 +:041B8B003E9F3D49F3 +:041B8C00000004163B +:041B8D00017804E5F2 +:041B8E003CCE0081C8 +:041B8F003FAE0383DF +:041B90003F333D4959 +:041B910000000383CA +:041B920001B405B8DD +:041B93003C49009336 +:041B94003F9F041655 +:041B95003F103CD9E8 +:041B96000000041631 +:041B970000015D6488 +:041B980000015D7477 +:041B990000015D806A +:041B9A0000015D8C5D +:041B9B0000015D9850 +:041B9C0000015DA443 +:041B9D0000015DB036 +:041B9E0000015DBC29 +:041B9F0000015DC81C +:041BA00000015DD40F +:041BA10000015DE002 +:041BA2000001686C6A +:041BA300000168785D +:041BA400000168884C +:041BA500000168983B +:041BA6000001686C66 +:041BA7000001687859 +:041BA8000001688848 +:041BA9000001684887 +:041BAA00000168547A +:041BAB00000168606D +:041BAC0000016724A9 +:041BAD0000016728A4 +:041BAE000001672C9F +:041BAF00000167309A +:041BB000000166C406 +:041BB100000000022E +:041BB2000001769820 +:041BB30008FC012CFD +:041BB40000011E12FC +:041BB500000166D4F1 +:041BB6000000000229 +:041BB700000177149E +:041BB80000FF000A20 +:041BB90000011E12F7 +:041BBA00000166E0E0 +:041BBB000000000224 +:041BBC00000176545A +:041BBD0000FF000124 +:041BBE0000011E12F2 +:041BBF00000166F0CB +:041BC000000000021F +:041BC1000001768821 +:041BC200078000C8D0 +:041BC30000011E12ED +:041BC400000166FCBA +:041BC500000000021A +:041BC6000001768222 +:041BC7000007000112 +:041BC80000011E12E8 +:041BC90000016708A8 +:041BCA000000000215 +:041BCB000001770E90 +:041BCC00003F0001D5 +:041BCD0000011E12E3 +:041BCE000001671893 +:041BCF000000000210 +:041BD0000001768614 +:041BD10004B000C894 +:041BD20000011E12DE +:041BD300000163E0CA +:041BD400000000000D +:041BD5000001773E56 +:041BD6000001000109 +:041BD700000175781C +:041BD800000163F0B5 +:041BD9000000000008 +:041BDA000001773F50 +:041BDB000001000104 +:041BDC00000175800F +:041BDD00000164009F +:041BDE000000000102 +:041BDF00000177404A +:041BE00000180000E9 +:041BE10000012FCE02 +:041BE200000164108A +:041BE30000000000FE +:041BE4000001773B4A +:041BE50000010001FA +:041BE6000001758005 +:041BE7000001642075 +:041BE80000000000F9 +:041BE9000001773C44 +:041BEA0000010001F5 +:041BEB000001758000 +:041BEC000001629CF6 +:041BED0000000003F1 +:041BEE000001760478 +:041BEF0000000000F2 +:041BF00000000000F1 +:041BF100000162B0DD +:041BF20000000003EC +:041BF300000175EC8C +:041BF40000000000ED +:041BF50000000000EC +:041BF600000162C4C4 +:041BF70000000003E7 +:041BF800000175FC77 +:041BF90000000000E8 +:041BFA0000000000E7 +:041BFB00000162D8AB +:041BFC0000000003E2 +:041BFD00000175DC92 +:041BFE0000000000E3 +:041BFF0000000000E2 +:041C0000000162EC91 +:041C010000000003DC +:041C0200000175E484 +:041C030000000000DD +:041C040000000000DC +:041C05000001630077 +:041C060000000003D7 +:041C0700000175CC97 +:041C080000000000D8 +:041C090000000000D7 +:041C0A00000163145E +:041C0B0000000003D2 +:041C0C00000175C49A +:041C0D0000000000D3 +:041C0E0000000000D2 +:041C0F000001632845 +:041C100000000003CD +:041C1100000175F465 +:041C120000000000CE +:041C130000000000CD +:041C1400000164E087 +:041C150000000000CB +:041C1600000177242E +:041C170000040001C4 +:041C18000001748CC7 +:041C1900000164F072 +:041C1A0000000000C6 +:041C1B000001772528 +:041C1C0000010001C2 +:041C1D0000017590BD +:041C1E00000164FC61 +:041C1F0000000000C1 +:041C20000001772622 +:041C210000030001BB +:041C2200000174A0A9 +:041C23000001650C4B +:041C240000000000BC +:041C2500000177271C +:041C260000010001B8 +:041C270000017590B3 +:041C28000001651C36 +:041C290000000000B7 +:041C2A000001772816 +:041C2B0000010001B3 +:041C2C0000017588B6 +:041C2D000001652C21 +:041C2E0000000000B2 +:041C2F000001771F1A +:041C300000020001AD +:041C310000016E88B8 +:041C32000001653810 +:041C330000000000AD +:041C34000001772014 +:041C350000030001A7 +:041C360000016E94A7 +:041C370000016544FF +:041C380000000000A8 +:041C3900000177210E +:041C3A0000020001A3 +:041C3B0000016E88AE +:041C3C0000016550EE +:041C3D0000000000A3 +:041C3E000001772208 +:041C3F00000200019E +:041C400000016E88A9 +:041C41000001655CDD +:041C4200000000009E +:041C43000001772302 +:041C44000002000199 +:041C450000016EA488 +:041C46000001656CC8 +:041C47000000000099 +:041C480000017729F7 +:041C49000001000195 +:041C4A0000017570B0 +:041C4B000001657CB3 +:041C4C000000000094 +:041C4D000001772DEE +:041C4E000001000190 +:041C4F00000175A873 +:041C500000016584A6 +:041C5100000000008F +:041C52000001772EE8 +:041C5300000100018B +:041C54000001758096 +:041C550000016434F2 +:041C5600000000008A +:041C570000017718F9 +:041C58000002000185 +:041C5900000174BC56 +:041C5A0000016440E1 +:041C5B000000000184 +:041C5C000001771CF0 +:041C5D00000F000074 +:041C5E0000012FA8AA +:041C5F0000016450CC +:041C6000000000017F +:041C61000001771AED +:041C6200001C000062 +:041C630000012F84C9 +:041C640000016460B7 +:041C6500000000007B +:041C66000001771BE7 +:041C67000001000177 +:041C6800000175A062 +:041C690000016470A2 +:041C6A000000000076 +:041C6B0000017719E4 +:041C6C000002000171 +:041C6D00000174C836 +:041C6E00000164808D +:041C6F000000000071 +:041C70000001771DDB +:041C7100000100016D +:041C72000001759860 +:041C73000001649474 +:041C7400000000016B +:041C75000001772AC9 +:041C760000FF00006B +:041C770000012F6ECB +:041C7800000164A45F +:041C79000000000166 +:041C7A000001772BC3 +:041C7B00003F000026 +:041C7C0000012F6EC6 +:041C7D00000164B44A +:041C7E000000000161 +:041C7F000001772CBD +:041C8000000F000051 +:041C810000012EB67A +:041C8200000164C435 +:041C8300000000015C +:041C84000001773DA7 +:041C8500001F00003C +:041C860000012EB675 +:041C8700000164D024 +:041C88000000000454 +:041C890000011FE255 +:041C8A000001625C97 +:041C8B000000000055 +:041C8C00000165F4FA +:041C8D000000000152 +:041C8E0000017730AA +:041C8F00001F000131 +:041C900000012ECC55 +:041C910000016604E4 +:041C9200000000004E +:041C93000001772FA6 +:041C94000002000149 +:041C9500000174B026 +:041C960000016614CF +:041C97000000000049 +:041C9800000177319F +:041C99000001000145 +:041C9A000001758050 +:041C9B0000016628B6 +:041C9C000000000044 +:041C9D000001773299 +:041C9E000001000140 +:041C9F00000175804B +:041CA0000001663C9D +:041CA100000000033C +:041CA200000175BC0C +:041CA300000169547F +:041CA40000011DB06E +:041CA5000001633C9B +:041CA6000000000436 +:041CA70000011D0219 +:041CA8000001628055 +:041CA9000000000037 +:041CAA000001634C86 +:041CAB000000000431 +:041CAC0000011D62B4 +:041CAD000001628050 +:041CAE000000000032 +:041CAF000001635C71 +:041CB000000000042C +:041CB100000124E624 :041CB200000000002E :041CB300000000002D -:041CB4000001666C59 -:041CB500000166883C -:041CB600000166B013 -:041CB700000166B80A -:041CB800000166C001 -:041CB9000001666C54 -:041CBA000001667847 -:041CBB00000166902E -:041CBC00000166A01D -:041CBD000001664C70 -:041CBE00000167506A -:041CBF000001675C5D -:041CC000000165A416 -:041CC1000001664C6C -:041CC2000001665463 -:041CC3000001660CAA -:041CC400000166189D -:041CC5000001662490 -:041CC600000167288A -:041CC7000001673879 -:041CC800000167446C -:041CC900000165A40D -:041CCA000001664C63 -:041CCB00000165A40B -:041CCC000001678428 -:041CCD000001679417 -:041CCE00000167A406 -:041CCF00000167B4F5 +:041CB4000001637058 +:041CB500000000012A +:041CB600000177486A +:041CB700000A01011D +:041CB8000001301ED9 +:041CB900000163843F +:041CBA000000000026 +:041CBB00000176AE00 +:041CBC000001000122 +:041CBD00000175802D +:041CBE000001639826 +:041CBF00000000041D +:041CC000000135F8F2 +:041CC100000000001F +:041CC200000000001E +:041CC300000163AC0D +:041CC400000000001C +:041CC500000176584C +:041CC600000A00010F +:041CC70000016E5C4E +:041CC800000163BCF8 +:041CC9000000000017 +:041CCA000001769609 +:041CCB000003000111 +:041CCC0000016EB0F5 +:041CCD00000163CCE3 +:041CCE00000000040E +:041CCF0000012AB036 :041CD0000000000010 :041CD100000000000F -:041CD200000000000E +:041CD2000001659018 :041CD300000000000D -:041CD400000000000C -:041CD500000000000B -:041CD600000000000A -:041CD7000000000009 -:041CD8000000000008 -:041CD9000000000007 -:041CDA000000000006 -:041CDB000000000005 -:041CDC000000000004 -:041CDD000000000003 -:041CDE000000000002 -:041CDF000000000001 -:041CE0000000000000 -:041CE10000000000FF -:041CE20000000000FE -:041CE30000000000FD -:041CE40000000000FC -:041CE50000000000FB -:041CE60000000000FA -:041CE70000000000F9 -:041CE80000015AB4E9 -:041CE900000173A4DF -:041CEA00000173A4DE -:041CEB000010801055 -:041CEC000000800074 -:041CED00000166F498 -:041CEE000001666823 -:041CEF00000165D8B3 -:041CF000000165EC9E -:041CF100000165A4E5 -:041CF200000167C0C6 -:041CF3000001666C1A -:041CF400000166780D -:041CF5000001666C18 -:041CF60000016688FB -:041CF7000001660082 -:041CF800000166047D -:041CF9000001663050 -:041CFA00000166403F -:041CFB000001665C22 -:041CFC000001666419 -:041CFD000001676C0F -:041CFE000001677802 -:041CFF00000173402D -:041D000000000007D8 -:041D010000016D1858 -:041D020000000003DA -:041D030000016DA4CA -:041D040000000002D9 -:041D050000016DE08C -:041D060000000008D1 -:041D070000016E0861 -:041D08000000000DCA -:041D090000016EA8BF -:041D0A000000000BCA -:041D0B0000016FACB8 -:041D0C0000000005CE -:041D0D0000017088D9 -:041D0E0000000008C9 -:041D0F00000170EC73 -:041D100000000006C9 -:041D11000001718CD0 -:041D120000000009C4 -:041D13000001720455 -:041D1400C896554BCD +:041CD400000177375D +:041CD5000003000107 +:041CD600000174D4C1 +:041CD700000165A003 +:041CD8000000000107 +:041CD900000177345B +:041CDA00001F0000E7 +:041CDB0000012F4A8B +:041CDC00000165B0EE +:041CDD000000000102 +:041CDE000001773555 +:041CDF0000FF000002 +:041CE00000012F06CA +:041CE100000165C0D9 +:041CE20000000001FD +:041CE300000177364F +:041CE40000C80A002A +:041CE50000012F06C5 +:041CE600000165D0C4 +:041CE70000000001F8 +:041CE8000001773947 +:041CE90000050000F2 +:041CEA0000012EF0D7 +:041CEB00000165E0AF +:041CEC0000000001F3 +:041CED000001773A41 +:041CEE0000050000ED +:041CEF0000012EF0D2 +:041CF0000001665039 +:041CF10000000000EF +:041CF200000177383E +:041CF30000050001E7 +:041CF400000174E493 +:041CF5000001665C28 +:041CF60000000000EA +:041CF700000177333E +:041CF80000010001E6 +:041CF900000175B0C1 +:041CFA000001666C13 +:041CFB0000000001E4 +:041CFC00000177412B +:041CFD0000FF0000E4 +:041CFE0000012EB6FD +:041CFF000001667802 +:041D000000000001DE +:041D01000001774224 +:041D020000FF0000DE +:041D030000012EB6F7 +:041D040000016684F0 +:041D050000000001D9 +:041D0600000177431E +:041D070000FF0000D9 +:041D080000012EB6F2 +:041D090000016690DF +:041D0A0000000001D4 +:041D0B000001774418 +:041D0C0000FF0000D4 +:041D0D0000012EB6ED +:041D0E000001669CCE +:041D0F0000000001CF +:041D10000001774512 +:041D110000FF0000CF +:041D120000012EB6E8 +:041D1300000166A8BD +:041D140000000001CA +:041D1500000177460C +:041D160000FF0000CA +:041D170000012EB6E3 +:041D1800000166B4AC +:041D190000000001C5 +:041D1A000001774706 +:041D1B00000F0000B5 +:041D1C0000012EB6DE +:041D1D00000175D478 +:041D1E0000000000C1 +:041D1F0000000000C0 +:041D200000000000BF +:041D210000000000BE +:041D220000000000BD +:041D2300000167EC68 +:041D2400000168084A +:041D25000001683021 +:041D26000001683818 +:041D2700000168400F +:041D2800000167EC63 +:041D2900000167F856 +:041D2A00000168103C +:041D2B00000168202B +:041D2C00000167CC7F +:041D2D00000168D079 +:041D2E00000168DC6C +:041D2F000001672424 +:041D3000000167CC7B +:041D3100000167D472 +:041D32000001678CB9 +:041D330000016798AC +:041D3400000167A49F +:041D3500000168A899 +:041D3600000168B888 +:041D3700000168C47B +:041D3800000167241B +:041D3900000167CC72 +:041D3A000001672419 +:041D3B000001690436 +:041D3C000001691425 +:041D3D000001692414 +:041D3E000001693403 +:041D3F0000000000A0 +:041D4000000000009F +:041D4100000000009E +:041D4200000000009D +:041D4300000000009C +:041D4400000000009B +:041D4500000000009A +:041D46000000000099 +:041D47000000000098 +:041D48000000000097 +:041D49000000000096 +:041D4A000000000095 +:041D4B000000000094 +:041D4C000000000093 +:041D4D000000000092 +:041D4E000000000091 +:041D4F000000000090 +:041D5000000000008F +:041D5100000000008E +:041D5200000000008D +:041D5300000000008C +:041D5400000000008B +:041D5500000000008A +:041D56000000000089 +:041D570000015C200B +:041D580000017560B1 +:041D590000017560B0 +:041D5A0000108010E5 +:041D5B000000800004 +:041D5C0000016874A6 +:041D5D00000167E832 +:041D5E0000016758C1 +:041D5F000001676CAC +:041D600000016724F3 +:041D610000016940D4 +:041D6200000167EC29 +:041D6300000167F81C +:041D6400000167EC27 +:041D65000001680809 +:041D66000001678091 +:041D6700000167848C +:041D6800000167B05F +:041D6900000167C04E +:041D6A00000167DC31 +:041D6B00000167E428 +:041D6C00000168EC1E +:041D6D00000168F811 +:041D6E00000174FC00 +:041D6F000000000769 +:041D700000016EC040 +:041D7100000000036B +:041D720000016F4CB1 +:041D7300000000026A +:041D740000016F8873 +:041D75000000000862 +:041D760000016FB049 +:041D77000000000D5B +:041D780000017050A6 +:041D79000000000B5B +:041D7A00000171549F +:041D7B00000000055F +:041D7C0000017230C0 +:041D7D000000000959 +:041D7E00000172945A +:041D7F00000000065A +:041D800000017348A3 +:041D81000000000955 +:041D8200000173C029 +:041D8300C896554B5E :00000001FF diff --git a/software/sys_controller/memory/flash.c b/software/sys_controller/memory/flash.c index dd54dae..b47e45b 100644 --- a/software/sys_controller/memory/flash.c +++ b/software/sys_controller/memory/flash.c @@ -49,9 +49,6 @@ int read_flash(alt_u32 offset, alt_u32 length, alt_u8 *dstbuf) if (retval != 0) return -FLASH_READ_ERROR; - for (i=0; idev, (pagenum/PAGES_PER_SECTOR)*PAGES_PER_SECTOR*PAGESIZE, pagenum*PAGESIZE, pagedata, length); if (retval != 0) { @@ -83,7 +76,7 @@ int write_flash_page(alt_u8 *pagedata, alt_u32 length, alt_u32 pagenum) return 0; } -int write_flash(alt_u8 *buf, alt_u32 length, alt_u32 pagenum, alt_u8 *tmpbuf) +int write_flash(alt_u8 *buf, alt_u32 length, alt_u32 pagenum) { int retval; alt_u32 bytes_to_w; @@ -91,13 +84,7 @@ int write_flash(alt_u8 *buf, alt_u32 length, alt_u32 pagenum, alt_u8 *tmpbuf) while (length > 0) { bytes_to_w = (length > PAGESIZE) ? PAGESIZE : length; - // Use a temporary buffer if one was given. - // This is to avoid the original buffer from - // being overwritten by write_flash_page(). - if (tmpbuf) - memcpy(tmpbuf, buf, bytes_to_w); - - retval = write_flash_page(tmpbuf ? tmpbuf : buf, bytes_to_w, pagenum); + retval = write_flash_page(buf, bytes_to_w, pagenum); if (retval != 0) return retval; diff --git a/software/sys_controller/memory/flash.h b/software/sys_controller/memory/flash.h index fa1c8ea..6c8485e 100644 --- a/software/sys_controller/memory/flash.h +++ b/software/sys_controller/memory/flash.h @@ -44,7 +44,7 @@ int read_flash(alt_u32 offset, alt_u32 length, alt_u8 *dstbuf); int write_flash_page(alt_u8 *pagedata, alt_u32 length, alt_u32 pagenum); -int write_flash(alt_u8 *buf, alt_u32 length, alt_u32 pagenum, alt_u8 *tmpbuf); +int write_flash(alt_u8 *buf, alt_u32 length, alt_u32 pagenum); int verify_flash(alt_u32 offset, alt_u32 length, alt_u32 golden_crc, alt_u8 *tmpbuf); diff --git a/software/sys_controller/memory/sdcard.c b/software/sys_controller/memory/sdcard.c index 4ff172b..d8ab183 100644 --- a/software/sys_controller/memory/sdcard.c +++ b/software/sys_controller/memory/sdcard.c @@ -51,7 +51,7 @@ int copy_sd_to_flash(alt_u32 sd_blknum, alt_u32 flash_pagenum, alt_u32 length, a return -retval; } - retval = write_flash(tmpbuf, bytes_to_rw, flash_pagenum, NULL); + retval = write_flash(tmpbuf, bytes_to_rw, flash_pagenum); if (retval != 0) return retval; diff --git a/software/sys_controller/memory/sdcard.h b/software/sys_controller/memory/sdcard.h index 7b41794..046df77 100644 --- a/software/sys_controller/memory/sdcard.h +++ b/software/sys_controller/memory/sdcard.h @@ -25,6 +25,6 @@ #include "sd_io.h" int check_sdcard(alt_u8 *databuf); -int copy_sd_to_flash(alt_u32 sd_offset, alt_u32 flash_offset, alt_u32 length, alt_u8 *tmpbuf); +int copy_sd_to_flash(alt_u32 sd_blknum, alt_u32 flash_pagenum, alt_u32 length, alt_u8 *tmpbuf); #endif /* SDCARD_H_ */ diff --git a/software/sys_controller/ossc/userdata.c b/software/sys_controller/ossc/userdata.c index 2f985ed..93aab7c 100644 --- a/software/sys_controller/ossc/userdata.c +++ b/software/sys_controller/ossc/userdata.c @@ -94,7 +94,7 @@ int write_userdata(alt_u8 entry) // then write the rest if (vm_to_write > 0) - write_flash((alt_u8*)video_modes+srcoffset, vm_to_write, ((USERDATA_OFFSET+entry*SECTORSIZE)/PAGESIZE) + 1, databuf); + write_flash((alt_u8*)video_modes+srcoffset, vm_to_write, ((USERDATA_OFFSET+entry*SECTORSIZE)/PAGESIZE) + 1); printf("Profile %u data written (%u bytes)\n", entry, sizeof(avconfig_t)+VIDEO_MODES_SIZE); break; @@ -236,17 +236,17 @@ int import_userdata() } if (strncmp(header.userdata_key, "USRDATA", 8)) { - printf("Not an userdata entry at %u\n", profile); + printf("Not an userdata entry at 0x%x\n", 512+n*SECTORSIZE); continue; } if ((header.version_major != FW_VER_MAJOR) || (header.version_minor != FW_VER_MINOR)) { - printf("Data version %u.%u does not match fw\n", header->version_major, header->version_minor); + printf("Data version %u.%u does not match fw\n", header.version_major, header.version_minor); continue; } if (header.type > UDE_PROFILE) { - printf("Unknown userdata entry\n", header->type); + printf("Unknown userdata entry type %u\n", header.type); continue; } diff --git a/software/sys_controller/ossc/utils.c b/software/sys_controller/ossc/utils.c index db7b984..e1d466b 100644 --- a/software/sys_controller/ossc/utils.c +++ b/software/sys_controller/ossc/utils.c @@ -21,12 +21,6 @@ #include "system.h" #include "io.h" -unsigned char bitswap8(unsigned char v) -{ - return ((v * 0x0802LU & 0x22110LU) | - (v * 0x8020LU & 0x88440LU)) * 0x10101LU >> 16; -} - alt_u32 bswap32(alt_u32 w) { return (((w << 24) & 0xff000000) | diff --git a/software/sys_controller_bsp/drivers/src/altera_epcq_controller_mod.c b/software/sys_controller_bsp/drivers/src/altera_epcq_controller_mod.c index 5147a95..35c7c10 100644 --- a/software/sys_controller_bsp/drivers/src/altera_epcq_controller_mod.c +++ b/software/sys_controller_bsp/drivers/src/altera_epcq_controller_mod.c @@ -43,6 +43,7 @@ ALT_INLINE alt_32 static alt_epcq_validate_read_write_arguments(alt_epcq_controller_dev *flash_info,alt_u32 offset, alt_u32 length); alt_32 static alt_epcq_poll_for_write_in_progress(alt_epcq_controller_dev* epcq_flash_info); +ALT_INLINE unsigned char static bitswap8(unsigned char v); /* * Public API @@ -334,6 +335,10 @@ int alt_epcq_controller_write_block /* prepare the word to be written */ memcpy((((void*)&word_to_write)) + padding, ((void*)data) + buffer_offset, bytes_to_copy); + // Bit-reverse bytes for flash + for (int i=0; idata_base + offset, length); + + // Bit-reverse bytes read from flash + for (int i=0; i> 16; +} + diff --git a/software/sys_controller_bsp/libhal_bsp.a b/software/sys_controller_bsp/libhal_bsp.a index 0a61965b5d6cedadd81f6e5974639bfaff103fa7..77c47369243537ed0bb3691c6ba24134b53738ad 100644 GIT binary patch delta 2820 zcmZXWdrVVT9LLYSZEqhu>|?elOtByc0+yDR)+`9hOXnCGlz^L78y<0)+nkRXjjckv zvIz)Rr*pbFmk>3^Ic_G4E`~8dvj;eI^BMQhsU&JLm+g;bnEie|y>Q4*(sMuG@A>|I z_nv!io1VMQ4c_E-C#pTUxi*K#)?vM9ZY&_=|DSGdi%L#O0U=Hy3(;1dAf3?uFlI=F zh6+v^j&I=Q2sodQEkoWSnI_*DHv)o1ikb4ySX>MUX33hgRVmklVUf-z3v7v5x}CC_ zO_-(iv)&xW!p6QK@BNtl*0RnNu??_X%h6lLl#9-dP~gu(ysT9?A!e{TwbiI z-4s%D2cK_w_s~#FSyJDt%brxOsT!`DU(;PkDlTK~NO!{G|QD)0cY;$`-Mtrr&?8x~6~0KvQ}!Mv>khb8fFAe3~4q_Kp57 z__jU}iVW8CuIBELD`*V~1M&qQ4+ZP;XTlw6>-6vk-?Gqa6HNAGSE4UUJSaD(Mv!VGkQ7bT1Kw`-N$Gz=(~)rBg9X_jIkC1 zvl#sv=%YMLk-?D-aC9?z3+PHlZwKvSbQ9>cj1GeiF}fAbPjVQe69UgEV2TWm;DazT z`XFe5(I10WDkEW-IMRjdj6MPS2$TN|^lnCF~auvv1dbw9y|kRTuX|s z)3PvGZ9c~i?=RwN@ z8(bBKR?gwwj?Pun{4SES*zSbMfs@^hlLuukP7a*%aI(W>&xgqwnQ+yKwXO(Z#EA`@ zSdotl`8Jqb*vf;oxi-{pOnWfxLFviOKod{u!5RLbhkW6Vc=WZ8cLY@AE;Po$4ln>asywX-HsD+@R~p0W#l ze4H=}fb|B-i_kYx##c$sS79dVhmlP*(}nqGDF24}$&~LQoAJ921K+nS3tiB`4arKN zoCK_oax%kq{9NGdWXky6$eKa99N9{_0y%~9OThY6VBCKI#Z0;oMoy#L37j1*-jAG4 z{lg3&$NVhnpF+-{`~`BfwwK8dF#H{`Uv4_gEMN;RlQzO1PHr}34RZ8kvB=Sr;cLQW z(F{In4tE9vpPbBi_hn8V=h+0a@&fjj8T)bBFu}0FhszN!sokQtZYi%*ij^9xHdRN6 z2Ch#derlc07bwMFTxobH)S1PCdOcqVvHCPVD_(pH4jYtWa!OiU7z*%4X|b(1U7IMl bVOtM5(OZ(j#fu3I8S=lf$iK{$4PMoM@b|;2 delta 2513 zcmZXWdrVtZ9LLYSg+74RQlu?!EVDdT9_zbAXZMh?ja1h-$e4!4P9Xb3=hRFht{5J& zDBJL40uvSY$Ko>Mj8}|7gEJ0>BxAUt&S9465{6_NH=kKD}D zxzDCE^TPP|!r>f^-|usJ+|GX6l)0sXkpCYa3T??sO6pMSRf?chwN~jp-Pg;86ig2Z z(gj0{s6@aSU7m)#Masy$ykc~yEmCUM?d3S_P@5%N?h8puJs1}0(?T_0VwSEH?c)<> zX>Z9hD{J5`s@4A{mgHAnTuIEyJ) z)3zVBTvA`LUk-g6o~ysmI9qnW*;Tqd8dRr54La=?Go8ho)1qyEX`_GtsqHM*>GcO) zJ!gZ_$bvQ+(x~rP?(~iR{LSr}NLVro?sW-k$1i^&}nMX_b~57lqic0Ncn-AnRf5;`Aoag`BPf9pv;j&~8rG zgRbTDPSAs#j)2bQ^b>@{$fF{-audjI7;kWar$8rh^Us1_;^x~x=X3M>L05CS6ZB79 zz8CaSZoUupC&Qfa8U%K!pp%32Z?#?>DOeS^~{LA$y92cYM;`BAt9 zq=XBMfv({6=b&>reFn6R(_ev}s#|dZ2T;ko?#MP_DtwiP8t12P1Br@L+{UCY<$PnFqsO z%=yss<0=6!O7sF%=%MtZ^y2~n%mrM;3cZ}h75s9CX`i2-Qf1PEDjoeOvJfL-IBgUB zxMM?h$wWv!T$;(r1hV4W;POpX%tu!I8uZsNA6fBx(T}f#toWyav(+uE0EIF!37n&5 zKC16nWLDwn=!sej!eem$Y%Ujq0NFYn%Tr0#|y|7=HqXM(aPA2 zoW-~d7#5P78~tp?A?HuFF?Z{`DcaaMizmHtV z_%qDk!}uz)jqxIK5#w9Hg!U2diXw A1poj5 From 0905620b4dbe4a7bd4ed02934e50a2ab0a7b1a83 Mon Sep 17 00:00:00 2001 From: marqs Date: Thu, 11 Oct 2018 00:04:32 +0300 Subject: [PATCH 09/21] update qsys+bsp build logic and instructions --- README.md | 44 +++++++++++++---------- ossc.qsf | 10 +++--- software/sys_controller_bsp/Makefile | 40 ++++++++++++++------- software/sys_controller_bsp/libhal_bsp.a | Bin 28110 -> 28110 bytes sys.sopcinfo | 4 +-- 5 files changed, 60 insertions(+), 38 deletions(-) diff --git a/README.md b/README.md index e209e38..aac8b03 100644 --- a/README.md +++ b/README.md @@ -31,8 +31,7 @@ SW toolchain build procedure git clone --recursive https://github.com/riscv/riscv-gnu-toolchain cd riscv-gnu-toolchain ./configure --prefix=/opt/riscv --enable-multilib -make -make install +sudo make # sudo needed if installing under default /opt/riscv location ~~~~ 2. Compile custom binary to IHEX converter: ~~~~ @@ -40,6 +39,25 @@ gcc tools/bin2hex.c -o tools/bin2hex ~~~~ +Building RTL (bitstream) +-------------------------- +1. Initialize pulpino submodules (once after cloning ossc project or when submoduled have been updated) +~~~~ +git submodule update --init --recursive ip/pulpino_qsys +~~~~ +2. Load the project (ossc.qpf) in Quartus +3. Generate QSYS output files (only needed before first compilation or when QSYS structure has been modified) + * Open Platform Designer (Tools -> Platform Designer) + * Load platform configuration (sys.qsys) + * Generate output (Generate -> Generate HDL, Generate) + * Close Platform Designer + * Run "touch software/sys_controller_bsp/bsp_timestamp" to acknowledge QSYS update +3. Generate the FPGA bitstream (Processing -> Start Compilation) +4. Ensure that there are no severe timing violations by looking into Timing Analyzer report + +NOTE: If the software image (software/sys_controller/mem_init/sys_onchip_memory2_0.hex) was not up to date at the time of compilation, bitstream can be quickly rebuilt with updated hex by running "Processing->Update Memory Initialization File" and "Processing->Start->Start Assembler" in Quartus. + + Building software image -------------------------- 1. Enter software root directory: @@ -55,28 +73,16 @@ OPTIONS may include following definitions: * ENABLE_AUDIO=y (Includes audio setup code for v1.6 PCB / DIY audio add-on board) TARGET is typically one of the following: -* all (Default target. Compiles an ELF for direct downloading to CPU during testing) -* generate_hex (Generates a memory initialization file required for bitstream) +* all (Default target. Compiles an ELF file) +* generate_hex (Generates a memory initialization file required for bitstream and direct download) * clean (cleans ELF and intermediate files. Should be invoked every time OPTIONS are changed between compilations, expect with generate_hex where it is done automatically) -3. Optionally test updated SW by directly downloading ELF to CPU via JTAG +3. Optionally test updated SW by directly downloading memory image to block RAM via JTAG ~~~~ make rv-reprogram ~~~~ -Building RTL (bitstream) --------------------------- -1. Initialize pulpino submodules (once after cloning ossc project) -~~~~ -git submodule update --init --recursive ip/pulpino_qsys -~~~~ -2. Load the project (ossc.qpf) in Quartus -3. Generate the FPGA bitstream (Processing -> Start Compilation). NOTE: make sure software hex image (software/sys_controller/mem_init/sys_onchip_memory2_0.hex) is up to date before generating bitstream. -4. Ensure that there are no severe timing violations by looking into Timing Analyzer report - -If only software image is updated, bitstream can be quickly rebuilt by running "Processing->Update Memory Initialization File" and "Processing->Start->Start Assembler" in Quartus. - Installing firmware via JTAG -------------------------- The bitstream can be either directly programmed into FPGA (volatile method, suitable for quick testing), or into serial flash chip where it is automatically loaded every time FPGA is subsequently powered on (nonvolatile method, suitable for long-term use). @@ -108,11 +114,11 @@ Debugging -------------------------- 1. Rebuild the software in debug mode: ~~~~ -make clean && make APP_CFLAGS_DEBUG_LEVEL="-DDEBUG" +make clean && make APP_CFLAGS_DEBUG_LEVEL="-DDEBUG" generate_hex ~~~~ NOTE: Fw update functionality via SD card is disabled in debug builds due to code space limitations. If audio support is enabled on debug build, other functionality needs to be disabled as well. -2. Program CPU via JTAG and open terminal for UART +2. Download memory image via JTAG and open terminal for UART ~~~~ make rv-reprogram && nios2-terminal ~~~~ diff --git a/ossc.qsf b/ossc.qsf index f072bc6..7e1551d 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -227,14 +227,15 @@ set_global_assignment -name FITTER_EFFORT "AUTO FIT" set_global_assignment -name SEED 3 + + set_global_assignment -name VERILOG_FILE rtl/videogen.v -set_global_assignment -name QIP_FILE software/sys_controller/mem_init/meminit.qip set_global_assignment -name VERILOG_FILE rtl/ir_rcv.v -set_global_assignment -name SDC_FILE ossc.sdc -set_global_assignment -name QSYS_FILE sys.qsys set_global_assignment -name VERILOG_FILE rtl/ossc.v set_global_assignment -name VERILOG_FILE rtl/scanconverter.v set_global_assignment -name VERILOG_FILE rtl/lat_tester.v +set_global_assignment -name QIP_FILE sys/synthesis/sys.qip +set_global_assignment -name QIP_FILE software/sys_controller/mem_init/meminit.qip set_global_assignment -name QIP_FILE rtl/linebuf.qip set_global_assignment -name QIP_FILE rtl/pll_2x.qip set_global_assignment -name QIP_FILE rtl/pll_3x.qip @@ -242,8 +243,7 @@ set_global_assignment -name QIP_FILE rtl/lpm_mult_4_hybr_ref_pre.qip set_global_assignment -name QIP_FILE rtl/lpm_mult_4_hybr_ref.qip set_global_assignment -name QIP_FILE rtl/lpm_mult_4_sl.qip set_global_assignment -name QIP_FILE rtl/mux5.qip +set_global_assignment -name SDC_FILE ossc.sdc set_global_assignment -name CDF_FILE output_files/Chain1.cdf set_global_assignment -name SIGNALTAP_FILE output_files/ossc_la.stp - - set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/software/sys_controller_bsp/Makefile b/software/sys_controller_bsp/Makefile index a036223..3f736e3 100644 --- a/software/sys_controller_bsp/Makefile +++ b/software/sys_controller_bsp/Makefile @@ -426,7 +426,7 @@ $(OBJS): $(wildcard $(FORCE_REBUILD_DEP)) $(wildcard $(FORCE_REBUILD_ALL_DEP)) .PHONY: all all: build_pre_process -all: Makefile $(GENERATED_FILES) $(BSP_LIB) $(NEWLIB_DIR) +all: Makefile bsp_timestamp $(GENERATED_FILES) $(BSP_LIB) $(NEWLIB_DIR) all: build_post_process @@ -465,23 +465,39 @@ ifneq ($(wildcard $(SETTINGS_FILE)),$(SETTINGS_FILE)) $(warning Warning: BSP Settings File $(SETTINGS_FILE) could not be found.) endif -Makefile: $(wildcard $(SETTINGS_FILE)) - @$(ECHO) Makefile not up to date. - @$(ECHO) $(SETTINGS_FILE) has been modified since the BSP Makefile was generated. - @$(ECHO) - @$(ECHO) Update system.h etc. BSP files manually, then run \"touch public.mk Makefile\" on BSP dir. - @$(ECHO) - @exit 1 +#Makefile: $(wildcard $(SETTINGS_FILE)) +# @$(ECHO) Makefile not up to date. +# @$(ECHO) $(SETTINGS_FILE) has been modified since the BSP Makefile was generated. +# @$(ECHO) +# @$(ECHO) Update system.h etc. BSP files manually, then run \"touch public.mk Makefile\" on BSP dir. +# @$(ECHO) +# @exit 1 ifneq ($(wildcard $(SOPC_FILE)),$(SOPC_FILE)) $(warning Warning: SOPC File $(SOPC_FILE) could not be found.) endif -public.mk: $(wildcard $(SOPC_FILE)) - @$(ECHO) Makefile not up to date. - @$(ECHO) $(SOPC_FILE) has been modified since the BSP was generated. +#public.mk: $(wildcard $(SOPC_FILE)) +# @$(ECHO) Makefile not up to date. +# @$(ECHO) $(SOPC_FILE) has been modified since the BSP was generated. +# @$(ECHO) +# @$(ECHO) Update system.h etc. BSP files manually, then run \"touch public.mk Makefile\" on BSP dir. +# @$(ECHO) +# @exit 1 + +bsp_timestamp: $(wildcard $(SOPC_FILE)) + @$(ECHO) BSP not up to date. + @$(ECHO) $(SOPC_FILE) has been modified since the BSP was last updated. @$(ECHO) - @$(ECHO) Update system.h etc. BSP files manually, then run \"touch public.mk Makefile\" on BSP dir. + @$(ECHO) "If you have not customized QSYS platform:" + @$(ECHO) " 1. Open sys.qsys in Quartus Platform Designer, generate platform" + @$(ECHO) " files (Generate -> Generate HDL, Generate) and run compilation" + @$(ECHO) " 2. Run \"touch bsp_timestamp\" in BSP dir" + @$(ECHO) + @$(ECHO) "If you have customized and regenerated QSYS platform:" + @$(ECHO) " 1. Update system.h, public.mk, Makefile etc. BSP files manually" + @$(ECHO) " to match your updates" + @$(ECHO) " 2. Run \"touch bsp_timestamp\" in BSP dir" @$(ECHO) @exit 1 diff --git a/software/sys_controller_bsp/libhal_bsp.a b/software/sys_controller_bsp/libhal_bsp.a index 77c47369243537ed0bb3691c6ba24134b53738ad..a02e0db72d4426cd0f6c258d938a24cdd82a9976 100644 GIT binary patch delta 396 zcmX?ioAKOj#tAZPMh3!T6gU1pOE{U*gMP zf+=~|0p-#puXI*NII&I!os zC;K^{+dRW<5+g*9@n!>$M^N>~K>vtu*7s3I;sf35e*x-#ki5j^gphYo^FVyD%~|0u N1fXVt`FR-$tN>V-YtH}x delta 396 zcmX?ioAKOj#tAZPhK2^lmIfP@BG{nJ%?)gP%ux1Z0}qMKYd9~#_?sOB{TMf2;>%!y zDRvOt29pFT7T+u^dK=DfU=!cWE%_U!c5(xo*k&b}8Wx!0Ao<-2>`3axHs4dOK#~{R zT%=I~*AFtES^GbVdO7_oaCwmVd`34=_)Ml3Q26i6C$PipcMufcJllRcig|v{3CQXv z`#GQ6Ji~1gBh0@B9*>aJi*MHVQAgqf-Rpk=$^8 - + java.lang.Integer - 1538946813 + 1539199706 false true false From 4e4f5749ea1ba7302a70529c0a37c741e16d8a68 Mon Sep 17 00:00:00 2001 From: paulb-nl Date: Sun, 4 Feb 2018 16:01:11 +0100 Subject: [PATCH 10/21] Add auto input switching Cycle through inputs until sync is found or limit has been reached. RGsB or YPbPr defaults can be set per input. Stay on current physical input for a short time when sync is lost. Press right button on the remote for next input. --- software/sys_controller/ossc/av_controller.c | 89 +++++++++++++++++--- software/sys_controller/ossc/av_controller.h | 5 ++ software/sys_controller/ossc/controls.c | 8 +- software/sys_controller/ossc/menu.c | 7 ++ software/sys_controller/ossc/userdata.c | 9 ++ software/sys_controller/ossc/userdata.h | 4 + 6 files changed, 111 insertions(+), 11 deletions(-) diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 911d5ce..3f86c29 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -41,6 +41,7 @@ #include "HDMI_TX.h" #include "hdmitx.h" #include "sd_io.h" +#include "sys/alt_timestamp.h" #define STABLE_THOLD 1 #define MIN_LINES_PROGRESSIVE 200 @@ -69,6 +70,7 @@ alt_u8 stable_frames; alt_u8 update_cur_vm; alt_u8 vm_sel, vm_edit, profile_sel, profile_sel_menu, input_profiles[AV_LAST], lt_sel, def_input, profile_link, lcd_bl_timeout; +alt_u8 auto_input, auto_av1_ypbpr, auto_av2_ypbpr = 1, auto_av3_ypbpr; alt_u16 tc_h_samplerate, tc_h_synclen, tc_h_bporch, tc_h_active, tc_v_synclen, tc_v_bporch, tc_v_active; char row1[LCD_ROW_LEN+1], row2[LCD_ROW_LEN+1], menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; @@ -785,6 +787,12 @@ int main() alt_u32 input_vec; + alt_u32 auto_input_timestamp = 300 * (alt_timestamp_freq() >> 10); + alt_u8 auto_input_changed = 0; + alt_u8 auto_input_ctr = 0; + alt_u8 auto_input_current_ctr = AUTO_CURRENT_MAX_COUNT; + alt_u8 auto_input_keep_current = 0; + int init_stat, man_input_change; init_stat = init_hw(); @@ -806,6 +814,9 @@ int main() while (1) {} } + // start timer for auto input + alt_timestamp_start(); + // Mainloop while(1) { // Read remote control and PCB button status @@ -826,11 +837,68 @@ int main() btn_code = 0; } + // Auto input switching + if (auto_input != AUTO_OFF && cm.avinput != AV_TESTPAT && !cm.sync_active && !menu_active + && alt_timestamp() >= auto_input_timestamp && auto_input_ctr < AUTO_MAX_COUNT) { + + // Keep switching on the same physical input when set to Current input or a short time after losing sync. + auto_input_keep_current = (auto_input == AUTO_CURRENT_INPUT || auto_input_current_ctr < AUTO_CURRENT_MAX_COUNT); + + switch(cm.avinput) { + case AV1_RGBs: + target_input = auto_av1_ypbpr ? AV1_YPBPR : AV1_RGsB; + break; + case AV1_RGsB: + case AV1_YPBPR: + target_input = auto_input_keep_current ? AV1_RGBs : (auto_av2_ypbpr ? AV2_YPBPR : AV2_RGsB); + break; + case AV2_YPBPR: + case AV2_RGsB: + target_input = auto_input_keep_current ? target_input : AV3_RGBHV; + break; + case AV3_RGBHV: + target_input = AV3_RGBs; + break; + case AV3_RGBs: + target_input = auto_av3_ypbpr ? AV3_YPBPR : AV3_RGsB; + break; + case AV3_RGsB: + case AV3_YPBPR: + target_input = auto_input_keep_current ? AV3_RGBHV : AV1_RGBs; + break; + default: + break; + } + + auto_input_ctr++; + + if (auto_input_current_ctr < AUTO_CURRENT_MAX_COUNT) + auto_input_current_ctr++; + + // For input linked profile loading below + auto_input_changed = 1; + + // reset timer + alt_timestamp_start(); + } + man_input_change = parse_control(); if (menu_active) display_menu(0); + // Only auto load profile when input is manually changed or when sync is active after automatic switch. + if ((target_input != cm.avinput && man_input_change) || (auto_input_changed && cm.sync_active)) { + // The input changed, so load the appropriate profile if + // input->profile link is enabled + if (profile_link && (profile_sel != input_profiles[target_input])) { + profile_sel = input_profiles[target_input]; + read_userdata(profile_sel); + } + + auto_input_changed = 0; + } + if (target_input != cm.avinput) { target_tvp = TVP_INPUT1; @@ -873,13 +941,6 @@ int main() printf("### SWITCH MODE TO %s ###\n", avinput_str[target_input]); - // The input changed, so load the appropriate profile if - // input->profile link is enabled - if (profile_link && (profile_sel != input_profiles[target_input])) { - profile_sel = input_profiles[target_input]; - read_userdata(profile_sel); - } - cm.avinput = target_input; cm.sync_active = 0; ths_source_sel(target_ths, (cm.cc.video_lpf > 1) ? (VIDEO_LPF_MAX-cm.cc.video_lpf) : THS_LPF_BYPASS); @@ -895,9 +956,14 @@ int main() strncpy(row2, " NO SYNC", LCD_ROW_LEN+1); if (!menu_active) lcd_write_status(); - // record last input if it was selected manually - if ((def_input == AV_LAST) && man_input_change) - write_userdata(INIT_CONFIG_SLOT); + if (man_input_change) { + // record last input if it was selected manually + if (def_input == AV_LAST) + write_userdata(INIT_CONFIG_SLOT); + // Reset auto input timer when input is manually changed + auto_input_ctr = 0; + alt_timestamp_start(); + } } // Check here to enable regardless of input @@ -933,6 +999,9 @@ int main() strncpy(row2, " NO SYNC", LCD_ROW_LEN+1); if (!menu_active) lcd_write_status(); + alt_timestamp_start();// reset auto input timer + auto_input_ctr = 0; + auto_input_current_ctr = 0; } break; case MODE_CHANGE: diff --git a/software/sys_controller/ossc/av_controller.h b/software/sys_controller/ossc/av_controller.h index bb02520..dcb1ad1 100644 --- a/software/sys_controller/ossc/av_controller.h +++ b/software/sys_controller/ossc/av_controller.h @@ -61,6 +61,11 @@ #define FPGA_SCANLINEMODE_V 2 #define FPGA_SCANLINEMODE_ALT 3 +#define AUTO_OFF 0 +#define AUTO_CURRENT_INPUT 1 +#define AUTO_MAX_COUNT 100 +#define AUTO_CURRENT_MAX_COUNT 6 + // In reverse order of importance typedef enum { NO_CHANGE = 0, diff --git a/software/sys_controller/ossc/controls.c b/software/sys_controller/ossc/controls.c index 65db3c1..00e062f 100644 --- a/software/sys_controller/ossc/controls.c +++ b/software/sys_controller/ossc/controls.c @@ -119,6 +119,8 @@ int parse_control() alt_u8* pmcfg_ptr[] = { &pt_only, &tc.pm_240p, &tc.pm_384p, &tc.pm_480i, &tc.pm_480p, &tc.pm_480p, &tc.pm_1080i }; alt_u8 valid_pm[] = { 0x1, 0x1f, 0x3, 0xf, 0x3, 0x3, 0x3 }; + avinput_t next_input = (cm.avinput == AV3_YPBPR) ? AV1_RGBs : (cm.avinput+1); + if (remote_code) printf("RCODE: 0x%.4lx, %d\n", remote_code, remote_rpt); @@ -239,6 +241,10 @@ int parse_control() lcd_write_status(); menu_active = 0; break; + case RC_RIGHT: + if (!menu_active) + man_target_input = next_input; + break; default: break; } @@ -246,7 +252,7 @@ int parse_control() Button_Check: if (btn_code & PB0_BIT) - man_target_input = (cm.avinput == AV3_YPBPR) ? AV1_RGBs : (cm.avinput+1); + man_target_input = next_input; if (btn_code & PB1_BIT) tc.sl_mode = tc.sl_mode < SL_MODE_MAX ? tc.sl_mode + 1 : 0; diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index ce3cffa..396068d 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -42,6 +42,7 @@ extern alt_u16 tc_h_samplerate, tc_h_synclen, tc_h_bporch, tc_h_active, tc_v_syn extern alt_u32 remote_code; extern alt_u16 rc_keymap[REMOTE_MAX_KEYS]; extern alt_u8 vm_sel, profile_sel_menu, lt_sel, def_input, profile_link, lcd_bl_timeout; +extern alt_u8 auto_input, auto_av1_ypbpr, auto_av2_ypbpr, auto_av3_ypbpr; alt_u8 menu_active; @@ -66,6 +67,8 @@ static const char *sl_id_desc[] = { LNG("Top","ウエ"), LNG("Bottom","シタ") static const char *audio_dw_sampl_desc[] = { LNG("Off (fs = 96kHz)","オフ (fs = 96kHz)"), "2x (fs = 48kHz)" }; static const char *lt_desc[] = { "Top-left", "Center", "Bottom-right" }; static const char *lcd_bl_timeout_desc[] = { "Off", "3s", "10s", "30s" }; +static const char *rgsb_ypbpr_desc[] = { "RGsB", "YPbPr" }; +static const char *auto_input_desc[] = { "Off", "Current input", "All inputs" }; static void sampler_phase_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, LNG("%d deg","%d ド"), (v*1125)/100); } static void sync_vth_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%d mV", (v*1127)/100); } @@ -181,6 +184,10 @@ MENU(menu_settings, P99_PROTECT({ \ { LNG("Link input->prof","Link input->prof"), OPT_AVCONFIG_SELECTION, { .sel = { &profile_link, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, { "", OPT_FUNC_CALL, { .fun = { import_userdata, NULL } } }, { LNG("Initial input","ショキニュウリョク"), OPT_AVCONFIG_SELECTION, { .sel = { &def_input, OPT_WRAP, SETTING_ITEM(avinput_str) } } }, + { "Autodetect input", OPT_AVCONFIG_SELECTION, { .sel = { &auto_input, OPT_WRAP, SETTING_ITEM(auto_input_desc) } } }, + { "Auto AV1 Y/Gs", OPT_AVCONFIG_SELECTION, { .sel = { &auto_av1_ypbpr, OPT_WRAP, SETTING_ITEM(rgsb_ypbpr_desc) } } }, + { "Auto AV2 Y/Gs", OPT_AVCONFIG_SELECTION, { .sel = { &auto_av2_ypbpr, OPT_WRAP, SETTING_ITEM(rgsb_ypbpr_desc) } } }, + { "Auto AV3 Y/Gs", OPT_AVCONFIG_SELECTION, { .sel = { &auto_av3_ypbpr, OPT_WRAP, SETTING_ITEM(rgsb_ypbpr_desc) } } }, { "LCD BL timeout", OPT_AVCONFIG_SELECTION, { .sel = { &lcd_bl_timeout, OPT_WRAP, SETTING_ITEM(lcd_bl_timeout_desc) } } }, { LNG("","<ファームウェアアップデート>"), OPT_FUNC_CALL, { .fun = { fw_update, NULL } } }, })) diff --git a/software/sys_controller/ossc/userdata.c b/software/sys_controller/ossc/userdata.c index 93aab7c..bf41926 100644 --- a/software/sys_controller/ossc/userdata.c +++ b/software/sys_controller/ossc/userdata.c @@ -38,6 +38,7 @@ extern alt_u8 input_profiles[AV_LAST]; extern alt_u8 profile_sel; extern alt_u8 def_input, profile_link; extern alt_u8 lcd_bl_timeout; +extern alt_u8 auto_input, auto_av1_ypbpr, auto_av2_ypbpr, auto_av3_ypbpr; extern SD_DEV sdcard_dev; extern char menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; @@ -68,6 +69,10 @@ int write_userdata(alt_u8 entry) ((ude_initcfg*)databuf)->def_input = def_input; ((ude_initcfg*)databuf)->profile_link = profile_link; ((ude_initcfg*)databuf)->lcd_bl_timeout = lcd_bl_timeout; + ((ude_initcfg*)databuf)->auto_input = auto_input; + ((ude_initcfg*)databuf)->auto_av1_ypbpr = auto_av1_ypbpr; + ((ude_initcfg*)databuf)->auto_av2_ypbpr = auto_av2_ypbpr; + ((ude_initcfg*)databuf)->auto_av3_ypbpr = auto_av3_ypbpr; memcpy(((ude_initcfg*)databuf)->keys, rc_keymap, sizeof(rc_keymap)); retval = write_flash_page(databuf, sizeof(ude_initcfg), (USERDATA_OFFSET+entry*SECTORSIZE)/PAGESIZE); if (retval != 0) @@ -145,6 +150,10 @@ int read_userdata(alt_u8 entry) target_input = def_input; else if (((ude_initcfg*)databuf)->last_input < AV_LAST) target_input = ((ude_initcfg*)databuf)->last_input; + auto_input = ((ude_initcfg*)databuf)->auto_input; + auto_av1_ypbpr = ((ude_initcfg*)databuf)->auto_av1_ypbpr; + auto_av2_ypbpr = ((ude_initcfg*)databuf)->auto_av2_ypbpr; + auto_av3_ypbpr = ((ude_initcfg*)databuf)->auto_av3_ypbpr; profile_link = ((ude_initcfg*)databuf)->profile_link; profile_sel = input_profiles[AV_TESTPAT]; // Global profile lcd_bl_timeout = ((ude_initcfg*)databuf)->lcd_bl_timeout; diff --git a/software/sys_controller/ossc/userdata.h b/software/sys_controller/ossc/userdata.h index 2e08903..de2f49b 100644 --- a/software/sys_controller/ossc/userdata.h +++ b/software/sys_controller/ossc/userdata.h @@ -53,6 +53,10 @@ typedef struct { avinput_t last_input; avinput_t def_input; alt_u8 lcd_bl_timeout; + alt_u8 auto_input; + alt_u8 auto_av1_ypbpr; + alt_u8 auto_av2_ypbpr; + alt_u8 auto_av3_ypbpr; alt_u16 keys[REMOTE_MAX_KEYS]; } __attribute__((packed, __may_alias__)) ude_initcfg; From f276cda19012247a37c196a6fb7b8b88ecfbe5d3 Mon Sep 17 00:00:00 2001 From: paulb-nl Date: Sat, 13 Oct 2018 17:33:10 +0200 Subject: [PATCH 11/21] Add support for GBI 360p --- ossc.qsf | 2 +- rtl/scanconverter.v | 21 +++++++++++++--- software/sys_controller/ossc/av_controller.c | 9 +++++-- software/sys_controller/ossc/av_controller.h | 1 + software/sys_controller/ossc/menu.c | 7 +++--- software/sys_controller/tvp7002/video_modes.c | 21 +++++++++++++--- software/sys_controller/tvp7002/video_modes.h | 25 +++++++++++-------- 7 files changed, 63 insertions(+), 23 deletions(-) diff --git a/ossc.qsf b/ossc.qsf index 7e1551d..bb4c272 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -224,7 +224,7 @@ set_global_assignment -name ENABLE_SIGNALTAP OFF set_global_assignment -name USE_SIGNALTAP_FILE output_files/ossc_la.stp set_global_assignment -name FITTER_EFFORT "AUTO FIT" -set_global_assignment -name SEED 3 +set_global_assignment -name SEED 15 diff --git a/rtl/scanconverter.v b/rtl/scanconverter.v index 7e67d7c..b6f4e59 100644 --- a/rtl/scanconverter.v +++ b/rtl/scanconverter.v @@ -42,6 +42,7 @@ `define H_MULTMODE_FULLWIDTH 2'h0 `define H_MULTMODE_ASPECTFIX 2'h1 `define H_MULTMODE_OPTIMIZED 2'h2 +`define H_MULTMODE_OPTIMIZED_1X 2'h3 `define SCANLINES_OFF 2'h0 `define SCANLINES_H 2'h1 @@ -187,6 +188,8 @@ reg [2:0] H_OPT_SCALE; reg [2:0] H_OPT_SAMPLE_MULT; reg [2:0] H_OPT_SAMPLE_SEL; reg [9:0] H_L5BORDER; +reg [9:0] H_L3BORDER; +reg [6:0] H_L3_OPT_SAMPLE_COMP; reg [3:0] X_MASK_BR; reg X_SCANLINE_METHOD; reg [4:0] X_SCANLINE_HYBRSTR; @@ -375,12 +378,18 @@ case (V_MULTMODE) col_id_act = {2'b00, hcnt_2x[0]}; rlpf_trigger_act = 1'b1; end - `H_MULTMODE_OPTIMIZED: begin + `H_MULTMODE_OPTIMIZED_1X: begin pclk_mux_sel = `PCLK_MUX_1X; //special case: pclk bypass to enable 2x native sampling linebuf_hoffset = hcnt_2x_opt; col_id_act = {2'b00, hcnt_2x[1]}; rlpf_trigger_act = (hcnt_2x_opt_ctr == 0); end + `H_MULTMODE_OPTIMIZED: begin + pclk_mux_sel = `PCLK_MUX_2X; + linebuf_hoffset = hcnt_2x_opt; + col_id_act = hcnt_2x_opt_ctr; + rlpf_trigger_act = (hcnt_2x_opt_ctr == 0); + end endcase end `V_MULTMODE_3X: begin @@ -870,6 +879,10 @@ begin // H_L5BORDER <= h_info[29] ? (11'd1920-h_info[10:0])/2 : (11'd1600-h_info[10:0])/2; H_L5BORDER <= h_info[29] ? H_L5BORDER_1920_tmp[10:1] : H_L5BORDER_1600_tmp[10:1]; + // For Line3x 240x360 + H_L3BORDER <= h_info[28] ? H_L5BORDER_1920_tmp[10:1] : 10'd0; + + H_L3_OPT_SAMPLE_COMP <= h_info[28] ? 7'd90 : 7'd0; H_OPT_SCALE <= h_info2[18:16]; H_OPT_SAMPLE_SEL <= h_info2[15:13]; @@ -990,7 +1003,7 @@ begin if ((pclk_3x_cnt == 0) & (line_change | frame_change)) begin //aligned with posedge of pclk_1x if (!(frame_change & (FID_cur == `FID_ODD))) begin hcnt_3x <= 0; - hcnt_3x_opt <= H_OPT_SAMPLE_SEL; + hcnt_3x_opt <= H_OPT_SAMPLE_SEL + H_L3_OPT_SAMPLE_COMP; hcnt_3x_opt_ctr <= 0; line_out_idx_3x <= 0; end @@ -1001,7 +1014,7 @@ begin end else if (hcnt_3x == hmax[~line_idx]) begin hcnt_3x <= 0; line_out_idx_3x <= line_out_idx_3x + 1'b1; - hcnt_3x_opt <= H_OPT_SAMPLE_SEL; + hcnt_3x_opt <= H_OPT_SAMPLE_SEL + H_L3_OPT_SAMPLE_COMP; hcnt_3x_opt_ctr <= 0; end else begin hcnt_3x <= hcnt_3x + 1'b1; @@ -1032,7 +1045,7 @@ begin VSYNC_3x <= ~`VSYNC_POL; end - DE_3x <= ((hcnt_3x >= H_AVIDSTART) & (hcnt_3x < H_AVIDSTOP)) & ((vcnt_3x >= V_AVIDSTART) & (vcnt_3x < V_AVIDSTOP)); + DE_3x <= ((hcnt_3x >= H_AVIDSTART-H_L3BORDER) & (hcnt_3x < H_AVIDSTOP+H_L3BORDER)) & ((vcnt_3x >= V_AVIDSTART) & (vcnt_3x < V_AVIDSTOP)); end end diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 911d5ce..763542a 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -361,8 +361,8 @@ status_t get_status(tvp_input_t input, video_format format) return status; } -// h_info: [31:30] [29] [28] [27:20] [19:11] [10:0] -// | H_MULTMODE[1:0] | H_L5FMT | | H_SYNCLEN[7:0] | H_BACKPORCH[8:0] | H_ACTIVE[10:0] | +// h_info: [31:30] [29] [28] [27:20] [19:11] [10:0] +// | H_MULTMODE[1:0] | H_L5FMT | H_L3_240x360 | H_SYNCLEN[7:0] | H_BACKPORCH[8:0] | H_ACTIVE[10:0] | // // h_info2: [31:30] [29:19] [18:16] [15:13] [12:10] [9:0] // | | H_MASK[10:0] | H_OPT_SCALE[2:0] | H_OPT_SAMPLE_SEL[2:0] | H_OPT_SAMPLE_MULT[2:0] | H_OPT_STARTOFF[9:0] | @@ -398,6 +398,7 @@ void set_videoinfo() switch (cm.target_lm) { case MODE_L2_320_COL: + case MODE_L2_240x360: h_opt_scale = 4; break; case MODE_L2_256_COL: @@ -409,6 +410,9 @@ void set_videoinfo() case MODE_L3_256_COL: h_opt_scale = 4-cm.cc.ar_256col; break; + case MODE_L3_240x360: + h_opt_scale = 6; + break; case MODE_L4_320_COL: h_opt_scale = 4; break; @@ -456,6 +460,7 @@ void set_videoinfo() IOWR_ALTERA_AVALON_PIO_DATA(PIO_3_BASE, (cm.fpga_hmultmode<<30) | ((cm.cc.l5_fmt!=L5FMT_1600x1200)<<29) | + ((cm.target_lm==MODE_L3_240x360)<<28) | (((cm.sample_mult*h_synclen)&0xff)<<20) | (((cm.sample_mult*(alt_u16)video_modes[cm.id].h_backporch)&0x1ff)<<11) | ((cm.sample_mult*video_modes[cm.id].h_active)&0x7ff)); diff --git a/software/sys_controller/ossc/av_controller.h b/software/sys_controller/ossc/av_controller.h index bb02520..a8f36b2 100644 --- a/software/sys_controller/ossc/av_controller.h +++ b/software/sys_controller/ossc/av_controller.h @@ -55,6 +55,7 @@ #define FPGA_H_MULTMODE_FULLWIDTH 0 #define FPGA_H_MULTMODE_ASPECTFIX 1 #define FPGA_H_MULTMODE_OPTIMIZED 2 +#define FPGA_H_MULTMODE_OPTIMIZED_1X 3 #define FPGA_SCANLINEMODE_OFF 0 #define FPGA_SCANLINEMODE_H 1 diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index ce3cffa..f68e4f4 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -55,7 +55,8 @@ static const char *l2l4l5_mode_desc[] = { LNG("Generic 4:3","ジェネリッ static const char *l5_fmt_desc[] = { "1920x1080", "1600x1200", "1920x1200" }; static const char *pm_240p_desc[] = { LNG("Passthru","パススルー"), "Line2x", "Line3x", "Line4x", "Line5x" }; static const char *pm_480i_desc[] = { LNG("Passthru","パススルー"), "Line2x (bob)", "Line3x (laced)", "Line4x (bob)" }; -static const char *pm_384p_480p_desc[] = { LNG("Passthru","パススルー"), "Line2x" }; +static const char *pm_384p_desc[] = { LNG("Passthru","パススルー"), "Line2x", "Line2x 240x360", "Line3x 240x360" }; +static const char *pm_480p_desc[] = { LNG("Passthru","パススルー"), "Line2x" }; static const char *pm_1080i_desc[] = { LNG("Passthru","パススルー"), "Line2x (bob)" }; static const char *ar_256col_desc[] = { "4:3", "8:7" }; static const char *tx_mode_desc[] = { "HDMI", "DVI" }; @@ -129,9 +130,9 @@ MENU(menu_sync, P99_PROTECT({ \ MENU(menu_output, P99_PROTECT({ \ { LNG("240p/288p proc","240p/288pショリ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.pm_240p, OPT_WRAP, SETTING_ITEM(pm_240p_desc) } } }, - { LNG("384p proc","384pショリ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.pm_384p, OPT_WRAP, SETTING_ITEM(pm_384p_480p_desc) } } }, + { LNG("384p proc","384pショリ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.pm_384p, OPT_WRAP, SETTING_ITEM(pm_384p_desc) } } }, { LNG("480i/576i proc","480i/576iショリ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.pm_480i, OPT_WRAP, SETTING_ITEM(pm_480i_desc) } } }, - { LNG("480p/576p proc","480p/576pショリ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.pm_480p, OPT_WRAP, SETTING_ITEM(pm_384p_480p_desc) } } }, + { LNG("480p/576p proc","480p/576pショリ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.pm_480p, OPT_WRAP, SETTING_ITEM(pm_480p_desc) } } }, { LNG("960i/1080i proc","960i/1080iショリ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.pm_1080i, OPT_WRAP, SETTING_ITEM(pm_1080i_desc) } } }, { LNG("Line2x mode","Line2xモード"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.l2_mode, OPT_WRAP, SETTING_ITEM(l2l4l5_mode_desc) } } }, { LNG("Line3x mode","Line3xモード"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.l3_mode, OPT_WRAP, SETTING_ITEM(l3_mode_desc) } } }, diff --git a/software/sys_controller/tvp7002/video_modes.c b/software/sys_controller/tvp7002/video_modes.c index 120c670..6a9619b 100644 --- a/software/sys_controller/tvp7002/video_modes.c +++ b/software/sys_controller/tvp7002/video_modes.c @@ -49,7 +49,11 @@ alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type t switch (video_modes[i].group) { case GROUP_NONE: case GROUP_240P: + break; case GROUP_384P: + //fixed Line2x/3x mode for 240x360p + valid_lm[2] = MODE_L2_240x360; + valid_lm[3] = MODE_L3_240x360; break; case GROUP_480I: //fixed Line3x/4x mode for 480i @@ -107,7 +111,7 @@ alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type t cm.fpga_vmultmode = FPGA_V_MULTMODE_2X; // Use native 2x sampling with low-res modes when possible to minimize jitter and generate min. 25MHz input pclk for FPGA PLL if ((!cm.cc.vga_ilace_fix) && (video_modes[i].h_total < 1400) && ((video_modes[i].group == GROUP_240P) || (video_modes[i].group == GROUP_384P) || (video_modes[i].group == GROUP_480I))) { - cm.fpga_hmultmode = FPGA_H_MULTMODE_OPTIMIZED; + cm.fpga_hmultmode = FPGA_H_MULTMODE_OPTIMIZED_1X; cm.sample_mult = 2; } else { cm.fpga_hmultmode = FPGA_H_MULTMODE_FULLWIDTH; @@ -124,14 +128,19 @@ alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type t break; case MODE_L2_256_COL: cm.fpga_vmultmode = FPGA_V_MULTMODE_2X; - cm.fpga_hmultmode = FPGA_H_MULTMODE_OPTIMIZED; + cm.fpga_hmultmode = FPGA_H_MULTMODE_OPTIMIZED_1X; cm.sample_mult = 6; break; case MODE_L2_320_COL: cm.fpga_vmultmode = FPGA_V_MULTMODE_2X; - cm.fpga_hmultmode = FPGA_H_MULTMODE_OPTIMIZED; + cm.fpga_hmultmode = FPGA_H_MULTMODE_OPTIMIZED_1X; cm.sample_mult = 4; break; + case MODE_L2_240x360: + cm.fpga_vmultmode = FPGA_V_MULTMODE_2X; + cm.fpga_hmultmode = FPGA_H_MULTMODE_OPTIMIZED; + cm.sample_mult = 5; + break; case MODE_L3_GEN_16_9: cm.fpga_vmultmode = FPGA_V_MULTMODE_3X; cm.fpga_hmultmode = FPGA_H_MULTMODE_FULLWIDTH; @@ -157,6 +166,12 @@ alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type t cm.fpga_hmultmode = FPGA_H_MULTMODE_OPTIMIZED; cm.sample_mult = 5; break; + case MODE_L3_240x360: + cm.fpga_vmultmode = FPGA_V_MULTMODE_3X; + cm.fpga_hmultmode = FPGA_H_MULTMODE_OPTIMIZED; + cm.sample_mult = 7; + cm.hsync_cut = 13; + break; case MODE_L4_GEN_4_3: cm.fpga_vmultmode = FPGA_V_MULTMODE_4X; cm.fpga_hmultmode = FPGA_H_MULTMODE_FULLWIDTH; diff --git a/software/sys_controller/tvp7002/video_modes.h b/software/sys_controller/tvp7002/video_modes.h index 3fd4102..d42215a 100644 --- a/software/sys_controller/tvp7002/video_modes.h +++ b/software/sys_controller/tvp7002/video_modes.h @@ -70,16 +70,18 @@ typedef enum { MODE_L2 = (1<<3), MODE_L2_320_COL = (1<<4), MODE_L2_256_COL = (1<<5), - MODE_L3_GEN_16_9 = (1<<6), - MODE_L3_GEN_4_3 = (1<<7), - MODE_L3_320_COL = (1<<8), - MODE_L3_256_COL = (1<<9), - MODE_L4_GEN_4_3 = (1<<10), - MODE_L4_320_COL = (1<<11), - MODE_L4_256_COL = (1<<12), - MODE_L5_GEN_4_3 = (1<<13), - MODE_L5_320_COL = (1<<14), - MODE_L5_256_COL = (1<<15), + MODE_L2_240x360 = (1<<6), + MODE_L3_GEN_16_9 = (1<<7), + MODE_L3_GEN_4_3 = (1<<8), + MODE_L3_320_COL = (1<<9), + MODE_L3_256_COL = (1<<10), + MODE_L3_240x360 = (1<<11), + MODE_L4_GEN_4_3 = (1<<12), + MODE_L4_320_COL = (1<<13), + MODE_L4_256_COL = (1<<14), + MODE_L5_GEN_4_3 = (1<<15), + MODE_L5_320_COL = (1<<16), + MODE_L5_256_COL = (1<<17), } mode_flags; typedef struct { @@ -113,6 +115,9 @@ typedef struct { { "320x240LB", 320, 240, 426, 312, 49, 41, 31, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_320_COL | MODE_L3_320_COL | MODE_L4_320_COL | MODE_L5_320_COL) }, \ { "256x240LB", 256, 240, 341, 312, 39, 41, 25, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_256_COL | MODE_L3_256_COL | MODE_L4_256_COL | MODE_L5_256_COL) }, \ { "288p", 720, 288, 864, 312, 69, 19, 63, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \ + /* 360p: GBI */ \ + { "480x360", 480, 360, 600, 375, 63, 10, 38, 3, (VIDEO_EDTV), GROUP_384P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \ + { "240x360", 256, 360, 300, 375, 24, 10, 18, 3, (VIDEO_EDTV), GROUP_384P, (MODE_L2_240x360 | MODE_L3_240x360) }, \ /* 384p: Sega Model 2 */ \ { "384p", 496, 384, 640, 423, 50, 29, 62, 3, (VIDEO_EDTV), GROUP_384P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \ /* 640x400, VGA Mode 13h */ \ From f7075a0846bf42d0acf52944c797fd5a2fcea342 Mon Sep 17 00:00:00 2001 From: paulb-nl Date: Sat, 13 Oct 2018 23:47:37 +0200 Subject: [PATCH 12/21] Add 512x240 optimized resolution --- software/sys_controller/ossc/av_controller.c | 19 +++-------- software/sys_controller/ossc/menu.c | 4 +-- software/sys_controller/tvp7002/video_modes.c | 21 ++++++++++++ software/sys_controller/tvp7002/video_modes.h | 34 +++++++++++-------- 4 files changed, 48 insertions(+), 30 deletions(-) diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 763542a..a1a6ffd 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -419,30 +419,21 @@ void set_videoinfo() case MODE_L4_256_COL: h_opt_scale = 5-cm.cc.ar_256col; break; - case MODE_L5_GEN_4_3: - if (cm.cc.l5_fmt == L5FMT_1920x1080) { - v_active -= 24; - v_backporch += 12; - } - break; case MODE_L5_320_COL: h_opt_scale = 5; - if (cm.cc.l5_fmt == L5FMT_1920x1080) { - v_active -= 24; - v_backporch += 12; - } break; case MODE_L5_256_COL: h_opt_scale = 6-cm.cc.ar_256col; - if (cm.cc.l5_fmt == L5FMT_1920x1080) { - v_active -= 24; - v_backporch += 12; - } break; default: break; } + if (cm.target_lm >= MODE_L5_GEN_4_3 && cm.cc.l5_fmt == L5FMT_1920x1080) { + v_active -= 24; + v_backporch += 12; + } + // CEA-770.3 HDTV modes use tri-level syncs which have twice the width of bi-level syncs of corresponding CEA-861 modes if (target_type == VIDEO_HDTV) h_synclen *= 2; diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index f68e4f4..90fa3bf 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -50,8 +50,8 @@ static const char *video_lpf_desc[] = { LNG("Auto","オート"), LNG("Off","オ static const char *ypbpr_cs_desc[] = { "Rec. 601", "Rec. 709" }; static const char *s480p_mode_desc[] = { LNG("Auto","オート"), "DTV 480p", "VESA 640x480@60" }; static const char *sync_lpf_desc[] = { LNG("2.5MHz (max)","2.5MHz (サイダイ)"), LNG("10MHz (med)","10MHz (チュウイ)"), LNG("33MHz (min)","33MHz (サイショウ)"), LNG("Off","オフ") }; -static const char *l3_mode_desc[] = { LNG("Generic 16:9","ジェネリック 16:9"), LNG("Generic 4:3","ジェネリック 4:3"), LNG("320x240 optim.","320x240 サイテキカ."), LNG("256x240 optim.","256x240 サイテキカ.") }; -static const char *l2l4l5_mode_desc[] = { LNG("Generic 4:3","ジェネリック 4:3"), LNG("320x240 optim.","320x240 サイテキカ."), LNG("256x240 optim.","256x240 サイテキカ.") }; +static const char *l3_mode_desc[] = { LNG("Generic 16:9","ジェネリック 16:9"), LNG("Generic 4:3","ジェネリック 4:3"), LNG("512x240 optim.","512x240 サイテキカ."), LNG("320x240 optim.","320x240 サイテキカ."), LNG("256x240 optim.","256x240 サイテキカ.") }; +static const char *l2l4l5_mode_desc[] = { LNG("Generic 4:3","ジェネリック 4:3"), LNG("512x240 optim.","512x240 サイテキカ."), LNG("320x240 optim.","320x240 サイテキカ."), LNG("256x240 optim.","256x240 サイテキカ.") }; static const char *l5_fmt_desc[] = { "1920x1080", "1600x1200", "1920x1200" }; static const char *pm_240p_desc[] = { LNG("Passthru","パススルー"), "Line2x", "Line3x", "Line4x", "Line5x" }; static const char *pm_480i_desc[] = { LNG("Passthru","パススルー"), "Line2x (bob)", "Line3x (laced)", "Line4x (bob)" }; diff --git a/software/sys_controller/tvp7002/video_modes.c b/software/sys_controller/tvp7002/video_modes.c index 6a9619b..ed3d999 100644 --- a/software/sys_controller/tvp7002/video_modes.c +++ b/software/sys_controller/tvp7002/video_modes.c @@ -126,6 +126,11 @@ alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type t } } break; + case MODE_L2_512_COL: + cm.fpga_vmultmode = FPGA_V_MULTMODE_2X; + cm.fpga_hmultmode = FPGA_H_MULTMODE_OPTIMIZED; + cm.sample_mult = 2; + break; case MODE_L2_256_COL: cm.fpga_vmultmode = FPGA_V_MULTMODE_2X; cm.fpga_hmultmode = FPGA_H_MULTMODE_OPTIMIZED_1X; @@ -156,6 +161,11 @@ alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type t cm.fpga_vmultmode = FPGA_V_MULTMODE_3X; cm.fpga_hmultmode = FPGA_H_MULTMODE_ASPECTFIX; break; + case MODE_L3_512_COL: + cm.fpga_vmultmode = FPGA_V_MULTMODE_3X; + cm.fpga_hmultmode = FPGA_H_MULTMODE_OPTIMIZED; + cm.sample_mult = 2; + break; case MODE_L3_320_COL: cm.fpga_vmultmode = FPGA_V_MULTMODE_3X; cm.fpga_hmultmode = FPGA_H_MULTMODE_OPTIMIZED; @@ -183,6 +193,11 @@ alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type t cm.tx_pixelrep = TX_PIXELREP_2X; } break; + case MODE_L4_512_COL: + cm.fpga_vmultmode = FPGA_V_MULTMODE_4X; + cm.fpga_hmultmode = FPGA_H_MULTMODE_OPTIMIZED; + cm.sample_mult = 2; + break; case MODE_L4_320_COL: cm.fpga_vmultmode = FPGA_V_MULTMODE_4X; cm.fpga_hmultmode = FPGA_H_MULTMODE_OPTIMIZED; @@ -198,6 +213,12 @@ alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type t cm.fpga_hmultmode = FPGA_H_MULTMODE_FULLWIDTH; cm.hsync_cut = 120; break; + case MODE_L5_512_COL: + cm.fpga_vmultmode = FPGA_V_MULTMODE_5X; + cm.fpga_hmultmode = FPGA_H_MULTMODE_OPTIMIZED; + cm.sample_mult = 3; + cm.hsync_cut = 40; + break; case MODE_L5_320_COL: cm.fpga_vmultmode = FPGA_V_MULTMODE_5X; cm.fpga_hmultmode = FPGA_H_MULTMODE_OPTIMIZED; diff --git a/software/sys_controller/tvp7002/video_modes.h b/software/sys_controller/tvp7002/video_modes.h index d42215a..cb8b9ef 100644 --- a/software/sys_controller/tvp7002/video_modes.h +++ b/software/sys_controller/tvp7002/video_modes.h @@ -68,20 +68,24 @@ typedef enum { //at least one of the flags below must be set for each mode MODE_PT = (1<<2), MODE_L2 = (1<<3), - MODE_L2_320_COL = (1<<4), - MODE_L2_256_COL = (1<<5), - MODE_L2_240x360 = (1<<6), - MODE_L3_GEN_16_9 = (1<<7), - MODE_L3_GEN_4_3 = (1<<8), - MODE_L3_320_COL = (1<<9), - MODE_L3_256_COL = (1<<10), - MODE_L3_240x360 = (1<<11), - MODE_L4_GEN_4_3 = (1<<12), - MODE_L4_320_COL = (1<<13), - MODE_L4_256_COL = (1<<14), - MODE_L5_GEN_4_3 = (1<<15), - MODE_L5_320_COL = (1<<16), - MODE_L5_256_COL = (1<<17), + MODE_L2_512_COL = (1<<4), + MODE_L2_320_COL = (1<<5), + MODE_L2_256_COL = (1<<6), + MODE_L2_240x360 = (1<<7), + MODE_L3_GEN_16_9 = (1<<8), + MODE_L3_GEN_4_3 = (1<<9), + MODE_L3_512_COL = (1<<10), + MODE_L3_320_COL = (1<<11), + MODE_L3_256_COL = (1<<12), + MODE_L3_240x360 = (1<<13), + MODE_L4_GEN_4_3 = (1<<14), + MODE_L4_512_COL = (1<<15), + MODE_L4_320_COL = (1<<16), + MODE_L4_256_COL = (1<<17), + MODE_L5_GEN_4_3 = (1<<18), + MODE_L5_512_COL = (1<<19), + MODE_L5_320_COL = (1<<20), + MODE_L5_256_COL = (1<<21), } mode_flags; typedef struct { @@ -105,6 +109,7 @@ typedef struct { { "1536x240", 1536, 240, 2046, 262, 234, 15, 150, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L5_GEN_4_3 | MODE_PLLDIVBY2) }, \ { "1280x240", 1280, 240, 1560, 262, 170, 15, 72, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3 | MODE_PLLDIVBY2) }, \ { "960x240", 960, 240, 1170, 262, 128, 15, 54, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L3_GEN_4_3 | MODE_PLLDIVBY2) }, \ + { "512x240", 512, 240, 682, 262, 77, 14, 50, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_512_COL | MODE_L3_512_COL | MODE_L4_512_COL | MODE_L5_512_COL) }, \ { "320x240", 320, 240, 426, 262, 49, 14, 31, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_320_COL | MODE_L3_320_COL | MODE_L4_320_COL | MODE_L5_320_COL) }, \ { "256x240", 256, 240, 341, 262, 39, 14, 25, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_256_COL | MODE_L3_256_COL | MODE_L4_256_COL | MODE_L5_256_COL) }, \ { "240p", 720, 240, 858, 262, 57, 15, 62, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \ @@ -112,6 +117,7 @@ typedef struct { { "1536x240L", 1536, 240, 2046, 312, 234, 41, 150, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L5_GEN_4_3 | MODE_PLLDIVBY2) }, \ { "1280x288", 1280, 288, 1560, 312, 170, 15, 72, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3 | MODE_PLLDIVBY2) }, \ { "960x288", 960, 288, 1170, 312, 128, 15, 54, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L3_GEN_4_3 | MODE_PLLDIVBY2) }, \ + { "512x240LB", 512, 240, 682, 312, 77, 41, 50, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_512_COL | MODE_L3_512_COL | MODE_L4_512_COL | MODE_L5_512_COL) }, \ { "320x240LB", 320, 240, 426, 312, 49, 41, 31, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_320_COL | MODE_L3_320_COL | MODE_L4_320_COL | MODE_L5_320_COL) }, \ { "256x240LB", 256, 240, 341, 312, 39, 41, 25, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_256_COL | MODE_L3_256_COL | MODE_L4_256_COL | MODE_L5_256_COL) }, \ { "288p", 720, 288, 864, 312, 69, 19, 63, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \ From 525c08a777dc98dfdc1575e52cb870dd41d8ea9f Mon Sep 17 00:00:00 2001 From: marqs Date: Sat, 20 Oct 2018 23:55:52 +0300 Subject: [PATCH 13/21] fix debug mode printf --- software/ossc_sw.project | 3 +- .../mem_init/sys_onchip_memory2_0.hex | 14974 ++++++++-------- software/sys_controller/ossc/av_controller.c | 1 + software/sys_controller/ossc/firmware.c | 10 - software/sys_controller/ossc/menu.c | 4 +- software/sys_controller/ossc/sysconfig.h | 2 + software/sys_controller/ossc/utils.c | 19 + software/sys_controller/ossc/utils.h | 4 + software/sys_controller_bsp/Makefile | 3 + software/sys_controller_bsp/libhal_bsp.a | Bin 28110 -> 31564 bytes 10 files changed, 7537 insertions(+), 7483 deletions(-) diff --git a/software/ossc_sw.project b/software/ossc_sw.project index 48b390e..ae32caf 100644 --- a/software/ossc_sw.project +++ b/software/ossc_sw.project @@ -134,7 +134,8 @@ - make ENABLE_AUDIO=y APP_CFLAGS_DEBUG_LEVEL="-DDEBUG" generate_hex + make APP_CFLAGS_DEBUG_LEVEL="-DDEBUG" generate_hex + make ENABLE_AUDIO=y APP_CFLAGS_DEBUG_LEVEL="-DDEBUG" generate_hex cd ../sys_controller_bsp && touch public.mk Makefile make clean diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index c76ff88..1d45149 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -53,7505 +53,7537 @@ :040034000000911720 :04003500F3010113BF :0400360000007D1732 -:04003700538D0D13C5 +:040037005B8D0D13BD :0400380000008D97A0 -:040039009B4D8D93BB +:04003900A24D8D93B4 :04003A0001BD57634A :04003B00000D202371 :04003C00DDE30D11E2 :04003D0000EFFFAD24 :04003E00114100402C :04003F00C6064501AB -:040040002005200572 +:040040002835283502 :04004100A60367DDCE -:0400420067DD61070E -:040043006147A583E9 +:0400420067DD690706 +:040043006947A583E1 :0400440040B267DD82 -:040045006187A50327 +:040045006987A5031F :04004600206F0141E5 -:04004700AB050940BC -:0400480067DD80826E -:040049000002073773 -:04004A0062E7A023A6 -:04004B0007B7655D31 -:04004C00675D019C4F -:04004D00CC078793C2 -:04004E00D3050513BE -:04004F0060F72E2305 -:04005000675DAEAD8D -:0400510061C7270359 -:04005200A78367DD3C -:04005300557D62076E -:040054004721CB1164 -:040055006741C3D864 -:04005600C798177DB3 -:040057004711C7D8AE -:040058004501C3D8C3 -:0400590067DD80825D -:04005A0061C7A78350 -:04005B00CF91557D6F -:04005C00A70367DDB2 -:04005D0028236207EB -:04005E004B1C000730 -:04005F0007C24B4841 -:0400600083C1054211 -:0400610045138D5D59 -:040062008082FFF5A4 -:04006300A50367DDAD -:04006400808261C76E -:040065002703C9396B -:0400660011410C0533 -:0400670000859793E6 -:04006800C226C422C6 -:04006900E793C6064D -:04006A0084AE003729 -:04006B00C75C842AC0 -:04006C000C04270356 -:04006D008B85431C20 -:04006E00431CE795B3 -:04006F00D71345015D -:04007000D6934027BC -:040071008B1D40376C -:0400720087858AA153 -:040073008BC18F5559 -:0400740084638FD939 -:04007500051300F47B -:0400760040B2FD2077 -:040077004492442249 -:040078008082014140 -:0400790021A5450573 -:04007A005529B7E16C -:04007B0057A980827F -:04007C00C991C91944 -:04007D005918CA093B -:04007E00C21857ED60 -:04007F000513C70995 -:04008000C1880345EB -:04008100853E4781F0 -:0400820057A9808278 -:04008300C263C1395A -:040084002703040545 -:04008500FE630C45C5 -:04008600268302E5E6 -:0400870087130D05C9 -:040088008F6DFFF683 -:04008900D5B3E71DE7 -:04008A0007B702D5DD -:04008B008793010056 -:04008C0005A2F007D2 -:04008D0027838DFD3B -:04008E00E5930C05E5 -:04008F00C7CC0025B5 -:040090000C052683B2 -:040091004A984781C1 -:04009200C7018B0512 -:04009300CA9C478537 -:04009400853E57ED61 -:04009500CE63808234 -:0400960071391005A7 -:04009700D84ADC2245 -:04009800DA26DE0680 -:04009900D452D64E19 -:04009A00D05AD25610 -:04009B008432892AF8 -:04009C00436355293C -:04009D0001630C06E9 -:04009E00CEDD0C099E -:04009F000C4927835E -:0400A0000AF67C637D -:0400A1000AF5FA63FF -:0400A2000D0927839A -:0400A30086338E0D05 -:0400A400636340C78B -:0400A50041630AE6C3 -:0400A60017FD0A0731 -:0400A700EDC98DFD15 -:0400A8002AB76A0504 -:0400A9006B210002C5 -:0400AA000A1349816B -:0400AB008A93802A8A -:0400AC000B13110A17 -:0400AD00E319020B46 -:0400AE00A8BD4501A3 -:0400AF00CE3E57FDED -:0400B0000034751390 -:0400B1004791CD099D -:0400B20084BA8F89F4 -:0400B30000E7F3630C -:0400B4008C0984BE71 -:0400B5000034779309 -:0400B6005529CB817C -:0400B700478DA8B118 -:0400B800E363449129 -:0400B90084BA00E71E -:0400BA0085B3087C86 -:0400BB00953E013637 -:0400BC00C63A862694 -:0400BD0040EFC43616 -:0400BE0046A27C607A -:0400BF00087C473240 -:0400C0000008853778 -:0400C10088B3684157 -:0400C200051300978B -:0400C30008134405D5 -:0400C4009D63101810 -:0400C5002783031773 -:0400C60046720B89EA -:0400C70097A299A6BD -:0400C8002783C39037 -:0400C9008F050C098A -:0400CA000107861391 -:0400CB008B894B9C36 -:0400CC004789CF9DF4 -:0400CD00556DC21C8F -:0400CE00546250F236 -:0400CF00594254D26C -:0400D0005A2259B2A5 -:0400D1005B025A92E2 -:0400D20080826121A6 -:0400D3000007C583DA -:0400D40086330785E3 -:0400D50085B30345A7 -:0400D6007633036515 -:0400D7008DE9015658 -:0400D80006338E4D10 -:0400D9008241030657 -:0400DA00FEC78FA32B -:0400DB000411B75DF8 -:0400DC005529B79952 -:0400DD00C94580820F -:0400DE00D42271793E -:0400DF00D606C85A1F -:0400E000D04AD2260A -:0400E100CC52CE4EE1 -:0400E200C65ECA56D6 -:0400E300842AC46245 -:0400E40055298B32DD -:0400E5002783C61D8A -:0400E60027030B845D -:0400E7008A360BC486 -:0400E80084AE97AE9D -:0400E900FE6396BE5E -:0400EA00FC6300E7CC -:0400EB002A8300E67E -:0400EC004B810D0433 -:0400ED000355DAB32A -:0400EE000CC4278394 -:0400EF0000FAEF63C1 -:0400F00050B24501C4 -:0400F10054925422AF -:0400F20049F2590274 -:0400F3004AD24A6241 -:0400F4004BB24B427E -:0400F50061454C22F3 -:0400F60003E380821E -:0400F7002983FE0A51 -:0400F8004C010D04A6 -:0400F900413009B3D6 -:0400FA000099F9B3BD -:0400FB0001348463E5 -:0400FC0041348C33CC -:0400FD00852285CE05 -:0400FE00F5613D0962 -:0400FF000D042903C0 -:0401000041890933F5 -:04010100012A7363F9 -:04010200874A89524D -:04010300017B06B3C3 -:0401040085CE8626F8 -:040105003581852299 -:040106000A33F54D76 -:040107009BCA412A24 -:040108000A8594CA06 -:040109005529BF5164 -:04010A0057A98082EF -:04010B00C641C549DB -:04010C000B852783B5 -:04010D000BC52703F4 -:04010E00883395BEDF -:04010F0057A900B636 -:0401100006E5FB63A2 -:0401110006E8796320 -:04011200C4221141B1 -:0401130086B28436F6 -:040114008622853684 -:0401150040EFC606EB -:0401160086AA6660EF -:04011700253765859E -:040118006821000258 -:04011900000888B79B -:04011A0046016341F6 -:04011B008025859323 -:04011C0011050513B1 -:04011D0002080813B9 -:04011E004408889376 -:04011F0010130313A3 -:0401200000864863AA -:04012100442240B282 -:04012200853E47814E -:040123008082014194 -:0401240000C68E3350 -:04012500000E47037E -:0401260007B3060510 -:04012700073302B7E1 -:040128008FE9030751 -:040129000117773310 -:04012A0087B38FD92F -:04012B0083C1026723 -:04012C0000FE0023AE -:04012D00853EB7F163 -:04012E00CD4D8082B1 -:04012F000C05270391 -:04013000CB5557B59F -:040131000C8527838F -:040132004718EBA9D6 -:04013300771346B147 -:0401340007930FF727 -:04013500E963FEB7C5 -:0401360066D902F68E -:040137008693078A1A -:0401380097B6C4862C -:040139008782439CDA -:04013A002000079307 -:04013B000D05268305 -:04013C000C45260345 -:04013D0002F685B38E -:04013E0000B616638E -:04013F000CC5258343 -:0401400004F58663D9 -:040141000205282368 -:04014200A0B557B558 -:0401430040000793DE -:040144006785BFF11B -:040145008007879315 -:040146004358BFD18A -:04014700771347D90A -:040148000F630FF73B -:0401490047E100F793 -:04014A0000F70F6348 -:04014B0057B546D18D -:04014C0004D713635E -:04014D000200079312 -:04014E000793BF55FF -:04014F00B77D040074 -:040150000800079309 -:040151000793B765F4 -:04015200B74D100095 -:04015300C60611418A -:040154000CE52C2367 -:04015500470565DD18 -:04015600D918DD5C7B -:0401570002052A2350 -:04015800C134DD10C1 -:04015900560585932F -:04015A0040B222018C -:04015B00853E478115 -:04015C00808201415B -:04015D00853E57A9DB -:04015E0047958082BF -:04015F0002F606336B -:04016000000524234F -:04016100C91C4785E9 -:0401620002C5D5B34A -:04016300F79315FDFC -:0401640081A10FF571 -:04016500F593C11C31 -:04016600C14C0FF584 -:0401670008000793F2 -:040168008082C51CB0 -:040169008A05058678 -:04016A00C54C95B239 -:04016B0009000793ED -:04016C00010507136F -:04016D00431CC91C4A -:04016E00FFF58B8985 -:04016F00811D49089D -:0401700080828905FB -:04017100010507136A -:040172000680079369 -:040173000793E19974 -:04017400C91C0200A0 -:040175008B89431C13 -:040176004548FFF504 -:04017700C54C808271 -:040178000105071363 -:0401790005000793E3 -:04017A0047C1E21186 -:04017B00431CC91C3C -:04017C00FFF58B8977 -:04017D00811D49088F -:04017E0080828905ED -:04017F00069347811B -:04018000C36302C093 -:04018100808200C7B1 -:040182000105081358 -:040183002703C91471 -:040184008B090008DB -:04018500C599FF6DAC -:0401860000C5280385 +:04004700110119008A +:04004800C62ACE06F0 +:040049004A4040EFFA +:04004A0040F245B289 +:04004B00655D862A3F +:04004C0005134681D1 +:04004D0061055D8567 +:04004E00A9FDA01D4B +:04004F0067DD808267 +:04005000000207376C +:040051006AE7A02397 +:0400520007B7655D2A +:04005300675D019C48 +:04005400CC078793BB +:04005500DD4505136D +:0400560068F72E23F6 +:040057004118A6A105 +:0400580000C586B3A6 +:04005900E4637541A6 +:04005A00853200D516 +:04005B00435C808200 +:04005C00DBF58FE958 +:04005D00C7830585CB +:04005E00C31CFFF5CB +:04005F00C939B7EDF7 +:040060000C05270361 +:04006100979311411F +:04006200C42200852F +:04006300C606C226E5 +:040064000037E793E7 +:04006500842A84AEB7 +:040066002703C75C49 +:04006700431C0C0426 +:04006800E7958B8508 +:040069004501431CEE +:04006A004027D71341 +:04006B004037D693B1 +:04006C008AA18B1DBD +:04006D008F5587859F +:04006E008FD98BC1DA +:04006F0000F48463B2 +:04007000FD20051357 +:04007100442240B233 +:040072000141449272 +:04007300450580823D +:04007400B7E121A52A +:040075008082552907 +:04007600C91957A9A4 +:04007700CA09C99158 +:0400780057ED5918CF +:04007900C709C218D9 +:04007A000345051322 +:04007B004781C18870 +:04007C008082853EBB +:04007D00C13957A985 +:04007E000405C26350 +:04007F000C45270302 +:0400800002E5FE6334 +:040081000D052683C0 +:04008200FFF68713EB +:04008300E71D8F6D79 +:0400840002D5D5B319 +:04008500010007B7B8 +:04008600F007879365 +:040087008DFD05A244 +:040088000C052783B9 +:040089000025E593D6 +:04008A002683C7CC36 +:04008B0047810C0598 +:04008C008B054A98FE +:04008D004785C701DB +:04008E0057EDCA9CC4 +:04008F008082853EA8 +:040090001005CE6326 +:04009100DC227139C3 +:04009200DE06D84A64 +:04009300D64EDA2645 +:04009400D256D4521A +:04009500892AD05A8A +:040096005529843232 +:040097000C064363AD +:040098000C090163EB +:040099002783CEDD0E +:04009A007C630C492E +:04009B00FA630AF604 +:04009C0027830AF5B7 +:04009D008E0D0D09AE +:04009E0040C786339E +:04009F000AE66363A7 +:0400A0000A074163A7 +:0400A1008DFD17FDBD +:0400A2006A05EDC935 +:0400A30000022AB776 +:0400A40049816B2102 +:0400A500802A0A1390 +:0400A600110A8A931E +:0400A700020B0B132A +:0400A8004501E31912 +:0400A90057FDA8BD9A +:0400AA007513CE3EBE +:0400AB00CD09003447 +:0400AC008F89479160 +:0400AD00F36384BABB +:0400AE0084BE00E725 +:0400AF0077938C09AE +:0400B000CB810034CC +:0400B100A8B1552974 +:0400B2004491478DA1 +:0400B30000E7E3631C +:0400B400087C84BA86 +:0400B500013685B3D8 +:0400B6008626953EC7 +:0400B700C436C63A4B +:0400B80015C040EF40 +:0400B900473246A2E2 +:0400BA008537087C02 +:0400BB006841000890 +:0400BC00009788B36E +:0400BD0044050513DE +:0400BE0010180813FB +:0400BF0003179D6323 +:0400C0000B892783FE +:0400C10099A6467244 +:0400C200C39097A2AE +:0400C3000C0927837A +:0400C40086138F050B +:0400C5004B9C010748 +:0400C600CF9D8B89B6 +:0400C700C21C478987 +:0400C80050F2556D30 +:0400C90054D2546257 +:0400CA0059B259428C +:0400CB005A925A22C9 +:0400CC0061215B0251 +:0400CD00C5838082E5 +:0400CE00078500079B +:0400CF00034586332C +:0400D000036585B38C +:0400D100015676332B +:0400D2008E4D8DE9D9 +:0400D30003060633E7 +:0400D4008FA3824133 +:0400D500B75DFEC74E +:0400D600B7990411C1 +:0400D70080825529A5 +:0400D8007179C9452C +:0400D900C85AD4220B +:0400DA00D226D6064E +:0400DB00CE4ED04AEB +:0400DC00CA56CC52E2 +:0400DD00C462C65ED5 +:0400DE008B32842AB3 +:0400DF00C61D5529BC +:0400E0000B842783E3 +:0400E1000BC4270322 +:0400E20097AE8A3615 +:0400E30096BE84AE93 +:0400E40000E7FE63D0 +:0400E50000E6FC63D2 +:0400E6000D042A8358 +:0400E700DAB34B81BC +:0400E8002783035512 +:0400E900EF630CC4F1 +:0400EA00450100FAD2 +:0400EB00542250B299 +:0400EC0059025492CF +:0400ED004A6249F228 +:0400EE004B424AD265 +:0400EF004C224BB2A2 +:0400F0008082614564 +:0400F100FE0A03E31D +:0400F2000D0429834D +:0400F30009B34C0100 +:0400F400F9B34130EB +:0400F5008463009987 +:0400F6008C33013412 +:0400F70085CE41343D +:0400F8003D09852217 +:0400F9002903F56181 +:0400FA0009330D04B5 +:0400FB007363418961 +:0400FC008952012AFA +:0400FD0006B3874A75 +:0400FE008626017BD6 +:0400FF00852285CE03 +:04010000F54D358103 +:04010100412A0A3352 +:0401020094CA9BCA36 +:04010300BF510A8559 +:040104008082552977 +:04010500C54957A9E8 +:040106002783C64144 +:0401070027030B853A +:0401080095BE0BC5D0 +:0401090000B6883381 +:04010A00FB6357A993 +:04010B00796306E529 +:04010C00114106E8AF +:04010D008436C4224E +:04010E00853686B2FA +:04010F00C606862278 +:040110007FD030EF7D +:04011100658586AAD0 +:04011200000225378B +:0401130088B7682120 +:04011400634100083B +:040115008593460187 +:040116000513802528 +:0401170008131105B3 +:0401180088930208BE +:040119000313440880 +:04011A004863101313 +:04011B0040B2008668 +:04011C0047814422B1 +:04011D000141853ED9 +:04011E008E3380821A +:04011F00470300C6CC +:040120000605000EC2 +:0401210002B707B367 +:040122000307073395 +:0401230077338FE9B6 +:040124008FD9011757 +:04012500026787B333 +:04012600002383C16E +:04012700B7F100FE2E +:040128008082853E0E +:040129002703CD4D8E +:04012A0057B50C05B4 +:04012B002783CB5506 +:04012C00EBA90C85AA +:04012D0046B1471878 +:04012E000FF777133D +:04012F00FEB707937D +:0401300002F6E96387 +:04013100078A66D5FE +:040132006506869345 +:04013300439C97B69C +:040134000793878224 +:0401350026832000FD +:0401360026030D058A +:0401370085B30C453B +:04013800166302F652 +:04013900258300B664 +:04013A0086630CC507 +:04013B00282304F57C +:04013C0057B50205AC +:04013D000793A0B5CF +:04013E00BFF14000CD +:04013F0087936785B6 +:04014000BFD18007A4 +:0401410047D94358FF +:040142000FF7771329 +:0401430000F70F634F +:040144000F6347E11D +:0401450046D100F7A8 +:04014600136357B533 +:04014700079304D73F +:04014800BF5502009D +:040149000400079314 +:04014A000793B77DE3 +:04014B00B76508008C +:04014C001000079305 +:04014D001141B74D58 +:04014E002C23C60692 +:04014F0065DD0CE579 +:04015000DD5C470526 +:040151002A23D9186C +:04015200DD100205B5 +:040153008593C1349B +:0401540022015E0521 +:04015500478140B2EC +:040156000141853EA0 +:0401570057A98082A2 +:040158008082853EDE +:04015900063347958D +:04015A00242302F662 +:04015B0047850005CF +:04015C00D5B3C91C32 +:04015D0015FD02C5C5 +:04015E000FF5F7930F +:04015F00C11C81A19D +:040160000FF5F5930F +:040161000793C14CF3 +:04016200C51C0800B0 +:04016300058680820B +:0401640095B28A05C1 +:040165000793C54CEB +:040166000713090072 +:04016700C91C0105A9 +:040168008B89431C20 +:040169004908FFF54D +:04016A008905811D65 +:04016B000713808274 +:04016C0007930105EF +:04016D00E19906808E +:04016E0002000793F1 +:04016F00431CC91C48 +:04017000FFF58B8983 +:0401710080824548FB +:040172000713C54C5E +:0401730007930105E8 +:04017400E21105008F +:04017500C91C47C199 +:040176008B89431C12 +:040177004908FFF53F +:040178008905811D57 +:0401790047818082B8 +:04017A0002C0069326 +:04017B0000C7C36393 +:04017C000813808262 +:04017D00C91401059B +:04017E00000827034B +:04017F00FF6D8B097C +:040180002803C599F2 +:04018100873300C5FB +:04018200002300F561 +:0401830007850107E4 +:040184004781BFF1FF +:04018500C36346F119 +:04018600808200C7AC :0401870000F58733C5 -:040188000107002348 -:04018900BFF1078536 -:04018A0046F1478172 -:04018B0000C7C36383 -:04018C0087338082B3 -:04018D00470300F52F -:04018E00081300074B -:04018F00C558010549 -:040190002703C91464 -:040191008B090008CE -:040192000785FF6D71 -:0401930047EDB7C5B8 -:0401940002F5053338 -:040195005533479DFA -:04019600E46302F527 -:04019700450100A777 -:040198000001808260 -:04019900BFD5078542 -:04019A00451CC11926 -:04019B0067DDEF919C -:04019C0055C7A78319 -:04019D001141C395B4 -:04019E009782C60678 -:04019F0047D940B24A -:0401A0005529C11C00 -:0401A1008082014116 -:0401A200C14C419C6F -:0401A300419CC11C9E -:0401A400C188C3C883 -:0401A500808245010E -:0401A6000513656177 -:0401A70047D9A90586 -:0401A8005529C11CF8 -:0401A9000000808250 -:0401AA0000B5673302 -:0401AB00FFF00393CB -:0401AC00003777138E -:0401AD0010071063C4 -:0401AE007F7F87B711 -:0401AF00F7F7879344 -:0401B000000526031D -:0401B1000005A6831C -:0401B20000F672B32E -:0401B30000F66333BC -:0401B40000F282B320 -:0401B5000062E2B34F -:0401B60010729263CE -:0401B70008D61663ED -:0401B80000452603D5 -:0401B9000045A683D4 -:0401BA0000F672B326 -:0401BB0000F66333B4 -:0401BC0000F282B318 -:0401BD000062E2B347 -:0401BE000C729E63BE -:0401BF0006D61663E7 -:0401C000008526038D -:0401C1000085A6838C -:0401C20000F672B31E -:0401C30000F66333AC -:0401C40000F282B310 -:0401C5000062E2B33F -:0401C6000C729863BC -:0401C70004D61663E1 -:0401C80000C5260345 -:0401C90000C5A68344 -:0401CA0000F672B316 -:0401CB0000F66333A4 -:0401CC0000F282B308 -:0401CD000062E2B337 -:0401CE000C729263BA -:0401CF0002D61663DB -:0401D00001052603FC -:0401D1000105A683FB -:0401D20000F672B30E -:0401D30000F663339C -:0401D40000F282B300 -:0401D5000062E2B32F -:0401D6000A729C63AA -:0401D70001450513C6 -:0401D80001458593C5 -:0401D900F4D60EE367 -:0401DA0001061713F0 -:0401DB0001069793EF -:0401DC0000F71E63A7 -:0401DD0001065713AD -:0401DE000106D793AC +:040188000007470322 +:040189000105081351 +:04018A00C914C55877 +:04018B00000827033E +:04018C00FF6D8B096F +:04018D00B7C5078566 +:04018E00053347ED01 +:04018F00479D02F591 +:0401900002F55533EC +:0401910000A7E4637C +:040192008082450121 +:0401930007850001DB +:04019400C119BFD5F9 +:04019500EF91451C85 +:04019600A78367DDF7 +:04019700C3955DC7E8 +:04019800C606114145 +:0401990040B2978257 +:04019A00C11C47D964 +:04019B0001415529A0 +:04019C00419C808280 +:04019D00C11CC14C74 +:04019E00C3C8419CF5 +:04019F004501C188CD +:0401A0006561808293 +:0401A100B00505138D +:0401A200C11C47D95C +:0401A30080825529D8 +:0401A40000B5673308 +:0401A500FFF00393D1 +:0401A6000037771394 +:0401A70010071063CA +:0401A8007F7F87B717 +:0401A900F7F787934A +:0401AA000005260323 +:0401AB000005A68322 +:0401AC0000F672B334 +:0401AD0000F66333C2 +:0401AE0000F282B326 +:0401AF000062E2B355 +:0401B00010729263D4 +:0401B10008D61663F3 +:0401B20000452603DB +:0401B3000045A683DA +:0401B40000F672B32C +:0401B50000F66333BA +:0401B60000F282B31E +:0401B7000062E2B34D +:0401B8000C729E63C4 +:0401B90006D61663ED +:0401BA000085260393 +:0401BB000085A68392 +:0401BC0000F672B324 +:0401BD0000F66333B2 +:0401BE0000F282B316 +:0401BF000062E2B345 +:0401C0000C729863C2 +:0401C10004D61663E7 +:0401C20000C526034B +:0401C30000C5A6834A +:0401C40000F672B31C +:0401C50000F66333AA +:0401C60000F282B30E +:0401C7000062E2B33D +:0401C8000C729263C0 +:0401C90002D61663E1 +:0401CA000105260302 +:0401CB000105A68301 +:0401CC0000F672B314 +:0401CD0000F66333A2 +:0401CE0000F282B306 +:0401CF000062E2B335 +:0401D0000A729C63B0 +:0401D10001450513CC +:0401D20001458593CB +:0401D300F4D60EE36D +:0401D40001061713F6 +:0401D50001069793F5 +:0401D60000F71E63AD +:0401D70001065713B3 +:0401D8000106D793B2 +:0401D90040F70533B3 +:0401DA000FF5759315 +:0401DB000205906326 +:0401DC000000806738 +:0401DD0001075713AC +:0401DE000107D793AB :0401DF0040F70533AD :0401E0000FF575930F -:0401E1000205906320 +:0401E100000594631E :0401E2000000806732 -:0401E30001075713A6 -:0401E4000107D793A5 +:0401E3000FF7771388 +:0401E4000FF7F79387 :0401E50040F70533A7 -:0401E6000FF5759309 -:0401E7000005946318 -:0401E800000080672C -:0401E9000FF7771382 -:0401EA000FF7F79381 -:0401EB0040F70533A1 -:0401EC000000806728 -:0401ED0000054603C0 -:0401EE000005C683BF -:0401EF0000150513DF -:0401F00000158593DE -:0401F10000D61463BD -:0401F200FE0616E30C -:0401F30040D60533BA -:0401F4000000806720 -:0401F50000450513A9 -:0401F60000458593A8 -:0401F700FCD61CE333 -:0401F80000000513EB -:0401F900000080671B -:0401FA000085051364 -:0401FB000085859363 -:0401FC00FCD612E338 -:0401FD0000000513E6 -:0401FE000000806716 -:0401FF0000C505131F -:0402000000C585931D -:04020100FAD618E32E -:0402020000000513E0 -:040203000000806710 -:0402040001050513D8 -:0402050001058593D7 -:04020600F8D61EE325 -:0402070000000513DB -:04020800000080670B -:04020900120D07B714 -:04020A0087931101C4 -:04020B00C03E2827A2 -:04020C000793CE0680 -:04020D00E219F800FA -:04020E00040007934E -:04020F000323069E21 -:04021000E79300A1CF -:04021100E6930087E9 -:040212008B0D0086CA -:040213004505858A8E -:0402140000F10223D0 -:0402150000D102A36F -:0402160000E103A35D -:04021700C602C40255 -:0402180040F226C1C9 -:040219008082610579 -:04021A004702110185 -:04021B00080007B719 -:04021C008FF9CE0682 -:04021D00110A073784 -:04021E00104707136B -:04021F00C03E8FD975 -:0402200000414783CF -:040221000037E79328 -:0402220000F10223C2 -:04022300C111479529 -:040224009713478D58 -:0402250047830027E4 -:04022600858A004184 -:040227009B8D450561 -:0402280002238FD945 -:04022900578300F106 -:04022A00F7930061E5 -:04022B001323700722 -:04022C00219900F123 -:04022D00610540F235 -:04022E001141808278 -:04022F001437C4229A -:04023000C2260002E0 -:0402310084AA460154 -:0402320004C005936C -:0402330004040513A7 -:0402340039C9C606F8 -:040235000FF4F5933A -:040236000513460165 -:040237003301040487 -:0402380004C0059366 -:0402390004040513A1 -:04023A00396D4605CF -:04023B00040405139F -:04023C0040B2442266 -:04023D00458544921D -:04023E00B1E90141E0 -:04023F00C422114183 -:04024000000214376D -:04024100C04AC226C7 -:04024200892A84AED3 -:0402430005934601D8 -:04024400051304C0DA -:04024500C6060404E1 -:04024600759331710A -:0402470046010FF964 -:040248000404051392 -:040249000513396DF3 -:04024A004422040442 -:04024B00490240B272 -:04024C00449285A6AD -:04024D000141460520 -:04024E001141B15D4C -:04024F003FB5C606EB -:04025000751340B230 -:0402510001410FF563 -:040252001141808254 -:04025300377DC60627 -:04025400450140B26E -:040255008082014161 -:04025600CC221101A4 -:04025700C84ACA26A1 -:0402580084AACE06A0 -:040259004401892EA5 -:04025A0000C4496330 -:04025B00446240F2C7 -:04025C00494244D2FD -:04025D0061054501F1 -:04025E0007B38082E0 -:04025F00C5830089CA -:0402600085330007DB -:04026100751300848D -:04026200C6320FF59C -:0402630004053F85CA -:04026400BFD9463286 -:040265003E8007933D -:0402660002F5053365 -:04026700F82FF06F0D -:04026800458111417A -:04026900C606453D43 -:04026A0040B2374D1A -:04026B0005134581B1 -:04026C000141061036 -:04026D001141BF5923 -:04026E00645DC422E5 -:04026F0063440413CD -:04027000002445839E -:04027100C606451563 -:04027200478337493E -:0402730005930024CB -:04027400451103D05D -:040275009713839DBB -:040276004783002793 -:040277009BED00C437 -:0402780006238FD9F1 -:04027900379500F4C1 -:04027A00376D450592 -:04027B00451145F5EF -:04027C0005933FA9FE -:04027D000513030062 -:04027E003F810610A6 -:04027F000B200593B8 -:0402800037A1452538 -:040281000F80059352 -:040282003781452952 -:04028300037005936C -:040284003F25452DA0 -:04028500453D45812D -:0402860045813F0D62 -:040287000C900513BF -:040288004581372D48 -:040289000CA00513AD -:04028A004581370D66 -:04028B000CB005139B -:04028C0045813F2940 -:04028D000CC0051389 -:04028E0045813F095E -:04028F000CD0051377 -:040290004581372944 -:040291000CE0051365 -:040292004581370962 -:040293000CF0051353 -:0402940045813DED76 -:040295000D00051340 -:0402960045833DCD92 -:0402970044220044B9 -:04029800051340B258 -:0402990001410E1001 -:04029A007179B5CDF4 -:04029B00D226D42271 -:04029C00CE4ED04A28 -:04029D003E80041388 -:04029E004785D606B4 -:04029F00892E89AA71 -:0402A000028554334C -:0402A1009563648D70 -:0402A200648900F576 -:0402A30080048493BC -:0402A400453D45850A -:0402A5003D55C632CB -:0402A6000513458176 -:0402A7003575033076 -:0402A8000084D59366 -:0402A90003400513F6 -:0402AA004581354D08 -:0402AB0003500513E4 -:0402AC0075933D69A0 -:0402AD0005130FF432 -:0402AE003D410300CB -:0402AF0000845593DF -:0402B0000FF5F593BE -:0402B100031005131E -:0402B20055933549E2 -:0402B300F5930104BA -:0402B40005130FF52A -:0402B5003D95032050 -:0402B600453D4581FC -:0402B700458135BD8B -:0402B8000C500513CE -:0402B90064DD359D2E -:0402BA00634487937F -:0402BB000137A42340 -:0402BC00849347855B -:0402BD004409634449 -:0402BE00036346325E -:0402BF00442900F9D5 -:0402C00082234785C9 -:0402C10083A300F41F -:0402C2004705008468 -:0402C300036347BDCD -:0402C400478100E688 -:0402C50000F482A31C -:0402C6000C00059390 -:0402C7000E0005130D -:0402C8004785352D04 -:0402C9000590051384 -:0402CA000AF91B63AF -:0402CB0075933539B9 -:0402CC00E5930FC5E2 -:0402CD000513001500 -:0402CE003D01059059 -:0402CF003BF54511A5 -:0402D0000EB575935F -:0402D100351145118D -:0402D2000044C5839C -:0402D3000E100513F1 -:0402D40005933BED66 -:0402D50005130E40BF -:0402D6003BC50E20F6 -:0402D7000054C58387 -:0402D8000E300513CC -:0402D90033D589BDD3 -:0402DA000E800593FA -:0402DB000E400513B9 -:0402DC0045853BE930 -:0402DD003BD1453D8F -:0402DE00051345813E -:0402DF0033F10910DE -:0402E000051345813C -:0402E10033D10920EC -:0402E2000513458536 -:0402E3003B7509302E -:0402E4000513458138 -:0402E5003B5509403C -:0402E6000C0465930C -:0402E7000980051372 -:0402E8004593336D9A -:0402E90005920FF477 -:0402EA0000B5E593E3 -:0402EB000FB5F593C3 -:0402EC00099005135D -:0402ED0045813B59B3 -:0402EE003B41453D0E -:0402EF000C10059357 -:0402F0000E000513E4 -:0402F10007933359E3 -:0402F2008323FC1056 -:0402F30050B200F411 -:0402F40054925422AA -:0402F50049F259026F -:0402F6006145450514 -:0402F7003BB1808215 -:0402F8000FC5759326 -:0402F9001141BF8967 -:0402FA00C6064511DE -:0402FB00659333B91B -:0402FC00F5930085F1 -:0402FD0045110FF5A3 -:0402FE0040B23B8946 -:0402FF000300059360 -:0403000006100513CB -:04030100B391014172 -:04030200451111414F -:040303003335C606C2 -:04030400659340B20B -:04030500F593004527 -:0403060045110FF599 -:04030700B3350141C8 -:04030800C6061141D3 -:04030900E911C42210 -:04030A000513458111 -:04030B003B310CD0A6 -:04030C0040B24505B1 -:04030D000141442244 -:04030E00842E808237 -:04030F00D9F54501D6 -:04031000453D45859D -:0403110045833319D4 -:04031200051300349B -:0403130039F5058033 -:0403140000444583D9 -:040315000590051337 -:04031600458339CD15 -:040317000513005476 -:0403180031E505A026 -:0403190000644583B4 -:04031A0005B0051312 -:04031B00458339F9E4 -:04031C000513007451 -:04031D0039D105C00D -:04031E00008445838F -:04031F0005E00513DD -:04032000458331E9F7 -:04032100051300942C -:0403220031C105F0F0 -:0403230000A445836A -:0403240006000513B7 -:040325004583395D76 -:04032600051300B407 -:040327003175061016 -:0403280000C4458345 -:040329000620051392 -:04032A004583314D89 -:04032B00051300D4E2 -:04032C0039610630FD -:04032D0000E4458320 -:04032E00064005136D -:04032F004583317958 -:04033000051300F4BD -:0403310031510650F0 -:0403320000340793F9 -:0403330004414581BB -:040334000007C703F4 -:040335008D99078512 -:040336000FF5F59337 -:04033700FEF41AE3D3 -:0403380006F58593AE -:040339000FF5F59334 -:04033A0005D00513D2 -:04033B00458139B906 -:04033C0039A1453D61 -:04033D00BF15458D16 -:04033E00C60611419D -:04033F00E911C422DA -:0403400005134581DB -:0403410031910CE00A -:0403420040B245057B -:04034300014144220E -:04034400842E808201 -:04034500D9F54501A0 -:04034600453D458567 -:040347004583313D7C -:040348000513003465 -:0403490031150680E4 -:04034A0000444583A3 -:04034B000690051300 -:04034C004583392983 -:04034D000513006430 -:04034E00390106B0BB -:04034F00007445836E -:0403500006C00513CB -:040351004583311996 -:0403520047830034A9 -:04035300051300444A -:0403540097AE06D08A -:0403550007100593F5 -:0403560047838D9DAF -:040357008D9D005424 -:040358000064478373 -:0403590047838D9DAC -:04035A008D9D007401 -:04035B000FF5F59312 -:04035C0045813EE9B0 -:04035D003ED1453D0B -:04035E00B761458DB1 -:04035F00C422114162 -:04036000842A458125 -:04036100C606453D4A -:04036200051336C980 -:0403630036750C10CF -:0403640000803433AE -:040365000FE5759398 -:0403660005138DC12D -:0403670036750C10CB -:0403680040B2442239 -:040369000513458DA6 -:04036A0001410C60E1 -:04036B007179BE796D -:04036C00D04AD2267B -:04036D00892A84AEA7 -:04036E00451145F5FB -:04036F00CC52CE4E50 -:04037000D606C636B1 -:04037100CA56D42272 -:0403720036418A3254 -:0403730069DD46B248 -:04037400634987133F -:0403750097938A854B -:0403760046830016A4 -:04037700899300C79F -:040378009AF5634946 -:0403790006238EDDEC -:04037A008A8900D795 -:04037B004505C299D9 -:04037C0005133771BD -:04037D00C4030700AE -:04037E00368100398B -:04037F000034FA93B9 -:0403800075934785A5 -:04038100866300355A -:04038200478908FAA5 -:0403830008FA86638B -:0403840000847793E7 -:04038500E593C399A0 -:040386007793020562 -:04038700C399001402 -:040388000105E593F3 -:040389000024779342 -:04038A00E593C3999B -:04038B008811008550 -:04038C00E593C0191C -:04038D00051300450F -:04038E003E01070025 -:04038F001A0A9B6348 -:04039000003A7A13A2 -:040391000C6347852D -:04039200478904FA99 -:040393001AFA1363DC -:0403940001849793B6 -:04039500440187E1B7 -:040396000007D46325 -:040397000A00041341 -:040398000304F493D3 -:0403990002000793C4 -:04039A0014F48C6368 -:04039B0003000793C1 -:04039C0004F483637F -:04039D0065DD47C112 -:04039E0016F491635D -:04039F008593460DEF -:0403A0000513568566 -:0403A1003CC907301C -:0403A200464965DD86 -:0403A300E345859316 -:0403A400E593A83500 -:0403A500BFAD0405DF -:0403A6000805E593CE -:0403A7009793BF95D4 -:0403A80087E1018464 -:0403A9000404F41341 -:0403AA00FA07DCE38F -:0403AB00FA046413D9 -:0403AC000FF47413C3 -:0403AD0065DDB775DE -:0403AE008593460DE0 -:0403AF00051356C517 -:0403B0003C5907307D -:0403B100464965DD77 -:0403B200E4858593C6 -:0403B30007600513C7 -:0403B400A89FF0EF1F -:0403B500051344895F -:0403B600F0EF07203D -:0403B7007593A61F75 -:0403B8008C4501C5AA -:0403B90005138DC1DA -:0403BA00F0EF072039 -:0403BB00C783A61F2F -:0403BC00458500C9AA -:0403BD00E3918B89B4 -:0403BE00051345815D -:0403BF00F0EF0C004F -:0403C00045D5A4DF9C -:0403C100F0EF451103 -:0403C20045C1A45F2E -:0403C3000610051308 -:0403C400A3BFF0EFF4 -:0403C50011634789F0 -:0403C60005930EF994 -:0403C7000513088092 -:0403C800F0EF06202C -:0403C90045C1A29FE9 -:0403CA0006300513E1 -:0403CB00A1FFF0EFAF -:0403CC00084005934D -:0403CD0006400513CE -:0403CE00A13FF0EF6C -:0403CF00F0EF450501 -:0403D0004511A57FAF -:0403D1009F7FF0EF2B -:0403D20001D5759349 -:0403D300F0EF4511F1 -:0403D40005139FDF8F -:0403D500F0EF0640FF -:0403D6004511A3FF2B -:0403D7009DFFF0EFA7 -:0403D80001557593C3 -:0403D900F0EF4511EB -:0403DA0004139E5F0B -:0403DB00453906405A -:0403DC009CBFF0EFE3 -:0403DD00E901894168 -:0403DE000442147D44 -:0403DF00804145050F -:0403E000A15FF0EF3A -:0403E1004581F46DF1 -:0403E200F0EF4531C2 -:0403E30005939C1FC3 -:0403E4004535040097 -:0403E5009B7FF0EF1B -:0403E60045394585CB -:0403E7009AFFF0EF9A -:0403E80045394581CD -:0403E9009A7FF0EF18 -:0403EA009F9FF0EFF2 -:0403EB00542250B296 -:0403EC0059025492CC -:0403ED004A6249F225 -:0403EE0045054AD2A5 -:0403EF008082614562 -:0403F000460D65DD74 -:0403F10056C58593D5 -:0403F20007300513B8 -:0403F30098DFF0EFB0 -:0403F400464965DD34 -:0403F500E205859305 -:0403F600460DBDD51E -:0403F700568585930F -:0403F80007300513B2 -:0403F900975FF0EF2B -:0403FA00464965DD2E -:0403FB00E0C5859341 -:0403FC004401BDF10A -:0403FD00B5C54481BD -:0403FE00051345E1BD -:0403FF00F0EF0620F5 -:0404000045C194DF7F -:0404010006300513A9 -:04040200943FF0EF44 -:04040300B71D45B12B -:04040400C4221141BC -:04040500842AC2265D -:04040600453D84AE3E -:04040700C60645815F -:0404080092BFF0EFC0 -:040409000590051342 -:04040A00913FF0EF3F -:04040B0002F57593EE -:04040C00041AE49951 -:04040D00F5938DC115 -:04040E00E5930FF56E -:04040F00442201057D -:04041000449240B220 -:04041100059005133A -:04041200F06F014145 -:0404130067DD901FF2 -:04041400D3078793F0 -:040415002223675DDA -:04041600A70364F7DD -:0404170005130C8736 -:04041800CB01F380A1 -:040419000D47A703E1 -:04041A001000079334 -:04041B0000F7136370 -:04041C008082450194 -:04041D0086AE67DD63 -:04041E00A50385AA03 -:04041F0011416447DC -:04042000F0EFC6062D -:04042100C119BA8FB4 -:04042200F37005135B -:04042300014140B2A1 -:0404240011018082C0 -:040425008432CC222F -:04042600CE06CA260E -:040427000FF47793C4 -:04042800872E86AAEB -:0404290064DD062266 -:04042A00A503C385DE -:04042B00759364441D -:04042C0005A2F00431 -:04042D009A2FF0EF23 -:04042E000513C119D8 -:04042F0040F2F35054 -:0404300044D244620C -:04043100808261055F -:04043200A503C42A30 -:04043300C62E644429 -:04043400C23285B299 -:04043500936FF0EFE2 -:0404360046A2461282 -:04043700D571473202 -:04043800F360051355 -:040439001101BFE905 -:04043A00C84ACC22BE -:04043B00C452C64E93 -:04043C00CA26CE06F8 -:04043D00842E892A56 -:04043E000A1389B262 -:04043F00E8111000B0 -:0404400040F2450140 -:0404410044D24462FB -:0404420049B2494230 -:0404430061054A22E3 -:0404440084A280828C -:04044500008A746352 -:04044600100004930B -:0404470085A6864EB2 -:040448003F85854A1D -:040449009926FD797A -:04044A0009858C058F -:04044B001101BFC913 -:04044C00C84ACC22AC -:04044D00C452C64E81 -:04044E00CE06C256BE -:04044F00892ECA2602 -:0404500089B68A32AD -:04045100478144019A -:0404520010000A93F9 -:0404530003246063BB -:040454008463450177 -:040455000513014743 -:0404560040F2F3403D -:0404570044D24462E5 -:0404580049B249421A -:040459004A924A2257 -:04045A008082610536 -:04045B00408904B31D -:04045C00009AF463AB -:04045D0010000493F4 -:04045E0085A6864E9B -:04045F003DDD8522D8 -:040460003613FD69E9 -:0404610085A6001458 -:0404620020EF854EB4 -:0404630087AA610003 -:040464001004041369 -:040465001101BF655D -:04046600645DCC22E3 -:040467000513C62A89 -:04046800CE066484D4 -:04046900622030EFEE -:04046A00E90945B2A5 -:04046B002000071353 -:04046C00460146817E -:04046D00648405138B -:04046E00756030EF96 -:04046F00446240F2B1 -:040470008082610520 -:04047100CC22110187 -:04047200C64EC84A60 -:04047300C256C45257 -:04047400CE06C05A96 -:04047500892ACA26E0 -:04047600843289AE95 -:040477000A938A3624 -:040478006B5D200098 -:040479004501E01940 -:04047A0084A2A01D9B -:04047B00008AF4639C -:04047C0020000493C5 -:04047D0001049713CC -:04047E0046818341EF -:04047F0085D2864A52 -:04048000648B051371 -:0404810070A030EF48 -:040482000533CD0968 -:0404830040F240A063 -:0404840044D24462B8 -:0404850049B24942ED -:040486004A924A222A -:0404870061054B02BE -:04048800864E80829A -:04048900855285A66D -:04048A00F1753D7D4E -:04048B000084D7937F -:04048C0099BE090507 -:04048D00B77D8C05A6 -:04048E00655D65DD66 -:04048F0069C5859323 -:0404900065C5051326 -:040491007C20206F3C -:04049200655D65DD62 -:040493006FC5859319 -:0404940067050513E0 -:040495007B20206F39 -:04049600C42211412A -:04049700842AC606E7 -:040498009A9FF0EF48 -:040499004501458153 -:04049A00A91FF0EFB7 -:04049B00675DE43580 -:04049C006B070713D0 -:04049D0007B7434812 -:04049E008793019CA3 -:04049F00D7B3CC07FC -:0404A000060302A7A6 -:0404A10045E900A782 -:0404A2000107450306 -:0404A300869366DDF9 -:0404A400645D798694 -:0404A5007184041347 -:0404A60002B6063361 -:0404A7000264458323 -:0404A80002A787B36D -:0404A900D50396B22F -:0404AA00460300E61F -:0404AB0087B302749D -:0404AC00450302A75B -:0404AD00050500C77A -:0404AE0002A787B367 -:0404AF0000E745031A -:0404B0000533050506 -:0404B100F0EF02F571 -:0404B2004503FA4FB5 -:0404B3004422026479 -:0404B400014140B210 -:0404B500D94FF06FBC -:0404B600442240B2EA -:0404B70080820141FD -:0404B800C04A1141E4 -:0404B9004505892A42 -:0404BA00C422C6068C -:0404BB00F0EFC22676 -:0404BC00F0EFA8FFB6 -:0404BD0045818F5F87 -:0404BE00F0EF450115 -:0404BF003693927F5F -:0404C00046010019D8 -:0404C1004505458127 -:0404C200AA7FF0EF2E -:0404C30002091563B2 -:0404C40064DD645D32 -:0404C5006B040413AD -:0404C6007184849326 -:0404C70000F44703F3 -:0404C8000164C68382 -:0404C9004581460122 -:0404CA00F0EF450109 -:0404CB00C783CFAF65 -:0404CC0006A301641E -:0404CD00854A02F466 -:0404CE004422370588 -:0404CF00449240B261 -:0404D0004501490297 -:0404D100F06F014186 -:0404D2001141A37FB2 -:0404D300C422C60673 -:0404D40067DDED2DC6 -:0404D5006817C7835A -:0404D6008C634721CB -:0404D700474104E7AE -:0404D80000E789634D -:0404D9009E634711C6 -:0404DA0020EF04E724 -:0404DB0045054B90F8 -:0404DC00675DA0A117 -:0404DD006B0707138F -:0404DE0007B74350C9 -:0404DF008793019C62 -:0404E000D7B3CC07BB -:0404E100060302C745 -:0404E20045E900A741 -:0404E3000713675D37 -:0404E40086AA7987E4 -:0404E50002B6063322 -:0404E60057039732EF -:0404E70087B300E7F0 -:0404E800C73702E729 -:0404E900071301C92B -:0404EA00636337F71A -:0404EB0046BD00F713 -:0404EC0020EF853642 -:0404ED00450D471062 -:0404EE0040B24422B2 -:0404EF00206F014138 -:0404F00020EF02B047 -:0404F100450146106B -:0404F20067DDBFC53E -:0404F3007187871373 -:0404F4000207468332 -:0404F500841347091C -:0404F600453D718788 -:0404F70000E6836335 -:0404F80020EF4501AB -:0404F90047834410E1 -:0404FA0047090204A8 -:0404FB0075E3450D53 -:0404FC004515FCF7AF -:0404FD0075138D1DC9 -:0404FE00BF7D0FF5BA -:0404FF00678971791F -:04050000D422D60625 -:04050100D04AD226E4 -:04050200CC52CE4EBB -:04050300C85ACA56B2 -:04050400C462C65EA9 -:040505008793C266B0 -:04050600173771072B -:040507002683000245 -:04050800D4630C07A5 -:0405090017FD0006D4 -:04050A0020EFFBFDE6 -:04050B006BDD6A90AA -:04050C006B0B87935B -:04050D0000B7C483EC -:04050E0084138A2A9E -:04050F00C4996B0B15 -:04051000000217B717 -:040511000C07A483AC -:04051200888D80C18F -:040513000370051359 -:04051400017020EF63 -:0405150005138AAA96 -:0405160020EF03804F -:04051700678500D024 -:0405180000851993AE -:04051900F0078793CD -:04051A0000F9F9B338 -:04051B00006557938D -:04051C00892A8B8518 -:04051D00039005132F -:04051E0000F404A33E -:04051F007EA020EFAB -:0405200005138CAA89 -:0405210020EF03A024 -:0405220017B77E0089 -:04052300A7830002A8 -:0405240047090C0770 -:040525000159E9B3DC -:0405260002E48B63FD -:0405270000B44703D2 -:040528000713E709C5 -:04052900746318F0EF -:04052A004705033747 -:04052B0000E49D63E8 -:04052C000127D99337 -:04052D007FF9F993C6 -:04052E00B713098571 -:04052F00471319094C -:040530004C01001763 -:040531007913A81181 -:0405320008E30209CF -:04053300B713FE09F3 -:0405340047130C89D4 -:040535004C0500175A -:0405360000B4468344 -:040537006ADD67DD35 -:0405380062478793FC -:04053900718A8493AC -:04053A009F636B5DF3 -:04053B00006318063B -:04053C000E63380A08 -:04053D0087033607F3 -:04053E0046890007E3 -:04053F0018E6D16386 -:04054000000780230D -:0405410005A3478542 -:04054200491100F467 -:040543006B0BA78314 -:0405440000851A1301 -:040545000513650530 -:040546007A33F0050F -:04054700869300AAED -:040548006A330017FB -:04054900675D019A4F -:04054A000136ED6326 -:04054B00001986937A -:04054C0000F6E96369 -:04054D009663405C15 -:04054E004783014797 -:04054F008B63008436 -:040550000CA333873E -:04055100C7036E0767 -:04055200478300C417 -:040553001A630234F1 -:04055400C70308F7DA -:04055500478300D404 -:0405560014630244E4 -:04055700C70308F7D7 -:04055800478300E4F1 -:040559001E630254C7 -:04055A00C70306F7D6 -:04055B00478300F4DE -:04055C0018630264BA -:04055D00C70306F7D3 -:04055E0047830104CA -:04055F0012630274AD -:04056000C70306F7D0 -:040561004783007458 -:040562001C6301E431 -:04056300C70304F7CF -:040564004783008445 -:04056500166301F424 -:04056600C70304F7CC -:040567004783009432 -:040568001063020416 -:04056900C70304F7C9 -:04056A00478300A41F -:04056B001A630214F9 -:04056C00C70302F7C8 -:04056D00478300B40C -:04056E0014630224EC -:04056F00C70302F7C5 -:040570004783019428 -:040571001E630304FE -:04057200C70300F7C4 -:04057300478301A415 -:0405740018630314F1 -:04057500C70300F7C1 -:040576004783024471 -:04057700096303B45D -:04057800470900F738 -:04057900736387CA57 -:04057A00478900E9C4 -:04057B000FF7F9136A -:04057C000174C7033C -:04057D0002E44783CA -:04057E0002F7086315 -:04057F0000A407834A -:0405800087B347698D -:04058100675D02E7C9 -:04058200798707135B -:04058300D70397BA49 -:0405840007930107D1 -:04058500196320D006 -:04058600470900F72A -:04058700736387CA49 -:04058800478900E9B6 -:040589000FF7F9135C -:04058A00711B478317 -:04058B000703C3B1EE -:04058C0046E900A498 -:04058D00073367DDEC -:04058E00879302D776 -:04058F004503798720 -:0405900015930104BA -:0405910081C1010A19 -:0405920097BA4601CD -:0405930000E7D703A3 -:0405940002E5053344 -:040595000304470311 -:040596008141054258 -:04059700D603C709B7 -:040598008205018750 -:0405990020EF8A05C0 -:04059A0013637BA0CC -:04059B004905000905 -:04059C006B3BA823EA -:04059D0001442223D0 -:04059E0001840423AD -:04059F000705A03577 -:0405A00000E78023CD -:0405A100B5594901FE -:0405A200000A0463E4 -:0405A3001E071163BB -:0405A4000007868343 -:0405A500D763567151 -:0405A60080231CC6CC -:0405A70005A30007A1 -:0405A80049110004F1 -:0405A900E60714E36A -:0405AA00718AC70388 -:0405AB00017447830D -:0405AC0006F71E63CD -:0405AD000014C7036C -:0405AE0001844783FA -:0405AF0006F71863D0 -:0405B0000024C70359 -:0405B10001944783E7 -:0405B20006F71263D3 -:0405B3000034C70346 -:0405B40001A44783D4 -:0405B50004F71C63C8 -:0405B6000044C70333 -:0405B70001B44783C1 -:0405B80004F71663CB -:0405B9000054C70320 -:0405BA0001C44783AE -:0405BB0004F71063CE -:0405BC000124C7034C -:0405BD0002944783DA -:0405BE0002F71A63C3 -:0405BF000134C70339 -:0405C00002A44783C7 -:0405C10002F71463C6 -:0405C2000144C70326 -:0405C30002B44783B4 -:0405C40000F71E63BB -:0405C5000114C70353 -:0405C60002844783E1 -:0405C70000F71863BE -:0405C8000254C7030F -:0405C90003C447839D -:0405CA0000F70563CE -:0405CB0000091363AD -:0405CC00C503490515 -:0405CD0047830184DB -:0405CE008B6302F445 -:0405CF00458300A7B9 -:0405D00020EF010413 -:0405D10008A3185013 -:0405D200136300A40B -:0405D30049050009CD -:0405D40001C4C50396 -:0405D5000334478321 -:0405D60000A7846393 -:0405D7001A3020EFC7 -:0405D80001D4C50382 -:0405D900034447830D -:0405DA0000A784638F -:0405DB0063A020EF0A -:0405DC0001E4C5036E -:0405DD0003544783F9 -:0405DE0000A784638B -:0405DF00634020EF66 -:0405E0000214C50339 -:0405E10003844783C5 -:0405E2000224C583A7 -:0405E30000A7966374 -:0405E40003944783B2 -:0405E50000B7846374 -:0405E6005F0020EFA3 -:0405E70001B4C50393 -:0405E800032447831E -:0405E90000A78B6379 -:0405EA00053347E1AD -:0405EB0067DD02F5D1 -:0405EC009907879351 -:0405ED0020EF953E28 -:0405EE00C50377408A -:0405EF004783020438 -:0405F00084630374A9 -:0405F100F0EF00A780 -:0405F200C503B85F26 -:0405F300478301F445 -:0405F40084630364B5 -:0405F50020EF00A74C -:0405F60065DD0710A8 -:0405F700461D69DD57 -:0405F8006F05859373 -:0405F90074198513D9 -:0405FA002A4030EF74 -:0405FB008513C50996 -:0405FC0020EF74195F -:0405FD00C7035C6074 -:0405FE0047830264C9 -:0405FF00186303D4A6 -:04060000C70300F735 -:0406010047830274B5 -:04060200066303E4A4 -:04060300C50300F734 -:04060400F0EF0154BE -:0406050067DDA47F8A -:040606007127C7830E -:04060700C503CF81D7 -:04060800478302849E -:04060900876303F40C -:04060A00155100A7DF -:04060B00856105629E -:04060C0008A020EF33 -:04060D00718A8593D6 -:04060E00017405135B -:04060F0003100613BB -:0406100027C030EFE0 -:04061100542250B26D -:04061200700B08A3BE -:040613005492854A2E -:0406140049F259024C -:040615004AD24A6219 -:040616004BB24B4256 -:040617004C924C2293 -:040618008082614536 -:04061900802316FD27 -:04061A00490100D7BB -:04061B008023BD2556 -:04061C00BFE500072F -:04061D006F97478309 -:04061E0086E34685A4 -:04061F000785CCD7A8 -:040620000FF7F79346 -:040621006EF70CA3C1 -:04062200CAD79FE3B1 -:0406230087CA470932 -:0406240000E9736313 -:04062500F9134789F5 -:04062600B1750FF7A4 -:040627001141675DB9 -:040628000693C6224D -:040629008E036B07CA -:04062A0045E900A6F8 -:04062B0005B368DDCE -:04062C00C50302BE42 -:04062D008793017638 -:04062E00C6037988FE -:04062F0046890106F1 -:040630006B0707133A -:0406310079888893A9 -:04063200C30397AEB9 -:04063300D8030147A0 -:04063400C58300C7B3 -:040635001463013712 -:04063600468318D50A -:0406370006850187AC -:040638000FF6F69330 -:04063900014755031D -:04063A0002274F83C1 -:04063B000E93479142 -:04063C008263800550 -:04063D006785020EBD -:04063E0080078E9310 -:04063F001AAEE563A7 -:04064000020007931A -:040641001CF5096338 -:0406420018A7E163B1 -:0406430047914EC1CC -:0406440001D5036376 -:04064500655D87B2B6 -:0406460068154E8362 -:040647009663452150 -:04064800030600AEF7 -:040649005313034202 -:04064A004503010360 -:04064B004F0501272F -:04064C00006578636A -:04064D0040A3033390 -:04064E0001031F1372 -:04064F00010F5F1325 -:0406500005334569C0 -:0406510002B302AE40 -:040652004E0940F617 -:04065300954644057F -:0406540000A55E831C -:040655000125450333 -:0406560003D2833315 -:0406570000AF03B33A -:0406580003C3433362 -:0406590002974E03B3 -:04065A00025382B312 -:04065B0053130342F0 -:04065C0003B70103DC -:04065D008E332000B8 -:04065E009E1A03C716 -:04065F004283931629 -:040660000E4200D76F -:040661005E130342DF -:040662005313010E1F -:0406630002FA010393 -:04066400008F93630D -:040665000533438195 -:040666000FB702A622 -:040667008F9300105D -:0406680007C2800F36 -:0406690006EE0E4E3D -:04066A00443205AE63 -:04066B0003D60EB3F1 -:04066C007533052EAF -:04066D00063301F55A -:04066E00FE9303E60E -:04066F00EEB37FFE69 -:040670006533005E90 -:040671000EB701D5EA -:0406720006520FF02D -:0406730001D6763303 -:0406740066338E4912 -:0406750015370076BF -:040676002823000233 -:0406770046030AC567 -:040678004E83011795 -:040679000636010739 -:04067A0066330EAA2B -:04067B008FD101D644 -:04067C0001C7E7B318 -:04067D000067E7B378 -:04067E000AF5202336 -:04067F0000C74783E6 -:0406800001C7460365 -:0406810007F64369CC -:040682008FD1066AA4 -:0406830002A7460381 -:040684008FD10652BA -:0406850000A70603C1 -:0406860002660633CF -:04068700C60398B25C -:0406880006460158C9 -:040689008EDD8FD1A2 -:04068A000106E6B3CC -:04068B0028238ECDC5 -:04068C00478308D5C3 -:04068D00468303C7D6 -:04068E0007BA02B7EE -:04068F008FD506AA53 -:0406900001B74683E5 -:0406910046838FD538 -:04069200470301A772 -:0406930006A601971F -:0406940007128FD5E5 -:0406950020238FD9B6 -:04069600014108F521 -:040697004E8580828A -:0406980011E34681A3 -:04069900D503E9D5C7 -:04069A00468301870B -:04069B00890500C706 -:04069C0089E3C50920 -:04069D00468DE6069A -:04069E0085E3B5B586 -:04069F00C683E60622 -:0406A00016F10177D7 -:0406A10000D036B39C -:0406A2000E93BDB145 -:0406A300478D10006F -:0406A400E9D503E3AE -:0406A5002000079397 -:0406A600E6F51EE374 -:0406A700028745037E -:0406A8008F8947915E -:0406A9006E89A83579 -:0406AA0003D50F6302 -:0406AB0000AEE86352 -:0406AC00E6F512E37A -:0406AD000287450378 -:0406AE00B7E54795D0 -:0406AF000F636791DD -:0406B00067A102F547 -:0406B100E4F518E371 -:0406B2000287450373 -:0406B3008F8947994B -:0406B4000FF7F793B2 -:0406B5004503A81140 -:0406B600478D0287E3 -:0406B70007868F899A -:0406B8000FF7F793AE -:0406B90087B2BD0D3A -:0406BA00E20F97E3D1 -:0406BB0005B118214C -:0406BC0005C2084229 -:0406BD0001085813C5 -:0406BE00BD3181C108 -:0406BF00B7ED4795B7 -:0406C00067DD1101E0 -:0406C1004705C64ED5 -:0406C2008CA369DDBF -:0406C30087936EE7C4 -:0406C400CA266B09CE -:0406C500CC2243C43C -:0406C600C84ACE064A -:0406C700C256C45201 -:0406C8006B09841323 -:0406C90018048A6324 -:0406CA006B09A7838E -:0406CB001807866323 -:0406CC00A0EEC8379D -:0406CD00B008081356 -:0406CE0002F85833A3 -:0406CF0000844783D9 -:0406D000019C0A3748 -:0406D100CC0A0A1332 -:0406D200029A5A33FB -:0406D300160784631F -:0406D400029854B381 -:0406D50003B0051356 -:0406D60010E020EF21 -:0406D7000513892A54 -:0406D80020EF03C04C -:0406D9004783104003 -:0406DA00460301646E -:0406DB0097130084ED -:0406DC0067D90027B3 -:0406DD00CA0787932E -:0406DE00439497BAF0 -:0406DF006B09A703F9 -:0406E0000700079375 -:0406E1000793E21980 -:0406E20066370690E1 -:0406E300655D000150 -:0406E400D4460613DF -:0406E500051345C5EF -:0406E60020EF670595 -:0406E70006937FB047 -:0406E80008133E8035 -:0406E9004729064057 -:0406EA0045C5655D40 -:0406EB006FC50513BF -:0406EC0002DA763385 -:0406ED000304DAB375 -:0406EE0002E6573396 -:0406EF000001663769 -:0406F000061387D690 -:0406F100F833D4C640 -:0406F20056B30304F4 -:0406F30020EF02DA18 -:0406F40067DD7C70D2 -:0406F5007957C783E7 -:0406F600F0EFE399A5 -:0406F70064DDE6EFE9 -:0406F8007104C68340 -:0406F90000844583B1 -:0406FA006B09A503E0 -:0406FB0020EF865610 -:0406FC0057FD72E054 -:0406FD000CF509638C -:0406FE0000A405232C -:0406FF0000A4070349 -:0407000046E967DD82 -:0407010064E78B23FB -:0407020002D70733E0 -:04070300879367DD94 -:040704004683798728 -:04070500405001045B -:04070600030448039D -:04070700C50397BAD5 -:04070800C7030167BB -:040709008D79710471 -:04070A0000A3675D84 -:04070B00D58368A783 -:04070C00771300E778 -:04070D0085B30FF9A8 -:04070E00468102D549 -:04070F0002B70733F3 -:0407100081C105C2DC -:0407110002C7573391 -:0407120082410642D8 -:040713000008066371 -:040714000187D68300 -:040715008A858285CA -:040716000FF777134F -:04071700516020EF1E -:04071800037445031E -:04071900EE6FF0EFA0 -:04071A00010445830E -:04071B0002F445039C -:04071C00456020EF25 -:04071D0000A408A389 -:04071E00C25FF0EFD7 -:04071F0002C4478346 -:0407200000E44503A9 -:04072100E791458196 -:0407220000F4458317 -:04072300B5938D8974 -:04072400F0EF0015DD -:040725004783B7EF60 -:04072600450303A4E0 -:04072700CB8502C4B8 -:0407280040F24462F5 -:04072900494244D22B -:04072A004A2249B264 -:04072B0061054A9288 -:04072C00E30FF06F78 -:04072D00BD69080694 -:04072E006A11648563 -:04072F007704849334 -:04073000D54A0A1389 -:040731004791BD41EE -:0407320000F40523A7 -:04073300E10DBF0510 -:0407340000F4470383 -:0407350002D4468321 -:0407360040F24462E7 -:04073700494244D21D -:040738004A2249B256 -:0407390046014A9299 -:04073A00610545818F -:04073B00B39FE06F19 -:04073C00446240F2E1 -:04073D00494244D217 -:04073E004A2249B250 -:04073F0061054A9274 -:040740001141808261 -:0407410064DDC2268B -:040742006594C503F2 -:04074300C606C42200 -:04074400706010EFE2 -:04074500E121842A00 -:040746006594C6836D -:04074700472967DDFA -:040748006AD786A343 -:04074900C78367DD1E -:04074A0085637487C8 -:04074B00675D00E7FF -:04074C0064F70D231E -:04074D00C70367DD9A -:04074E0047816AE78E -:04074F0067DDC7019A -:0407500065A7C7834F -:040751000713675DC6 -:0407520097BA68C723 -:040753008023453D7D -:0407540010EF00D7CB -:0407550085225AC0DF -:04075600442240B247 -:040757000141449286 -:040758001141808249 -:0407590064DDC22673 -:04075A006594C503DA -:04075B00C606C422E8 -:04075C0058E010EF62 -:04075D00E51D842AE8 -:04075E006594C68355 -:04075F0086A367DD29 -:0407600067DD6AD710 -:040761006AE7C70379 -:04076200C701478103 -:04076300C78367DD04 -:04076400675D6C67FA -:0407650068C7071347 -:04076600453D97BABC -:0407670000D7802314 -:0407680055E010EF59 -:0407690040B28522F3 -:04076A00449244224F -:04076B008082014146 -:04076C00C70367DD7B -:04076D0046E965678D -:04076E008C2367DD94 -:04076F0007336EE7F7 -:0407700067DD02D768 -:04077100798787936A -:04077200D68397BAD9 -:04077300675D00E7D7 -:0407740068D71C2303 -:040775000147C683EF -:040776001A23675D7E -:04077700C68370D7EE -:04077800675D012791 -:0407790064D71A2304 -:04077A0000A7D6837B -:04077B001423675D7F -:04077C00C68368D7F1 -:04077D00675D01575C -:04077E0068D7112304 -:04077F000137C683F5 -:040780001723675D77 -:04078100D70370D753 -:0407820067DD00C768 -:0407830068E793236D -:0407840067DD80822B -:040785006F87C603B1 -:04078600DF0367DD49 -:0407870067DD69873A -:040788007147DE8354 -:04078900CE0367DD57 -:04078A00675D6547FB -:04078B00D30367DD50 -:04078C000713688760 -:04078D0067DD6B07B2 -:04078E00D88386AADC -:04078F00450368278F -:0407900067DD00B76A -:0407910070E7C80342 -:04079200D58367DDC7 -:0407930067DD68674F -:040794007987879347 -:040795000703C92964 -:04079600166300A73F -:04079700476904C7E3 -:0407980002E607333B -:040799005503973E2F -:04079A001A6300E7F7 -:04079B00450303E52A -:04079C001663014798 -:04079D00450303D538 -:04079E0012630127BA -:04079F00550303C536 -:0407A0001E6300A72D -:0407A10045030065A7 -:0407A2001A6301577E -:0407A30045030115F4 -:0407A40016630137A0 -:0407A50057030105F0 -:0407A600066300C71F -:0407A700675D00B7D3 -:0407A80008A3450558 -:0407A900476970A785 -:0407AA0002E606332A -:0407AB000513655D70 -:0407AC0097B269C5D2 -:0407AD00000176379A -:0407AE0000B79623D7 -:0407AF0001E79723A4 -:0407B00001D78A23C0 -:0407B10001C78923D0 -:0407B2000067952324 -:0407B30001178AA3FD -:0407B400010789A30D -:0407B500950606138C -:0407B600206F45C5A6 -:0407B70011414BB0F1 -:0407B800C422C6068B -:0407B900143747C5E5 -:0407BA0020230002F6 -:0407BB0020230EF4F5 -:0407BC0028230E04DC -:0407BD0065090A04BC -:0407BE0008042823E0 -:0407BF0071050513A8 -:0407C000A1EFE0EFD6 -:0407C1001D100713ED -:0407C200922367DD3A -:0407C300153768E797 -:0407C4000793000394 -:0407C50020231D10C0 -:0407C60005130EF415 -:0407C700E0EFD40586 -:0407C8002637A00F21 -:0407C90005B700066A -:0407CA000513019C76 -:0407CB000613040409 -:0407CC008593A80663 -:0407CD00E0EFCC0588 -:0407CE0010EFE44FF5 -:0407CF0010EF22D035 -:0407D00054794670A2 -:0407D1004501C941D4 -:0407D20051F010EFE3 -:0407D3000FF0079389 -:0407D40001635475F4 -:0407D50020EF08F514 -:0407D6004509118040 -:0407D7009DFFE0EFB3 -:0407D800547147CD44 -:0407D90006F51863A6 -:0407DA00A4FFE0EFA9 -:0407DB00171010EFF4 -:0407DC0067DDC50907 -:0407DD008923470520 -:0407DE00F0EF70E7E1 -:0407DF00842A8D4F8C -:0407E0002395ED3937 -:0407E100655D65D914 -:0407E20003100613E7 -:0407E300DEC5859357 -:0407E4006C75051318 -:0407E500329020EF3F -:0407E600655D65D90F -:0407E7000360061392 -:0407E800F5C585933B -:0407E90074C50513BB -:0407EA00315020EF7B -:0407EB0010EF453D89 -:0407EC0067DD4680FF -:0407ED006AD7C503FF -:0407EE0045E010EFE3 -:0407EF00000217B736 -:0407F0000D07A783C7 -:0407F10000E7971373 -:0407F2000007436356 -:0407F30045012B99F8 -:0407F400B10FF0EF62 -:0407F50040B2852267 -:0407F6000141442257 -:0407F700547D80822B -:0407F8007139BFD5BF -:0407F900DA2667DDB8 -:0407FA006577C483D8 -:0407FB00645DDC223B -:0407FC00F4930485E9 -:0407FD0057830FF41B -:0407FE0004B2684495 -:0407FF0080C104C2EF -:040800008FC5672118 -:0408010012238FD956 -:04080200DE0668F4B2 -:04080300D452D84AA9 -:04080400CE5ED05A9A -:04080500CA66CC6291 -:040806001737C86A6E -:04080700D64E0002C7 -:04080800C66ED25690 -:04080900000166374D -:04080A002023695DE1 -:04080B0006130EF7CB -:04080C0045C5CCC64C -:04080D0069C905139D -:04080E0035D020EFD2 -:04080F009FCFF0EF98 -:040810006A5D6C4170 -:040811007BF57B7187 -:040812000C93478577 -:040813000A13FFFCC9 -:040814001B7D74CA0A -:040815006D371BFD23 -:040816001DB7000109 -:04081700A9830002AF -:04081800F9B30D0D16 -:04081900E3DD019981 -:04081A000A098263E2 -:04081B00016A570314 -:04081C006844578352 -:04081D000F37166318 -:04081E000177F7B3B4 -:04081F0068F4122344 -:040820000EFDA02306 -:0408210068090E2331 -:040822009B0FF0EF49 -:04082300000625376F -:04082400A80505130B -:0408250088AFE0EFC9 -:040826006844578348 -:04082700051367113D -:040828008FC53E80BA -:0408290012238FD92E -:04082A00A02368F4AB -:04082B0020EF0EFDAF -:04082C004D851930AD -:04082D001B7020EF2D -:04082E00000217B7F6 -:04082F0001B5166396 -:040830000707AA8389 -:04083100FE0AD8E300 -:040832001BB020EFE8 -:04083300010A97130C -:040834000793834162 -:0408350007C2FFF700 -:04083600069383C1E1 -:04083700FE63FFDC81 -:04083800663702F627 -:0408390006130001A1 -:04083A0045C5CD865D -:04083B0069C905136F -:04083C002A5020EF2F -:04083D00944FF0EFF5 -:04083E006844578330 -:04083F000002173765 -:0408400000FB77B38F -:0408410068F4122322 -:040842000EF720236A -:04084300051365092B -:04084400E0EF71056B -:0408450087CE80CF0B -:040846006585B78984 -:04084700010AD79338 -:04084800061315FD81 -:040849008FED0640E9 -:04084A0002C756B3D8 -:04084B0002C7773336 -:04084C0000B79C63F2 -:04084D000001663709 -:04084E00CE060613B9 -:04084F00051345C583 -:0408500020EF69C963 -:04085100B77D25301A -:0408520002C7F833AE -:04085300051345C57F -:04085400D7B369C9E4 -:04085500061302C7BD -:0408560020EFCECDF4 -:04085700BF5923B0B2 -:04085800018A5703B7 -:04085900F9371AE36E -:04085A0083D107D26D -:04085B0068F4122308 -:04085C00546250F2A0 -:04085D000EFDA023C9 -:04085E00594254D2D5 -:04085F005A2259B20E -:040860005B025A924B -:040861004C624BF2A8 -:040862004D424CD2E5 -:0408630045014DB24C -:04086400808261210C -:04086500C606114171 -:04086600969FF0EF7A -:040867003B7010EFE3 -:0408680040B267DD56 -:0408690072D7C5037A -:04086A00F06F0141E9 -:04086B00715D936FB9 -:04086C00DA56DC522A -:04086D00C4A2C686D5 -:04086E00C0CAC2A694 -:04086F00D85ADE4E27 -:04087000D462D65E1A -:04087100D06AD26611 -:04087200F0EFCE6E67 -:040873006A5DD15F8A -:0408740042636ADD94 -:0408750066371005CD -:040876000713000163 -:040877004681052091 -:04087800D00606138D -:04087900051345C559 -:04087A0020EF670AFA -:04087B0065B71AB093 -:04087C0046450001EC -:04087D00D305859387 -:04087E006FCA8513A5 -:04087F000C1020EF4A -:04088000848FF0EF82 -:040881000007A53790 -:040882001205051343 -:0408830064DD645D6F -:04088400F0FFD0EFC2 -:0408850049014D01D7 -:0408860004136B5D8F -:040887006BDD6B04B6 -:0408880084934C3DCC -:0408890017B77184A8 -:04088A00A78300023E -:04088B0006B70D0798 -:04088C0065DD000323 -:04088D0001079713B5 -:04088E002623834159 -:04088F00C71378EB28 -:0408900083E1FFF70A -:04089100F6138F7556 -:0408920066DD0FF719 -:0408930078C58A2377 -:0408940078E6A82337 -:04089500CF8165DDCD -:04089600FFE60793DF -:040897000FF7F793CD -:040898007663450D31 -:04089900C78300F51C -:04089A009463788566 -:04089B00262300C749 -:04089C0067DD780B91 -:04089D0078C5842373 -:04089E007847A603EE -:04089F0078E7A22331 -:0408A0002EC5E24936 -:0408A100C78369DDC3 -:0408A2008CAA79594A -:0408A3004501C781C3 -:0408A4005B9000EF76 -:0408A500C70367DD41 -:0408A600468365A779 -:0408A70085BE0164A5 -:0408A80012E68D6364 -:0408A900718B8823A4 -:0408AA00FF63478D14 -:0408AB00479504E782 -:0408AC0006E7F06308 -:0408AD0046894D85A6 -:0408AE00079349095A -:0408AF00F793FFF7C5 -:0408B00046210FF7D7 -:0408B10004F66A637C -:0408B200078A6659F2 -:0408B300C7C606139B -:0408B400439C97B218 -:0408B5006637878299 -:0408B60086AA00010D -:0408B700D14606130D -:0408B800051345C51A -:0408B90020EF670ABB -:0408BA0065B70AF024 -:0408BB0046450001AD -:0408BC002985859372 -:0408BD006FCA851366 -:0408BE0018D020EF3F -:0408BF00F4DFE0EF93 -:0408C000A823A001C8 -:0408C100BFB5780641 -:0408C20046854D8D8D -:0408C300B7754901BB -:0408C40046814D8993 -:0408C5004D09BFE535 -:0408C600C78367DDA0 -:0408C700C39D6AE77C -:0408C800879367DDCE -:0408C900973E68C727 -:0408CA00450367DD9E -:0408CB00C703000758 -:0408CC0009636AD77B -:0408CD00C63600A784 -:0408CE006AA786A3EC -:0408CF000DA010EF79 -:0408D00065DD46B2EA -:0408D10065A5C783CF -:0408D200000405A376 -:0408D3000B234705A7 -:0408D400478300F462 -:0408D500458D0374D6 -:0408D60000F776634E -:0408D7008D9D459519 -:0408D8000FF5F59390 -:0408D90010EF853661 -:0408DA0010EF0B9080 -:0408DB00E0EF18B082 -:0408DC0067DD89BF8C -:0408DD007127C78335 -:0408DE00856EC781DB -:0408DF00518010EF45 -:0408E000854A85EAD6 -:0408E100683010EF7C -:0408E20001644783E3 -:0408E300051346456E -:0408E4009713670AF5 -:0408E50067D90027A8 -:0408E600CA07879323 -:0408E700438C97BAED -:0408E80000042223C3 -:0408E9000E1020EFDE -:0408EA00000165B7ED -:0408EB008593464566 -:0408EC008513D24559 -:0408ED0020EF6FCABF -:0408EE00C7830CF0C0 -:0408EF00E3997959B7 -:0408F000E89FE0EFAE -:0408F100C70367DDF5 -:0408F20047A9658726 -:0408F30000F7176390 -:0408F400000C85630C -:0408F50000EF453D8E -:0408F600C703729032 -:0408F70047830154DE -:0408F800036302C4D0 -:0408F900470102F7BA -:0408FA0046014681EC -:0408FB0045014581ED -:0408FC00C34FE0EF17 -:0408FD000154C503DA -:0408FE00EE9FE0EF9A -:0408FF000154C78356 -:0409000000042223AA -:0409010002F40623D3 -:040902000154C78352 -:04090300C683E3952F -:0409040047830164C0 -:040905008D6302D428 -:04090600470300D7CC -:04090700460100F4B1 -:0409080045014581DF -:04090900C00FE0EF4C -:04090A000164C7833A -:04090B0002F406A349 -:04090C0001644783B8 -:04090D0085EACFA9FF -:04090E00E0EF854A47 -:04090F004789FC3FD9 -:0409100008F50D6376 -:0409110004634791A3 -:04091200478502F51E -:0409130004F5116373 -:0409140000B4478361 -:04091500F0EFCF8DA3 -:04091600A815C46FED -:04091700BD6D4D0D58 -:04091800882347C128 -:040919004D0570FB1D -:04091A004D01BD4589 -:04091B004783B575E4 -:04091C00C39D00B4C3 -:04091D005783675D38 -:04091E00E7936847AC -:04091F001223002778 -:04092000173768F726 -:04092100202300028D -:04092200F0EF0EF7ED -:040923000513D0BF29 -:04092400D0EF12C03E -:04092500BB41C8DF2B -:040926000004222384 -:04092700059010EF38 -:04092800016447839C -:040929000513464527 -:04092A009713670AAE -:04092B0067D9002761 -:04092C00CA078793DC -:04092D00438C97BAA6 -:04092E007CC020EF7A -:04092F00000165B7A7 -:040930008593464520 -:040931008513D24513 -:0409320020EF6FCA79 -:04093300C7837BA05B -:04093400FFD5795919 -:04093500D75FE0EFB9 -:040936004783BF5DD7 -:04093700DBC500B468 -:04093800E20FF0EFEB -:0409390065D9B76D58 -:04093A001141655DA5 -:04093B00031006138C -:04093C00DEC58593FC -:04093D0071850513A8 -:04093E0020EFC606DA -:04093F0065DD5C20F6 -:040940000613655DD8 -:0409410085932F204B -:0409420005139D8577 -:0409430020EF7985A3 -:0409440040B25AE083 -:04094500470567DD1E -:0409460070E788A32B -:040947000141450124 -:04094800715D8082DB -:04094900C2A6C4A2DC -:04094A0064D9DE4E40 -:04094B00645D69DDA1 -:04094C00DC52C0CAEF -:04094D00C686D26622 -:04094E00D85ADA5643 -:04094F00D462D65E3A -:04095000CE6ED06A2D -:040951004C8149018B -:04095200EF04849397 -:0409530004138A4EB1 -:0409540065B774C44B -:040955004645000112 -:04095600F945859347 -:0409570065C98513D6 -:04095800724020EFDA -:04095900002C9713C4 -:04095A00430C97268D -:04095B004645655D4B -:04095C0069C5051351 -:04095D00710020EF16 -:04095E00CC1FE0EFDB -:04095F0047016AC121 -:04096000FFFA8D13FA -:040961006BDD6B5D82 -:0409620000016DB76C -:0409630016B76C5DFA -:04096400A583000265 -:0409650005370D063F -:04096600F633000361 -:04096700262301A59D -:04096800A68378CB1F -:04096900C6930D061E -:04096A008EE9FFF61D -:04096B0078DBA8236A -:04096C000263C21D43 -:04096D009693032634 -:04096E0096A2001C31 -:04096F009023E32DC1 -:04097000464500B642 -:04097100F9CD8593A4 -:0409720065CA05133A -:040973006B8020EF86 -:04097400C69FE0EF4B -:040975002603470509 -:04097600A683784C90 -:04097700E20D790B09 -:04097800035690632F -:04097900040C9F6368 -:04097A00061365D922 -:04097B0085930360FD -:04097C008522F5C516 -:04097D0020EFC6366B -:04097E0046B24C60D1 -:04097F004CED4709EB -:0409800078DC2223DA -:040981004689C63AA3 -:0409820078CB290302 -:0409830002D70E6326 -:0409840005136509E9 -:04098500D0EF710539 -:040986004732B09FA5 -:04098700D683BF8DC7 -:040988004709000615 -:04098900FAD609E3AE -:04098A00000167B74A -:04098B0085934645C5 -:04098C000513FA470E -:04098D0020EF65CA28 -:04098E00E0EF64E052 -:04098F004701BFFF5E -:040990001CF9BF5936 -:04099100BF6D4709E6 -:0409920047690C8520 -:04099300F19753E3A2 -:0409940040B64426FF -:040995004906449635 -:040996005A6259F256 -:040997005B425AD293 -:040998005C225BB2D0 -:040999005D025C920D -:04099A00453D4DF298 -:04099B00006F616127 -:04099C00711D491070 -:04099D0000B107930B -:04099E0067DDCA3E09 -:04099F007247879381 -:0409A00067DDCC3E05 -:0409A100725787936F -:0409A20067DDCE3E01 -:0409A300726787935D -:0409A40067DDD03EFD -:0409A500727787934B -:0409A600D43ED23E2B -:0409A700879367DDEE -:0409A800D63E72873E -:0409A9000F0327B75A -:0409AA00F017879328 -:0409AB000793C63EAA -:0409AC0018233030AC -:0409AD00478D00F181 -:0409AE000923675D55 -:0409AF0067DD00F10F -:0409B000A603CCA22C -:0409B100041378C7EC -:0409B200CE8674C7B2 -:0409B300C8CACAA63E -:0409B400C4D2C6CE15 -:0409B500C0DAC2D60C -:0409B60005A3DE5E59 -:0409B7000713000121 -:0409B800478174C738 -:0409B900568345E933 -:0409BA001C630007B3 -:0409BB00476900D6B2 -:0409BC0010F763636A -:0409BD00078A6759E5 -:0409BE00E207071332 -:0409BF00439C97BA04 -:0409C000070987821A -:0409C1003AB784635A -:0409C200BFF10785F5 -:0409C30066DD47891D -:0409C4006846D703A7 -:0409C50010074713BD -:0409C60068E692232A -:0409C7002683675DBF -:0409C8009713790701 -:0409C9005D6300F674 -:0409CA0067DD0007DE -:0409CB006C67C7038B -:0409CC0047854625F0 -:0409CD0000C70663F6 -:0409CE000017079374 -:0409CF000FF7F79394 -:0409D00000E6971393 -:0409D10000075E635A -:0409D2004683675D94 -:0409D300458571875E -:0409D400E563460190 -:0409D500068500D5BE -:0409D6000FF6F6130F -:0409D70070C70C23B6 -:0409D8004501472965 -:0409D90000E786634A -:0409DA000D23675D25 -:0409DB00450564F773 -:0409DC00D70367DDF9 -:0409DD0066DD684724 -:0409DE007956C683FD -:0409DF0007429B4DE3 -:0409E000922383419A -:0409E100EA8168E758 -:0409E200C68366DD85 -:0409E300068A6966B1 -:0409E40092238F5576 -:0409E500D70368E7E5 -:0409E60040F6684728 -:0409E70017B7446694 -:0409E800A023000246 -:0409E90044D60EE7FB -:0409EA0049B649467B -:0409EB004A964A26B8 -:0409EC005BF24B0669 -:0409ED00808261257E -:0409EE00BF91478DE1 -:0409EF00BF814791EC -:0409F000B7B14795BF -:0409F100B7A14799CA -:0409F200B791479DD5 -:0409F300B78147A1E0 -:0409F400BF3547A51F -:0409F5004783675D70 -:0409F600B6937957E4 -:0409F7000AA3001738 -:0409F800675D78D7E8 -:0409F9006AD74683F0 -:0409FA000CA3675D86 -:0409FB00E79164D745 -:0409FC0000EF4505BE -:0409FD0047A9057091 -:0409FE00E0EFBF194E -:0409FF00BFE5A4FFAD -:040A000017B764DDE3 -:040A010084930002D8 -:040A0200A4036B04DA -:040A030087830C07D2 -:040A0400476900A49A -:040A0500000166374F -:040A060002E787B3C9 -:040A07000713675D0D -:040A0800655D798728 -:040A0900E8C6061322 -:040A0A00051345C5C6 -:040A0B00973E65C5E8 -:040A0C00C68367DD59 -:040A0D0020EF6AD795 -:040A0E00C78335E085 -:040A0F00C7B900B4AF -:040A100087936785DC -:040A11008FE18007EA -:040A12007FF4769364 -:040A130000F0373385 -:040A140096B306850A -:040A150096BA00E6A7 -:040A160007000713BB -:040A17000713C39965 -:040A18008041069083 -:040A19000793880DAA -:040A1A00E01902A03D -:040A1B00020007933B -:040A1C000002163787 -:040A1D00060628039E -:040A1E006637655D75 -:040A1F0006130001B9 -:040A200045C5E98659 -:040A210069C505138B -:040A220030C020EFD1 -:040A23009ADFE0EF87 -:040A2400675DB79DB6 -:040A25006847578344 -:040A26000107C7936A -:040A270068F7122337 -:040A280067DDBF992E -:040A29007187C70307 -:040A2A0046814605B6 -:040A2B0000E6656319 -:040A2C0076930705B1 -:040A2D008C230FF710 -:040A2E00BF3570D789 -:040A2F00871367DDE5 -:040A30004703718780 -:040A3100460500175F -:040A320071878793AE -:040A33006563468130 -:040A3400070500E6CC -:040A35000FF77693AE -:040A360000D780A3C2 -:040A3700675DBF290F -:040A38007187079328 -:040A39000047C78328 -:040A3A0071870713A6 -:040A3B0017FDC7815B -:040A3C000FF7F79326 -:040A3D0000F7022399 -:040A3E00675DBDFD36 -:040A3F0071870713A1 -:040A400000474783A1 -:040A4100F36346B95C -:040A420047B900F6BA -:040A4300B7DD07858F -:040A4400000165B791 -:040A45004645655D60 -:040A4600EA85859325 -:040A470065C5051369 -:040A4800364020EF25 -:040A4900000165B78C -:040A4A0046456ADDD6 -:040A4B00EB8585931F -:040A4C0069CA8513DB -:040A4D00350020EF61 -:040A4E0069DD6941B4 -:040A4F0064896A5DEF -:040A50008F9FE0EFA5 -:040A510000021B374D -:040A52004BED197DD2 -:040A53006B0989930F -:040A5400798A0A137E -:040A55007104849311 -:040A56000D0B27035A -:040A5700468187A2AB -:040A580001277733C8 -:040A59000007D603B9 -:040A5A0000E6066349 -:040A5B00078906857C -:040A5C00FF769AE3A4 -:040A5D0000A98783E2 -:040A5E0087B34769AA -:040A5F00471502E74E -:040A6000C78397D2DF -:040A61006463017752 -:040A6200471102F73F -:040A630004D74A6307 -:040A6400973E181889 -:040A6500FDC747037F -:040A660040D75733EB -:040A6700CF118B051B -:040A68001818078AC9 -:040A6900A78397BA0E -:040A6A008023FE47A0 -:040A6B00E0EF00D7E1 -:040A6C0067DD89BFFA -:040A6D0078078AA3D9 -:040A6E006637BD3DED -:040A6F0006850001F7 -:040A7000EC46061337 -:040A7100851345C5DF -:040A720020EF69CA3E -:040A7300E0EF1CA0F4 -:040A7400A53786BF5D -:040A7500051300075E -:040A7600D0EF1205A6 -:040A7700BFC1F44FB8 -:040A780086E347B119 -:040A79008526FCF6DC -:040A7A00F36FD0EF57 -:040A7B0067DDB7B5C7 -:040A7C0071878713E4 -:040A7D0001874703A3 -:040A7E00879346799B -:040A7F0046817187B4 -:040A800000E66563C4 -:040A8100769307055C -:040A82008C230FF7BB -:040A8300B3E500D700 -:040A8400871367DD90 -:040A8500470371872B -:040A860087930187CA -:040A870046FD718730 -:040A8800177DD76D92 -:040A890065B7B7CDC9 -:040A8A00655D0001A5 -:040A8B0085934645C4 -:040A8C000513ED451C -:040A8D0020EF65C52C -:040A8E0065B724E044 -:040A8F00655D0001A0 -:040A900085934645BF -:040A91000513EE4516 -:040A920020EF69C523 -:040A9300694123A0F2 -:040A9400E0EF6489A2 -:040A950019B7FE6F20 -:040A9600197D0002C4 -:040A97004AA54A6DB5 -:040A980084934B31C7 -:040A9900A6837104BB -:040A9A0087220D0999 -:040A9B00F6B34781E6 -:040A9C0056030126D6 -:040A9D000A630007E1 -:040A9E00078500D6F2 -:040A9F009AE30709C6 -:040AA0008526FF4761 -:040AA100E9AFD0EFFA -:040AA200CC63BFF969 -:040AA300472900FAE5 -:040AA400E7B3078528 -:040AA500675D02E7A0 -:040AA60064F70CA342 -:040AA700A66FF0EF57 -:040AA80090E3B739E7 -:040AA900B721FF670B -:040AAA00B195478536 -:040AAB00B1BD47A9E9 -:040AAC00DA01011357 -:040AAD002E231808D4 -:040AAE002C232411C0 -:040AAF002A23248151 -:040AB0002823249142 -:040AB10026232521B2 -:040AB20024232531A3 -:040AB3002223254194 -:040AB4002023255185 -:040AB5002E23256166 -:040AB6002C23237159 -:040AB7002A2323814A -:040AB800E0EF2391B7 -:040AB900892AEB4F4C -:040ABA0010EF64DDF8 -:040ABB00440D71F085 -:040ABC00160919639B -:040ABD00180C4611BA -:040ABE0020EF080815 -:040ABF0065B718A05F -:040AC00046110001DA -:040AC10013C5859341 -:040AC20020EF080811 -:040AC300091313E020 -:040AC400186306406D -:040AC500578314053A -:040AC6000593034150 -:040AC7004621036160 -:040AC80001610513B0 -:040AC90000F11A23FB -:040ACA0015C020EF44 -:040ACB0003E1578369 -:040ACC0000010EA374 -:040ACD0000F11623FB -:040ACE000401578345 -:040ACF0000F11723F8 -:040AD00000EF4532BC -:040AD10057834350B4 -:040AD200D02A042101 -:040AD30000F11623F5 -:040AD40004415783FF -:040AD50000F11723F2 -:040AD60000EF4532B6 -:040AD700578341D030 -:040AD800D22A0461B9 -:040AD90000F11623EF -:040ADA0004815783B9 -:040ADB0000F11723EC -:040ADC0000EF4532B0 -:040ADD00D42A405087 -:040ADE0022C1250309 -:040ADF0000EFC62A34 -:040AE00055823F906C -:040AE1000793D62A77 -:040AE20087131E2038 -:040AE300E863FE6561 -:040AE40046050CE7D0 -:040AE50000EF1808FE -:040AE60057B2405073 -:040AE7000660091389 -:040AE8000CF5116395 -:040AE900000166376B -:040AEA000613645D2E -:040AEB0045C51446A3 -:040AEC0065C40513C5 -:040AED007E1010EF78 -:040AEE00663756927F -:040AEF0006130001E9 -:040AF00045C515469D -:040AF10069C485133C -:040AF2007CD010EFB5 -:040AF300E6CFE0EF7B -:040AF4005BA25A9215 -:040AF50045014981ED -:040AF60020000C13BD -:040AF700E4636CDD6B -:040AF80098630F5997 -:040AF90047831EAB66 -:040AFA0046830161CD -:040AFB00470301416B -:040AFC0088630151B9 -:040AFD0067B71007C0 -:040AFE0087930001D9 -:040AFF00663705470A -:040B000008130001D5 -:040B01000613016175 -:040B020045C51606C9 -:040B030065C40513AD -:040B0400785010EF26 -:040B0500000165B7CF -:040B06008593464548 -:040B070085131B85B2 -:040B080010EF69C4BD -:040B090069C169B0A5 -:040B0A0069096ADD2E -:040B0B00E0CFE0EF68 -:040B0C0000021A3792 -:040B0D008B1319FD30 -:040B0E00091374CA89 -:040B0F0027837109BE -:040B1000D7030D0AF0 -:040B1100F7B374CAF8 -:040B12000163013743 -:040B130057030CF781 -:040B14000463002B4B -:040B1500854A18F7FE -:040B1600CC6FD0EFE1 -:040B17000913B7CD3A -:040B180010EF065084 -:040B190047855A7042 -:040B1A001CF90A6355 -:040B1B001927C8636B -:040B1C00F3500793F8 -:040B1D001CF9096353 -:040B1E001727C5636D -:040B1F00F340079305 -:040B20001CF9086351 -:040B2100000165B7B3 -:040B2200088585932A -:040B230085134645AB -:040B240010EF69C4A1 -:040B2500E0EF7F304E -:040B26004537DA2F46 -:040B27000513000FA3 -:040B2800D0EF2405E1 -:040B29005E63C7CF71 -:040B2A005C631C09E3 -:040B2B0066371C808D -:040B2C00655D000102 -:040B2D001A8606130B -:040B2E00051345C5A1 -:040B2F0010EF65C599 -:040B3000147D6D7053 -:040B31008A33A8B5A6 -:040B32007463413A6D -:040B33000A13014C54 -:040B34008B132000FF -:040B35001713200969 -:040B36008341010AEC -:040B3700561346818A -:040B3800180C009BFA -:040B3900648C851330 -:040B3A00427010EF06 -:040B3B001063892A90 -:040B3C00B6130E05D9 -:040B3D0085D2001944 -:040B3E0000EF1808A4 -:040B3F0089DA2A1015 -:040B400067B7BDF9DD -:040B41008793000195 -:040B4200BDD529876D -:040B43007E9000EFB1 -:040B44005783675D0F -:040B4500650968478F -:040B4600710505131D -:040B47000027E79309 -:040B480068F7122315 -:040B49000EFA20235D -:040B4A00BF6FD0EFBA -:040B4B00000165B789 -:040B4C0065C4051364 -:040B4D008593464501 -:040B4E0010EF16C5C9 -:040B4F00440D74B02D -:040B500000016A37FF -:040B5100059346457D -:040B52008513178A66 -:040B530010EF69C472 -:040B5400E0EF7370EB -:040B55005612CE6FF7 -:040B560045811814A9 -:040B5700E0EF450581 -:040B5800892AC66FB1 -:040B5900EE051FE3A3 -:040B5A00000165B77A -:040B5B00464569DDC5 -:040B5C0018858593E0 -:040B5D0065C98513CE -:040B5E0070D010EF54 -:040B5F00059346456F -:040B60008513178A58 -:040B610010EF69C464 -:040B6200E0EF6FF061 -:040B63005622CAEF5D -:040B6400181455927A -:040B6500E0EF450177 -:040B6600892AB98F90 -:040B6700EC0513E3A3 -:040B6800469010EFB4 -:040B6900000165B76B -:040B6A0085934645E4 -:040B6B0085131CC50D -:040B6C0010EF65C958 -:040B6D0065B750B068 -:040B6E0046450001F7 -:040B6F0019858593CC -:040B700069C48513BC -:040B71006C1010EF05 -:040B7200C70FE0EFDA -:040B7300440DA0018C -:040B7400440DBD4926 -:040B750006700913EA -:040B7600440DB5690C -:040B770006800913D8 -:040B78000793B549E1 -:040B79000A63F360B8 -:040B7A00079306F9DE -:040B7B001BE3F37015 -:040B7C0065B7E8F978 -:040B7D00859300015B -:040B7E00BD490E85DA -:040B7F000660079372 -:040B800006F902630D -:040B81000127CF6316 -:040B8200064007938F -:040B830006F901630B -:040B8400065007937D -:040B8500E6F918E392 -:040B8600000165B74E -:040B87000A05859343 -:040B88000793B5B565 -:040B89000963067086 -:040B8A00079304F9D0 -:040B8B001BE30680E2 -:040B8C0065B7E4F96C -:040B8D00859300014B -:040B8E00BD890D45CB -:040B8F00000165B745 -:040B9000090585933B -:040B910065B7B5A1EE -:040B92008593000146 -:040B9300BD3D1085CF -:040B9400000165B740 -:040B950011858593AE -:040B960065B7BD156D -:040B97008593000141 -:040B9800B52D0F85E3 -:040B9900000165B73B -:040B9A000B0585932F -:040B9B0065B7B50580 -:040B9C00859300013C -:040B9D00BD1912C5A7 -:040B9E00000165B736 -:040B9F000C05859329 -:040BA0002083B531C8 -:040BA100240325C143 -:040BA2002483258102 -:040BA30029032541BC -:040BA400298325017B -:040BA5002A0324C13A -:040BA6002A832481F9 -:040BA7002B032441B7 -:040BA8002B83240176 -:040BA9002C0323C135 -:040BAA002C832381F4 -:040BAB00557D234110 -:040BAC00260101130A -:040BAD0086AA808212 -:040BAE000001763795 -:040BAF000613655D67 -:040BB00045C594C6DD -:040BB10069C50513FA -:040BB2004CD0106FA4 -:040BB300465006930F -:040BB40002D506B3AD -:040BB500064007935C -:040BB600000166379D -:040BB7000613655D5F -:040BB80045C528C641 -:040BB90069C50513F2 -:040BBA0002F6C6B3C6 -:040BBB004A90106FDD -:040BBC00663786AA68 -:040BBD00655D000171 -:040BBE002486061370 -:040BBF00051345C510 -:040BC000106F69C584 -:040BC10046B74930BA -:040BC2008693000F07 -:040BC30005332406CC -:040BC400678902D566 -:040BC5009647879335 -:040BC6003E80071353 -:040BC700006336B7DA -:040BC800EA06869320 -:040BC900000166378A -:040BCA0023C6061325 -:040BCB0057B345C512 -:040BCC00F7B302F584 -:040BCD00472902E7CB -:040BCE0002D556B343 -:040BCF000513655D48 -:040BD000D73369C5E9 -:040BD100106F02E7B8 -:040BD200069344F052 -:040BD30006B34670AF -:040BD400079302D5AC -:040BD5007637064029 -:040BD600655D000158 -:040BD7009446061327 -:040BD800051345C5F7 -:040BD900C6B369C571 -:040BDA00106F02F6A0 -:040BDB0086AA42B0F4 -:040BDC000001663777 -:040BDD000613655D39 -:040BDE0045C527469C -:040BDF0069C50513CC -:040BE0004150106F01 -:040BE1002710069340 -:040BE20002D506B37F -:040BE300064007932E -:040BE400000166376F -:040BE5000613655D31 -:040BE60045C5294692 -:040BE70069C50513C4 -:040BE80002F6C6B398 -:040BE9003F10106F3A -:040BEA002710069337 -:040BEB0005330505C4 -:040BEC00069302D595 -:040BED006637064021 -:040BEE0006130001E9 -:040BEF0045C5294689 -:040BF00002D546B331 -:040BF1000513655D26 -:040BF200106F69C552 -:040BF30016933CB069 -:040BF40086E1018510 -:040BF500000166375E -:040BF60016D1655D52 -:040BF70022060613B9 -:040BF800051345C5D7 -:040BF900106F69C54B -:040BFA0045E93AF09F -:040BFB0002B5053307 -:040BFC00859365DD9B -:040BFD00464579856B -:040BFE00655D95AAF2 -:040BFF0069C50513AC -:040C00004850106FD9 -:040C01000025179320 -:040C02000513655918 -:040C0300953E26856F -:040C0400655D410CDD -:040C05000513464548 -:040C0600106F69C53D -:040C070047A946B003 -:040C080000F50F6381 -:040C09000025179318 -:040C0A000513655D0C -:040C0B00953EE5C568 -:040C0C00655D410CD5 -:040C0D000513464540 -:040C0E00106F69C535 -:040C0F0065B744B0D1 -:040C100085930001C7 -:040C1100B7F52545C9 -:040C1200A70367DDF0 -:040C130067DD78C75A -:040C140074C7879387 -:040C1500464546AD5D -:040C16000167D5831A -:040C170006E59463F7 -:040C18000FF6F6934A -:040C19000B63E1196F -:040C1A001101280795 -:040C1B0069DDC64E7B -:040C1C006259C8034E -:040C1D00695DC84AFB -:040C1E0047490793A8 -:040C1F000038151371 -:040C2000CE0697AABB -:040C2100CA26CC22F1 -:040C22000007A8839C -:040C23000047C7833C -:040C2400A60347518B -:040C250087330048C9 -:040C2600859302E7C9 -:040C2700F593FF56EC -:040C280043150FF56C -:040C290062598993F0 -:040C2A00474909131A -:040C2B0046039732B3 -:040C2C0068630047B2 -:040C2D00635902B352 -:040C2E000313058A1D -:040C2F00959A1E0371 -:040C30008582418CEC -:040C310007890685A4 -:040C3200F8C698E385 -:040C3300BF594681DE -:040C3400C783E399F6 -:040C350017FD00089F -:040C36000FF7F7932A -:040C37000223954AB5 -:040C3800440100F57E -:040C3900A0994481B9 -:040C3A000008C703E4 -:040C3B00954A07854A -:040C3C0002E7E7B331 -:040C3D000663B7EDA6 -:040C3E00187D000815 -:040C3F000109802304 -:040C40004462B7CD86 -:040C410044D240F267 -:040C420049B2494228 -:040C43008AA367DD3C -:040C440061057807C7 -:040C4500934FE06F7A -:040C46000D63478D66 -:040C4700479104F6D7 -:040C4800FCF611E3C2 -:040C49004485471C7B -:040C4A00842A9782DF -:040C4B000009C78352 -:040C4C004645655D57 -:040C4D00993E078E37 -:040C4E00004947838F -:040C4F0000092983EC -:040C5000893349514A -:040C5100A78303274B -:040C5200051300493D -:040C530097CA65C512 -:040C540010EF438CCE -:040C5500A78333300E -:040C5600469100497A -:040C5700C70397CA6E -:040C5800EA63004704 -:040C590066D912E660 -:040C5A008693070A6C -:040C5B0097361F8623 -:040C5C0087024318B0 -:040C5D00C3914B1CD8 -:040C5E00C78397822F -:040C5F0048510009EF -:040C60000017859361 -:040C61000733078EC0 -:040C6200431400F93E -:040C630000474703FC -:040C6400073342D040 -:040C65009693030758 -:040C6600053300351D -:040C6700280300D985 -:040C680097320005BA -:040C690004634718C1 -:040C6A00022300E879 -:040C6B0097CA00051F -:040C6C000047C783F3 -:040C6D0096CA47518B -:040C6E0002E787B35F -:040C6F0000B9802325 -:040C7000461C963E4A -:040C7100BF31C29C31 -:040C7200ECE34791D7 -:040C730067D9F0C786 -:040C740020C787937B -:040C7500963E060A97 -:040C76008782421C13 -:040C7700483D470CA1 -:040C780000C7450369 -:040C790000D7460357 -:040C7A000005C78327 -:040C7B0000E7470344 -:040C7C0001069D636D -:040C7D0000F67863A2 -:040C7E00F71317FD54 -:040C7F0080230FF7C8 -:040C8000B5C500E511 -:040C81008732FD6D4C -:040C8200F863BFDD77 -:040C8300078500E7FA -:040C84000FF7F6135D -:040C850000C5802303 -:040C8600FD6DB5E962 -:040C8700BFDD863A0D -:040C880045BD47100F -:040C89000006578387 -:040C8A0000B69C63B1 -:040C8B0000C7570344 -:040C8C0000F7756395 -:040C8D0007C217FD86 -:040C8E00102383C1EB -:040C8F00B55500F661 -:040C900000E757031F -:040C9100FEE7FBE39C -:040C9200B7F5078526 -:040C930045BD4750C4 -:040C94004783421838 -:040C95009963000758 -:040C9600C78100B65C -:040C9700F79317FDBB -:040C980000230FF72F -:040C9900BDB500F7EE -:040C9A000046468347 -:040C9B0000D7F56326 -:040C9C00F69307853F -:040C9D0000230FF72A -:040C9E00B5A500D721 -:040C9F004B9C47988B -:040CA0004703655D44 -:040CA10046450007BD -:040CA20069C5051308 -:040CA30097BA070AEB -:040CA40010EF438C7E -:040CA50044621F3056 -:040CA60044D240F202 -:040CA70049B24942C3 -:040CA800D06F6105A3 -:040CA9004798F97FF0 -:040CAA0045034B9C17 -:040CAB009782000725 -:040CAC004798B7DDD1 -:040CAD0055034B9C04 -:040CAE009782000722 -:040CAF0067DDBFE955 -:040CB00068078E2320 -:040CB100C495BFC95E -:040CB20066B7C0055C -:040CB3008693000123 -:040CB4006637230676 -:040CB500655D000178 -:040CB6002386061378 -:040CB700051345C517 -:040CB80010EF69C50B -:040CB900BF450B30F8 -:040CBA00000166B718 -:040CBB002286869374 -:040CBC0047DCB7CD8D -:040CBD004398D7E998 -:040CBE00BF45479C4B -:040CBF0047BD80822B -:040CC00010A7E9632D -:040CC100445257B78B -:040CC2008793716D36 -:040CC300C03E3557A3 -:040CC400004157B7DD -:040CC50044178793B6 -:040CC6006795C23E2E -:040CC70020078793E8 -:040CC80000F1142300 -:040CC90000A53793B8 -:040CCA00108124234E -:040CCB0000F105230C -:040CCC000105041307 -:040CCD0010112623B9 -:040CCE00042247A510 -:040CCF0006A7FD6314 -:040CD000079365DD44 -:040CD100462904406C -:040CD20068C58593D9 -:040CD30000D1051334 -:040CD40000F105A383 -:040CD50000010623F1 -:040CD600764010EF65 -:040CD700C78367DD8B -:040CD80065DD65A7CA -:040CD900036006139B -:040CDA0000F10C23F6 -:040CDB00C78367DD87 -:040CDC008593658710 -:040CDD00051374C5C2 -:040CDE000CA301B1B1 -:040CDF0067DD00F1DC -:040CE0006AE7C78375 -:040CE10000F10BA370 -:040CE200C78367DD80 -:040CE3000D2369670D -:040CE40010EF00F11C -:040CE500862272A051 -:040CE600051005935D -:040CE700D0EF850ABB -:040CE8003533CF5F72 -:040CE900053300A02F -:040CEA00208340A083 -:040CEB00240310C10D -:040CEC0061511081C1 -:040CED000793808267 -:040CEE0005A3031047 -:040CEF0065DD00F1CE -:040CF00006A357C937 -:040CF100061300F1F5 -:040CF200478903101B -:040CF30071858593EF -:040CF40000F10513F3 -:040CF50000F10723E0 -:040CF60000010623D0 -:040CF7006E0010EF8C -:040CF800061365DD9D -:040CF90085930C00D3 -:040CFA000088798570 -:040CFB006D0010EF89 -:040CFC0005938622B4 -:040CFD00850A100054 -:040CFE00C9BFD0EFAB -:040CFF000613656112 -:040D00000593001443 -:040D01000513232093 -:040D0200D0EF858524 -:040D03004501CDDFFA -:040D0400557DBF69F1 -:040D0500716980820E -:040D0600121126237D -:040D0700128124230E -:040D080012912223FF -:040D0900132120236F -:040D0A0011312E2352 -:040D0B0011412C2343 -:040D0C0011512A2334 -:040D0D001161282325 -:040D0E001171262316 -:040D0F001181242307 -:040D1000FA6347BD7E -:040D110059FD02A7DF -:040D120012C1208367 -:040D13001281240322 -:040D14002483854E61 -:040D1500290312415B -:040D1600298312011A -:040D17002A0311C1D9 -:040D18002A83118198 -:040D19002B03114156 -:040D1A002B83110115 -:040D1B002C0310C1D4 -:040D1C00615510818C -:040D1D000493808239 -:040D1E0004C2010505 -:040D1F000593860AA8 -:040D20008526100014 -:040D2100BF1FD0EF31 -:040D220075B7FD5D47 -:040D230085930001B3 -:040D2400850A98851F -:040D2500A14FD0EF1B -:040D26001B6389AA18 -:040D270047831405E5 -:040D2800996300814A -:040D29004703140761 -:040D2A00079300919A -:040D2B001363052029 -:040D2C00478314F7EE -:040D2D00CFC900A189 -:040D2E0097E34705FB -:040D2F004932F8E766 -:040D300000B14703C4 -:040D31000FF97793AC -:040D32008FD907A2AC -:040D3300031007138F -:040D3400F6E79CE35F -:040D350000895913C5 -:040D36005913094202 -:040D37000793010914 -:040D380013E32F2072 -:040D3900655DF6F905 -:040D3A000310061389 -:040D3B0000F105932B -:040D3C0071850513A5 -:040D3D0010EF6ADD6C -:040D3E004A015C60AA -:040D3F000400041395 -:040D400010000B9301 -:040D4100798A8A938E -:040D42000F200C135F -:040D430010000B137E -:040D4400408B863327 -:040D4500015A053317 -:040D4600008105B370 -:040D4700100484937D -:040D48000B890F63A1 -:040D4900408B0433A4 -:040D4A00594010EF0D -:040D4B0009139452A2 -:040D4C001A13F0097D -:040D4D000942010452 -:040D4E000593860A79 -:040D4F0085261000E5 -:040D5000010A5A1327 -:040D51000109591328 -:040D5200B2DFD0EF4D -:040D5300B7C94401D7 -:040D540000C1478310 -:040D550000B147039F -:040D56008FD907A288 -:040D5700044007133A -:040D5800EEE794E34B -:040D5900870A66DDC2 -:040D5A004525478163 -:040D5B0068C686934D -:040D5C00460345A95C -:040D5D00666300D7F2 -:040D5E00883300C511 -:040D5F00002300D796 -:040D6000078500C83B -:040D610096E3070509 -:040D62004783FEB70E -:040D6300675D019136 -:040D640064F70C2301 -:040D65006663472555 -:040D6600675D00F7CE -:040D670064F70D23FD -:040D68004783A029F4 -:040D69007AE30181A7 -:040D6A004703FEF746 -:040D6B0067DD0171CE -:040D6C008723655D17 -:040D6D0067DD6AE7ED -:040D6E0068C7C70388 -:040D6F00061367DD23 -:040D700086A30360F3 -:040D710047036AE7E3 -:040D720067DD01A197 -:040D730001B1059332 -:040D740074C505132A -:040D750068E78B237D -:040D76004E4010EFEC -:040D77000613B5B5F5 -:040D780010EF0F2049 -:040D790067DD4DA045 -:040D7A0088A34705FE -:040D7B00BDA970E7B7 -:040D7C00BD9949854F -:040D7D00BD8949895A -:040D7E00DC01011380 -:040D7F002823080815 -:040D80002E232321DA -:040D81002C232211EC -:040D82002A2322817D -:040D83002623229170 -:040D840024232331D0 -:040D850022232341C1 -:040D860020232351B2 -:040D87002E23236193 -:040D8800D0EF217116 -:040D8900892AB75F9D -:040D8A003E0010EF28 -:040D8B0014091163D3 -:040D8C0075B7645D76 -:040D8D0046450001D6 -:040D8E0096058593AE -:040D8F0065C405131F -:040D900047C010EF59 -:040D910069C16ADDED -:040D920064896A5DA9 -:040D9300680A8E2339 -:040D940000021B3707 -:040D9500BE5FD0EF7E -:040D96000B9319FDA5 -:040D9700849374CA03 -:040D98002783710438 -:040D990057030D0BE4 -:040D9A00F7B374CA6D -:040D9B000A630137AF -:040D9C00D70300F782 -:040D9D000C63002BB8 -:040D9E0085260EF7A1 -:040D9F00AA3FC0EFB8 -:040DA00075B7B7CD9F -:040DA10046450001C2 -:040DA2009745859359 -:040DA30065C405130B -:040DA40042C010EF4A -:040DA500000165B72D -:040DA60085934645A6 -:040DA7008513178514 -:040DA80010EF69CA15 -:040DA900D0EF5E2009 -:040DAA004481B93F88 -:040DAB007AB76A5D4C -:040DAC000B13000124 -:040DAD00498505204F -:040DAE0094136B85AA -:040DAF000413010424 -:040DB0008425200472 -:040DB1004681472D03 -:040DB200004C862249 -:040DB300648A051336 -:040DB40023E010EF39 -:040DB5008593ED49EC -:040DB6000048988ACF -:040DB700FCDFC0EFAE -:040DB8000485CD31B0 -:040DB9009AE347C1B1 -:040DBA0010EFFCF446 -:040DBB00453D31E0A1 -:040DBC00D27FF0EF03 -:040DBD00C78367DDA4 -:040DBE00675D65A761 -:040DBF0068C70713E7 -:040DC000C50397BA16 -:040DC10067DD0007E3 -:040DC2006AA786A3F3 -:040DC300D0BFF0EFBE -:040DC40023C12083A4 -:040DC500238124035F -:040DC6002483854AB3 -:040DC7002903234198 -:040DC8002983230157 -:040DC9002A0322C116 -:040DCA002A832281D5 -:040DCB002B03224193 -:040DCC002B83220152 -:040DCD00011321C12C -:040DCE0080822401FA -:040DCF0000C1478395 -:040DD0004783F3CD95 -:040DD1009EE300D1CC -:040DD2004783F967F3 -:040DD300EAE300E16E -:040DD4009593F8F902 -:040DD50095DE008423 -:040DD60033200613AD -:040DD70001378463F9 -:040DD80005100613E9 -:040DD9008522081453 -:040DDA00A5DFD0EFD2 -:040DDB0010EFD93DFF -:040DDC00597D29A074 -:040DDD005713BF7178 -:040DDE0017930185E1 -:040DDF008FD9018522 -:040DE00000FF06B753 -:040DE100008517135F -:040DE2008FD98F75A1 -:040DE300071367414A -:040DE4008121F00772 -:040DE5008D5D8D791A -:040DE600C609808238 -:040DE700000217B738 -:040DE8000007A0233D -:040DE900F693470135 -:040DEA001637FFC5F4 -:040DEB0007B3000248 -:040DEC00646300E557 -:040DED00898D02D713 -:040DEE009463470DB6 -:040DEF00D68302E5C0 -:040DF00017370007AA -:040DF10012230002C7 -:040DF200C78300D7DC -:040DF30002230027B0 -:040DF40017B700F736 -:040DF5004B88000225 -:040DF600439C808218 -:040DF700C25C0711C2 -:040DF8004709B7F9F7 -:040DF90000E5996315 -:040DFA000007D70314 -:040DFB00000217B724 -:040DFC0000E7922357 -:040DFD004705BFF9EE -:040DFE00FCE59DE390 -:040DFF000007C7031F -:040E0000000217B71E -:040E010000E7822361 -:040E02001141B7E9FA -:040E03001437C422BA -:040E0400C226000200 -:040E050084AA460174 -:040E060004A00593AC -:040E070004040513C7 -:040E0800C0EFC6066B -:040E090085A6D83FA3 -:040E0A000513460185 -:040E0B00C0EF04042C -:040E0C000593DB1F50 -:040E0D00051304A025 -:040E0E00460504048D -:040E0F00D69FC0EFBB -:040E100004040513BE -:040E110040B2442285 -:040E1200458544923C -:040E1300C06F01416A -:040E14001141D77F32 -:040E15001437C422A8 -:040E1600C2260002EE -:040E170084AEC04A9B -:040E18004601892ADC -:040E190004A0059399 -:040E1A0004040513B4 -:040E1B00C0EFC60658 -:040E1C0085CAD37F31 -:040E1D000513460172 -:040E1E00C0EF040419 -:040E1F000513D65F82 -:040E20004422040460 -:040E2100490240B290 -:040E2200449285A6CB -:040E2300014146053E -:040E2400D4FFC06FC8 -:040E2500C422114191 -:040E26001433440538 -:040E2700741300A49C -:040E280085A20FF49C -:040E2900C60645199B -:040E2A00FABFF0EF2C -:040E2B00442285A236 -:040E2C00451D40B26E -:040E2D00F06F014120 -:040E2E001141F9DF96 -:040E2F00C4220506CE -:040E30000FF5741333 -:040E3100450585A24C -:040E3200F0EFC60611 -:040E330085A2F89FFD -:040E340040B2442262 -:040E35000141450929 -:040E3600F7BFF06FA3 -:040E3700451511410B -:040E3800F0EFC6060B -:040E39000713F29F0A -:040E3A004781086084 -:040E3B0004E5136354 -:040E3C000900059311 -:040E3D000200051397 -:040E3E00F5BFF0EF1D -:040E3F0005134581D1 -:040E4000F0EF0210BD -:040E41004581F51FD3 -:040E42000220051372 -:040E4300F47FF0EF59 -:040E44000513458DC0 -:040E4500F0EF023098 -:040E46004581F3DF10 -:040E4700028005130D -:040E4800F33FF0EF95 -:040E4900030005930A -:040E4A000710051375 -:040E4B00F27FF0EF53 -:040E4C0040B24785E4 -:040E4D000141853E9C -:040E4E00110180828C -:040E4F0000A107A354 -:040E50000002153750 -:040E51004605CC2264 -:040E52000513842ED2 -:040E530005930405FA -:040E5400CE0600F1D5 -:040E5500CD5FC0EFBE -:040E5600C0EF852242 -:040E570040F2FC4F1A -:040E5800610544628A -:040E59001141808241 -:040E5A00645DC422ED -:040E5B00684457830D -:040E5C00C226C606DE -:040E5D00F9F7F79317 -:040E5E0083C107C283 -:040E5F0068F41223FE -:040E6000000214B7C1 -:040E61000EF4A023C8 -:040E6200C0EF455147 -:040E630045D1F94F2D -:040E640003800513EF -:040E6500FA7FF0EF31 -:040E6600051345D15A -:040E6700F0EF039015 -:040E680045D1F9DF98 -:040E6900F0EF455110 -:040E6A0045D1F95F16 -:040E6B000710051354 -:040E6C00F8BFF0EFEC -:040E6D00051345D153 -:040E6E00F0EF05E0BC -:040E6F0045D1F81F52 -:040E700006D0051390 -:040E7100F77FF0EF28 -:040E7200453145D1F0 -:040E7300F6FFF0EFA7 -:040E740032000593B0 -:040E7500F0EF450550 -:040E760045D1F65F0D -:040E7700F0EF45193A -:040E78000593F5DF0A -:040E790045093200F5 -:040E7A00F53FF0EF61 -:040E7B0068445783ED -:040E7C00E79340B206 -:040E7D001223040731 -:040E7E00442268F4AE -:040E7F000EF4A023AA -:040E80000141449256 -:040E81001101808259 -:040E8200645DCC22BD -:040E830068445783E5 -:040E8400CA26CE06A6 -:040E8500F9F7F793EF -:040E860083C107C25B -:040E8700C64EC84A41 -:040E88001223C4521B -:040E890014B768F43E -:040E8A008A2A0002AE -:040E8B000EF4A0239E -:040E8C00892E450561 -:040E8D003200059397 -:040E8E00F03FF0EF52 -:040E8F0068445783D9 -:040E9000855245C181 -:040E91000207E793DA -:040E920068F41223CB -:040E93000EF4A02396 -:040E940026C010EF75 -:040E95000FF5799349 -:040E960000099B6351 -:040E9700000175B72A -:040E980085934645B3 -:040E99008552CF05AA -:040E9A0021C010EF74 -:040E9B0044814985C0 -:040E9C00009A07B3FE -:040E9D000007C50382 -:040E9E00048545D1B1 -:040E9F00EBFFF0EF86 -:040EA0000FF4F793C1 -:040EA100FF37E6E34E -:040EA20068445783C6 -:040EA300000214B77E -:040EA400F79345D1AA -:040EA50007C2FDF78C -:040EA600122383C1CF -:040EA700A02368F428 -:040EA80005130EF42C -:040EA900F0EF0C005A -:040EAA005783E95F22 -:040EAB0045C1684491 -:040EAC00E793854AF9 -:040EAD001223020703 -:040EAE00A02368F421 -:040EAF0010EF0EF43E -:040EB00079931FE033 -:040EB1009B630FF53B -:040EB20075B7000907 -:040EB30046450001AF -:040EB400CF0585934E -:040EB50010EF854A6B -:040EB60049851AE070 -:040EB70007B34481B8 -:040EB800C5030099D5 -:040EB90045D1000718 -:040EBA00F0EF0485CC -:040EBB00F793E51FA5 -:040EBC00E6E30FF466 -:040EBD005783FF3721 -:040EBE0040F2684452 -:040EBF0000021737DF -:040EC0000407E793A9 -:040EC10068F412239C -:040EC2002023446243 -:040EC30044D20EF710 -:040EC40049B24942A4 -:040EC50061054A2257 -:040EC60011418082D4 -:040EC7001437C422F6 -:040EC800C22600023C -:040EC90084AA4601B0 -:040ECA0002C00593CA -:040ECB000404051303 -:040ECC00C0EFC606A7 -:040ECD0085A6A73F10 -:040ECE0005134605BD -:040ECF00C0EF040468 -:040ED0000593AA1FBD -:040ED100051302C043 -:040ED20046050404C9 -:040ED300A59FC0EF28 -:040ED40004040513FA -:040ED50040B24422C1 -:040ED6004585449278 -:040ED700C06F0141A6 -:040ED8001141A67F9F -:040ED9001437C422E4 -:040EDA00C22600022A -:040EDB0084AEC04AD7 -:040EDC004601892A18 -:040EDD0002C00593B7 -:040EDE0004040513F0 -:040EDF00C0EFC60694 -:040EE00085CAA27F9E -:040EE10005134601AE -:040EE200C0EF040455 -:040EE3000513A55FEF -:040EE400442204049C -:040EE500490240B2CC -:040EE600449285A607 -:040EE700014146057A -:040EE800A3FFC06F35 -:040EE90000021537B7 -:040EEA00460111416B -:040EEB000513458125 -:040EEC00C60604052D -:040EED00A2BFC0EFF1 -:040EEE00C0EF4529E3 -:040EEF0045E1D64FB4 -:040EF000F0EF4505D5 -:040EF10045E1FA1FBE -:040EF200F0EF4509CF -:040EF30045E1F99F3D -:040EF400F0EF450DC9 -:040EF5004505F91F97 -:040EF600F43FF0EFE6 -:040EF700152140B2CF -:040EF8000015351399 -:040EF90080820141B1 -:040EFA00C4221141BC -:040EFB004505842AFB -:040EFC00F0EFC60647 -:040EFD00991DF29FAA -:040EFE008C49040E09 -:040EFF000FF4741365 -:040F0000450585A27C -:040F0100F5FFF0EF19 -:040F0200450985A276 -:040F0300F57FF0EF97 -:040F0400442285A25C -:040F0500450D40B2A4 -:040F0600F06F014146 -:040F07001141F49F01 -:040F0800842AC42251 -:040F0900C6064505CE -:040F0A00EF3FF0EFD6 -:040F0B00751347898A -:040F0C0013630D85D9 -:040F0D00641302F473 -:040F0E0085A20015A3 -:040F0F00F0EF4505B5 -:040F100085A2F25F65 -:040F1100F0EF4509AF -:040F120085A2F1DFE4 -:040F130040B2442282 -:040F14000141450D45 -:040F1500F0FFF06F8A -:040F16008C490416E8 -:040F17000FF474134C -:040F1800004464131A -:040F19001141BFD9EA -:040F1A001437C422A2 -:040F1B00C2260002E8 -:040F1C0084AA46015C -:040F1D0005C0059373 -:040F1E0004040513AF -:040F1F00C0EFC60653 -:040F2000F593927F34 -:040F210046050FF47E -:040F220004040513AB -:040F2300953FC0EF47 -:040F240005C005936C -:040F250004040513A8 -:040F2600C0EF4605CD -:040F2700051390BF5F -:040F28004422040457 -:040F2900449240B2FC -:040F2A0001414585B7 -:040F2B00919FC06F63 -:040F2C00C422114189 -:040F2D000002143773 -:040F2E00C04AC226CD -:040F2F00892A84AED9 -:040F300005934601DE -:040F3100051305C0DF -:040F3200C6060404E7 -:040F33008D9FC0EFDF -:040F34000FF97593A9 -:040F35000513460159 -:040F3600C0EF040400 -:040F37000513905FAF -:040F38004422040447 -:040F3900490240B277 -:040F3A00449285A6B2 -:040F3B000141460525 -:040F3C008EFFC06FF5 -:040F3D000513114146 -:040F3E00C6060220C1 -:040F3F00C226C422E0 -:040F4000F67FF0EF59 -:040F41000FF5741321 -:040F4200455945CDFB -:040F4300FA5FF0EF72 -:040F44008513648924 -:040F4500C0EF710484 -:040F4600458DC08F86 -:040F4700F0EF455D25 -:040F48008513F93FD5 -:040F4900C0EF710480 -:040F4A006593BF8F5D -:040F4B00051308047E -:040F4C00F0EF0220A0 -:040F4D000513F7FF92 -:040F4E00C0EF3E8032 -:040F4F007593BE4F89 -:040F5000442207F43C -:040F5100449240B2D4 -:040F52000220051361 -:040F5300F06F0141F9 -:040F54001141F63F12 -:040F55006409C42245 -:040F5600710405130A -:040F5700C0EFC6061B -:040F580045C5BC0FC0 -:040F5900F0EF455917 -:040F5A000513F4BFC8 -:040F5B00C0EF71046E -:040F5C00455DBB0F25 -:040F5D00F0EF4589E3 -:040F5E000513F3BFC5 -:040F5F0044227104B3 -:040F6000014140B259 -:040F6100B9AFC06FF5 -:040F6200C422114153 -:040F630085AA842EA9 -:040F6400C60645492F -:040F6500F1DFF0EFD9 -:040F6600442285A2FA -:040F6700454D40B202 -:040F6800F06F0141E4 -:040F690085AAF0FF66 -:040F6A0003D0051398 -:040F6B00F05FF06FD4 -:040F6C00454585AAC8 -:040F6D00EFDFF06F53 -:040F6E00C606114161 -:040F6F004783C422CE -:040F7000842A00656A -:040F71009593456DA2 -:040F72008DDD0047CA -:040F73000FF5F593EE -:040F7400EE1FF0EF8D -:040F7500006445834C -:040F7600F0EF4571E2 -:040F77004583ED7F42 -:040F780045290034D3 -:040F7900ECDFF0EFCA -:040F7A000044458367 -:040F7B00F0EF452529 -:040F7C004583EC3F7E -:040F7D0045210054B6 -:040F7E00EB9FF0EF06 -:040F7F0000044583A2 -:040F8000F0EF453514 -:040F81004583EAFFBB -:040F820045310014E1 -:040F8300EA5FF0EF42 -:040F8400002445837D -:040F850040B2442210 -:040F86000141452DB3 -:040F8700E95FF06FBF -:040F8800CC22110165 -:040F89004511842A60 -:040F8A00C632CA267B -:040F8B00CE0684AE5C -:040F8C00E37FF0EF20 -:040F8D0075934632E0 -:040F8E00CE4D0F85B0 -:040F8F007FF0079355 -:040F90000A87EA637F -:040F9100E59345118E -:040F9200F0EF001567 -:040F93001513E67FCD -:040F9400141300141E -:040F95008041010591 -:040F9600004455932B -:040F97000FF5F593CA -:040F9800F0EF45052C -:040F99001593E4FFC9 -:040F9A00F593004487 -:040F9B0045090F05F0 -:040F9C00E41FF0EF6F -:040F9D00019C05B7F7 -:040F9E00CC05859366 -:040F9F000295D5B32F -:040FA0000225573798 -:040FA1000FF707132C -:040FA20085B347814B -:040FA30070630285F0 -:040FA400273702B732 -:040FA5000713042CFE -:040FA6004785D7F7AD -:040FA70000B77963B3 -:040FA800080BF7B784 -:040FA900FBF7879338 -:040FAA0000B7B7B322 -:040FAB00675D0789EE -:040FAC0060C7071300 -:040FAD004503973E23 -:040FAE00071300071E -:040FAF000533028084 -:040FB000571302E5EC -:040FB100953A001459 -:040FB200028545333C -:040FB3007513471D4E -:040FB40075930FF52D -:040FB50073630FF55E -:040FB600459D00A7AE -:040FB70040F244625E -:040FB800058E44D28C -:040FB9008DDD079A29 -:040FBA000F85F59317 -:040FBB006105450D7A -:040FBC00DC1FF06FD7 -:040FBD00F0EF4511FB -:040FBE00BFB9DBBF1D -:040FBF00C4221141F6 -:040FC0004569842AD1 -:040FC100F0EFC60681 -:040FC2004785D61F6A -:040FC3000FA575936E -:040FC40000F41A63B8 -:040FC5000025E5938B -:040FC60040B24422CF -:040FC7000141456936 -:040FC800D91FF06FCE -:040FC90000A5E59307 -:040FCA005583BFC5C7 -:040FCB00114100A52B -:040FCC0081A1C42219 -:040FCD000513842A5A -:040FCE00C60604B09F -:040FCF00D75FF0EF09 -:040FD00000A44583B1 -:040FD10004A0051360 -:040FD200D69FF0EFC7 -:040FD30000C455837E -:040FD40004D005132D -:040FD500F0EF81A117 -:040FD6004583D5BFBB -:040FD700051300C43A -:040FD800F0EF04C072 -:040FD9005583D4FF69 -:040FDA00051300E417 -:040FDB0081A104F0FC -:040FDC00D41FF0EF3F -:040FDD0000E4458364 -:040FDE0004E0051313 -:040FDF00D35FF0EFFD -:040FE00000445583F1 -:040FE10005100513DF -:040FE200F0EF81A10A -:040FE3004583D27FF1 -:040FE40005130044AD -:040FE500F0EF050024 -:040FE6005583D1BF9F -:040FE700051300648A -:040FE80081A10530AE -:040FE900D0DFF0EF76 -:040FEA0000644583D7 -:040FEB0005200513C5 -:040FEC00D01FF0EF33 -:040FED0000845583A4 -:040FEE000550051392 -:040FEF00F0EF81A1FD -:040FF0004583CF3F27 -:040FF1000513008460 -:040FF200F0EF0540D7 -:040FF3005583CE7FD5 -:040FF40005130104DC -:040FF50081A1057061 -:040FF600CD9FF0EFAC -:040FF7000104458329 -:040FF8000560051378 -:040FF900CCDFF0EF6A -:040FFA0001245583F6 -:040FFB000590051345 -:040FFC00F0EF81A1F0 -:040FFD004583CBFF5E -:040FFE0005130124B2 -:040FFF00F0EF05808A -:041000005583CB3F0A -:04100100051301448E -:0410020081A105B013 -:04100300CA5FF0EFE1 -:0410040001444583DB -:0410050040B244228F -:0410060005A0051329 -:04100700F06F014144 -:041008001141C93F8A -:04100900842AC4224F -:04100A0003F00513D7 -:04100B00F0EFC60636 -:04100C007593C39F76 -:04100D008DC10F057D -:04100E0040B2442286 -:04100F0003F00513D2 -:04101000F06F01413B -:041011001141C6FFC4 -:04101200842AC42246 -:04101300C60645695F -:04101400C17FF0EFB9 -:0410150000641593CB -:0410160075134422E8 -:0410170040B203F5EB -:04101800F5938DC9F6 -:0410190045690FF521 -:04101A00F06F014131 -:04101B001101C47F7C -:04101C00461D65DD2B -:04101D00D285859360 -:04101E00CE060028D2 -:04101F00241000EFAA -:04102000C75FF0EFC7 -:04102100F0EF4501A6 -:041022004585E77F9A -:04102300035005135E -:04102400C21FF0EF08 -:041025000513655DED -:04102600F0EF990549 -:041027004501E91F77 -:04102800FA7FF0EF6C -:04102900F0EF451986 -:04102A000513D01FBB -:04102B00F0EF04409E -:04102C004581D03FEB -:04102D00F0EF450596 -:04102E000028CD3F8A -:04102F00CFDFF0EF30 -:04103000610540F224 -:0410310011018082A7 -:04103200842ACC221E -:04103300CE0645118F -:04103400F0EFC62EE5 -:0410350045B2B95FA8 -:0410360002B40433C9 -:041037000075759338 -:041038007793451154 -:04103900078E01F429 -:04103A00F0EF8DDD69 -:04103B005513BC7F0E -:04103C0040F24054EA -:04103D007513446281 -:04103E0061050FF544 -:04103F001141808259 -:04104000842AC42218 -:04104100C606454159 -:04104200B5FFF0EF17 -:0410430000341593CD -:04104400891D44229C -:041045008DC940B25F -:041046000FF5F5931A -:0410470001414541DD -:04104800B91FF06F6D -:041049001141C13957 -:04104A000513C226A2 -:04104B0084AE02600D -:04104C000800059300 -:04104D00C606C422ED -:04104E00F0EF843209 -:04104F004785B77F9B -:041050000094059370 -:0410510000F48963BB -:04105200059347A11A -:04105300846305A409 -:04105400059300F40C -:0410550044220184AC -:04105600449240B2CE -:041057000FF5F59309 -:041058000310051369 -:04105900F06F0141F2 -:04105A004581B4BF59 -:04105B000260051317 -:04105C001101BFDDE2 -:04105D00CA26CC22B1 -:04105E00C64EC84A68 -:04105F00CE06C452A3 -:04106000842A478512 -:0410610089B2892E99 -:0410620084BA8A368C -:0410630000F50E6323 -:04106400006347A13D -:04106500059306F5F4 -:04106600F593006797 -:0410670045150FF527 -:04106800B11FF0EFD5 -:04106900A80945C1CC -:04106A0000270593C3 -:04106B000FF5F593F5 -:04106C00F0EF451547 -:04106D004599AFFFF3 -:04106E00F0EF451941 -:04106F0085A2AF7F28 -:041070004505862686 -:04107100F61FF0EF87 -:0410720045B147C17C -:0410730000F403631F -:04107400051345A17A -:04107500F0EF022076 -:041076004462ADBF64 -:0410770044D240F22D -:0410780085CE865249 -:0410790049B24A220C -:04107A004942854A18 -:04107B00F06F6105AC -:04107C000593C33FD6 -:04107D00F5930327BD -:04107E0045150FF510 -:04107F00AB5FF0EF84 -:0410800002000593D2 -:041081001141BF5505 -:04108200FFE587936C -:04108300C226C4229B -:04108400F793C60612 -:0410850047050FF715 -:04108600842E84AA86 -:0410870006F769639C -:04108800478147094C -:0410890000E5136308 -:04108A0097134789E8 -:04108B009593002415 -:04108C008DD90044B6 -:04108D008DC5079A6C -:04108E00F5938DDD6C -:04108F0045650FF5AF -:04109000A71FF0EFB7 -:04109100F0EF4541F6 -:04109200478DA21FC5 -:041093000F857593BD -:0410940000F41463ED -:041095000055E5938A -:04109600F0EF4541F1 -:041097004789A57F61 -:0410980002F49D635E -:04109900EA6347853A -:04109A000593028731 -:04109B0004630520C5 -:04109C00059300F4C4 -:04109D00453905309C -:04109E00A39FF0EF2D -:04109F003E80051377 -:0410A000E9FFB0EFC5 -:0410A100F0EF4551D6 -:0410A20045819E1FC7 -:0410A3004789A03D9C -:0410A40037B3FD4918 -:0410A500078500B00B -:0410A6000593BF49A6 -:0410A700453905B012 -:0410A800A11FF0EFA5 -:0410A9003E8005136D -:0410AA00E77FB0EF3D -:0410AB00F0EF4551CC -:0410AC00478D9B9F32 -:0410AD001AE345C13C -:0410AE004561FCF4A8 -:0410AF009F5FF0EF60 -:0410B00040B24422E4 -:0410B100458144929F -:0410B20003600513BF -:0410B300F06F014198 -:0410B40011019E3F49 -:0410B500842ACC229B -:0410B600C62E4551AC -:0410B700F0EFCE0682 -:0410B8004709989FAD -:0410B9000FF5779325 -:0410BA00156345B2C3 -:0410BB00470502E4FF -:0410BC0000E59C634C -:0410BD000905751399 -:0410BE00F70505131A -:0410BF0000153513D0 -:0410C000446240F254 -:0410C10080826105C3 -:0410C2007513E5912C -:0410C3000513088584 -:0410C400B7EDF78508 -:0410C5000017D51328 -:0410C600B7DD890504 -:0410C7004791715983 -:0410C80000F11E23F2 -:0410C900871367DD45 -:0410CA00D6A26B0738 -:0410CB00D2CAD4A60B -:0410CC00CED2D0CEE2 -:0410CD00CADACCD6D9 -:0410CE00C6E2C8DED0 -:0410CF00C2EAC4E6C7 -:0410D000842AC0EEC0 -:0410D10001E74503EB -:0410D2004E03462162 -:0410D300163302E7E7 -:0410D400450300A62A -:0410D500661301F7A6 -:0410D6001F2300864E -:0410D700061300C13B -:0410D80016330400C7 -:0410D900450300A625 -:0410DA0010230207D6 -:0410DB00061302C135 -:0410DC001633400087 -:0410DD00450300A621 -:0410DE0011230217C1 -:0410DF00660902C1DB -:0410E00000A616331D -:0410E10002C1122313 -:0410E20001B106133F -:0410E300665DD43240 -:0410E4006D3606134C -:0410E500665DD6323C -:0410E6006D4606133A -:0410E700665DD83238 -:0410E8006D56061328 -:0410E900665DDA3234 -:0410EA006D66061316 -:0410EB00675DDC322F -:0410EC000613665D24 -:0410ED00635D6D765C -:0410EE009C07071341 -:0410EF0004000F37B3 -:0410F0000DA3DE323C -:0410F10006130001E1 -:0410F2004881798335 -:0410F3006B0787936D -:0410F40079830313E6 -:0410F5000F93C63A55 -:0410F60062851000FF -:0410F700400004931E -:0410F80020000913B8 -:0410F900099343C153 -:0410FA000A130400D1 -:0410FB000A9308004C -:0410FC004B11020092 -:0410FD000C134BA1E4 -:0410FE004C8D20D025 -:0410FF000F134D0579 -:041100009513040F30 -:0411010047750188A5 -:04110200946385610C -:04110300557D00E82E -:041104004703A2718A -:041105004E9501768C -:041106000166480333 -:041107000EEEE563A0 -:041108001E934DB233 -:041109009DF6002728 -:04110A00000DAD83A4 -:04110B00D07A8D8287 -:04110C0000D878335C -:04110D000C0809635E -:04110E0004010D9338 -:04110F00AE839EEE1F -:041110005803FE8EF4 -:04111100CE83018602 -:041112000E86000E37 -:04111300DE839EEEEB -:041114007EB3FDCEDB -:04111500886301D812 -:0411160048130A0E62 -:041117007813FFF852 -:041118001263001846 -:0411190058030AB8B5 -:04111A000879010649 -:04111B0008886D6370 -:04111C0097234805C8 -:04111D00882300071C -:04111E008923010719 -:04111F009A23000708 -:041120008E6301D702 -:04112100E96319FE67 -:0411220084630BDFF8 -:04112300E763167EEA -:04112400856309D303 -:0411250098630F6E4E -:041126004685077E75 -:0411270000D7862344 -:0411280003B7C683C0 -:0411290010069E63AB -:04112A0086B346E959 -:04112B00969A02D8B6 -:04112C0000E6D60300 -:04112D00577006935E -:04112E0010C6E463A0 -:04112F00FFF70613AD -:041130000FF676132D -:04113100ED6346899B -:0411320086A30EC6BC -:04113300882300D736 -:04113400156300D768 -:04113500C7030CD709 -:041136001863031720 -:041137004705100751 -:0411380000E7872322 -:041139005D83A865C5 -:04113A0093E3010634 -:04113B004D83F58D5E -:04113C009D63FFD6DA -:04113D001563019D98 -:04113E007813000E14 -:04113F00BF0D0EF8DA -:0411400017E34D89DB -:041141000885F3BE6C -:04114200BDE5066998 -:04114300000E156322 -:041144000FB8781355 -:041145001DE3BF31B6 -:04114600B7F5F1AE5A -:041147000F3E816373 -:041148000F4E8A6359 -:04114900FF5E91E3D1 -:04114A002010071357 -:04114B0000E7962300 -:04114C008823471994 -:04114D00A09D00E77A -:04114E000E5E8B6343 -:04114F0001D2EF6377 -:041150000E9E82630A -:04115100800E871372 -:041152009EE3C365F0 -:041153000713FB2E55 -:04115400962320209E -:04115500471500E753 -:041156006711BFE975 -:041157000CEE8C63AB -:041158008263672126 -:0411590067090EEE26 -:04115A00F8EE9FE329 -:04115B00962347117F -:04115C00071300E78E -:04115D00892307805B -:04115E00A00D00E7F9 -:04115F0000079623CC -:041160000FD777131B -:041161001B63468541 -:04116200C70300D7E8 -:04116300C70D03179A -:04116400882347098C -:04116500470500E753 -:0411660000E787A374 -:0411670054A6543600 -:041168005986591635 -:041169004AE64A7692 -:04116A004BC64B56CF -:04116B004CA64C360C -:04116C004D864D1649 -:04116D0080826165B6 -:04116E008723470587 -:04116F00BFE100E7F5 -:04117000FFE70693FC -:04117100000786A34A -:041172000FD6F6930B -:041173004695D6893E -:04117400FCD716E3AB -:0411750088B347698B -:04117600071302E871 -:0411770093464AF061 -:0411780000E35683B7 -:04117900EED779E351 -:04117A0086A3BF5534 -:04117B004709000719 -:04117C000713B78915 -:04117D009623201085 -:04117E00471100E72E -:04117F004689BF1DC1 -:0411800000D79623DB -:041181001BE3468D99 -:04118200C703F8D7D0 -:0411830008E3031763 -:04118400BFF1EC07C4 -:04118500102007131C -:0411860000E79623C5 -:041187000713B74152 -:04118800BFD1202093 -:04118900BFE9468DE7 -:04118A0020300713F7 -:04118B000713B7E9A6 -:04118C00B705203053 -:04118D0020400713E4 -:04118E0000E79623BD -:04118F008823471555 -:04119000476100E7CC -:041191000713BF0D74 -:041192009623204040 -:04119300471900E711 -:0411940000E78823C5 -:04119500B705475102 -:041196001793110199 -:04119700CC220185E0 -:04119800CE06CA268F -:04119900842A87E13C -:04119A00DD6384AEDF -:04119B004581000783 -:04119C0007700513C0 -:04119D0001A337D59E -:04119E00478500A1E0 -:04119F0006A7EE634E -:0411A00007F47413C9 -:0411A10045912651FD -:0411A2002E81450154 -:0411A300D793268533 -:0411A40002A301841D -:0411A500D79300F1EB -:0411A600032301041A -:0411A700D79300F1E9 -:0411A80003A3008419 -:0411A900022300F12C -:0411AA000423008199 -:0411AB000713009195 -:0411AC0007930400A1 -:0411AD000963095079 -:0411AE00071300E43F -:0411AF0047850480EC -:0411B00000E41463E0 -:0411B1000870079328 -:0411B2000048459913 -:0411B30000F104A3A0 -:0411B40045152CEDC4 -:0411B500842A26B5AD -:0411B6000513458553 -:0411B7002CF50031E2 -:0411B8000031078378 -:0411B9000007D663F2 -:0411BA0047852649F6 -:0411BB00FEF506E354 -:0411BC002E41E011CF -:0411BD0000314503B5 -:0411BE00446240F255 -:0411BF00610544D2B0 -:0411C000713980827F -:0411C1004581DA2664 -:0411C200051384AAE3 -:0411C300DE060490B0 -:0411C400D84ADC2207 -:0411C500F0EFD64E23 -:0411C600C909F43F20 -:0411C70050F245019C -:0411C80054D2546247 -:0411C90059B259427C -:0411CA00808261219D -:0411CB004515842A18 -:0411CC00892A2E013D -:0411CD000FF0099383 -:0411CE00051345853B -:0411CF002C7100B1CE -:0411D00000B14783A0 -:0411D10001379663E9 -:0411D2004785260D1A -:0411D300FEF506E33C -:0411D4000009136398 -:0411D5004703263D69 -:0411D600079300B1CA -:0411D7001FE30FE023 -:0411D80045C9FAF714 -:0411D9002C950068E9 -:0411DA000044C78383 -:0411DB000027F713DF -:0411DC004503CF15E3 -:0411DD004783012122 -:0411DE004703013191 -:0411DF00890D016114 -:0411E0008D5D0522FA -:0411E10001414783FE -:0411E2004403050AB3 -:0411E30083990111DA -:0411E40047838D5D53 -:0411E500831D015114 -:0411E6000786883DB3 -:0411E7008FD98B9978 -:0411E8000505943E27 -:0411E9001533146541 -:0411EA00BF95008528 -:0411EB00CB898B9190 -:0411EC000141450375 -:0411ED0001514783E2 -:0411EE008D5D0522EC -:0411EF00B7CD47C56C -:0411F00045014781ED -:0411F1007179BFF160 -:0411F200D04A65D9A1 -:0411F300892A4629D6 -:0411F400C3C5859357 -:0411F500D2260048B6 -:0411F600CA56CE4EB9 -:0411F700D422D60622 -:0411F800498DCC52FF -:0411F90044852CE11C -:0411FA000AA00A93AA -:0411FB002C2922C9B0 -:0411FC0045A924B12C -:0411FD002AD10048AB -:0411FE0020234581E4 -:0411FF0005130009CB -:04120000F0EF040007 -:04120100842AE57FD7 -:041202000E951463CE -:041203001AA0059395 -:04120400048005134A -:04120500E45FF0EFC3 -:0412060008951363D1 -:04120700850A45917E -:0412080047832A6D81 -:0412090095630021C8 -:04120A0047830C9773 -:04120B0091630031BA -:04120C0005130D5762 -:04120D0024293E80D2 -:04120E0019632C0D27 -:04120F0005B700958A -:041210000513400082 -:04121100F0EF0E905C -:04121200F57DE13F46 -:0412130007632C3908 -:0412140044010295FA -:0412150019FD243D5E -:041216000FF9F99340 -:0412170008098C63D3 -:041218004785D451E1 -:041219000089022323 -:04121A0000F9202394 -:04121B00F0EF854A21 -:04121C00157DE95FF4 -:04121D0000A92423DD -:04121E00A8B52265E8 -:04121F0005134581ED -:04122000F0EF07A044 -:04122100F571DD7F07 -:04122200850A459163 -:04122300478322B922 -:041224004431000150 -:041225000407F79330 -:041226004411FFD59B -:041227004581BF65D9 -:041228000E9005130C -:04122900DB5FF0EFA8 -:04122A0004100A138F -:04122B0000A4E563D3 -:04122C000A13440954 -:04122D0005130E9007 -:04122E0022590FA092 -:04122F001763227DA2 -:04123000458100955F -:04123100F0EF855203 -:04123200F96DD93F3A -:04123300E1112A7922 -:041234002A45440102 -:0412350005134581D7 -:04123600F0EF07B01E -:04123700C111D7FF0B -:0412380005934401D5 -:041239000513200079 -:04123A00F0EF0500CC -:04123B00D525D6FFE0 -:04123C00B79544011D -:04123D0028D5F43D7F -:04123E000014351350 -:04123F00542250B233 -:041240005902549269 -:041241004A6249F2C2 -:0412420061454AD2E6 -:04124300451C808244 -:04124400D4227179C6 -:04124500D226D606D1 -:04124600CE4ED04A6E -:04124700E463440D0B -:0412480084BA02C79B -:04124900C305440D88 -:04124A000045478391 -:04124B00893689AEA9 -:04124C00E3918BA1FE -:04124D0085B206263A -:04124E00051005136F -:04124F00D1DFF0EF0C -:041250004409C9196B -:041251008522205D75 -:04125200542250B220 -:041253005902549256 -:04125400614549F2B5 -:04125500051380827B -:0412560020DD064051 -:041257000FF004137D -:0412580005134585B0 -:04125900289500F1E3 -:04125A0000F14783D5 -:04125B00008796630F -:04125C00478528EDAD -:04125D00FEF506E3B1 -:04125E0047032229F7 -:04125F00079300F100 -:0412600010E30FE0A8 -:041261000413FCF77F -:041262000433202011 -:041263008C05412491 -:04126400804104427F -:041265000009056314 -:04126600450185CAEF -:0412670085A6283DF3 -:041268002825854E62 -:04126900450185A214 -:04126A004401280D06 -:04126B002637BF6102 -:04126C0005B70006BC -:04126D001537019C94 -:04126E000613000261 -:04126F008593A806B5 -:041270000513CC0591 -:04127100B06F020553 -:04127200862EBB5FAA -:04127300153785AAFC -:04127400051300025C -:04127500B06F02054F -:04127600862EC53FBC -:04127700153785AAF8 -:041278000513000258 -:04127900B06F02054B -:04127A008082C17F2E -:04127B005783675DD1 -:04127C00F793684735 -:04127D0007C2F7F7B6 -:04127E00122383C1F3 -:04127F00173768F7BE -:041280002023000225 -:0412810080820EF762 -:041282005783675DCA -:04128300E79368473E -:041284001223080722 -:04128500173768F7B8 -:04128600202300021F -:0412870080820EF75C -:0412880000293637CC -:04128900019C05B708 -:04128A000002153712 -:04128B002E06061312 -:04128C00CC05859375 -:04128D00020505133E -:04128E00B43FB06F4A -:04128F00F73FF06FC6 -:0412900027836761E8 -:04129100E385A8C782 -:041292008793679D3A -:041293000533978701 -:04129400114102F50D -:041295002623C60640 -:04129600B0EFA8A766 -:0412970040B2EE8FE4 -:0412980001414501CA -:041299004505808205 -:04129A0011418082FC -:04129B00B0EFC606E4 -:04129C0067E1EF8F88 -:04129D00A8C7A783B4 -:04129E00353340B2F2 -:04129F00014100F514 -:0412A00067E1808200 -:0412A100A807A623D1 -:0412A2000000808246 -:0412A300000007132D -:0412A40000E61663E7 -:0412A500000005132D -:0412A600000080675D -:0412A70000E507B3A4 -:0412A8000017071311 -:0412A90000E586B323 -:0412AA000007C783EF -:0412AB00FFF6C68301 -:0412AC00FED780E306 -:0412AD0040D785336E -:0412AE000000806755 -:0412AF0000A5C7B31C -:0412B0000037F79379 -:0412B10000C507333A -:0412B2000007966338 -:0412B300003007936D -:0412B40002C7E26328 -:0412B5000005079396 -:0412B6000AE57C6366 -:0412B7000005C683E5 -:0412B8000017879301 -:0412B9000015859304 -:0412BA00FED78FA329 -:0412BB00FEE7E8E37F -:0412BC000000806747 -:0412BD0000357693EF -:0412BE00000507938D -:0412BF0000068E6334 -:0412C0000005C683DC -:0412C10000178793F8 -:0412C20000158593FB -:0412C300FED78FA320 -:0412C4000037F69366 -:0412C500FE9FF06F29 -:0412C600FFC7769355 -:0412C700FE06861386 -:0412C80006C7F463FE -:0412C9000005A383F6 -:0412CA000045A283B6 -:0412CB000085AF8368 -:0412CC0000C5AF03A7 -:0412CD000105AE83E6 -:0412CE000145AE0325 -:0412CF000185A303EF -:0412D00001C5A88329 -:0412D10002458593BA -:0412D2000077A023DE -:0412D300FFC5A803A8 -:0412D4000057A223FA -:0412D50001F7A42356 -:0412D60001E7A62363 -:0412D70001D7A82370 -:0412D80001C7AA237D -:0412D9000067AC23DB -:0412DA000117AE2327 -:0412DB0002478793AC -:0412DC00FF07AE2337 -:0412DD00FADFF06FD5 -:0412DE000005A6035E -:0412DF0000478793AA -:0412E00000458593AD -:0412E100FEC7AE2373 -:0412E200FED7E8E368 -:0412E300F4E7E8E361 -:0412E400000080671F -:0412E500F6010113FA -:0412E60006912A2320 -:0412E70008F12A23BD -:0412E80006112E239A -:0412E900000177B7D2 -:0412EA0006812C232A -:0412EB0008D12623DD -:0412EC0008E12823CA -:0412ED0009012C23A4 -:0412EE0009112E2391 -:0412EF005B87A483F2 -:0412F0000205D263BE -:0412F10008B00793A7 -:0412F20000F4A02341 -:0412F300FFF00513F0 -:0412F40007C120838B -:0412F5000781240346 -:0412F6000741248305 -:0412F7000A010113D4 -:0412F800000080670B -:0412F90020800793B7 -:0412FA0000F11A23C2 -:0412FB0000A1242307 -:0412FC0000A12C23FE -:0412FD000000079353 -:0412FE000005846300 -:0412FF00FFF58793DD -:0413000000F12823AD -:0413010000F12E23A6 -:0413020008C1069385 -:04130300FFF007935D -:041304000005841349 -:041305000004851348 -:0413060000810593CA -:0413070000F11B23B3 -:0413080000D12223CB -:04130900484000EF69 -:04130A00FFF0079356 -:04130B0000F5566330 -:04130C0008B007938B -:04130D0000F4A02325 -:04130E00F8040CE3F0 -:04130F0000812783AF -:04131000000780232F -:04131100F8DFF06FA2 -:0413120002060A6362 -:04131300FFF60613C8 -:0413140000000713BB -:0413150000E507B335 -:0413160000E586B3B5 -:041317000007C78381 -:041318000006C68382 -:0413190000D79863FE -:04131A0000C706639F -:04131B00001707139D -:04131C00FE0792E353 -:04131D0040D78533FD -:04131E0000008067E4 -:04131F0000000513B2 -:0413200000008067E2 -:041321000005079329 -:0413220000060E6350 -:041323000015859399 -:04132400FFF5C70307 -:041325000017879393 -:04132600FFF60613B5 -:04132700FEE78FA3AB -:04132800FE0714E3C5 -:0413290000C7863340 -:04132A0000C7946301 -:04132B0000008067D7 -:04132C00001787938C -:04132D00FE078FA385 -:04132E00FF1FF06F3E -:04132F0000B505B34D -:04133000000507931A -:0413310000B7866318 -:041332000007C703E6 -:041333000007166336 -:0413340040A7853316 -:0413350000008067CD -:041336000017879382 -:04133700FE9FF06FB6 -:0413380010058463B5 -:04133900FFC5A783C2 -:04133A00FF0101139B -:04133B0000812423E6 -:04133C000011262353 -:04133D0000912223D6 -:04133E00FFC5841350 -:04133F000007D4636C -:0413400000F404337E -:04134100000504930C -:0413420058D000EF90 -:0413430000017737F7 -:041344006287278312 -:041345000007061384 -:0413460002079263A5 -:041347000004222359 -:041348006287242371 -:0413490000812403F8 -:04134A0000C120833B -:04134B000004851302 -:04134C0000412483B5 -:04134D000101011386 -:04134E005610006FC6 -:04134F0002F47863C9 -:0413500000042683EC -:0413510000D407338A -:0413520000E79A63B3 -:041353000007A703E5 -:041354000047A78324 -:0413550000D7073383 -:0413560000E420236C -:0413570000F4222359 -:041358006286242362 -:04135900FC1FF06F16 -:04135A0000070793EE -:04135B000047A7039D -:04135C00000704631F -:04135D00FEE47AE34D -:04135E000007A6835B -:04135F0000D78633FA -:041360000286186386 -:04136100000426035B -:0413620000C686B388 -:0413630000D7A023EC -:0413640000D78633F5 -:04136500F8C718E3CA -:041366000007260353 -:041367000047270311 -:0413680000D606B3F2 -:0413690000D7A023E6 -:04136A0000E7A223D3 -:04136B00F79FF06F89 -:04136C0000C47863DE -:04136D0000C0079322 -:04136E0000F4A023C4 -:04136F00F69FF06F86 -:04137000000426034C -:0413710000C406B3FB -:0413720000D71A6323 -:0413730000072683C6 -:041374000047270304 -:0413750000C686B375 -:0413760000D420235C -:0413770000E4222349 -:041378000087A22325 -:04137900F41FF06FFE -:04137A000000806788 -:04137B00FE0101135B -:04137C0000912A238F -:04137D000035849320 -:04137E00FFC4F49321 -:04137F0000112E2308 -:0413800000812C2399 -:0413810001212823FB -:0413820001312623EC -:0413830000848493CB -:0413840000C007930B -:0413850006F4F8630F -:0413860000C004930C -:0413870006B4E6635F -:041388000005091340 -:04138900471000EF1A -:04138A00000177B730 -:04138B006287A703CB -:04138C00628786935B -:04138D00000704133E -:04138E0006041C63D2 -:04138F0000017437AE -:0413900062C404131C -:0413910000042783AA -:0413920000079A6353 -:0413930000000593BE -:041394000009051334 -:04139500375000EFDE -:0413960000A420236C -:041397000004859336 -:041398000009051330 -:04139900365000EFDB -:04139A00FFF00993C4 -:04139B000B351A6391 -:04139C0000C00793F3 -:04139D0000F9202310 -:04139E00000905132A -:04139F0041D000EF4A -:0413A0000100006FD9 -:0413A100F804DCE38D -:0413A20000C00793ED -:0413A30000F520230E -:0413A400000005132D -:0413A50001C12083DF -:0413A600018124039A -:0413A7000141248359 -:0413A8000101290313 -:0413A90000C12983D3 -:0413AA000201011328 -:0413AB000000806757 -:0413AC00000427838F -:0413AD00409787B32B -:0413AE000407CE63FF -:0413AF0000B0061371 -:0413B00000F67A6366 -:0413B10000F4202301 -:0413B20000F404330C -:0413B300009420235F -:0413B4000100006FC5 -:0413B5000044278346 -:0413B60002871A632D -:0413B70000F6A02379 -:0413B8000009051310 -:0413B9003B5000EFB6 -:0413BA0000B4051363 -:0413BB000044079350 -:0413BC00FF85751321 -:0413BD0040F50733BD -:0413BE00F8070EE33B -:0413BF0000E404330F -:0413C00040A787B308 -:0413C10000F42023F1 -:0413C200F8DFF06FF1 -:0413C30000F72223EA -:0413C400FD1FF06FAA -:0413C5000004071306 -:0413C60000442403B8 -:0413C700F1DFF06FF3 -:0413C80000350413D5 -:0413C900FFC47413D6 -:0413CA00FA8502E3BB -:0413CB0040A405B382 -:0413CC0000090513FC -:0413CD00295000EFB4 -:0413CE00F9351AE3F0 -:0413CF00F35FF06F69 -:0413D000FE01011306 -:0413D10001212823AB -:0413D2000085A903E6 -:0413D30000812C2346 -:0413D400014124238C -:0413D500016120236F -:0413D60000112E23B1 -:0413D70000912A2334 -:0413D8000131262396 -:0413D9000151222379 -:0413DA000005841373 -:0413DB0000060B13EA -:0413DC0000068A136A -:0413DD000D26EE6388 -:0413DE0000C5D783EC -:0413DF004807F713B1 -:0413E0000C0706638D -:0413E100000424835D -:0413E2000105A583D9 -:0413E3000144270397 -:0413E40000050A9363 -:0413E50040B489B3D4 -:0413E600003004933C -:0413E70002E484B3E5 -:0413E80000200713C7 -:0413E90002E4C4B3A3 -:0413EA00001687134F -:0413EB00013707338C -:0413EC0000E4F463C2 -:0413ED00000704935E -:0413EE004007F7932A -:0413EF000C07846300 -:0413F00000048593DD -:0413F100000A851356 -:0413F200E25FF0EFD7 -:0413F30000050913D5 -:0413F4000405126377 -:0413F50000C007939A -:0413F60000FAA02336 -:0413F70000C4578354 -:0413F800FFF00513EA -:0413F9000407E7936B -:0413FA0000F41623C2 -:0413FB0001C1208389 -:0413FC000181240344 -:0413FD000141248303 -:0413FE0001012903BD -:0413FF0000C129837D -:0414000000812A033A -:0414010000412A83F9 -:0414020000012B03B7 -:0414030002010113CE -:0414040000008067FD -:041405000104258336 -:041406000009861340 -:04140700AA1FF0EF39 -:0414080000C4578342 -:04140900B7F7F793A7 -:04140A000807E79355 -:04140B0000F41623B0 -:04140C00012428236C -:04140D0000942A23FA -:04140E000139093364 -:04140F00413484B32D -:041410000124202370 -:0414110000942423FC -:04141200000A0913B0 -:04141300012A7463D3 -:04141400000A0913AE -:0414150000042503A7 -:0414160000090613B0 -:04141700000B05932E -:041418001D9000EF34 -:0414190000842783A1 -:04141A0000000513B6 -:04141B00412787B32B -:04141C0000F4242391 -:04141D00000427831D -:04141E0001278933E6 -:04141F000124202361 -:04142000F6DFF06F94 -:04142100000486132A -:04142200000A851324 -:04142300211000EFA5 -:0414240000050913A3 -:04142500F8051EE3C5 -:041426000104258315 -:04142700000A85131F -:04142800C41FF0EFFE -:04142900F31FF06F4E -:04142A0000C5D7839F -:04142B00F4010113B4 -:04142C000A912A23D4 -:04142D000B21282344 -:04142E000B31262335 -:04142F000A112E234D -:041430000A812C23DE -:041431000B41242324 -:041432000B51222315 -:041433000B61202306 -:0414340009712E23E9 -:0414350009812C23DA -:0414360009912A23CB -:0414370009A12823BC -:0414380009B12623AD -:041439000807F79316 -:04143A00000509930D -:04143B00000589130C -:04143C00000604930F -:04143D0006078C63AF -:04143E000105A7837A -:04143F0006079863A1 -:04144000040005930C -:0414410000D126238D -:04144200CE5FF0EF9A -:0414430000A92023B9 -:0414440000A92823B0 -:0414450000C1268339 -:041446000405166320 -:0414470000C0079347 -:0414480000F9A023E4 -:04144900FFF0051398 -:04144A000BC120832F -:04144B000B812403EA -:04144C000B412483A9 -:04144D000B01290363 -:04144E000AC1298323 -:04144F000A812A03E1 -:041450000A412A83A0 -:041451000A012B035E -:0414520009C12B831E -:0414530009812C03DC -:0414540009412C839B -:0414550009012D0359 -:0414560008C12D8319 -:041457000C01011370 -:0414580000008067A9 -:0414590004000793F1 -:04145A0000F92A2348 -:04145B0002000793F1 -:04145C0002F10CA3EA -:04145D0000000AB7CA -:04145E0003000793ED -:04145F0002012A2339 -:0414600002F10D2365 -:0414610000D12E2365 -:0414620002500C9395 -:0414630000017B37D2 -:0414640000017BB751 -:0414650000017D37CE -:0414660000015C37EE -:04146700000A8A935A -:0414680000048413E5 -:0414690000044783B1 -:04146A000007846390 -:04146B000D979C63DA -:04146C0040940DB3E8 -:04146D00020D866383 -:04146E00000D869354 -:04146F0000048613DC -:0414700000090593D7 -:0414710000098513D6 -:04147200D79FF0EF21 -:04147300FFF00793EC -:0414740024F50663F2 -:041475000341268386 -:0414760001B686B382 -:0414770002D12A2351 -:0414780000044783A2 -:0414790022078C6357 -:04147A00FFF00793E5 -:04147B0000140493C2 -:04147C000201202326 -:04147D00020126231F -:04147E0002F1222332 -:04147F00020124231F -:04148000060101A3BD -:0414810006012C2311 -:0414820000100D93B6 -:041483000004C58319 -:0414840000500613FB -:04148500CECB0513B2 -:041486007FC000EF34 -:0414870000148413B6 -:0414880002012783B3 -:0414890006051463DD -:04148A000107F7134C -:04148B0000070663ED -:04148C000200071340 -:04148D0006E101A3D0 -:04148E000087F713C9 -:04148F0000070663E9 -:0414900002B007138C -:0414910006E101A3CC -:041492000004C68309 -:0414930002A0071399 -:0414940004E68C637B -:0414950002C12783E6 -:0414960000048413B7 -:0414970000000693B8 -:0414980000900613A7 -:0414990000A0051397 -:04149A000004470300 -:04149B0000140593A1 -:04149C00FD0707132E -:04149D0008E67C637E -:04149E000406846359 -:04149F0002F126230D -:0414A0000400006FD5 -:0414A100001404131C -:0414A200F1DFF06F17 -:0414A300CECB071392 -:0414A40040E50533E7 -:0414A50000AD9533CE -:0414A60000A7E7B301 -:0414A70002F120230B -:0414A80000040493A5 -:0414A900F69FF06F4B -:0414AA0001C1270352 -:0414AB00004706935D -:0414AC00000727030B -:0414AD0000D12E2319 -:0414AE00040740638C -:0414AF0002E126230D -:0414B00000044703EA -:0414B10002E00793BB -:0414B20008F71663BE -:0414B30000144703D7 -:0414B40002A00793F8 -:0414B50004F71A63BB -:0414B60001C12783C6 -:0414B70000240413F6 -:0414B800004787134F -:0414B9000007A783FE -:0414BA0000E12E23FC -:0414BB000207CA63F7 -:0414BC0002F12223F4 -:0414BD000600006FB6 -:0414BE0040E00733D0 -:0414BF000027E79388 -:0414C00002E12623FC -:0414C10002F12023F1 -:0414C200FB9FF06F2D -:0414C30002A787B342 -:0414C400001006937B -:0414C5000005841387 -:0414C60000E787B301 -:0414C700F4DFF06FEF -:0414C800FFF0079397 -:0414C900FCDFF06FE5 -:0414CA0000140413F3 -:0414CB0002012223D5 -:0414CC000000069383 -:0414CD000000079381 -:0414CE000090061371 -:0414CF0000A0051361 -:0414D00000044703CA -:0414D100001405936B -:0414D200FD070713F8 -:0414D30008E6726352 -:0414D400FA0690E3A1 -:0414D5000004458347 -:0414D60000300613C9 -:0414D700CF4B85135F -:0414D8006B4000EF76 -:0414D90002050263A3 -:0414DA00CF4B8793DA -:0414DB0040F50533A0 -:0414DC00040007936E -:0414DD0000A797B31A -:0414DE0002012503DF -:0414DF0000140413DE -:0414E00000F565337B -:0414E10002A1202321 -:0414E200000445833A -:0414E300006006138C -:0414E400CF8D051390 -:0414E5000014049358 -:0414E60002B10C2320 -:0414E700678000EF2B -:0414E80008050A6386 -:0414E900040A9863F6 -:0414EA0002012703D1 -:0414EB0001C1278391 -:0414EC00100777135B -:0414ED000207086387 -:0414EE000047879399 -:0414EF0000F12E23B7 -:0414F000034127830A -:0414F100014787B375 -:0414F20002F12A23B6 -:0414F300DD5FF06F5A -:0414F40002A787B311 -:0414F500001006934A -:0414F6000005841356 -:0414F70000E787B3D0 -:0414F800F61FF06F7C -:0414F900007787935E -:0414FA00FF87F793DE -:0414FB00008787934C -:0414FC00FCDFF06FB2 -:0414FD0001C107130F -:0414FE00F40C069351 -:0414FF0000090613C7 -:04150000020105934C -:041501000009851345 -:04150200000000974E -:04150300000000E7FD -:04150400FFF007935A -:0415050000050A13C0 -:04150600FAF514E3FB -:0415070000C957833D -:04150800FFF00513D8 -:041509000407F79349 -:04150A00D00790E393 -:04150B000341250370 -:04150C00CF9FF06F0E -:04150D0001C10713FE -:04150E00F40C069340 -:04150F0000090613B6 -:04151000020105933C -:041511000009851335 -:041512001B8000EF4B -:04151300FC5FF06F1A -:04151400FD010113C1 -:0415150001512A2333 -:041516000105A783A1 -:0415170000070A932C -:041518000085A703A0 -:041519000281242304 -:04151A0002912223F5 -:04151B0001312E2349 -:04151C0001412C233A -:04151D00021126236E -:04151E000321202362 -:04151F00016128231B -:04152000017126230C -:041521000005099325 -:041522000005841329 -:041523000006049327 -:0415240000068A1320 -:0415250000E7D463A4 -:041526000007079320 -:0415270000F4A02309 -:04152800043447033D -:04152900000706634E -:04152A00001787938C -:04152B0000F4A02305 -:04152C00000427830D -:04152D000207F79327 -:04152E0000078863C7 -:04152F000004A7838A -:041530000027879376 -:0415310000F4A023FF -:041532000004290385 -:0415330000697913BF -:0415340000091E6329 -:0415350001940B13FF -:04153600FFF00B9324 -:0415370000C4278342 -:041538000004A70301 -:0415390040E787B34D -:04153A0008F9426307 -:04153B0000042783FE -:04153C0004344683AA -:04153D000207F79317 -:04153E0000D036B3F0 -:04153F000C079063A2 -:041540000434061356 -:04154100000A059304 -:041542000009851304 -:04154300000A80E733 -:04154400FFF007931A -:0415450006F508633C -:0415460000042783F3 -:041547000040061347 -:041548000004A703F1 -:041549000067F793AD -:04154A0000C4268330 -:04154B000000049305 -:04154C0000C79863D9 -:04154D0040E684B33D -:04154E000004D4635E -:04154F000000049301 -:041550000084278369 -:041551000104270367 -:0415520000F75663E5 -:0415530040E787B333 -:0415540000F484B368 -:041555000000091376 -:0415560001A40413D5 -:04155700FFF00B1383 -:04155800092490636F -:041559000000051376 -:04155A000200006F1C -:04155B0000100693E3 -:04155C00000B061367 -:04155D00000A0593E8 -:04155E0000098513E8 -:04155F00000A80E717 -:0415600003751A6392 -:04156100FFF005137F -:0415620002C120831F -:0415630002812403DA -:041564000241248399 -:041565000201290353 -:0415660001C1298313 -:0415670001812A03D1 -:0415680001412A8390 -:0415690001012B034E -:04156A0000C12B830E -:04156B000301011364 -:04156C000000806794 -:04156D000019091345 -:04156E00F25FF06FC9 -:04156F0000D407336A -:04157000030006135B -:0415710004C701A307 -:0415720004544703D3 -:041573000016879344 -:0415740000F407B3C5 -:041575000026869333 -:0415760004E781A362 -:04157700F25FF06FC0 -:0415780000100693C6 -:041579000004061351 -:04157A00000A0593CB -:04157B0000098513CB -:04157C00000A80E7FA -:04157D00F96508E321 -:04157E000019091334 -:04157F00F65FF06FB4 -:04158000FD01011355 -:04158100028124239C -:04158200029122238D -:0415830003212023FD -:0415840001312E23E0 -:041585000211262306 -:0415860001412C23D0 -:0415870001512A23C1 -:0415880001612823B2 -:04158900000689933C -:04158A000185C6838E -:04158B0006E00793DC -:04158C000006091339 -:04158D0000050493BE -:04158E0000058413BD -:04158F000435861386 -:0415900028F6866350 -:0415910006D7EA632C -:041592000630079385 -:041593000AF68E6363 -:0415940000D7EE632B -:041595002A068A6335 -:041596000580079332 -:041597001EF68A634F -:0415980004240A938A -:0415990004D4012352 -:04159A000B80006F53 -:04159B00064007936C -:04159C0000F686636C -:04159D00069007931A -:04159E00FEF694E3DE -:04159F00000427839A -:0415A0000007250318 -:0415A1000807F693AE -:0415A2000045059368 -:0415A30008068E6345 -:0415A4000005278394 -:0415A50000B7202348 -:0415A600000176B713 -:0415A7000007D863FE -:0415A80002D0071353 -:0415A90040F007B354 -:0415AA0004E401A3B1 -:0415AB00D00686934D -:0415AC0000A0071381 -:0415AD000D80006F3E -:0415AE000730079368 -:0415AF0024F68C632F -:0415B00002D7E26319 -:0415B10006F00793A6 -:0415B20006F68E6348 -:0415B3000700079393 -:0415B400F8F698E3CA -:0415B5000005A78303 -:0415B6000207E793AE -:0415B70000F5A02378 -:0415B8000140006F7F -:0415B900075007933D -:0415BA0004F68E6342 -:0415BB00078007930B -:0415BC00F6F698E3C4 -:0415BD000780079309 -:0415BE00000176B7FB -:0415BF0004F402A38B -:0415C000D1468693F7 -:0415C1001580006F22 -:0415C2000007278374 -:0415C30004258A93DE -:0415C40000478693C3 -:0415C5000007A783F1 -:0415C60000D7202307 -:0415C70004F5812383 -:0415C8000010079375 -:0415C9002200006F8D -:0415CA000407F69389 -:0415CB00000527836D -:0415CC0000B7202321 -:0415CD00F60682E3B9 -:0415CE0001079793E7 -:0415CF004107D79366 -:0415D000F59FF06F24 -:0415D100000425836A -:0415D2000007278364 -:0415D3000805F813FC -:0415D4000047851334 -:0415D500000808639F -:0415D60000A7202327 -:0415D7000007A783DF -:0415D8000140006F5F -:0415D9000405F5937D -:0415DA0000A7202323 -:0415DB00FE0588E39E -:0415DC000007D783AA -:0415DD0006F005937C -:0415DE00000177375A -:0415DF0012B68E634F -:0415E000D007069397 -:0415E10000A007134C -:0415E200040401A359 -:0415E3000044258318 -:0415E40000B4242308 -:0415E5000005C863D2 -:0415E60000042503D5 -:0415E700FFB57513C4 -:0415E80000A4202318 -:0415E90000079663FE -:0415EA0000060A935A -:0415EB000205826310 -:0415EC0000060A9358 -:0415ED0002E7F5B369 -:0415EE00FFFA8A93E3 -:0415EF0000B685B30A -:0415F0000005C583AA -:0415F10000BA802399 -:0415F20002E7D5B384 -:0415F3000EE7FC63A0 -:0415F40000800793D9 -:0415F50002F7146382 -:0415F6000004278343 -:0415F7000017F7934F -:0415F80000078E63F7 -:0415F9000044270380 -:0415FA00010427833E -:0415FB0000E7C863DA -:0415FC00030007934E -:0415FD00FEFA8FA3C0 -:0415FE00FFFA8A93D3 -:0415FF004156063318 -:0416000000C42823D7 -:041601000009871342 -:041602000009069342 -:0416030000C1061309 -:041604000004059346 -:041605000004851345 -:04160600C39FF0EF9F -:04160700FFF00A13D3 -:04160800134518630B -:04160900FFF00513D6 -:04160A0002C1208376 -:04160B000281240331 -:04160C0002412483F0 -:04160D0002012903AA -:04160E0001C129836A -:04160F0001812A0328 -:0416100001412A83E7 -:0416110001012B03A5 -:0416120003010113BC -:0416130000008067EC -:0416140004D582A3D4 -:04161500000176B7A3 -:04161600D0068693E1 -:041617000004258323 -:04161800000725039F -:041619000805F813B5 -:04161A00000527831D -:04161B00004505136E -:04161C000208086355 -:04161D0000A72023DF -:04161E000015F713A9 -:04161F000007066357 -:041620000205E59347 -:0416210000B42023CE -:0416220001000713A9 -:04162300EE079EE34D -:041624000004258316 -:04162500FDF5F59347 -:0416260000B42023C9 -:04162700EEDFF06F93 -:041628000405F813AA -:0416290000A72023D3 -:04162A00FC0808E3CD -:04162B000107979389 -:04162C000107D79348 -:04162D00FC5FF06FFF -:04162E00D007069348 -:04162F00008007131D -:04163000EC9FF06FCC -:041631000005879396 -:04163200EEDFF06F88 -:041633000005A68385 -:041634000007278301 -:041635000145A58343 -:041636000806F81397 -:0416370000478513D0 -:0416380000080A6339 -:0416390000A72023C3 -:04163A000007A7837B -:04163B0000B7A02331 -:04163C000180006FBA -:04163D0000A72023BF -:04163E000406F69315 -:04163F000007A78376 -:04164000FE0686E339 -:0416410000B790233B -:041642000004282355 -:0416430000060A9300 -:04164400EF5FF06FF5 -:0416450000072783F0 -:041646000045A603B2 -:041647000000059307 -:04164800004786933E -:0416490000D7202383 -:04164A000007AA8368 -:04164B00000A8513F9 -:04164C000E4000EF5D -:04164D00000506632B -:04164E0041550533CA -:04164F0000A42223AE -:0416500000442783A8 -:0416510000F4282356 -:04165200040401A3E8 -:04165300EB9FF06FAA -:0416540001042683E4 -:04165500000A8613EE -:0416560000090593EF -:0416570000048513F3 -:04165800000980E71E -:04165900ED4500E378 -:04165A0000042783DE -:04165B000027F793DA -:04165C000407946388 -:04165D0000C127831E -:04165E0000C425039C -:04165F00EAF556E36F -:0416600000078513E7 -:04166100EA5FF06FDD -:0416620000100693DB -:04166300000A8613E0 -:0416640000090593E1 -:0416650000048513E5 -:04166600000980E710 -:04166700E96504E34A -:04166800001A0A1347 -:0416690000C427830F -:04166A0000C1270391 -:04166B0040E787B31A -:04166C00FCFA4CE355 -:04166D00FC1FF06FFF -:04166E0000000A135B -:04166F0001940A9345 -:04167000FFF00B1369 -:04167100FE1FF06FF9 -:04167200FF01011360 -:0416730000812423AB -:04167400009122239C -:0416750000018437B5 -:0416760000050493D4 -:0416770000058513D2 -:041678000011262314 -:04167900A804282376 -:04167A00168000EFE7 -:04167B00FFF00793E2 -:04167C0000F51863FA -:04167D00A904278312 -:04167E00000784637A -:04167F0000F4A023B0 -:0416800000C1208302 -:0416810000812403BD -:04168200004124837C -:04168300010101134D -:04168400000080677B -:041685000FF5F593D5 -:0416860000C5063362 -:0416870000C5166321 -:041688000000051346 -:041689000000806776 -:04168A00000547838D -:04168B00FEB78CE337 -:04168C00001505132D -:04168D00FE9FF06F5D -:04168E0004A5FA6352 -:04168F0000C586B359 -:0416900004D57663A4 -:04169100FFF6459388 -:0416920000000793BA -:04169300FFF7879343 -:0416940000F5946366 -:04169500000080676A -:0416960000F68733A0 -:0416970000074803FD -:0416980000C78733CD -:0416990000E507332E -:04169A000107002321 -:04169B00FE1FF06FCF -:04169C0000F587339B -:04169D000007468379 -:04169E0000F5073319 -:04169F000017879316 -:0416A00000D700234C -:0416A100FEF616E358 -:0416A200000080675D -:0416A30000000793A9 -:0416A400FF5FF06F85 -:0416A500000080675A -:0416A6000000806759 -:0416A7000005966341 -:0416A80000060593A0 -:0416A900B48FF06F9B -:0416AA00FE01011329 -:0416AB0000812C236B -:0416AC0000112E23D8 -:0416AD0000912A235B -:0416AE0001212823CB -:0416AF0001312623BC -:0416B0000006041319 -:0416B10002061663B4 -:0416B200A18FF0EF25 -:0416B3000000091317 -:0416B40001C12083CD -:0416B5000181240388 -:0416B600000905130F -:0416B7000141248346 -:0416B8000101290300 -:0416B90000C12983C0 -:0416BA000201011315 -:0416BB000000806744 -:0416BC00000584930E -:0416BD000005099388 -:0416BE0003C000EF76 -:0416BF000004891387 -:0416C000FC8578E34A -:0416C1000004059389 -:0416C2000009851383 -:0416C300AE0FF0EF87 -:0416C4000005091301 -:0416C500FA050EE331 -:0416C6000004859304 -:0416C7000004061302 -:0416C800F9DFE0EF77 -:0416C9000004859301 -:0416CA00000985137B -:0416CB009B4FF0EF52 -:0416CC00FA1FF06FA2 -:0416CD00FFC5A7832B -:0416CE00FFC78513BA -:0416CF000007D863D5 -:0416D00000A585B339 -:0416D1000005A783E6 -:0416D20000F50533E7 -:0416D300000080672C -:0416D400FF010113FE -:0416D5000081242349 -:0416D6000001743764 -:0416D70063042783FE -:0416D80001212023A9 -:0416D90000112623B3 -:0416DA000091222336 -:0416DB0000050913EA -:0416DC00630404138C -:0416DD0004079A6301 -:0416DE0000000513F0 -:0416DF00000005936F -:0416E00000000613ED -:0416E100000006936C -:0416E20000000713EA -:0416E3000D600893FB -:0416E400000000738F -:0416E5000005049365 -:0416E6000205566340 -:0416E700084000EFC8 -:0416E800409004B377 -:0416E9000095202325 -:0416EA00FFF00513F5 -:0416EB0000C1208397 -:0416EC000081240352 -:0416ED000041248311 -:0416EE0000012903CB -:0416EF0001010113E1 -:0416F000000080670F -:0416F10000A420230E -:0416F20000042503C8 -:0416F300000005935B -:0416F40000000613D9 -:0416F50000A9053310 -:0416F6000000069357 -:0416F70000000713D5 -:0416F8000000079354 -:0416F9000D600893E5 -:0416FA000000007379 -:0416FB00000504934F -:0416FC0000055A6328 -:0416FD00409004B362 -:0416FE00028000EF77 -:0416FF00009520230F -:04170000FFF004935F -:041701000004278336 -:04170200FFF00513DC -:0417030000F90933AD -:04170400F9249EE343 -:041705000094202309 -:041706000007851340 -:04170700F91FF06F67 -:04170800000177B7AE -:041709005B87A50352 -:04170A0000008067F4 -:04170B0000000000DA -:04170C0000000000D9 -:04170D0000000000D8 -:04170E0000000000D7 -:04170F00FFFFFFFFDA -:04171000FFFFFFFFD9 -:041711000000FFFFD6 -:041712000001053499 -:041713000001053A92 -:04171400000105408B -:041715000001054684 -:04171600000104E8E2 -:0417170000010508C0 -:0417180000010508BF -:0417190000010508BE -:04171A0000010508BD -:04171B0000010508BC -:04171C0000010508BB -:04171D000001050CB6 -:04171E0000010512AF -:04171F000001246A37 -:04172000000123168B -:041721000001245C43 -:041722000001245C42 -:041723000001231688 -:04172400000124603C -:041725000001246A31 -:041726000001231685 -:041727000001245C3D -:0417280000015D64FB -:0417290000015D74EA -:04172A0000015D80DD -:04172B0000015D8CD0 -:04172C0000015D98C3 -:04172D0000015DA4B6 -:04172E0000015DB0A9 -:04172F0000015DBC9C -:0417300000015DC88F -:0417310000015DD482 -:0417320000015DE075 -:0417330074204B4F84 -:041734006E69206F4B -:0417350000007469D3 -:04173600656D695420 -:041737000074756F56 -:04173800252E7525C0 -:041739006D75322E6A -:04173A000000007338 -:04173B00252E7525BD -:04173C006D75322E67 -:04173D0075252F736C -:04173E00322E252EF4 -:04173F0000736D7551 -:041740004353534F6D -:041741007766202087 -:041742007525202EBB -:04174300322E252EEF -:0417440000006175CB -:0417450074696E490C -:041746007272652036 -:041747002020726F7D -:041748000000642514 -:04174900202020201C -:04174A0053204F4E8B -:04174B0000434E59B0 -:04174C0034313032D2 -:04174D003130322DD8 -:04174E006D202038B2 -:04174F0073717261DF -:041750000000000095 -:0417510025207325B7 -:041752000063257596 -:04175300252E7525A5 -:041754006B75322E51 -:0417550025207A4889 -:041756002E252E7599 -:041757007A48753225 -:04175800000000008D -:0417590074736554EC -:04175A007461702026 -:04175B006E726574D1 -:04175C000000000089 -:04175D003A31564186 -:04175E00424752208C -:04175F000000005333 -:041760003A31564183 -:041761007347522058 -:041762000000004241 -:041763003A31564180 -:041764006250592056 -:0417650000007250BE -:041766003A3256417C -:041767006250592053 -:0417680000007250BB -:041769003A32564179 -:04176A00734752204F -:04176B000000004238 -:04176C003A33564175 -:04176D00424752207D -:04176E0000005648D9 -:04176F003A33564172 -:04177000424752207A -:041771000000005321 -:041772003A3356416F -:041773007347522046 -:04177400000000422F -:041775003A3356416C -:041776006250592044 -:0417770000007250AC -:041778007473614CD9 -:0417790065737520FF -:04177A000000006407 -:04177B00000000006A -:04177C000000000069 -:04177D000000000167 -:04177E000001010164 -:04177F000000000165 -:041780000000000065 -:041781000000011053 -:041782000044060B0E -:041783000000010061 -:041784000001000060 -:041785008080800CD4 -:04178600081A1A1A09 -:041787000000000A54 -:0417880000012AA88A -:04178900000127BC78 -:04178A00000127C46F -:04178B000001270C26 -:04178C00000127C071 -:04178D00000127C868 -:04178E00000127B877 -:04178F00000127F638 -:04179000000127CC61 -:04179100000127D05C -:04179200000127D457 -:04179300000127F634 -:04179400000127F633 -:04179500000127F632 -:04179600000127F631 -:04179700000127F630 -:04179800000127F62F -:041799000001280023 -:04179A000001289290 -:04179B00000128A27F -:04179C00000128BC64 -:04179D00000128FA25 -:04179E00000128DE40 -:04179F00000129100C -:0417A000000129EE2D -:0417A10000012A1009 -:0417A20000012A26F2 -:0417A300666F7250AB -:0417A4002075252E59 -:0417A500007339256F -:0417A600756C342505 -:0417A700632563252E -:0417A8006C2520206C -:0417A90000000075C7 -:0417AA00656E694CB3 -:0417AB00746C756D78 -:0417AC00646F6D20D9 -:0417AD0000003A6599 -:0417AE00736572707D -:0417AF002D31207345 -:0417B0000000003500 -:0417B1002078752502 -:0417B20075736E7568 -:0417B300726F707071 -:0417B40000646574F4 -:0417B500666F725099 -:0417B60020656C69D5 -:0417B70064616F6C8E -:0417B8000000003AF3 -:0417B9007365727072 -:0417BA002D3020733B -:0417BB0000000039F1 -:0417BC0000015FB415 -:0417BD0000015FB810 -:0417BE0000015FBC0B -:0417BF0000015FC006 -:0417C00000015EC006 -:0417C10000015FC400 -:0417C20000015FC8FB -:0417C30000015FCCF6 -:0417C40000015EECD6 -:0417C5000001685C5B -:0417C60000015FD0EF -:0417C70000015FD8E6 -:0417C80000015FDCE1 -:0417C90000015FE4D8 -:0417CA0000015FE8D3 -:0417CB0000015FF0CA -:0417CC0000015FF8C1 -:0417CD0000016000B7 -:0417CE0000016008AE -:0417CF00000160189D -:0417D000000160288C -:0417D100000160387B -:0417D200000160486A -:0417D3000001605859 -:0417D4000001606848 -:0417D500000160703F -:0417D6000001607836 -:0417D7003EA93E29C0 -:0417D8003EE93E693F -:0417D9003E993E19DE -:0417DA003ED93E595D -:0417DB003EC93E398C -:0417DC003E1D3E4D23 -:0417DD003E2D3EED72 -:0417DE003EAD3ECD11 -:0417DF003E653E6DB8 -:0417E0001C483E0162 -:0417E1001C501C1864 -:0417E2001CC81CD033 -:0417E3005ED85E5816 -:0417E40000003EB90A -:0417E5007365725066 -:0417E600000000738C -:0417E700666E6F4378 -:0417E800006D7269B5 -:0417E9006D73694D66 -:0417EA00686374615B -:0417EB006572202CD7 -:0417EC00007972749A -:0417ED0000000031C7 -:0417EE0000000032C5 -:0417EF0000000033C3 -:0417F00000000034C1 -:0417F10000000036BE -:0417F20000000037BC -:0417F30000000038BA -:0417F400554E454DBC -:0417F50000000000F0 -:0417F60000004B4F55 -:0417F7004B434142DD -:0417F80000000000ED -:0417F9000000505547 -:0417FA004E574F44B3 -:0417FB0000000000EA -:0417FC005446454CBE -:0417FD0000000000E8 -:0417FE0048474952BD -:0417FF000000005492 -:041800004F464E49B8 -:0418010000000000E3 -:041802005F44434CB0 -:041803004B434142D0 -:041804004847494CBC -:04180500000000548B -:041806004E414353B9 -:04180700454E494CB5 -:04180800444F4D5F9D -:041809000000004596 -:04180A004E414353B5 -:04180B00454E494CB1 -:04180C005059545F7C -:04180D000000004592 -:04180E004E414353B1 -:04180F00454E494CAD -:04181000544E495F8A -:041811000000002BA8 -:041812004E414353AD -:04181300454E494CA9 -:04181400544E495F86 -:041815000000002DA2 -:04181600454E494CA6 -:04181700544C554D8B -:04181800444F4D5F8D -:041819000000004586 -:04181A00534148509E -:04181B0000002B4559 -:04181C00534148509C -:04181D0000002D4555 -:04181E00464F52508F -:04181F005F454C498C -:041820004B544F488E -:041821000000594525 -:041822006F7272452A -:04182300000000724F -:0418240053206F4E90 -:041825006163204497 -:041826006420647264 -:04182700002E7465B6 -:0418280061766E492E -:041829002064696C62 -:04182A006461656828 -:04182B0000007265E2 -:04182C0061766E492A -:04182D002064696C5E -:04182E002072646858 -:04182F0000435243DD -:0418300061766E4926 -:041831002064696C5A -:041832006174616418 -:0418330043524320B9 -:0418340000000000B0 -:041835006164705525 -:041836006320657452 -:0418370065636E6116 -:0418380064656C6C0B -:0418390000000000AB -:04183A0073616C4624 -:04183B00657220684A -:04183C00652064615E -:04183D0000007272C3 -:04183E0073616C4620 -:04183F007265206846 -:04184000206573614B -:04184100007272655A -:0418420073616C461C -:041843007277206830 -:04184400206574693E -:041845000072726556 -:0418460073616C4618 -:04184700657620683A -:04184800206669723B -:041849006C696166FF -:04184A00000000009A -:04184B0061766E490B -:04184C002064696C3F -:04184D0067616D69F9 -:04184E000000006531 -:04184F004353534F5D -:041850000000000094 -:04185100696C615607 -:0418520069746164F0 -:041853006420676E38 -:04185400006174615A -:041855006220752573 -:0418560073657479C9 -:04185700000000008D -:04185800252E75259F -:041859002575322E91 -:04185A00007325737F -:04185B0061647055FF -:04185C00676E6974D6 -:04185D0000574620CA -:04185E0061656C70E4 -:04185F007720657316 -:041860002E74696118 -:0418610000002E2E27 -:0418620069726556EC -:041863006E697966CB -:041864006C66206727 -:041865000068736143 -:0418660061656C70DC -:041867007220657313 -:0418680061747365CF -:041869000000747295 -:04186A0072746552DD -:04186B00676E6979C2 -:04186C00647075200F -:04186D00006574613D -:04186E0061647055EC -:04186F00203F65743D -:041870002C593D3181 -:041871004E3D322096 -:041872000000000072 -:041873006D726946E3 -:0418740065726177C1 -:041875006470752006 -:0418760064657461D0 -:04187700000000006D -:041878000001311822 -:04187900000130F644 -:04187A00000130D069 -:04187B00000130E850 -:04187C00000131C86E -:04187D00000131C86D -:04187E000001327CB7 -:04187F00000132A68C -:04188000000132B27F -:04188100000132F23E -:04188200000132C669 -:04188300000131DC53 -:04188400000131DC52 -:04188500000132200C -:041886000001324CDF -:041887000001324CDE -:04188800642064254F -:041889000000004219 -:04188A00656E6F44D4 -:04188B000000000059 -:04188C006C696146DC -:04188D00000064658E -:04188E0000007325BE -:04188F00252E752568 -:041890002075322E5F -:04189100000073756B -:041892006C2075252C -:0418930073656E69A2 -:041894000000000050 -:041895006C206F4E06 -:04189600006B6E690C -:04189700000176577F -:04189800000000024A -:041899000001300416 -:04189A0000016734AE -:04189B0000016740A1 -:04189C000001674898 -:04189D00702075251D -:04189E006C65786994 -:04189F0000000073D2 -:0418A0000001765974 -:0418A100000000093A -:0418A20000012EB65D -:0418A3006420642534 -:0418A4000000676574 -:0418A500252575255B -:0418A600000000003E -:0418A70065646956B5 -:0418A8006E69206FD6 -:0418A9006F727020CA -:0418AA003E20206359 -:0418AB000000000039 -:0418AC00706D6153A7 -:0418AD00676E696C8D -:0418AE0074706F20C3 -:0418AF003E20202E89 -:0418B0000000000034 -:0418B100636E795396 -:0418B20074706F20BF -:0418B3002020202EA3 -:0418B4003E20202092 -:0418B500000000002F -:0418B6007074754F86 -:0418B7006F207475B5 -:0418B800202E7470FA -:0418B9003E2020208D -:0418BA00000000002A -:0418BB0074736F5083 -:0418BC006F72702DAA -:0418BD0020202E6356 -:0418BE003E20202088 -:0418BF000000000025 -:0418C000706D6F4395 -:0418C1006269746183 -:0418C20074696C6970 -:0418C3003E2020792A -:0418C4000000000020 -:0418C500696475419C -:0418C600706F206FB0 -:0418C7006E6F697463 -:0418C8003E2020732B -:0418C900000000001B -:0418CA00747465537A -:0418CB0073676E6968 -:0418CC0074706F20A5 -:0418CD003E20202079 -:0418CE000000000016 -:0418CF00616F4C3CBD -:0418D00072702064AE -:0418D1006C69666F69 -:0418D200003E20654F -:0418D3007661533CAB -:0418D40072702065A9 -:0418D5006C69666F65 -:0418D600003E20654B -:0418D7007365523CA7 -:0418D80073207465A0 -:0418D9006974746555 -:0418DA003E73676E84 -:0418DB000000000009 -:0418DC006B6E694C7A -:0418DD006F72702096 -:0418DE00693E2D66CC -:0418DF007475706E3E -:0418E0000000000004 -:0418E1006B6E694C75 -:0418E200706E69209B -:0418E3003E2D7475AD -:0418E400666F727049 -:0418E50000000000FF -:0418E600706D493C9C -:0418E7002074726F88 -:0418E800747465733C -:0418E9003E20202E4F -:0418EA0000000000FA -:0418EB0074696E4965 -:0418EC00206C6169A2 -:0418ED0075706E693B -:0418EE000000007482 -:0418EF002044434C02 -:0418F00074204C42D2 -:0418F1006F656D6949 -:0418F2000000747509 -:0418F3002E77463CCA -:0418F4006470752087 -:0418F5002065746195 -:0418F6003E20202050 +:0401E600000080672E +:0401E70000054603C6 +:0401E8000005C683C5 +:0401E90000150513E5 +:0401EA0000158593E4 +:0401EB0000D61463C3 +:0401EC00FE0616E312 +:0401ED0040D60533C0 +:0401EE000000806726 +:0401EF0000450513AF +:0401F00000458593AE +:0401F100FCD61CE339 +:0401F20000000513F1 +:0401F3000000806721 +:0401F400008505136A +:0401F5000085859369 +:0401F600FCD612E33E +:0401F70000000513EC +:0401F800000080671C +:0401F90000C5051325 +:0401FA0000C5859324 +:0401FB00FAD618E335 +:0401FC0000000513E7 +:0401FD000000806717 +:0401FE0001050513DF +:0401FF0001058593DE +:04020000F8D61EE32B +:0402010000000513E1 +:040202000000806711 +:04020300D422717917 +:04020400D04AD226E4 +:04020500D606CE4EFD +:0402060089B2892A06 +:0402070084BA8436FB +:040208006637EDADBB +:0402090006130001D7 +:04020A009D63660684 +:04020B0056B70609D3 +:04020C0086930001D4 +:04020D00EC256886EE +:04020E00000157375D +:04020F0068870713E2 +:04021000000155375D +:0402110085CA87A66D +:0402120068C50513A3 +:040213003C5020EF4C +:04021400120D07B709 +:04021500282787937C +:040216000793C03E4C +:0402170084630400F8 +:04021800079300093F +:04021900041EF800C7 +:04021A000087E793DF +:04021B0000846413E4 +:04021C00858A888DBA +:04021D0002A34505EE +:04021E000323008135 +:04021F0003A3012113 +:040220000223009124 +:04022100C40200F122 +:040222002921C602C6 +:04022300542250B25F +:040224005902549295 +:04022500614549F2F4 +:040226006637808235 +:0402270006130001B9 +:04022800B76168C68C +:04022900000156B7C3 +:04022A006846869309 +:04022B005737B76921 +:04022C0007130001B3 +:04022D00B7696847FE +:04022E004702110171 +:04022F00080007B705 +:040230008FF9CE066E +:04023100110A073770 +:040232001047071357 +:04023300C03E8FD961 +:0402340000414783BB +:040235000037E79314 +:0402360000F10223AE +:04023700C111479515 +:040238009713478D44 +:0402390047830027D0 +:04023A00858A004170 +:04023B009B8D45054D +:04023C0002238FD931 +:04023D00578300F1F2 +:04023E00F7930061D1 +:04023F00132370070E +:04024000295100F14F +:04024100610540F221 +:040242001141808264 +:040243001437C42286 +:04024400C2260002CC +:0402450084AA460140 +:0402460004C0059358 +:040247000404051393 +:0402480031B5C60600 +:040249000FF4F59326 +:04024A000513460151 +:04024B003969040405 +:04024C0004C0059352 +:04024D00040405138D +:04024E003991460597 +:04024F00040405138B +:0402500040B2442252 +:040251004585449209 +:04025200B195014120 +:04025300C42211416F +:040254000002143759 +:04025500C04AC226B3 +:04025600892A84AEBF +:0402570005934601C4 +:04025800051304C0C6 +:04025900C6060404CD +:04025A007593311D4A +:04025B0046010FF950 +:04025C00040405137E +:04025D0005133991BB +:04025E00442204042E +:04025F00490240B25E +:04026000449285A699 +:04026100014146050C +:040262001141B18114 +:040263003FB5C606D7 +:04026400751340B21C +:0402650001410FF54F +:040266001141808240 +:04026700377DC60613 +:04026800450140B25A +:04026900808201414D +:04026A00CC22110190 +:04026B00C84ACA268D +:04026C0084AACE068C +:04026D004401892E91 +:04026E0000C449631C +:04026F00446240F2B3 +:04027000494244D2E9 +:0402710061054501DD +:0402720007B38082CC +:04027300C5830089B6 +:0402740085330007C7 +:040275007513008479 +:04027600C6320FF588 +:0402770004053F85B6 +:04027800BFD9463272 +:040279003E80079329 +:04027A0002F5053351 +:04027B00F4EFF06F3D +:04027C004581114166 +:04027D00C606453D2F +:04027E00C226C422AE +:04027F003F71C04AC1 +:04028000051345819C +:040281003F510610D3 +:04028200061004134B +:0402830000016937D6 +:040284000680049359 +:040285000FF47513EA +:04028600862A3F8DF8 +:04028700051385A234 +:04028800040581895F +:040289001ED020EF74 +:04028A00FE9416E3E5 +:04028B00442240B217 +:04028C00490244924D +:04028D008082014129 +:04028E00C422114134 +:04028F000413645D93 +:0402900045836B44F3 +:0402910045150024EB +:040292003F81C606DC +:040293000024478379 +:0402940003D00593FB +:04029500839D4511EF +:040296000027971393 +:0402970000C44783D5 +:040298008FD99BED72 +:0402990000F4062344 +:04029A0045053F0DCA +:04029B0045F53FA541 +:04029C0037254511AC +:04029D0003000593C2 +:04029E00061005132E +:04029F0005933F394B +:0402A00045250B20C5 +:0402A10005933F1969 +:0402A20045290F805B +:0402A300059337394F +:0402A400452D037071 +:0402A500458137193F +:0402A6003701453D9A +:0402A7000513458175 +:0402A8003DE50C9094 +:0402A9000513458173 +:0402AA003DC50CA0A2 +:0402AB000513458171 +:0402AC0035E50CB078 +:0402AD00051345816F +:0402AE0035C50CC086 +:0402AF00051345816D +:0402B0003DE10CD050 +:0402B100051345816B +:0402B2003DC10CE05E +:0402B3000513458169 +:0402B40035E10CF034 +:0402B5000513458167 +:0402B60035C10D0041 +:0402B7000044458337 +:0402B80040B24422EA +:0402B9000E1005130B +:0402BA00BD450141FC +:0402BB00D42271795F +:0402BC00D04AD2262C +:0402BD000413CE4E0A +:0402BE00D6063E80A2 +:0402BF0089AA47853C +:0402C0005433892EFC +:0402C100648D0285C1 +:0402C20000F595634B +:0402C3008493648933 +:0402C40045858004E8 +:0402C500C632453DBB +:0402C60045813549F0 +:0402C70003300513E8 +:0402C800D5933DADE0 +:0402C9000513008495 +:0402CA003D8503402B +:0402CB000513458151 +:0402CC0035A5035001 +:0402CD000FF4759322 +:0402CE000300051311 +:0402CF0055933DB94D +:0402D000F59300841E +:0402D10005130FF50D +:0402D2003D81031057 +:0402D300010455933A +:0402D4000FF5F5939A +:0402D50003200513EA +:0402D60045813589A0 +:0402D7003D35453D2F +:0402D8000513458144 +:0402D9003D150C5073 +:0402DA00879364DDC5 +:0402DB00A4236B44A9 +:0402DC00478501371A +:0402DD006B44849357 +:0402DE004632440957 +:0402DF0000F90363BC +:0402E00047854429E1 +:0402E10000F4822380 +:0402E200008483A36E +:0402E30047BD4705C7 +:0402E40000E60363CA +:0402E50082A3478128 +:0402E600059300F488 +:0402E70005130C00EF +:0402E8003BE50E00E4 +:0402E900051347852D +:0402EA001B630590FD +:0402EB003BF10AF9E0 +:0402EC000FC5759332 +:0402ED000015E59380 +:0402EE00059005135F +:0402EF0045113BF981 +:0402F000759333E9E6 +:0402F10045110EB5F0 +:0402F200C5833BC9BC +:0402F30005130044AB +:0402F40033E10E10D4 +:0402F5000E4005931F +:0402F6000E200513BE +:0402F700C5833B7D03 +:0402F8000513005496 +:0402F90089BD0E307D +:0402FA0005933B4DE0 +:0402FB0005130E8059 +:0402FC0033650E4018 +:0402FD00453D4585B1 +:0402FE004581334DB6 +:0402FF0009100513CA +:0403000045813B698F +:0403010009200513B7 +:0403020045853B49A9 +:0403030009300513A5 +:040304004581336993 +:040305000940051393 +:04030600659333497F +:0403070005130C04CA +:040308003BA5098088 +:040309000FF4459315 +:04030A00E5930592E0 +:04030B00F59300B5B1 +:04030C0005130FB511 +:04030D00339509908B +:04030E00453D4581A3 +:04030F0005933BB95E +:0403100005130C10B5 +:040311003B910E000E +:04031200FC10079341 +:0403130000F483234C +:04031400542250B26D +:0403150059025492A3 +:04031600450549F25E +:04031700808261453A +:040318007593332D79 +:04031900BF890FC5C4 +:04031A004511114137 +:04031B003B31C606A6 +:04031C000085659360 +:04031D000FF5F59350 +:04031E00330545114D +:04031F00059340B250 +:0403200005130300BE +:040321000141061080 +:040322001141BB09C1 +:04032300C6064511B4 +:0403240040B239EDBD +:040325000045659397 +:040326000FF5F59347 +:04032700014145113A +:040328001141B9EDD9 +:04032900C422C6061E +:04032A00E919C226E5 +:04032B0005134581F0 +:04032C0031E50CD0DB +:04032D0040B2450590 +:04032E00449244228F +:04032F008082014186 +:040330004501842ED1 +:040331004585D9ED38 +:0403320039C1453D4B +:0403330000344583CA +:040334000580051328 +:0403350031D14481FD +:0403360000444583B7 +:040337000590051315 +:040338004583396D53 +:040339000513005454 +:04033A00394505A09C +:04033B000064458392 +:04033C0005B00513F0 +:04033D004583315D66 +:04033E00051300742F +:04033F00397105C04B +:04034000008445836D +:0403410005E00513BB +:04034200458339496D +:04034300051300940A +:04034400316105F02E +:0403450000A4458348 +:040346000600051395 +:04034700458339BDF4 +:04034800051300B4E5 +:0403490039950610CC +:04034A0000C4458323 +:04034B000620051370 +:04034C00458331AD07 +:04034D00051300D4C0 +:04034E0031850630BF +:04034F0000E44583FE +:04035000064005134B +:04035100458339990E +:04035200051300F49B +:0403530031B106506E +:0403540000340793D7 +:04035500C583044117 +:040356000785000710 +:04035700F4938C8D02 +:040358001AE30FF4A1 +:040359005537FEF422 +:04035A000513000186 +:04035B0020EF6E051C +:04035C0065376A2077 +:04035D000513000183 +:04035E0020EFAB459C +:04035F0085936960B9 +:04036000F59306F417 +:0403610005130FF57C +:04036200390105D088 +:04036300453D45814E +:04036400458D312969 +:040365001141BF295A +:04036600C422C606E1 +:040367004581E911D2 +:040368000CE005138D +:0403690045053EDD2B +:04036A00442240B237 +:04036B00808201414A +:04036C004501842E95 +:04036D004585D9F5F4 +:04036E0036C5453D0E +:04036F00003445838E +:0403700006800513EB +:0403710045833ED9A9 +:04037200051300442B +:0403730036F10690C9 +:040374000064458359 +:0403750006B00513B6 +:04037600458336C9BC +:0403770005130074F6 +:040378003E6506C018 +:040379000034458384 +:04037A000044478371 +:04037B0006D0051390 +:04037C00059397AEA0 +:04037D008D9D07103B +:04037E00005447835D +:04037F0047838D9D86 +:040380008D9D0064EB +:04038100007447833A +:04038200F5938D9DC5 +:0403830036710FF5CB +:04038400453D45812D +:04038500458D365913 +:040386001141B76109 +:040387004581C422C6 +:04038800453D842A41 +:040389003E95C606D1 +:04038A000C1005133B +:04038B0034333EB910 +:04038C0075930080E5 +:04038D008DC10FE52A +:04038E000C10051337 +:04038F0044223EB90D +:04039000458D40B2A5 +:040391000C600513E4 +:04039200BE810141E6 +:04039300D226717984 +:0403940084AECE4E17 +:0403950045F589AAF7 +:04039600CC524511EF +:04039700C636CA5646 +:04039800D422D6068F +:04039900C85AD04A24 +:04039A003E058AB2E0 +:04039B006A5D46B29F +:04039C006B4A07138E +:04039D0097938A8523 +:04039E00468300167C +:04039F000A1300C776 +:0403A0009AF56B4A15 +:0403A10006238EDDC4 +:0403A2008A8900D76D +:0403A3004505C299B1 +:0403A4004903376969 +:0403A5005537003A8E +:0403A60085A6000127 +:0403A7000513864A6A +:0403A80020EF6F05CE +:0403A900051356E002 +:0403AA0034C507004F +:0403AB000034FB130C +:0403AC0074134785FA +:0403AD000B630035A9 +:0403AE0047890EFB72 +:0403AF000EFB0B63D3 +:0403B00000897793B6 +:0403B1005537CB8968 +:0403B200051300012E +:0403B300641370C59A +:0403B40020EF020430 +:0403B500779353E007 +:0403B600CB890019D6 +:0403B70000015537B5 +:0403B8007245051372 +:0403B90001046413C4 +:0403BA00528020EF5E +:0403BB00002977930B +:0403BC005537CB895D +:0403BD000513000123 +:0403BE00641373450C +:0403BF0020EF0084A7 +:0403C000791351203C +:0403C1000A63004982 +:0403C20055370009A2 +:0403C300051300011D +:0403C40064137485C5 +:0403C50020EF0044E1 +:0403C60085A24FA01D +:0403C7000700051313 +:0403C800A7BFF0EFEC +:0403C9000C0B1263A4 +:0403CA0000015537A2 +:0403CB00758505131C +:0403CC004E0020EFD0 +:0403CD00003AF613E9 +:0403CE000F634785ED +:0403CF00CE5906F607 +:0403D00013634789E3 +:0403D10094130AF681 +:0403D2005537018416 +:0403D3008461000140 +:0403D4007705051391 +:0403D50020EF847D14 +:0403D60074134BA0B1 +:0403D70055370A0488 +:0403D8004589000152 +:0403D9007B85051308 +:0403DA004A8020EF46 +:0403DB000304F49390 +:0403DC000200079381 +:0403DD001AF480632B +:0403DE00030007937E +:0403DF0006F4896334 +:0403E000695D47C14B +:0403E1001AF49B630C +:0403E200000155378A +:0403E3007DC50513BC +:0403E400480020EFBE +:0403E5000593460D29 +:0403E60005135E8914 +:0403E700F0EF0730FC +:0403E80065DDA0BF70 +:0403E9008593464969 +:0403EA00A0A5ED8558 +:0403EB00040464138F +:0403EC006413BF01D6 +:0403ED00B729080420 +:0403EE00000155377E +:0403EF0078850513F5 +:0403F000450020EFB5 +:0403F1000184979359 +:0403F200F41387E198 +:0403F300D8E3040443 +:0403F4006413F8078F +:0403F5007413FA047F +:0403F600B7510FF4F8 +:0403F7000001553775 +:0403F8007A0505136A +:0403F90042C020EFEF +:0403FA0044814401F5 +:0403FB005537A805C5 +:0403FC0005130001E4 +:0403FD0020EF7CC5AC +:0403FE0065DD41A0D8 +:0403FF008593460D8F +:0404000005135EC5BD +:04040100F0EF0730E1 +:0404020065DD9A3FDB +:04040300859346494E +:040404000513EEC529 +:04040500F0EF0760AD +:040406004489993F4D +:0404070007200513B2 +:0404080096BFF0EFBC +:0404090001C5759321 +:04040A008DC18C45CF +:04040B0007200513AE +:04040C0096BFF0EFB8 +:04040D0000CA478357 +:04040E008B8945850C +:04040F004581E391AF +:040410000C000513C4 +:04041100957FF0EFF4 +:04041200451145D576 +:0404130094FFF0EF73 +:04041400051345C1C6 +:04041500F0EF0610EE +:040416006537945F53 +:0404170005130001C8 +:0404180020EF80C58C +:0404190047893AE0F5 +:04041A000EF99B63D9 +:04041B0008800593BD +:04041C00062005139E +:04041D00927FF0EFEB +:04041E00051345C1BC +:04041F00F0EF0630C4 +:04042000059391DFD0 +:040421000513084077 +:04042200F0EF0640B1 +:040423004505911FDB +:04042400955FF0EF01 +:04042500F0EF45119E +:0404260075938F5FDC +:04042700451101D5A5 +:040428008FBFF0EFA3 +:040429000640051371 +:04042A0093DFF0EF7D +:04042B00F0EF451198 +:04042C0075938DDF58 +:04042D00451101551F +:04042E008E3FF0EF1E +:04042F00064004136C +:04043000F0EF45396B +:0404310089418C9FD2 +:04043200147DE9014B +:040433004505044235 +:04043400F0EF804124 +:04043500F46D913F92 +:040436004531458186 +:040437008BFFF0EF58 +:040438000400059324 +:04043900F0EF453566 +:04043A0045858B5F0A +:04043B00F0EF453960 +:04043C0045818ADF8D +:04043D00F0EF45395E +:04043E00F0EF8A5FF2 +:04043F0050B28F7FA9 +:04044000549254225C +:0404410049F2590221 +:040442004AD24A62EE +:0404430045054B42DE +:04044400808261450C +:040445000001553726 +:040446007EC5051357 +:040447002F4020EF33 +:04044800460D65DD1B +:040449005EC5859374 +:04044A00073005135F +:04044B0087DFF0EF68 +:04044C00464965DDDB +:04044D00EC45859362 +:04044E005537BDE978 +:04044F000513000190 +:0404500020EF7FC555 +:04045100460D2CE048 +:040452005E89059327 +:040453000730051356 +:04045400859FF0EFA1 +:04045500464965DDD2 +:04045600EB0585939A +:0404570045E1BD5D61 +:040458000620051362 +:04045900837FF0EFBE +:04045A00051345C180 +:04045B00F0EF063088 +:04045C0045B182DF45 +:04045D001141BF0981 +:04045E00C226C422CC +:04045F0084AE842AB9 +:040460004581453D50 +:04046100F0EFC606EC +:040462000513815F9E +:04046300F0EF059021 +:040464007593FFCFBE +:04046500E49902F51F +:040466008DC1041A26 +:040467000FF5F59305 +:040468000105E59312 +:0404690040B2442237 +:04046A0005134492A0 +:04046B0001410590B6 +:04046C00FEAFF06F80 +:04046D00879367DD2D +:04046E00675DDD47A2 +:04046F006CF72223E1 +:040470000C87A7034B +:04047100F3800513FC +:04047200A683CB0D85 +:0404730007130D4717 +:04047400946310007D +:04047500A60302E6F2 +:04047600A5830D0746 +:0404770065370C4792 +:04047800114100012D +:040479000086569310 +:04047A0082C505131F +:04047B0020EFC606A2 +:04047C0040B2222048 +:04047D0001414501F3 +:04047E008082808276 +:04047F0086AE67DD01 +:04048000A50385AAA1 +:0404810011416C4772 +:04048200F0EFC606CB +:04048300C119A0AF4C +:04048400F3700513F9 +:04048500014140B23F +:040486007179808286 +:04048700D226D42283 +:04048800D606D04A7A +:040489007793CE4E49 +:04048A0086AA0FF639 +:04048B008432872E02 +:04048C0000861913BA +:04048D00E7A964DD9A +:04048E0000865993F8 +:04048F006537C42ADF +:04049000C62E000173 +:040491008745051383 +:0404920020EF85CE04 +:04049300A5031C6041 +:0404940085CA6C4465 +:04049500FA1FE0EF7B +:0404960046A2862ACA +:04049700C10D47321A +:0404980000016537C3 +:04049900051385CEF4 +:04049A0020EF888542 +:04049B0005131A60CB +:04049C0050B2F36007 +:04049D0054925422FF +:04049E0049F25902C4 +:04049F0080826145B1 +:0404A0006C44A50300 +:0404A100F00475935B +:0404A20005A2864ADF +:0404A300FB5FE0EF2C +:0404A400862AD16D66 +:0404A50000016537B6 +:0404A600051385A213 +:0404A70020EF8B05B2 +:0404A8000513172001 +:0404A900B7F1F35064 +:0404AA00CC2211014E +:0404AB00C64EC84A27 +:0404AC00CE06C45262 +:0404AD00892ACA26A8 +:0404AE0089B2842E5D +:0404AF0010000A131C +:0404B0004501E81109 +:0404B100446240F26F +:0404B200494244D2A5 +:0404B3004A2249B2DE +:0404B40080826105DC +:0404B500746384A246 +:0404B6000493008A21 +:0404B700864E10005D +:0404B800854A85A646 +:0404B900FD793F1D6D +:0404BA008C059926EE +:0404BB00BFC9098527 +:0404BC00655D65DD38 +:0404BD0071058593AD +:0404BE006D050513B0 +:0404BF0035A0206FD5 +:0404C000655D65DD34 +:0404C10077058593A3 +:0404C2006E4505136B +:0404C30034A0206FD2 +:0404C400C4221141FC +:0404C500842AC606B9 +:0404C600F0EFC2266B +:0404C7004581971FB5 +:0404C800F0EF45010B +:0404C900E061A75FE8 +:0404CA000713675D50 +:0404CB0043547247DD +:0404CC00019C07B7D1 +:0404CD00CC0787933E +:0404CE0002D7D7B3C7 +:0404CF0000A7060379 +:0404D000468345E931 +:0404D10044030107D8 +:0404D200653700E7A3 +:0404D300051300010C +:0404D40004058FC5C7 +:0404D500849364DDCB +:0404D600063378C4AD +:0404D70087B302B62F +:0404D80066E102D700 +:0404D90080C68693C0 +:0404DA00D68396B27D +:0404DB0087B300E6FD +:0404DC00468302D77A +:0404DD00068500C7C9 +:0404DE0002D787B307 +:0404DF0002F40433EC +:0404E00020EF85A2E2 +:0404E100C60308E066 +:0404E200C583027458 +:0404E3008522026408 +:0404E400F5CFF0EF71 +:0404E5000264C503E5 +:0404E600D20FF0EF52 +:0404E700453D4585C5 +:0404E800DFAFF0EFA3 +:0404E9003E80051339 +:0404EA00D93FE0EF27 +:0404EB0003500513A2 +:0404EC00D5AFF0EFA9 +:0404ED000513842A45 +:0404EE00F0EF0360C8 +:0404EF000512D50F0E +:0404F0008C498011A2 +:0404F100037005137C +:0404F200D42FF0EF24 +:0404F30000C5159398 +:0404F40044228DC150 +:0404F500449240B23B +:0404F6000001653765 +:0404F7009105051353 +:0404F800206F01412F +:0404F90040B202E02B +:0404FA0044924422C2 +:0404FB0080820141B9 +:0404FC00C04A1141A0 +:0404FD004505892AFE +:0404FE00C422C60648 +:0404FF00F0EFC22632 +:04050000F0EFA1DF98 +:040501004581867F2B +:04050200F0EF4501D0 +:040503003693899F03 +:040504004601001993 +:0405050045054581E2 +:04050600A35FF0EF10 +:04050700020915636D +:0405080064DD645DED +:040509007244041321 +:04050A0078C484939A +:04050B0000F44703AE +:04050C000164C6833D +:04050D0045814601DD +:04050E00F0EF4501C4 +:04050F00C783BD2FB2 +:0405100006A30164D9 +:04051100854A02F421 +:04051200442235E169 +:04051300449240B21C +:040514004501490252 +:04051500F06F014141 +:0405160067DD9C5FA2 +:04051700724787930D +:0405180043D8114172 +:040519000437C422BD +:04051A000413019C29 +:04051B005433CC0485 +:04051C00C22602E40D +:04051D008703C60684 +:04051E0046E900A703 +:04051F00879367E176 +:0405200084AA80C762 +:040521000001653739 +:04052200C5450513B3 +:0405230002D70733C1 +:04052400D78397BA28 +:04052500043300E7B4 +:0405260085A202F4B4 +:04052700775010EF0A +:0405280067DDE8B1F2 +:040529006F57C783BE +:04052A008E63472174 +:04052B00474102E75B +:04052C0000E78A63F7 +:04052D009A63471175 +:04052E00450102E79A +:04052F00031020EFA6 +:04053000A8214505B4 +:0405310001C9C7B77E +:0405320037F787937D +:040533000087E363F7 +:04053400852644BD17 +:04053500019020EF22 +:040536004422450D09 +:04053700449240B2F8 +:04053800206F0141EE +:0405390045013560E3 +:04053A004501B7F5CB +:04053B00001020EF9D +:04053C00B7E54501D9 +:04053D00871367DDDC +:04053E00468378C7B1 +:04053F00470902075F +:0405400078C78413E1 +:040541008363453D4E +:04054200450100E689 +:040543007E0020EF27 +:0405440002044783E3 +:04054500450D470910 +:04054600FCF771E36A +:040547008D1D4515AC +:040548000FF5751323 +:04054900715DBF5DC4 +:04054A00C686678971 +:04054B00C2A6C4A2DE +:04054C00DE4EC0CAF5 +:04054D00DA56DC524C +:04054E00D65ED85A43 +:04054F00D266D4623A +:04055000CE6ED06A31 +:040551007107879314 +:040552000002173755 +:040553000C072683E8 +:040554000006D46366 +:04055500FBFD17FD96 +:0405560031F020EF71 +:0405570087936BDD3E +:04055800CD83724B92 +:04055900872A00B736 +:04055A00724B841349 +:04055B00000D8A63A2 +:04055C00000217B7CB +:04055D000C07A803DC +:04055E000108581325 +:04055F0000387D9350 +:04056000037005130C +:0405610020EFC63A87 +:04056200892A35604D +:0405630003800513F9 +:0405640034C020EF90 +:0405650019936785FA +:0405660087930085F2 +:04056700F9B3F007ED +:04056800579300F9AC +:040569008B85006519 +:04056A00051384AA47 +:04056B0004A3039052 +:04056C0020EF00F488 +:04056D00C42A32A0CA +:04056E0003A00513CE +:04056F00320020EF47 +:04057000000217B7B7 +:040571000C07AB03C5 +:04057200E9B3478919 +:040573005B130129EC +:040574007B13012BC9 +:040575008AAA7FFBD4 +:0405760047320B05F8 +:0405770002FD866398 +:0405780000B4478301 +:040579000793E78974 +:04057A00FF6318F013 +:04057B004785013778 +:04057C0000FD996382 +:04057D00BA1389DA4A +:04057E004A131909FA +:04057F004C81001A91 +:04058000F493A8093F +:04058100D8E50204B3 +:040582000C89BA1313 +:04058300001A4A13FD +:0405840047834C85D8 +:04058500695D00B4F8 +:0405860009136C5D8C +:0405870004936A4926 +:040588006D5D78CC61 +:040589001C07946354 +:04058A003A070F63BA +:04058B003A0A0D63B8 +:04058C0000090783D8 +:04058D006537458DFC +:04058E008D9D00013E +:04058F009485051337 +:040590005D1010EFFB +:0405910000090783D3 +:040592005C63470956 +:04059300478518F789 +:040594000009002337 +:0405950000F405A3C6 +:040596009A1349115A +:0405970047A2008AED +:04059800A6036A85C7 +:040599008A93724B84 +:04059A007A33F00AB6 +:04059B006A33015A64 +:04059C00079300FAC7 +:04059D0040580016AC +:04059E00EC636ADDC3 +:04059F008793013706 +:0405A000E8630019F3 +:0405A100166300C716 +:0405A200478300EAA1 +:0405A3008F630084DE +:0405A40065373597EB +:0405A500886E00015B +:0405A60086D287DA98 +:0405A700051385CEE5 +:0405A80010EF9705B4 +:0405A90086A356F0DF +:0405AA00C703760A03 +:0405AB00478300C4BE +:0405AC001A63023498 +:0405AD00C70308F781 +:0405AE00478300D4AB +:0405AF00146302448B +:0405B000C70308F77E +:0405B100478300E498 +:0405B2001E6302546E +:0405B300C70306F77D +:0405B400478300F485 +:0405B5001863026461 +:0405B600C70306F77A +:0405B7004783010471 +:0405B8001263027454 +:0405B900C70306F777 +:0405BA0047830074FF +:0405BB001C6301E4D8 +:0405BC00C70304F776 +:0405BD0047830084EC +:0405BE00166301F4CB +:0405BF00C70304F773 +:0405C00047830094D9 +:0405C10010630204BD +:0405C200C70304F770 +:0405C300478300A4C6 +:0405C4001A630214A0 +:0405C500C70302F76F +:0405C600478300B4B3 +:0405C7001463022493 +:0405C800C70302F76C +:0405C90047830194CF +:0405CA001E630304A5 +:0405CB00C70300F76B +:0405CC00478301A4BC +:0405CD001863031498 +:0405CE00C70300F768 +:0405CF004783024418 +:0405D000096303B404 +:0405D100470900F7DF +:0405D200736387CAFE +:0405D300478900E96B +:0405D4000FF7F91311 +:0405D5000174C703E3 +:0405D60002E4478371 +:0405D70002F70863BC +:0405D80000A40783F1 +:0405D90087B3476934 +:0405DA00676102E76C +:0405DB0080C70713BB +:0405DC00D70397BAF0 +:0405DD000793010778 +:0405DE00196320D0AD +:0405DF00470900F7D1 +:0405E000736387CAF0 +:0405E100478900E95D +:0405E2000FF7F91303 +:0405E300785D478375 +:0405E4000703C3B195 +:0405E50046E900A43F +:0405E600073367E18F +:0405E700879302D71D +:0405E800450380C780 +:0405E9001593010461 +:0405EA0081C1010AC0 +:0405EB0097BA460174 +:0405EC0000E7D7034A +:0405ED0002E50533EB +:0405EE0003044703B8 +:0405EF0081410542FF +:0405F000D603C7095E +:0405F10082050187F7 +:0405F20020EF8A0567 +:0405F30013632CC0A2 +:0405F40049050009AC +:0405F500733BA2238F +:0405F6000144222377 +:0405F7000194042344 +:0405F8000785A83596 +:0405F90000F90023E2 +:0405FA00BD85490171 +:0405FB001C63C319A1 +:0405FC0005831E0A4B +:0405FD006537000955 +:0405FE0005130001E0 +:0405FF00059595C504 +:04060000411010EFA6 +:040601000009078362 +:04060200D9635771F0 +:0406030000231CE7CD +:0406040005A3000941 +:040605004911000493 +:04060600E40A11E30E +:0406070078CC470361 +:0406080001744783AF +:0406090006F71E636F +:04060A000014C7030E +:04060B00018447839C +:04060C0006F7186372 +:04060D000024C703FB +:04060E000194478389 +:04060F0006F7126375 +:040610000034C703E8 +:0406110001A4478376 +:0406120004F71C636A +:040613000044C703D5 +:0406140001B4478363 +:0406150004F716636D +:040616000054C703C2 +:0406170001C4478350 +:0406180004F7106370 +:040619000124C703EE +:04061A00029447837C +:04061B0002F71A6365 +:04061C000134C703DB +:04061D0002A4478369 +:04061E0002F7146368 +:04061F000144C703C8 +:0406200002B4478356 +:0406210000F71E635D +:040622000114C703F5 +:040623000284478383 +:0406240000F7186360 +:040625000254C703B1 +:0406260003C447833F +:0406270000F7056370 +:04062800000913634F +:04062900C5034905B7 +:04062A00478301847D +:04062B008B6302F4E7 +:04062C00458300A75B +:04062D0020EF0104B5 +:04062E0008A34F408E +:04062F00136300A4AD +:04063000490500096F +:0406310001C4C50338 +:0406320003344783C3 +:0406330000A7846335 +:0406340052E020EF81 +:0406350001D4C50324 +:0406360003444783AF +:0406370000A7846331 +:0406380013C020EFDC +:0406390001E4C50310 +:04063A00035447839B +:04063B0000A784632D +:04063C00136020EF38 +:04063D000214C503DB +:04063E000384478367 +:04063F000224C58349 +:0406400000A7966316 +:040641000394478354 +:0406420000B7846316 +:040643000F2020EF75 +:0406440001B4C50335 +:0406450003244783C0 +:0406460000A78B631B +:04064700053347E14F +:0406480067DD02F573 +:040649008A478793C2 +:04064A0020EF953ECA +:04064B00C5032CA017 +:04064C0047830204DA +:04064D00846303744B +:04064E00F0EF00A722 +:04064F00C503B21F0E +:04065000478301F4E7 +:040651008463036457 +:0406520020EF00A7EE +:0406530065DD3D40E4 +:04065400461D69DDF9 +:0406550076458593CE +:040656007B59851334 +:040657002B1020EF55 +:040658008513C50938 +:0406590020EF7B59BA +:04065A00C7030C8046 +:04065B00478302646B +:04065C00186303D448 +:04065D00C70300F7D8 +:04065E004783027458 +:04065F00066303E447 +:04066000C50300F7D7 +:04066100F0EF015461 +:0406620067DD98BFF9 +:040663007867C7836A +:04066400C503CF817A +:040665004783028441 +:04066600876303F4AF +:04066700155100A782 +:040668008561056241 +:04066900367010EFE8 +:04066A0078CC0593B0 +:04066B0001740513FE +:04066C00031006135E +:04066D00289020EFC2 +:04066E00442640B628 +:04066F00780D02A35D +:040670004496854ADD +:0406710059F24906EB +:040672005AD25A629C +:040673005BB25B42D9 +:040674005C925C2216 +:040675004DF25D02E3 +:0406760080826161BC +:04067700002317FD48 +:04067800490100F93B +:040679000023BD1588 +:04067A00BFE50009CF +:04067B0076DAC783E1 +:04067C008CE34705BF +:04067D000785CAE73C +:04067E000FF7F793E8 +:04067F0076FA86A3DE +:04068000CAE795E34D +:0406810087CA4709D4 +:0406820000E97363B5 +:04068300F913478997 +:04068400B9610FF752 +:04068500D22671798F +:04068600CC5264DD11 +:04068700D606C4626D +:04068800D04AD4225E +:04068900CA56CE4E31 +:04068A00C65EC85A26 +:04068B00724487939B +:04068C0000A785033B +:04068D0066E1466973 +:04068E0002C5063368 +:04068F000107C70395 +:040690000177C783A4 +:0406910080C6859307 +:0406920084934809FC +:040693008C1372440E +:0406940095B280C6D5 +:040695000145C60352 +:0406960000C5DA03BE +:040697000135C983DD +:040698001B07956344 +:040699000184C9030C +:04069A0079130905C2 +:04069B00D7830FF9F9 +:04069C0044110144C0 +:04069D0080078693B9 +:04069E006685C28526 +:04069F0080068593B9 +:0406A0001CF5E963F9 +:0406A10002000693BA +:0406A2001ED78F636D +:0406A3001AF6E563FB +:0406A400836346C165 +:0406A500843A00D7BC +:0406A600C68367DDC3 +:0406A70047A16F57A1 +:0406A80000F6956360 +:0406A90006420606F9 +:0406AA00C78382413F +:0406AB004B050124D6 +:0406AC0000C7F76329 +:0406AD0040F60B33D5 +:0406AE005B130B428D +:0406AF0047E9010B0B +:0406B00002F507B395 +:0406B10046898F01E6 +:0406B2000294CA8361 +:0406B30000016537A6 +:0406B400C64505131F +:0406B500D58397E270 +:0406B600C78300A74F +:0406B7000AB301275A +:0406B80097DA035476 +:0406B90002E585B31E +:0406BA0002D5C5B3ED +:0406BB0002E7873398 +:0406BC0001059B9306 +:0406BD00010BDB93BF +:0406BE0005C29ADEF9 +:0406BF000AC281C129 +:0406C000010ADA93BE +:0406C1001B93975E92 +:0406C200DB930107BE +:0406C300865E010B43 +:0406C400101010EF13 +:0406C50000D4C70393 +:0406C6000537478528 +:0406C7001593200067 +:0406C800C70301E77C +:0406C9001363022491 +:0406CA00450100F7EF +:0406CB0000A486837E +:0406CC00C60347E931 +:0406CD0086B30104EB +:0406CE00073702F6F2 +:0406CF0007130010FD +:0406D0000442800759 +:0406D100096E0ACED6 +:0406D20050B209AE6B +:0406D300C78396E261 +:0406D4000B330126BD +:0406D5004C2202CBE6 +:0406D60002C787B31D +:0406D70007AE0B520D +:0406D800D7038FF9BC +:0406D900073300A63D +:0406DA00062A02C723 +:0406DB007FF777131B +:0406DC008FD98F4DD6 +:0406DD000FF00737DC +:0406DE0000EB7B337F +:0406DF000167E7B315 +:0406E00000021737C6 +:0406E10028238FC972 +:0406E200C7830AF7C9 +:0406E3004B42011471 +:0406E4008FD107B6F5 +:0406E50064338C5D91 +:0406E6006433015424 +:0406E7002023017457 +:0406E800C7830A8733 +:0406E900C60300C480 +:0406EA00C68301C4FE +:0406EB0007F60156B7 +:0406EC008FD1066A3A +:0406ED0002A4C6039A +:0406EE00542206C6C6 +:0406EF008FD106524F +:0406F000E9338FD586 +:0406F1006933012741 +:0406F200693301491E +:0406F300282301397E +:0406F400C783092788 +:0406F500C68303C4F1 +:0406F600590202B4EF +:0406F70006AA07BA8E +:0406F800C6838FD551 +:0406F90049F201B40D +:0406FA008FD54A62EC +:0406FB0001A4C6830D +:0406FC004BB24AD2E1 +:0406FD008FD506A6E9 +:0406FE000194C6831A +:0406FF000692549279 +:0407000020238FD54E +:04070100614508F74F +:040702004685808226 +:0407030090E3490135 +:04070400D783E6D7DA +:04070500C90301859E +:040706008B8500C41B +:0407070008E3C789B3 +:04070800490DE409AA +:0407090004E3B5A9A7 +:04070A00C903E40932 +:04070B0019710175EA +:04070C00012039335C +:04070D000693BD2D65 +:04070E00440D100086 +:04070F00E4D78EE3BA +:04071000200006932C +:04071100E4D799E3AD +:040712000284C78313 +:040713008C1D4411E4 +:040714006589A081D2 +:0407150004B7816341 +:0407160000F5E8639F +:04071700E2D79DE3A5 +:040718000284C7830D +:04071900B7E54415E7 +:04071A0084636691FD +:04071B0066A104D7F8 +:04071C00E2D793E3AA +:04071D000284C78308 +:04071E008C1D4419D1 +:04071F000FF474134C +:040720000224C78365 +:04072100C783A821C1 +:04072200440D0284FC +:0407230004068C1D1F +:040724000FF4741347 +:04072500C783B511C0 +:04072600843A0224EB +:04072700DE079EE368 +:0407280009B11A21D8 +:0407290009C20A42B5 +:04072A00010A5A1353 +:04072B000109D99354 +:04072C00C783B3E5E7 +:04072D004415022449 +:04072E001101B7D529 +:04072F0067DDC64E6E +:04073000470569DD33 +:0407310076E786A33E +:0407320072498793EE +:0407330043C4CA26CB +:04073400CE06CC22FF +:04073500C452C84A98 +:04073600C05AC2568D +:040737007249A583DB +:040738000087C783EC +:04073900724984136A +:04073A0020048663AE +:04073B0020058463AE +:04073C00019C0937DC +:04073D00A0EEC8372B +:04073E00CC090913C6 +:04073F00B0080813E3 +:04074000029959338E +:0407410002B858336F +:0407420054B3C79154 +:0407430006130298FF +:04074400A0310700D9 +:0407450054B308069B +:0407460006130298FC +:04074700653706907C +:040748000513000194 +:0407490010EFB645B2 +:04074A0008136EA082 +:04074B0007930640CA +:04074C00404C3E805F +:04074D00000165370B +:04074E00B745051393 +:04074F000304DAB312 +:0407500002F95B331C +:04075100F4B3875620 +:04075200865A0304BC +:0407530002F97933FB +:0407540086CA87A624 +:040755006BC010EF76 +:0407560003B00513D4 +:04075700381010EF57 +:0407580005138A2AD1 +:0407590010EF03C0DA +:04075A00468337702B +:04075B00761300947D +:04075C007A1301F516 +:04075D0065370FFAF3 +:04075E0085D200013F +:04075F00BAC50513FF +:04076000690010EF2D +:040761000164478365 +:0407620000844603C6 +:0407630000279713C1 +:04076400879367D937 +:0407650097BA91C7E7 +:04076600A70343940E +:040767000793724939 +:04076800E21907008B +:04076900069007935C +:04076A0000016637ED +:04076B000613655DAF +:04076C0045C5BD863C +:04076D006E450513BD +:04076E0075C020EF43 +:04076F00573347298C +:04077000663702E9FD +:04077100655D0001C1 +:04077200882687D678 +:04077300061386DA09 +:0407740045C5BE06B3 +:0407750077050513EC +:0407760073C020EF3D +:04077700C78367E1EC +:04077800E3998097EA +:04077900D1CFF0EFFD +:04077A00C68364DDF1 +:04077B0045837844F6 +:04077C00A50300844D +:04077D0086567249E1 +:04077E002C8020EFBC +:04077F0000A40523AA +:040780001B6357FDA3 +:04078100653700F5E3 +:04078200051300015A +:0407830010EFBF852F +:040784004791602019 +:0407850000F4052354 +:0407860000A4058343 +:0407870049E967DDF8 +:040788006CB78523A2 +:04078900033585B3FC +:04078A000913696185 +:04078B00C78380C9D7 +:04078C006ADD784466 +:04078D0000016537CB +:04078E00C2C50513C8 +:04078F00C70395CA3D +:040790008F7D0165F3 +:040791006EEA8AA3DF +:0407920000E5D48327 +:040793000104470313 +:0407940002E484B344 +:0407950084B3405891 +:04079600D4B3034491 +:04079700862602E4CC +:040798005B0010EF03 +:0407990000A407832E +:04079A00010445838E +:04079B006F5AC503C9 +:04079C00033787B3E5 +:04079D0000445603BB +:04079E00993E4681B9 +:04079F0000E9578393 +:0407A00002F585B326 +:0407A1000304478383 +:0407A20081C105C24A +:0407A3005683C78929 +:0407A40082850189C0 +:0407A500F7138A8537 +:0407A60010EF0FF44D +:0407A70045037E7018 +:0407A800F0EF0374F7 +:0407A9004583DB8F1A +:0407AA0045030104FE +:0407AB0010EF02F455 +:0407AC0008A36FD05F +:0407AD00F0EF00A4C5 +:0407AE004783B5FFC9 +:0407AF00450302C438 +:0407B000458100E49B +:0407B1004583E79104 +:0407B2008D8900F439 +:0407B3000015B593E5 +:0407B400AA6FF0EF49 +:0407B50003A44783CF +:0407B60002C4450331 +:0407B7004462C78D44 +:0407B80044D240F2F5 +:0407B90049B24942B6 +:0407BA004A924A22F3 +:0407BB0061054B0287 +:0407BC00D00FF06FFB +:0407BD0069116485D5 +:0407BE0077048493A5 +:0407BF00D5490913FC +:0407C000E0078DE3DE +:0407C100E115B52960 +:0407C20000F44703F5 +:0407C30002D4468393 +:0407C40040F2446259 +:0407C500494244D28F +:0407C6004A2249B2C8 +:0407C7004B024A9205 +:0407C8004581460120 +:0407C900E06F610577 +:0407CA0040F28E7FEC +:0407CB0044D244626E +:0407CC0049B24942A3 +:0407CD004A924A22E0 +:0407CE0061054B0274 +:0407CF0011418082D2 +:0407D00064DDC226FC +:0407D1006CD4C5031C +:0407D200C606C42271 +:0407D30028E010EF1B +:0407D400E121842A71 +:0407D5006CD4C68397 +:0407D600472967DD6B +:0407D70072D780A3B2 +:0407D800C78367DD8F +:0407D90085637BC7F2 +:0407DA00675D00E770 +:0407DB006CF707238D +:0407DC00C70367DD0B +:0407DD0047817227B7 +:0407DE0067DDC7010B +:0407DF006CE7C78379 +:0407E0000713675D37 +:0407E10097BA70074C +:0407E2008023453DEE +:0407E30010EF00D73C +:0407E400852210401A +:0407E500442240B2B8 +:0407E60001414492F7 +:0407E70011418082BA +:0407E80064DDC226E4 +:0407E9006CD4C50304 +:0407EA00C606C42259 +:0407EB000E6010EF9D +:0407EC00E51D842A59 +:0407ED006CD4C6837F +:0407EE0080A367DDA0 +:0407EF0067DD72D779 +:0407F0007227C703A2 +:0407F100C701478174 +:0407F200C78367DD75 +:0407F300675D73A724 +:0407F4007007071370 +:0407F500453D97BA2D +:0407F60000D7802385 +:0407F7000B6010EF94 +:0407F80040B2852264 +:0407F90044924422C0 +:0407FA0080820141B7 +:0407FB00C70367DDEC +:0407FC0046E96CA7B7 +:0407FD00862367DD0B +:0407FE00073376E760 +:0407FF0067E102D7D5 +:0408000080C7879393 +:04080100D68397BA49 +:04080200675D00E747 +:0408030070D7162371 +:040804000147C6835F +:040805001423675DF4 +:04080600C68378D756 +:04080700675D012701 +:040808006CD7142372 +:0408090000A7D683EB +:04080A001E23675DE5 +:04080B00C6836ED75B +:04080C00675D0157CC +:04080D006ED71B2364 +:04080E000137C68365 +:04080F001123675DED +:04081000D70378D7BB +:0408110067DD00C7D8 +:040812006EE79D23CD +:0408130067DD80829B +:0408140076C7C603DA +:04081500DF0367DDB9 +:0408160067DD70C763 +:040817007887DE837D +:04081800CE0367DDC7 +:04081900675D6C8724 +:04081A00D30367DDC0 +:04081B0007136FC789 +:04081C0067DD7247DB +:04081D00D88386AA4C +:04081E0045036F67B8 +:04081F0067DD00B7DA +:040820007827C8036A +:04082100D58367DD37 +:0408220067E16FA774 +:0408230080C7879370 +:040824000703C929D4 +:04082500166300A7AF +:04082600476904C753 +:0408270002E60733AB +:040828005503973E9F +:040829001A6300E767 +:04082A00450303E59A +:04082B001663014708 +:04082C00450303D5A8 +:04082D00126301272A +:04082E00550303C5A6 +:04082F001E6300A79D +:040830004503006517 +:040831001A630157EE +:040832004503011564 +:040833001663013710 +:040834005703010560 +:04083500066300C78F +:04083600675D00B743 +:0408370002A34505CE +:04083800476978A7ED +:0408390002E606339A +:04083A000513655DE0 +:04083B0097B27105FA +:04083C00000166371A +:04083D0000B7962347 +:04083E0001E7972314 +:04083F0001D78A2330 +:0408400001C7892340 +:040841000067952394 +:0408420001178AA36D +:04084300010789A37D +:0408440073C606135E +:04084500206F45C516 +:0408460011413FE03D +:04084700C422C606FB +:04084800143747C555 +:040849002023000266 +:04084A0020230EF465 +:04084B0028230E044C +:04084C0065090A042C +:04084D000804282350 +:04084E007105051318 +:04084F00FFFFD0EFE8 +:040850001D1007135D +:040851009C2367DDA0 +:0408520015376EE701 +:040853000793000304 +:0408540020231D1030 +:0408550005130EF485 +:04085600D0EFD40506 +:040857002637FE1F23 +:0408580005B70006DA +:040859000613019CE5 +:04085A008593A806D4 +:04085B000513CC05B0 +:04085C00E0EF0404C1 +:04085D0010EFBF2FAA +:04085E0010EF440053 +:04085F00ED0967A098 +:0408600000016537F7 +:040861009D050513D9 +:04086200288010EFEB +:04086300852254791D +:04086400442240B238 +:04086500808201414B +:0408660010EF450149 +:04086700079374205F +:040868001A630FF010 +:04086900653700F5FA +:04086A000513000171 +:04086B0010EF9F45A6 +:04086C005475262079 +:04086D0010EFBFE9E0 +:04086E00450939F00F +:04086F00FCEFE0EFCB +:040870000A6347CD03 +:04087100653700F5F2 +:040872000513000169 +:0408730010EFA1855C +:040874005471242077 +:04087500E0EFBF6D84 +:0408760010EF863FBA +:04087700C919352046 +:0408780000016537DF +:04087900A3C50513FB +:04087A00228010EFD9 +:04087B00470567DDE9 +:04087C0078E7832373 +:04087D00FC1FE0EF8D +:04087E00C909842AF6 +:04087F0000016537D8 +:04088000A4C50513F3 +:0408810020C010EF94 +:04088200B751547D99 +:0408830065D9291DED +:040884000613655D95 +:040885008593031044 +:040886000513D10580 +:0408870020EF73B536 +:0408880065D921E02D +:040889000613655D90 +:04088A0085930360EF +:04088B000513EC85E0 +:04088C0020EF7C05D8 +:04088D00453D20A025 +:04088E007A3000EFCD +:04088F00C50367DD59 +:0408900000EF7217EC +:0408910017B779908C +:04089200A783000236 +:0408930097130D07A3 +:04089400436300E7D3 +:040895002125000712 +:04089600F0EF450139 +:04089700BF05996F91 +:0408980000016637BE +:040899001141655D47 +:04089A00A746061354 +:04089B00051345C537 +:04089C00C606710516 +:04089D002A0020EF1E +:04089E00878FF0EF61 +:04089F00000F4537CA +:0408A0002405051313 +:0408A100EB7FD0EF2A +:0408A200557D40B28E +:0408A300808201410D +:0408A400C606114132 +:0408A500A27FF0EF4F +:0408A600730010EFDC +:0408A70040B267DD17 +:0408A8007A17C503F3 +:0408A900F06F0141AA +:0408AA00715D94AF39 +:0408AB00D65ED85AE3 +:0408AC00C4A2C68696 +:0408AD00C0CAC2A655 +:0408AE00DC52DE4EEC +:0408AF00D462DA56DF +:0408B000D06AD266D2 +:0408B1003D91CE6E39 +:0408B2006BDD6B5D32 +:0408B3001005476382 +:0408B40000016537A3 +:0408B500A80505137A +:0408B600138010EFAC +:0408B700000166379F +:0408B80005200713FD +:0408B900061346815B +:0408BA0045C5AB86FF +:0408BB006E4B051368 +:0408BC00224020EFC7 +:0408BD00000165B71A +:0408BE008593464593 +:0408BF008513B505E3 +:0408C00020EF770BA3 +:0408C100E0EF13A0B1 +:0408C200A537FFBF98 +:0408C3000513000712 +:0408C400645D120558 +:0408C500D0EF64DD2F +:0408C6004901E25FA3 +:0408C7006CE14A0195 +:0408C800724404135F +:0408C90084936D5D4A +:0408CA0017B778C420 +:0408CB00A7830002FD +:0408CC0006B70D0757 +:0408CD0065E10003DE +:0408CE000107971374 +:0408CF00A02383419E +:0408D000C71380ECDE +:0408D10083E1FFF7C9 +:0408D200F6138F7515 +:0408D30066E10FF7D4 +:0408D40080C5842334 +:0408D50080E6A223F4 +:0408D600CF8165DD8C +:0408D700FFE607939E +:0408D8000FF7F7938C +:0408D9007663450DF0 +:0408DA00C78300F5DB +:0408DB0094637FC5DE +:0408DC00A02300C78E +:0408DD0067DD800C47 +:0408DE007EC58E2322 +:0408DF007F87A60366 +:0408E0007EE7AC23E0 +:0408E10021A1E24926 +:0408E200C7836AE17D +:0408E300C62A809A07 +:0408E4004501C78182 +:0408E500249000EF6C +:0408E600C70366DD01 +:0408E70047836CE6F1 +:0408E8008A630164BA +:0408E90047BD14E70C +:0408EA0078FD022370 +:0408EB00FF63478DD3 +:0408EC00479504E741 +:0408ED0006E7F063C7 +:0408EE004D894C05DF +:0408EF0007934A0918 +:0408F000F793FFF784 +:0408F10045A10FF717 +:0408F20004F5EA63BC +:0408F300078A65D932 +:0408F4008D858593D6 +:0408F500439C97AEDB +:0408F6006637878258 +:0408F70086AA0001CC +:0408F800ACC6061371 +:0408F900051345C5D9 +:0408FA0020EF6E4B32 +:0408FB0055B712A03B +:0408FC00464500016C +:0408FD0070858593EA +:0408FE00770B8513DC +:0408FF001E8020EF48 +:04090000F01FE0EF15 +:04090100A223A0018C +:04090200BFB58006F7 +:040903004D854C0DC5 +:04090400B7754A0178 +:040905004D814C09CB +:040906004901BFE5FF +:04090700879369D990 +:04090800070A91C980 +:04090900430C973EC6 +:04090A00000165374C +:04090B00ADC505135E +:04090C007E1000EF6A +:04090D00C78367DD58 +:04090E008993722730 +:04090F0066DD91C947 +:04091000C783C39D39 +:04091100675D6CE6CC +:040912007007071350 +:04091300C50397BAC7 +:0409140067DD000794 +:040915007217C7038B +:0409160000A70763CC +:0409170072A780A3A0 +:0409180057B000EFE5 +:04091900C78366DD4D +:04091A0005A36CE6DF +:04091B004705000488 +:04091C0000F40B23B5 +:04091D000374478395 +:04091E007663458D2A +:04091F00459500F703 +:04092000F5938D9D21 +:04092100856E0FF5DB +:040922003FA010EFF3 +:040923004DE010EFA4 +:04092400FFAFE0EF52 +:04092500C78367DD40 +:04092600C7817867A6 +:0409270010EF8562E6 +:0409280085CA046018 +:0409290010EF8552F4 +:04092A00478326F0E9 +:04092B0046450164D8 +:04092C006E4B0513F6 +:04092D0099BE078ADE +:04092E000009A58394 +:04092F00000422237B +:04093000124020EF62 +:04093100000165B7A5 +:04093200859346451E +:040933008513AF85F4 +:0409340020EF770B2E +:04093500C783112043 +:04093600E399809A27 +:04093700E25FE0EFAC +:04093800C70367DDAD +:0409390047A96CC797 +:04093A0000F7176348 +:04093B00C78147B277 +:04093C0000EF453D46 +:04093D00C7033A10A2 +:04093E004783015496 +:04093F00036302C488 +:04094000470102F772 +:0409410046014681A4 +:0409420045014581A5 +:04094300B00FE0EF22 +:040944000154C50392 +:04094500EDDFE0EF13 +:040946000154C7830E +:040947000004222363 +:0409480002F406238C +:040949000154C7830B +:04094A00C583EB95E1 +:04094B004783016479 +:04094C00856302D4E9 +:04094D00653702B751 +:04094E00051300018C +:04094F0000EFB045C0 +:0409500047036D30BC +:04095100C68300F465 +:0409520046010164F5 +:040953004501458194 +:04095400ABCFE0EF56 +:040955000164C783EF +:0409560002F406A3FE +:04095700016447836D +:0409580085CACBADD4 +:04095900E0EF8552F4 +:04095A004789FC1FAE +:04095B000AF50F6327 +:04095C000A63479152 +:04095D00478502F5D3 +:04095E0004F51D631C +:04095F0000B4478316 +:040960006537CBA983 +:040961000513000179 +:0409620000EFB405E9 +:04096300F0EF6870D9 +:04096400A081C86F37 +:04096500B55949092E +:04096600B549490D39 +:04096700022347C15F +:04096800490578FDC8 +:040969004783BDA55E +:04096A00CB8D00B47D +:04096B0000016537EB +:04096C00B185051339 +:04096D0065D000EF62 +:04096E005783675DE7 +:04096F00E7936F8714 +:040970001C2300271D +:0409710017376EF7CF +:04097200202300023C +:04097300F0EF0EF79C +:040974000513CC3F5C +:04097500D0EF12C0ED +:04097600BB81B65F2C +:0409770000016537DF +:04097800B24505136C +:0409790062D000EF59 +:04097A000004222330 +:04097B0037E010EF62 +:04097C000164478348 +:04097D0005134645D3 +:04097E0097136E4B12 +:04097F0067D900270D +:0409800091C7879301 +:04098100438C97BA52 +:040982007DD010EF25 +:04098300000165B753 +:0409840085934645CC +:040985008513AF85A2 +:0409860010EF770BEC +:04098700C7837CB0F6 +:04098800FBC5809A91 +:04098900CDDFE0EFEF +:04098A004783B76D7B +:04098B00D3D500B40C +:04098C0000016537CA +:04098D00B305051396 +:04098E005D9000EF89 +:04098F00E7EFF0EFAF +:0409900065D9BF491D +:040991001141655D4E +:040992000310061335 +:04099300D105859372 +:0409940078C505130A +:0409950010EFC60693 +:0409960065DD5E704D +:04099700061365617D +:0409980085932F20F4 +:040999000513A7C5D6 +:04099A0010EF80C515 +:04099B0040B25D30D9 +:04099C00470567DDC7 +:04099D0078E782A3D2 +:04099E0001414501CD +:04099F00715D808284 +:0409A000C2A6C4A285 +:0409A10064D9DE4EE9 +:0409A200645D69DD4A +:0409A300DC52C0CA98 +:0409A400C686D266CB +:0409A500D85ADA56EC +:0409A600D462D65EE3 +:0409A700CE6ED06AD6 +:0409A8004C81490134 +:0409A900E5C484938A +:0409AA0004138A4E5A +:0409AB0065B77C04AC +:0409AC0046450001BB +:0409AD00F005859339 +:0409AE006D09851337 +:0409AF00729010EF43 +:0409B000002C97136D +:0409B100430C972636 +:0409B2004645655DF4 +:0409B30071050513B2 +:0409B400715010EF7F +:0409B500C1DFE0EFCF +:0409B60047016AC1CA +:0409B700FFFA8D13A3 +:0409B8006BE16B6123 +:0409B90000016DB715 +:0409BA0016B76C5DA3 +:0409BB00A58300020E +:0409BC0005370D06E8 +:0409BD00F63300030A +:0409BE00202301A54C +:0409BF00A68380CBC0 +:0409C000C6930D06C7 +:0409C1008EE9FFF6C6 +:0409C20080DBA22311 +:0409C3000263C21DEC +:0409C40096930326DD +:0409C50096A2001CDA +:0409C6009023E32D6A +:0409C700464500B6EB +:0409C800F08D859396 +:0409C9006D0A05139B +:0409CA006BD010EFEF +:0409CB00BC5FE0EF3E +:0409CC0026034705B2 +:0409CD00A6837F8CF2 +:0409CE00E20D804B6B +:0409CF0003569063D8 +:0409D000040C9F6311 +:0409D100061365D9CB +:0409D20085930360A6 +:0409D3008522EC8508 +:0409D40010EFC63624 +:0409D50046B24EB028 +:0409D6004CED470994 +:0409D7007EDC2C2373 +:0409D8004689C63A4C +:0409D900800B290363 +:0409DA0002D70E63CF +:0409DB000513650992 +:0409DC00D0EF7105E2 +:0409DD0047329C9F62 +:0409DE00D683BF8D70 +:0409DF0047090006BE +:0409E000FAD609E357 +:0409E100000167B7F3 +:0409E200859346456E +:0409E3000513F10700 +:0409E40010EF6D0A99 +:0409E500E0EF6530AA +:0409E6004701B5BF51 +:0409E7001CF9BF59DF +:0409E800BF6D47098F +:0409E90047690C85C9 +:0409EA00F19753E34B +:0409EB0040B64426A8 +:0409EC0049064496DE +:0409ED005A6259F2FF +:0409EE005B425AD23C +:0409EF005C225BB279 +:0409F0005D025C92B6 +:0409F100453D4DF241 +:0409F200006F6161D0 +:0409F300711D0C90D6 +:0409F40000B10793B4 +:0409F50067DDCA3EB2 +:0409F60079878793E3 +:0409F70067DDCC3EAE +:0409F80079978793D1 +:0409F90067DDCE3EAA +:0409FA0079A78793BF +:0409FB0067DDD03EA6 +:0409FC0079B78793AD +:0409FD00D43ED23ED4 +:0409FE00879367DD97 +:0409FF00D63E79C7A0 +:040A00000F0327B702 +:040A0100F0178793D0 +:040A02000793C63E52 +:040A03001823303054 +:040A0400478D00F129 +:040A050000F10923D0 +:040A0600A58367E17C +:040A0700CAA68007F4 +:040A0800CCA2CE8628 +:040A0900C6CEC8CAC3 +:040A0A00C2D6C4D2BA +:040A0B00DE5EC0DA11 +:040A0C0005A3DC6200 +:040A0D0084BE0001A2 +:040A0E0067E1C99142 +:040A0F008087C60313 +:040A10000001653745 +:040A1100DB050513E9 +:040A12003C9000EF25 +:040A13002583646172 +:040A1400C9818044D0 +:040A15000001653740 +:040A1600051381C182 +:040A170000EFDC45CB +:040A1800675D3B30AB +:040A19008004A6832C +:040A1A000493478179 +:040A1B0045E97C0726 +:040A1C007C07071339 +:040A1D000007560375 +:040A1E0000D61C637F +:040A1F00626347695E +:040A2000675910F70B +:040A21000713078A26 +:040A220097BAD44764 +:040A23008782439CE7 +:040A24008A630709D1 +:040A250007853AB750 +:040A26004789BFF14C +:040A2700D70366DDAE +:040A280047136F867B +:040A29009C231007F3 +:040A2A0026836EE6CB +:040A2B009713804459 +:040A2C005D6300F610 +:040A2D0067DD00077A +:040A2E0073A7C703E0 +:040A2F00478546258C +:040A300000C7066392 +:040A31000017079310 +:040A32000FF7F79330 +:040A330000E697132F +:040A340000075E63F6 +:040A35004683675D30 +:040A3600458578C7B3 +:040A3700E56346012C +:040A3800068500D55A +:040A39000FF6F613AB +:040A3A0078C7062350 +:040A3B004501472901 +:040A3C0000E78663E6 +:040A3D000723675DC7 +:040A3E0045056CF707 +:040A3F00D70367DD95 +:040A400066E16F8775 +:040A41008096C68352 +:040A420007429B4D7F +:040A43009C2383412C +:040A4400EA816EE7EE +:040A4500C68366DD21 +:040A4600068A70A606 +:040A47009C238F5508 +:040A4800D7036EE77B +:040A490040F66F877D +:040A4A0017B7446630 +:040A4B00A0230002E2 +:040A4C0044D60EE797 +:040A4D0049B6494617 +:040A4E004A964A2654 +:040A4F005BF24B0605 +:040A500061255C625E +:040A5100478D8082CB +:040A52004791BF9178 +:040A53004795BF8183 +:040A54004799B7B156 +:040A5500479DB7A161 +:040A560047A1B7916C +:040A570047A5B78177 +:040A58006761BF35DE +:040A590080974783B8 +:040A5A000017B69338 +:040A5B0080D704A399 +:040A5C004683675D09 +:040A5D00675D721748 +:040A5E006CD706A3A8 +:040A5F004505E789D9 +:040A600047A929B1C8 +:040A6100E0EFBF21E2 +:040A6200BFE597BF96 +:040A630017B7695DFB +:040A64000913000270 +:040A6500A4837249AB +:040A660007830C07EF +:040A6700476900A932 +:040A680000016637EC +:040A690002E787B366 +:040A6A0007136761A6 +:040A6B00655D80C77E +:040A6C00DD4606134A +:040A6D00051345C563 +:040A6E00973E6D053D +:040A6F00C68367DDF6 +:040A700010EF7217FA +:040A71004783353052 +:040A7200C7B900B947 +:040A73008793678579 +:040A74008FE5800783 +:040A75007FF4F69381 +:040A760000F0373322 +:040A770096B30685A7 +:040A780096BA00E644 +:040A79000700071358 +:040A7A000713C39902 +:040A7B0080C10690A0 +:040A7C000793888DC7 +:040A7D00E09902A05A +:040A7E0002000793D8 +:040A7F000002163724 +:040A8000060628033B +:040A81006637655D12 +:040A82000613000156 +:040A830045C5DE0681 +:040A840071050513E0 +:040A8500301010EF2E +:040A86008D9FE0EF71 +:040A8700675DB79D53 +:040A88006F8757839A +:040A89000107C79307 +:040A8A006EF71C23C4 +:040A8B0067DDBF99CB +:040A8C0078C7C7035D +:040A8D004681460553 +:040A8E0000E66563B6 +:040A8F00769307054E +:040A900086230FF7B3 +:040A9100BF3578D71E +:040A9200871367DD82 +:040A9300470378C7D6 +:040A940046050017FC +:040A950078C7879304 +:040A960065634681CD +:040A9700070500E669 +:040A98000FF776934B +:040A990000D780A35F +:040A9A00675DBF29AC +:040A9B0078C707937E +:040A9C000047C783C5 +:040A9D0078C70713FC +:040A9E0017FDC781F8 +:040A9F000FF7F793C3 +:040AA00000F7022336 +:040AA100675DBDFDD3 +:040AA20078C70713F7 +:040AA300004747833E +:040AA400F36346B9F9 +:040AA50047B900F657 +:040AA600B7DD07852C +:040AA700000165B72E +:040AA8004645655DFD +:040AA900DF0585934D +:040AAA006D050513BE +:040AAB00339010EF85 +:040AAC00000165B729 +:040AAD0046456B5DF2 +:040AAE00E005859347 +:040AAF00710B0513AF +:040AB000325010EFC1 +:040AB1006A5D69C150 +:040AB20069096AE183 +:040AB300825FE0EF8F +:040AB40000021BB76A +:040AB5004C6D19FD6E +:040AB600724A0A1363 +:040AB70080CA8A93D4 +:040AB80071090913A4 +:040AB9000D0BA70377 +:040ABA00468187A644 +:040ABB000137773355 +:040ABC000007D60356 +:040ABD0000E60663E6 +:040ABE000789068519 +:040ABF00FF869AE331 +:040AC00000AA058300 +:040AC100471547E9A5 +:040AC20002F587B3FF +:040AC300C78397D678 +:040AC4007E630177D5 +:040AC500653700F79A +:040AC6000513000113 +:040AC70000EFE0C597 +:040AC800E0EF0F301C +:040AC90067E1FDEFF5 +:040ACA00800784A37A +:040ACB004711BD9979 +:040ACC0004D74563A3 +:040ACD00973E181820 +:040ACE00FDC7470316 +:040ACF0040D7573382 +:040AD000CB098B05BE +:040AD1001818078A60 +:040AD200A78397BAA5 +:040AD3008023FE4737 +:040AD400BFC100D7C7 +:040AD500000166377F +:040AD6000613068578 +:040AD70045C5E30628 +:040AD800710B051386 +:040AD9001B1010EFEF +:040ADA00F88FE0EFC2 +:040ADB000007A53734 +:040ADC0012050513E7 +:040ADD00DC6FD0EF0B +:040ADE0047B1B76DF8 +:040ADF00FAF683E3BD +:040AE000D0EF854A84 +:040AE100BFB9DB8F2F +:040AE200871367DD32 +:040AE300470378C786 +:040AE40046790187C7 +:040AE50078C78793B4 +:040AE600656346817D +:040AE700070500E619 +:040AE8000FF77693FB +:040AE90000D78C2383 +:040AEA0067DDBBE920 +:040AEB0078C787132E +:040AEC000187470334 +:040AED0078C78793AC +:040AEE00D76D46FD7D +:040AEF00B7CD177DEB +:040AF000000165B7E5 +:040AF1004645655DB4 +:040AF200E4058593FF +:040AF3006D05051375 +:040AF400215010EF8E +:040AF500000165B7E0 +:040AF6004645655DAF +:040AF700E5058593F9 +:040AF800710505136C +:040AF900201010EFCA +:040AFA00690969C15C +:040AFB00F04FE0EFE9 +:040AFC0000021A37A3 +:040AFD004AED19FDA8 +:040AFE004BB14B2588 +:040AFF00710909135D +:040B00000D0A268331 +:040B0100478187267B +:040B02000136F6B30F +:040B0300000756038E +:040B040000D60A63AA +:040B05000709078550 +:040B0600FF579AE318 +:040B0700D0EF854A5C +:040B0800BFF9D1CF91 +:040B090000FB4C633E +:040B0A0007854729EB +:040B0B0002E7E7B363 +:040B0C0006A3675D78 +:040B0D00F0EF6CF7A2 +:040B0E00B5E5B08F0A +:040B0F00FF7790E3F9 +:040B10004785B5CD93 +:040B110047A9B9A196 +:040B120086AAB18D71 +:040B13000001663740 +:040B14000613655D02 +:040B150045C57386D9 +:040B1600710505134D +:040B17000B90106FC0 +:040B180046500693AA +:040B190002D506B348 +:040B1A0006400793F7 +:040B1B000001663738 +:040B1C000613655DFA +:040B1D0045C50A06BA +:040B1E007105051345 +:040B1F0002F6C6B361 +:040B20000950106FF9 +:040B2100663786AA03 +:040B2200655D00010C +:040B230005C60613EA +:040B2400051345C5AB +:040B2500106F7105D7 +:040B260046B707F0D7 +:040B27008693000FA2 +:040B28000533240667 +:040B2900678902D501 +:040B2A0096478793D0 +:040B2B003E800713EE +:040B2C00006336B775 +:040B2D00EA068693BB +:040B2E000001663725 +:040B2F00050606139E +:040B300057B345C5AD +:040B3100F7B302F51F +:040B3200472902E766 +:040B330002D556B3DE +:040B34000513655DE3 +:040B3500D73371053C +:040B3600106F02E753 +:040B3700069303B06E +:040B380006B346704A +:040B3900079302D547 +:040B3A0066370640D4 +:040B3B00655D0001F3 +:040B3C007306061323 +:040B3D00051345C592 +:040B3E00C6B37105C4 +:040B3F00106F02F63B +:040B400086AA017010 +:040B41000001663712 +:040B42000613655DD4 +:040B430045C5088616 +:040B4400710505131F +:040B45000010106F1D +:040B460027100693DB +:040B470002D506B31A +:040B480006400793C9 +:040B4900000166370A +:040B4A000613655DCC +:040B4B0045C50A860C +:040B4C007105051317 +:040B4D0002F6C6B333 +:040B4E007DC0106FE7 +:040B4F0027100693D2 +:040B5000053305055F +:040B5100069302D530 +:040B520066370640BC +:040B53000613000184 +:040B540045C50A8603 +:040B550002D546B3CC +:040B56000513655DC1 +:040B5700106F7105A5 +:040B580016937B6015 +:040B590086E10185AB +:040B5A0000016637F9 +:040B5B0016D1655DED +:040B5C000346061333 +:040B5D00051345C572 +:040B5E00106F71059E +:040B5F0045E979A04B +:040B600002B50533A2 +:040B6100859365E132 +:040B6200464580C5BF +:040B6300655D95AA8D +:040B640071050513FF +:040B65000510106FF8 +:040B660000251793BC +:040B670005136559B4 +:040B6800953E07C5EA +:040B6900655D410C79 +:040B6A0005134645E4 +:040B6B00106F710591 +:040B6C0047A9037022 +:040B6D0000F50F631D +:040B6E0000251793B4 +:040B6F000513655DA8 +:040B7000953EF005B9 +:040B7100655D410C71 +:040B720005134645DC +:040B7300106F710589 +:040B740065B70170F0 +:040B75008593000163 +:040B7600B7F5068544 +:040B7700A70367E188 +:040B780067DD8007AE +:040B79007C078793DB +:040B7A00464546ADF9 +:040B7B000167D583B6 +:040B7C0006E5946393 +:040B7D000FF6F693E6 +:040B7E000B63E1190B +:040B7F001101280731 +:040B800069DDC64E17 +:040B81006A59C803E2 +:040B8200695DC84A97 +:040B83004F0907937C +:040B8400003815130D +:040B8500CE0697AA57 +:040B8600CA26CC228D +:040B87000007A88338 +:040B88000047C783D8 +:040B8900A603475127 +:040B8A008733004865 +:040B8B00859302E765 +:040B8C00F593FF5688 +:040B8D0043150FF508 +:040B8E006A59899384 +:040B8F004F090913EE +:040B9000460397324F +:040B9100686300474E +:040B9200635902B3EE +:040B93000313058AB9 +:040B9400959AFF43EC +:040B95008582418C88 +:040B96000789068540 +:040B9700F8C698E321 +:040B9800BF5946817A +:040B9900C783E39992 +:040B9A0017FD00083B +:040B9B000FF7F793C6 +:040B9C000223954A51 +:040B9D00440100F51A +:040B9E00A099448155 +:040B9F000008C70380 +:040BA000954A0785E6 +:040BA10002E7E7B3CD +:040BA2000663B7ED42 +:040BA300187D0008B1 +:040BA40001098023A0 +:040BA5004462B7CD22 +:040BA60044D240F203 +:040BA70049B24942C4 +:040BA80084A367E1DA +:040BA900610580075B +:040BAA00C58FE06FA4 +:040BAB000D63478D02 +:040BAC00479104F673 +:040BAD00FCF611E35E +:040BAE004485471C17 +:040BAF00842A97827B +:040BB0000009C783EE +:040BB1004645655DF3 +:040BB200993E078ED3 +:040BB300004947832B +:040BB4000009298388 +:040BB50089334951E6 +:040BB600A7830327E7 +:040BB70005130049D9 +:040BB80097CA6D0566 +:040BB90010EF438C6A +:040BBA00A7836FE0BE +:040BBB004691004916 +:040BBC00C70397CA0A +:040BBD00EA630047A0 +:040BBE0066D912E6FC +:040BBF008693070A08 +:040BC000973600C69E +:040BC100870243184C +:040BC200C3914B1C74 +:040BC300C7839782CB +:040BC400485100098B +:040BC50000178593FD +:040BC6000733078E5C +:040BC700431400F9DA +:040BC8000047470398 +:040BC900073342D0DC +:040BCA0096930307F4 +:040BCB0005330035B9 +:040BCC00280300D921 +:040BCD009732000556 +:040BCE00046347185D +:040BCF00022300E815 +:040BD00097CA0005BB +:040BD1000047C7838F +:040BD20096CA475127 +:040BD30002E787B3FB +:040BD40000B98023C1 +:040BD500461C963EE6 +:040BD600BF31C29CCD +:040BD700ECE3479173 +:040BD80067D9F0C722 +:040BD90002078793F5 +:040BDA00963E060A33 +:040BDB008782421CAF +:040BDC00483D470C3D +:040BDD0000C7450305 +:040BDE0000D74603F3 +:040BDF000005C783C3 +:040BE00000E74703E0 +:040BE10001069D6309 +:040BE20000F678633E +:040BE300F71317FDF0 +:040BE40080230FF764 +:040BE500B5C500E5AD +:040BE6008732FD6DE8 +:040BE700F863BFDD13 +:040BE800078500E796 +:040BE9000FF7F613F9 +:040BEA0000C580239F +:040BEB00FD6DB5E9FE +:040BEC00BFDD863AA9 +:040BED0045BD4710AB +:040BEE000006578323 +:040BEF0000B69C634D +:040BF00000C75703E0 +:040BF10000F7756331 +:040BF20007C217FD22 +:040BF300102383C187 +:040BF400B55500F6FD +:040BF50000E75703BB +:040BF600FEE7FBE338 +:040BF700B7F50785C2 +:040BF80045BD475060 +:040BF90047834218D4 +:040BFA0099630007F4 +:040BFB00C78100B6F8 +:040BFC00F79317FD57 +:040BFD0000230FF7CB +:040BFE00BDB500F78A +:040BFF0000464683E3 +:040C000000D7F563C1 +:040C0100F6930785DA +:040C020000230FF7C5 +:040C0300B5A500D7BC +:040C04004B9C479826 +:040C05004703655DDF +:040C06004645000758 +:040C0700710505135B +:040C080097BA070A86 +:040C090010EF438C19 +:040C0A0044625BE005 +:040C0B0044D240F29D +:040C0C0049B249425E +:040C0D00E06F61052E +:040C0E004798ABAFA9 +:040C0F0045034B9CB2 +:040C100097820007C0 +:040C11004798B7DD6C +:040C120055034B9C9F +:040C130097820007BD +:040C140067DDBFE9F0 +:040C150070078823B9 +:040C1600C495BFC9F9 +:040C170066B7C005F7 +:040C180086930001BE +:040C190066370446F0 +:040C1A00655D000113 +:040C1B0004C60613F2 +:040C1C00051345C5B2 +:040C1D0010EF71055E +:040C1E00BF4549E0A5 +:040C1F00000166B7B3 +:040C200003C68693EE +:040C210047DCB7CD28 +:040C22004398D7E933 +:040C2300BF45479CE6 +:040C2400716D8082EC +:040C25001011262361 +:040C260010812423F2 +:040C270010912223E3 +:040C2800F96347BD68 +:040C2900753700A774 +:040C2A0005130001AD +:040C2B00268D80850D +:040C2C00A06D547DE6 +:040C2D00445257B71F +:040C2E00355787931C +:040C2F0057B7C03EB5 +:040C30008793004165 +:040C3100C23E441764 +:040C320087936795A8 +:040C3300142320075F +:040C3400379300F101 +:040C3500052300A5EE +:040C3600049300F132 +:040C370047A50105C7 +:040C380004A2842A64 +:040C390008A7F563B0 +:040C3A00079365DDDA +:040C3B004629044002 +:040C3C007005859327 +:040C3D0000D10513CA +:040C3E0000F105A319 +:040C3F000001062387 +:040C400033C010EFBE +:040C4100C78367DD21 +:040C420065DD6CE719 +:040C43000360061331 +:040C440000F10C238C +:040C4500C78367DD1D +:040C460085936CC75F +:040C470005137C0510 +:040C48000CA301B147 +:040C490067DD00F172 +:040C4A007227C783C3 +:040C4B0000F10BA306 +:040C4C00C78367DD16 +:040C4D000D2370A75C +:040C4E0010EF00F1B2 +:040C4F0086263020A5 +:040C500005100593F3 +:040C5100E0EF850A41 +:040C5200842A8D4F14 +:040C53007537F135CB +:040C54000593000103 +:040C5500051304403F +:040C56002C5D81850B +:040C5700208385224F +:040C5800240310C1A0 +:040C5900248310815F +:040C5A006151104193 +:040C5B0007938082F9 +:040C5C0005A30310D9 +:040C5D0065DD00F160 +:040C5E0006A357C9C9 +:040C5F00061300F187 +:040C600047890310AD +:040C610078C585933A +:040C620000F1051385 +:040C630000F1072372 +:040C64000001062362 +:040C65002A8010EFE2 +:040C6600061365E12B +:040C670085930C0065 +:040C6800008880C5BB +:040C6900298010EFDF +:040C6A000593862642 +:040C6B00850A1000E6 +:040C6C0086AFE0EF80 +:040C6D008613656124 +:040C6E0005930014D6 +:040C6F000513232026 +:040C7000E0EF8CC560 +:040C710075378E6FD6 +:040C720085A2000156 +:040C73003230061302 +:040C740083C505131C +:040C750044012C35D5 +:040C76007169B75198 +:040C7700121126230D +:040C7800128124239E +:040C7900129122238F +:040C7A0013212023FF +:040C7B0011312E23E2 +:040C7C0011412C23D3 +:040C7D0011512A23C4 +:040C7E0011612823B5 +:040C7F0011712623A6 +:040C80001181242397 +:040C81001191222388 +:040C8200F16347BD16 +:040C8300753704A716 +:040C84000513000153 +:040C85002AED80854F +:040C8600208359FD71 +:040C8700240312C16F +:040C8800854E128102 +:040C8900124124836D +:040C8A001201290327 +:040C8B0011C12983E7 +:040C8C0011812A03A5 +:040C8D0011412A8364 +:040C8E0011012B0322 +:040C8F0010C12B83E2 +:040C900010812C03A0 +:040C910010412C835F +:040C920080826155A6 +:040C9300010509133B +:040C94008AAA0942DD +:040C95000593860A33 +:040C9600854A10007B +:040C9700FA1FD0EF81 +:040C98006537C511E6 +:040C9900051300013E +:040C9A00B77574C5F1 +:040C9B00000165B738 +:040C9C0076058593C1 +:040C9D00D0EF850A05 +:040C9E0089AAC1AFAF +:040C9F006537C909E3 +:040CA00085D60001F4 +:040CA100768505133C +:040CA20049852261FD +:040CA3004583B77955 +:040CA4004603008182 +:040CA500E58900914C +:040CA600052007938B +:040CA70000F60963E7 +:040CA80000016537AB +:040CA9007885051332 +:040CAA00498922A5AD +:040CAB004783B7BD07 +:040CAC00CB9100A147 +:040CAD00866347050E +:040CAE0065370AE7B5 +:040CAF000513000128 +:040CB00022B97F05E1 +:040CB1004783BF991D +:040CB200470300C133 +:040CB30007A200B1E3 +:040CB40007138FD9BA +:040CB50092E3044082 +:040CB60066DDF4E71C +:040CB7004781870AE0 +:040CB80086934525B5 +:040CB90045A97006D3 +:040CBA0000D7460316 +:040CBB0000C56663A7 +:040CBC0000D78833A2 +:040CBD0000C8002348 +:040CBE00070507859A +:040CBF00FEB796E303 +:040CC00001914783D4 +:040CC1000623675D42 +:040CC20047256CF75F +:040CC30000F766636D +:040CC4000723675D3E +:040CC500A0296CF7FF +:040CC60001814783DE +:040CC700FEF77AE3D7 +:040CC800017147036C +:040CC900655D67DD21 +:040CCA0072E7812329 +:040CCB00C70367DD17 +:040CCC0067DD700769 +:040CCD0001B10593D9 +:040CCE0072E780A3A6 +:040CCF0001A1470335 +:040CD000061367DDC3 +:040CD10005130360A4 +:040CD20085237C05F5 +:040CD30010EF70E7C7 +:040CD40065370EE092 +:040CD5000593000182 +:040CD600051303609F +:040CD700284D7B0524 +:040CD8004432BD6D78 +:040CD90000B147031C +:040CDA000FF4779309 +:040CDB008FD907A204 +:040CDC0003100713E7 +:040CDD00EAE793E3CC +:040CDE00044280212B +:040CDF0007938041B6 +:040CE0001CE32F20C2 +:040CE100655DE8F471 +:040CE20003100613E2 +:040CE30000F1059384 +:040CE40078C50513B7 +:040CE50010EF6B6140 +:040CE6004A010A6055 +:040CE700040004936E +:040CE80010000C13D9 +:040CE90080CB0B139E +:040CEA000F200C9338 +:040CEB0010000B9357 +:040CEC00409C0633EF +:040CED00016A053360 +:040CEE00009105B3B9 +:040CEF0010090913CC +:040CF00003940763FF +:040CF100409B84B3ED +:040CF200074010EFB8 +:040CF300041394D280 +:040CF4009A13F0045B +:040CF50004420104B0 +:040CF6000593860AD2 +:040CF700854A10001A +:040CF800010A5A1380 +:040CF900D0EF804177 +:040CFA004481E17FD1 +:040CFB000613B7D154 +:040CFC0010EF0F20C6 +:040CFD00653704A0B3 +:040CFE0067DD0001AD +:040CFF00061347058C +:040D000085D6323032 +:040D01007CC5051395 +:040D020078E782A369 +:040D0300B5312011D5 +:040D0400DC010113FA +:040D050022B12223D2 +:040D060022C12423BF +:040D070022D12623AC +:040D08001454862ACF +:040D0900200005932E +:040D0A002E23080884 +:040D0B002823201168 +:040D0C002A2322E193 +:040D0D002C2322F180 +:040D0E002E2323016C +:040D0F00C6362311B0 +:040D1000298010EF37 +:040D110000A0556386 +:040D1200C0EF08081E +:040D13002083CD5F0D +:040D1400450121C1B3 +:040D150024010113A1 +:040D16001141808285 +:040D17001437C422A7 +:040D1800C2260002ED +:040D190084AA460161 +:040D1A0004A0059399 +:040D1B0004040513B4 +:040D1C00D0EFC60648 +:040D1D0085A691CF47 +:040D1E000513460172 +:040D1F00D0EF040409 +:040D2000059394AFF4 +:040D2100051304A012 +:040D2200460504047A +:040D2300902FD0EF4E +:040D240004040513AB +:040D250040B2442272 +:040D26004585449229 +:040D2700D06F014147 +:040D28001141910FD5 +:040D29001437C42295 +:040D2A00C2260002DB +:040D2B0084AEC04A88 +:040D2C004601892AC9 +:040D2D0004A0059386 +:040D2E0004040513A1 +:040D2F00D0EFC60635 +:040D300085CA8D0FD4 +:040D3100051346015F +:040D3200D0EF0404F6 +:040D330005138FEF26 +:040D3400442204044D +:040D3500490240B27D +:040D3600449285A6B8 +:040D3700014146052B +:040D38008E8FD06F5B +:040D3900C42211417E +:040D3A001433440525 +:040D3B00741300A489 +:040D3C0085A20FF489 +:040D3D00C606451988 +:040D3E00FABFF0EF19 +:040D3F00442285A223 +:040D4000451D40B25B +:040D4100F06F01410D +:040D42001141F9DF83 +:040D4300C4220506BB +:040D44000FF5741320 +:040D4500450585A239 +:040D4600F0EFC606FE +:040D470085A2F89FEA +:040D480040B244224F +:040D49000141450916 +:040D4A00F7BFF06F90 +:040D4B0045151141F8 +:040D4C00F0EFC606F8 +:040D4D000713F29FF7 +:040D4E004781086071 +:040D4F0004E5136341 +:040D500009000593FE +:040D51000200051384 +:040D5200F5BFF0EF0A +:040D530005134581BE +:040D5400F0EF0210AA +:040D55004581F51FC0 +:040D5600022005135F +:040D5700F47FF0EF46 +:040D58000513458DAD +:040D5900F0EF023085 +:040D5A004581F3DFFD +:040D5B0002800513FA +:040D5C00F33FF0EF82 +:040D5D0003000593F7 +:040D5E000710051362 +:040D5F00F27FF0EF40 +:040D600040B24785D1 +:040D61000141853E89 +:040D62001101808279 +:040D630000A107A341 +:040D6400000215373D +:040D65004605CC2251 +:040D66000513842EBF +:040D670005930405E7 +:040D6800CE0600F1C2 +:040D690086EFD0EF52 +:040D6A00C0EF85222F +:040D6B0040F2B91F7A +:040D6C006105446277 +:040D6D00114180822E +:040D6E00645DC422DA +:040D6F006F845783B3 +:040D7000C226C606CB +:040D7100F9F7F79304 +:040D720083C107C270 +:040D73006EF41C23DB +:040D7400000214B7AE +:040D75000EF4A023B5 +:040D7600C0EF455134 +:040D770045D1B61F8D +:040D780003800513DC +:040D7900FA7FF0EF1E +:040D7A00051345D147 +:040D7B00F0EF039002 +:040D7C0045D1F9DF85 +:040D7D00F0EF4551FD +:040D7E0045D1F95F03 +:040D7F000710051341 +:040D8000F8BFF0EFD9 +:040D8100051345D140 +:040D8200F0EF05E0A9 +:040D830045D1F81F3F +:040D840006D005137D +:040D8500F77FF0EF15 +:040D8600453145D1DD +:040D8700F6FFF0EF94 +:040D8800320005939D +:040D8900F0EF45053D +:040D8A0045D1F65FFA +:040D8B00F0EF451927 +:040D8C000593F5DFF7 +:040D8D0045093200E2 +:040D8E00F53FF0EF4E +:040D8F006F84578393 +:040D9000E79340B2F3 +:040D91001C23040714 +:040D920044226EF495 +:040D93000EF4A02397 +:040D94000141449243 +:040D95001101808246 +:040D9600645DCC22AA +:040D97006F8457838B +:040D9800CA26CE0693 +:040D9900F9F7F793DC +:040D9A0083C107C248 +:040D9B00C64EC84A2E +:040D9C001C23C452FE +:040D9D0014B76EF425 +:040D9E008A2A00029B +:040D9F000EF4A0238B +:040DA000892E45054E +:040DA1003200059384 +:040DA200F03FF0EF3F +:040DA3006F8457837F +:040DA400855245C16E +:040DA5000207E793C7 +:040DA6006EF41C23A8 +:040DA7000EF4A02383 +:040DA80077D000EF11 +:040DA9000FF5799336 +:040DAA0000099B633E +:040DAB00000175B717 +:040DAC0085934645A0 +:040DAD008552D9454D +:040DAE0072D000EF10 +:040DAF0044814985AD +:040DB000009A07B3EB +:040DB1000007C5036F +:040DB200048545D19E +:040DB300EBFFF0EF73 +:040DB4000FF4F793AE +:040DB500FF37E6E33B +:040DB6006F8457836C +:040DB700000214B76B +:040DB800F79345D197 +:040DB90007C2FDF779 +:040DBA001C2383C1B2 +:040DBB00A0236EF40F +:040DBC0005130EF419 +:040DBD00F0EF0C0047 +:040DBE005783E95F0F +:040DBF0045C16F8437 +:040DC000E793854AE6 +:040DC1001C230207E6 +:040DC200A0236EF408 +:040DC30000EF0EF43B +:040DC400799370F0BF +:040DC5009B630FF528 +:040DC60075B70009F4 +:040DC700464500019C +:040DC800D9458593F1 +:040DC90000EF854A68 +:040DCA0049856BF0FC +:040DCB0007B34481A5 +:040DCC00C5030099C2 +:040DCD0045D1000705 +:040DCE00F0EF0485B9 +:040DCF00F793E51F92 +:040DD000E6E30FF453 +:040DD1005783FF370E +:040DD20040F26F84F8 +:040DD30000021737CC +:040DD4000407E79396 +:040DD5006EF41C2379 +:040DD6002023446230 +:040DD70044D20EF7FD +:040DD80049B2494291 +:040DD90061054A2244 +:040DDA0011418082C1 +:040DDB001437C422E3 +:040DDC00C226000229 +:040DDD0084AA46019D +:040DDE0002C00593B7 +:040DDF0004040513F0 +:040DE000C0EFC60694 +:040DE10085A6E0DF24 +:040DE20005134605AA +:040DE300C0EF040455 +:040DE4000593E3BFD1 +:040DE500051302C030 +:040DE60046050404B6 +:040DE700DF3FC0EF3B +:040DE80004040513E7 +:040DE90040B24422AE +:040DEA004585449265 +:040DEB00C06F014193 +:040DEC001141E01FB2 +:040DED001437C422D1 +:040DEE00C226000217 +:040DEF0084AEC04AC4 +:040DF0004601892A05 +:040DF10002C00593A4 +:040DF20004040513DD +:040DF300C0EFC60681 +:040DF40085CADC1FB1 +:040DF500051346019B +:040DF600C0EF040442 +:040DF7000513DEFF03 +:040DF8004422040489 +:040DF900490240B2B9 +:040DFA00449285A6F4 +:040DFB000141460567 +:040DFC00DD9FC06F48 +:040DFD0000021537A4 +:040DFE004601114158 +:040DFF000513458112 +:040E0000C606040519 +:040E0100DC5FC0EF03 +:040E0200C0EF4529CF +:040E030045E1931F13 +:040E0400F0EF4505C1 +:040E050045E1FA1FAA +:040E0600F0EF4509BB +:040E070045E1F99F29 +:040E0800F0EF450DB5 +:040E09004505F91F83 +:040E0A00F43FF0EFD2 +:040E0B00152140B2BB +:040E0C000015351385 +:040E0D00808201419D +:040E0E00C2261141A6 +:040E0F00450584AA67 +:040E1000C422C6062C +:040E1100F27FF0EF8D +:040E12000034941301 +:040E13008C49991D50 +:040E14000FF4741350 +:040E1500450585A268 +:040E1600F5BFF0EF45 +:040E1700450985A262 +:040E1800F53FF0EFC3 +:040E1900450D85A25C +:040E1A00F4BFF0EF42 +:040E1B0040B244227B +:040E1C00449285A6D1 +:040E1D000001753724 +:040E1E00860505132D +:040E1F00F06F01412E +:040E20001141B93F84 +:040E210084AAC226B7 +:040E2200C42245059C +:040E2300F0EFC60620 +:040E24007513EDDF76 +:040E250047890D8567 +:040E2600001564133C +:040E270000F48963E7 +:040E280000549413CB +:040E290074138C4969 +:040E2A0064130FF44A +:040E2B0085A2004458 +:040E2C00F0EF450599 +:040E2D0085A2F01F8B +:040E2E00F0EF450993 +:040E2F0085A2EF9F0A +:040E3000F0EF450D8D +:040E31004422EF1F49 +:040E320085A640B29F +:040E33007537449239 +:040E340005130001A1 +:040E3500014187C52B +:040E3600B39FF06F07 +:040E3700C42211417F +:040E38000002143769 +:040E39004601C22686 +:040E3A00059384AAEE +:040E3B00051305C0D6 +:040E3C00C6060404DE +:040E3D00C9BFC0EF7A +:040E3E000FF4F59325 +:040E3F00051346054C +:040E4000C0EF0404F7 +:040E41000593CC7FCA +:040E4200051305C0CF +:040E43004605040458 +:040E4400C7FFC0EF35 +:040E45000404051389 +:040E460040B2442250 +:040E47004585449207 +:040E4800C06F014135 +:040E49001141C8DFAC +:040E4A001437C42273 +:040E4B00C2260002B9 +:040E4C0084AEC04A66 +:040E4D004601892AA7 +:040E4E0005C0059343 +:040E4F00040405137F +:040E5000C0EFC60623 +:040E51007593C4DFF2 +:040E520046010FF94D +:040E5300040405137B +:040E5400C79FC0EF85 +:040E55000404051379 +:040E560040B2442240 +:040E570085A6490221 +:040E58004605449275 +:040E5900C06F014124 +:040E5A001141C63F3D +:040E5B000220051359 +:040E5C00C422C606E0 +:040E5D00F0EFC226CA +:040E5E007413F67F94 +:040E5F0045CD0FF579 +:040E6000F0EF455911 +:040E61006489FA5F47 +:040E6200710485137F +:040E6300FAEFC0EFF3 +:040E6400455D458D16 +:040E6500F93FF0EF72 +:040E6600710485137B +:040E6700F9EFC0EFF0 +:040E68000804659382 +:040E6900022005134B +:040E6A00F7FFF0EFAF +:040E6B003E800513AD +:040E6C00F8AFC0EF2C +:040E6D0007F475937E +:040E6E0040B2442228 +:040E6F000513449291 +:040E7000014102201A +:040E7100F63FF06FE9 +:040E7200C422114144 +:040E730005136409F6 +:040E7400C606710439 +:040E7500F66FC0EF65 +:040E7600455945C5D0 +:040E7700F4BFF0EFE5 +:040E780071040513E9 +:040E7900F56FC0EF62 +:040E7A004589455D04 +:040E7B00F3BFF0EFE2 +:040E7C0071040513E5 +:040E7D0040B2442219 +:040E7E00C06F0141FF +:040E7F001141F40F1A +:040E8000842EC422D6 +:040E8100454985AAB0 +:040E8200F0EFC606C1 +:040E830085A2F1DF74 +:040E840040B2442212 +:040E85000141454D95 +:040E8600F0FFF06F1A +:040E8700051385AA20 +:040E8800F06F03D034 +:040E890085AAF05FE7 +:040E8A00F06F45457B +:040E8B001141EFDF43 +:040E8C00C422C606B0 +:040E8D000065478332 +:040E8E00456D842A00 +:040E8F0000479593F0 +:040E9000F5938DDD6C +:040E9100F0EF0FF57A +:040E92004583EE1F87 +:040E93004571006441 +:040E9400ED7FF0EF0F +:040E9500003445835D +:040E9600F0EF45290B +:040E97004583ECDFC4 +:040E980045250044A8 +:040E9900EC3FF0EF4B +:040E9A000054458338 +:040E9B00F0EF45210E +:040E9C004583EB9F00 +:040E9D0045350004D3 +:040E9E00EAFFF0EF88 +:040E9F000014458373 +:040EA000F0EF4531F9 +:040EA1004583EA5F3C +:040EA20044220024C2 +:040EA300452D40B2E7 +:040EA400F06F0141A9 +:040EA5001101E95FEF +:040EA60084AACA262A +:040EA700CC22451103 +:040EA800842EC6329C +:040EA900C84ACE065F +:040EAA00E35FF0EF23 +:040EAB0075934632C3 +:040EAC0006630F8545 +:040EAD000793100691 +:040EAE00E2637FF08C +:040EAF00048610970E +:040EB0000015E593B1 +:040EB10004C2451121 +:040EB200E5FFF0EF79 +:040EB300D59380C192 +:040EB400F59300446E +:040EB50045050FF5EB +:040EB600E4FFF0EF76 +:040EB70000449593CB +:040EB8000F05F5939A +:040EB900F0EF450908 +:040EBA007537E41F85 +:040EBB0009370001F2 +:040EBC0085A6019C6A +:040EBD00970505137D +:040EBE00CC0909133F +:040EBF00915FF0EF60 +:040EC0000289593317 +:040EC1003E800793D5 +:040EC200000F45B721 +:040EC30024058593EA +:040EC400000175377D +:040EC5009945051333 +:040EC60009334401A7 +:040EC7005633029903 +:040EC80055B302F923 +:040EC900763302B9C1 +:040ECA00F0EF02F64D +:040ECB0057B78E7F08 +:040ECC0087930225E1 +:040ECD00F0630FF7C8 +:040ECE0027B7032718 +:040ECF008793042CD5 +:040ED0004405D7F707 +:040ED1000127F96399 +:040ED200080BF437DE +:040ED300FBF4041315 +:040ED400012434338E +:040ED50067DD0409C8 +:040ED60068C78793CF +:040ED700C78397A294 +:040ED80007130007F5 +:040ED90087B3028059 +:040EDA00D71302E741 +:040EDB0097BA0014AE +:040EDC000297C4B302 +:040EDD00F493479DA6 +:040EDE0085A60FF4E2 +:040EDF000097F36322 +:040EE00067DD459DE8 +:040EE10000241713BF +:040EE2008947879322 +:040EE300F49397BA33 +:040EE400438C0FF537 +:040EE500000175375C +:040EE6000513862644 +:040EE700F0EF9B8508 +:040EE800041A873F22 +:040EE90000349593A9 +:040EEA0044628DC110 +:040EEB0044D240F2BB +:040EEC00F5934942EF +:040EED00450D0F851B +:040EEE00F06F61053B +:040EEF004511D6DFF4 +:040EF000D67FF0EFCA +:040EF1001141B729CB +:040EF200842AC42268 +:040EF300C606456981 +:040EF400D0DFF0EF6C +:040EF5007593478525 +:040EF6001A630FA5C7 +:040EF700E59300F48B +:040EF800442200256B +:040EF900456940B255 +:040EFA00F06F014153 +:040EFB00E593D3DFC9 +:040EFC00BFC500A5C9 +:040EFD0000A5558374 +:040EFE00C4221141B8 +:040EFF00842A81A11F +:040F000004B0051321 +:040F0100F0EFC60641 +:040F02004583D21F32 +:040F0300051300A42E +:040F0400F0EF04A066 +:040F05005583D15FE0 +:040F0600051300C40B +:040F070081A104D0F0 +:040F0800D07FF0EFB7 +:040F090000C4458358 +:040F0A0004C0051307 +:040F0B00CFBFF0EF75 +:040F0C0000E4558325 +:040F0D0004F00513D4 +:040F0E00F0EF81A1DE +:040F0F004583CEDF69 +:040F1000051300E4E1 +:040F1100F0EF04E019 +:040F12005583CE1F16 +:040F1300051300447E +:040F140081A10510A2 +:040F1500CD3FF0EFED +:040F160000444583CB +:040F170005000513B9 +:040F1800CC7FF0EFAB +:040F19000064558398 +:040F1A000530051386 +:040F1B00F0EF81A1D1 +:040F1C004583CB9F9F +:040F1D000513006454 +:040F1E00F0EF0520CB +:040F1F005583CADF4D +:040F20000513008431 +:040F210081A1055055 +:040F2200C9FFF0EF24 +:040F2300008445837E +:040F2400054005136C +:040F2500C93FF0EFE1 +:040F260001045583EA +:040F27000570051339 +:040F2800F0EF81A1C4 +:040F29004583C85FD5 +:040F2A0005130104A6 +:040F2B00F0EF05607E +:040F2C005583C79F83 +:040F2D000513012483 +:040F2E0081A1059008 +:040F2F00C6BFF0EF5A +:040F300001244583D0 +:040F3100058005131F +:040F3200C5FFF0EF18 +:040F3300014455839D +:040F340005B00513EC +:040F3500F0EF81A1B7 +:040F36004583C51F0B +:040F3700442201440B +:040F3800051340B2AB +:040F3900014105A0CD +:040F3A00C3FFF06F92 +:040F3B00C42211417A +:040F3C000513842AEB +:040F3D00C60603F0F1 +:040F3E00BE5FF0EFB3 +:040F3F000F05759392 +:040F400005138DC147 +:040F4100F0EF03F0DA +:040F420085A2C21FA3 +:040F430040B2442252 +:040F440000017537FC +:040F450092050513F9 +:040F4600F06F014106 +:040F47001141EF6FF6 +:040F4800842AC42211 +:040F4900C60645692A +:040F4A00BB5FF0EFAA +:040F4B000064159396 +:040F4C0003F5751321 +:040F4D00F5938DC9C2 +:040F4E0045690FF5ED +:040F4F00BEBFF0EF42 +:040F5000442285A210 +:040F5100753740B2FE +:040F52000513000182 +:040F5300014195457E +:040F5400EC0FF06F3F +:040F550065DD110144 +:040F56008593461D1C +:040F57000028DCC5CD +:040F58002DE9CE06AB +:040F5900C07FF0EF76 +:040F5A00F0EF45016E +:040F5B004585E5DF04 +:040F5C000350051326 +:040F5D00BB3FF0EFB7 +:040F5E000513655DB5 +:040F5F00F0EF8A45E0 +:040F60004501E77FE1 +:040F6100F9BFF0EFF5 +:040F6200F0EF45194E +:040F63000513C93F6A +:040F6400F0EF044066 +:040F65004581C95F9A +:040F6600F0EF45055E +:040F67000028C65F39 +:040F6800C8FFF0EFDF +:040F6900610540F2EC +:040F6A00114180822F +:040F6B00C04AC42292 +:040F6C00892E842A1C +:040F6D000324043322 +:040F6E00C60645115D +:040F6F00F0EFC226B7 +:040F7000891DB1FF27 +:040F71004054549301 +:040F72001593887DCE +:040F73008DC90034F0 +:040F7400F0EF451144 +:040F7500F593B55FDC +:040F760075370FF4C8 +:040F770006930001DC +:040F7800864A001491 +:040F790005130585D2 +:040F7A00F0EF8F45C0 +:040F7B0040B2E26F2F +:040F7C00F513442203 +:040F7D0049020FF422 +:040F7E000141449257 +:040F7F00114180821A +:040F8000842AC422D9 +:040F8100C60645411A +:040F8200AD5FF0EF80 +:040F8300003415938E +:040F84008DC9891D6D +:040F85000FF5F593DC +:040F8600F0EF454102 +:040F870085A2B0DFB0 +:040F880040B244220D +:040F890000017537B7 +:040F8A0093C50513F3 +:040F8B00F06F0141C1 +:040F8C00C139DE2F5A +:040F8D00C226114126 +:040F8E0002600513E5 +:040F8F00059384AE94 +:040F9000C42208006F +:040F91008432C606DA +:040F9200ADFFF0EFD0 +:040F930005934785F6 +:040F940089630094D9 +:040F950047A100F47C +:040F960005A4059316 +:040F970000F484637B +:040F98000184059338 +:040F990040B24422FC +:040F9A00F5934492F5 +:040F9B0005130FF536 +:040F9C0001410310FC +:040F9D00AB3FF06F07 +:040F9E000513458171 +:040F9F00BFDD026050 +:040FA000CC2211014D +:040FA100C84ACA264A +:040FA200C452C64E21 +:040FA3004785CE06AA +:040FA400892E842AE4 +:040FA5008A3689B24D +:040FA6000E6384BA98 +:040FA70047A100F569 +:040FA80006F50063E7 +:040FA9000067059345 +:040FAA000FF5F593B7 +:040FAB00F0EF451509 +:040FAC0045C1A79FF5 +:040FAD000593A809F7 +:040FAE00F593002790 +:040FAF0045150FF5E0 +:040FB000A67FF0EF39 +:040FB1004519459900 +:040FB200A5FFF0EFB8 +:040FB300862685A267 +:040FB400F0EF450510 +:040FB50047C1F61F1B +:040FB600036345B1DB +:040FB70045A100F45C +:040FB80002200513FB +:040FB900A43FF0EF72 +:040FBA0040F244625B +:040FBB00865244D244 +:040FBC004A2285CE72 +:040FBD00854A49B266 +:040FBE00610549423E +:040FBF00B9BFF06F57 +:040FC000032705936B +:040FC1000FF5F593A0 +:040FC200F0EF4515F2 +:040FC3000593A1DF12 +:040FC400BF55020013 +:040FC50087931141BC +:040FC600C422FFE55D +:040FC700C606C22672 +:040FC8000FF7F79395 +:040FC90084AA4705AA +:040FCA006263842EAC +:040FCB0047090CF7CF +:040FCC0003634789EB +:040FCD00478100E573 +:040FCE000024971351 +:040FCF0000449593B2 +:040FD000079A8DD916 +:040FD1008DDD8DC560 +:040FD2000FF5F5938F +:040FD300F0EF456591 +:040FD40045419D9F57 +:040FD500989FF0EF02 +:040FD6007593478D3B +:040FD70014630F850B +:040FD800E59300F4A9 +:040FD9004541005539 +:040FDA009BFFF0EF9A +:040FDB00956347894A +:040FDC0047850AF447 +:040FDD000A87E2633A +:040FDE000520059352 +:040FDF0000F40463B3 +:040FE0000530059340 +:040FE100F0EF4539AF +:040FE20005139A1F3A +:040FE300C0EF3E809D +:040FE40045519ACF0A +:040FE500949FF0EFF6 +:040FE60001851793D7 +:040FE700741387E117 +:040FE800D5630FF5C9 +:040FE9008921020751 +:040FEA0075B7E929C5 +:040FEB0085930001E9 +:040FEC0077939D85D5 +:040FED00C7B902047A +:040FEE000001763751 +:040FEF009E46061301 +:040FF0000001753750 +:040FF1009E850513C1 +:040FF200C48FF0EFC9 +:040FF30001047793EB +:040FF4008811CF8908 +:040FF50075B7EC0DD3 +:040FF60085930001DE +:040FF70075379E05A7 +:040FF80005130001DC +:040FF900F0EFA04530 +:040FFA004581C2AFBC +:040FFB004789A8B1C9 +:040FFC0037B3F521F1 +:040FFD00078500B0B4 +:040FFE0075B7B7818B +:040FFF0085930001D5 +:04100000BF459D0546 +:04100100000176373D +:041002009E0606132D +:0410030075B7BF55A9 +:0410040085930001CF +:04100500B7E19E456C +:0410060005B0059399 +:04100700F0EF453988 +:041008000513909F9D +:04100900C0EF3E8076 +:04100A004551914F6C +:04100B008B1FF0EF58 +:04100C00CD0D890974 +:04100D000001753732 +:04100E00A2450513DF +:04100F00BD4FF0EFF2 +:0410100045C1478D02 +:04101100FAF413E3F7 +:04101200F0EF456155 +:0410130005138DDF55 +:0410140045810360AF +:041015008D3FF0EF2C +:0410160040B244227E +:041017006537449263 +:0410180005130001BB +:041019000141AB45A1 +:04101A00BA8FF06F2A +:04101B000001753724 +:04101C00A3450513D0 +:04101D001101B7E125 +:04101E00842ACC2232 +:04101F00C62E455143 +:04102000F0EFCE0619 +:04102100470985BF37 +:041022000FF57793BC +:04102300156345B25A +:04102400470502E496 +:0410250000E59C63E3 +:041026000905751330 +:04102700F7050513B1 +:041028000015351367 +:04102900446240F2EB +:04102A00808261055A +:04102B007513E591C3 +:04102C00051308851B +:04102D00B7EDF7859F +:04102E000017D513BF +:04102F00B7DD89059B +:04103000D4A271597C +:04103100D2A6645D82 +:04103200CECED0CA84 +:04103300CAD6CCD27B +:04103400C6DEC8DA72 +:04103500C2E6C4E269 +:04103600DE6EC0EAC0 +:0410370072440713E5 +:041038008D36D68695 +:0410390001E7468302 +:04103A0016234791A1 +:04103B0047A100F1D8 +:04103C0000D797B38F +:04103D0001F74683EE +:04103E000087E793AD +:04103F0000F1172382 +:04104000040007930E +:0410410000D797B38A +:0410420002074683D8 +:0410430000F118237D +:041044000217470345 +:0410450040000793CD +:0410460000D797B385 +:0410470000F1192378 +:0410480097B367896A +:041049001A2300E77F +:04104A00079300F117 +:04104B00CC3E00B1E6 +:04104C00879367DD42 +:04104D00CE3E7477A8 +:04104E00879367DD40 +:04104F00D03E748794 +:04105000879367DD3E +:04105100D23E749780 +:04105200879367DD3C +:04105300D43E74A76C +:0410540064E167DD0F +:0410550074B7879352 +:0410560009B7695D10 +:041057008D93040071 +:041058008AAA80C41C +:0410590005A38B2E32 +:04105A00D63E00017D +:04105B0004134A012F +:04105C0084937244C3 +:04105D004B9580C46B +:04105E0000016C37EA +:04105F00A489091344 +:0410600000017CB758 +:041061000409899362 +:04106200018A1513D7 +:04106300856147F567 +:0410640000FA146317 +:04106500AA85557D86 +:04106600017DC7033E +:04106700016DC783CD +:041068000CEBE16349 +:0410690000271593B4 +:04106A0001258633A3 +:04106B0086024210A7 +:04106C00F7B3C84EC0 +:04106D00CFC901A73F +:04106E0095BE181CF7 +:04106F00FE85A783D0 +:04107000D603181477 +:04107100C783018DA3 +:0410720007860007E6 +:04107300D78397B6D2 +:041074008FF1FDC734 +:041075004613CFB59A +:041076008A05FFF6F2 +:0410770007661A638B +:04107800010DD6038D +:04107900656306792C +:04107A0046050756CA +:04107B0000C4082382 +:04107C000004172332 +:04107D00000409233F +:04107E0000F41A233D +:04107F001000061344 +:041080001CC788639E +:0410810008F66963A1 +:041082008E634641F2 +:04108300606318C7C7 +:04108400461106F615 +:041085000CC78963A8 +:041086008963462113 +:04108700851310C7F6 +:04108800F0EFA60CD3 +:04108900A02D9EEF09 +:04108A00010DD80379 +:04108B0020D0061358 +:04108C00F8C811E3AC +:04108D00FFDDC88338 +:04108E004603480DC0 +:04108F009C6302E478 +:04109000E60101086C +:041091000EF7F793CC +:041092004809B7ADA5 +:04109300F70613E366 +:041094000DE90A0553 +:04109500E601BF159C +:041096000FB7F79306 +:041097004805BF99B0 +:0410980085D2B7F551 +:04109900E0CC05138F +:04109A009A8FF0EF4A +:04109B000613B7D5AC +:04109C008063040069 +:04109D00071314C75A +:04109E00866308005D +:04109F00071314E738 +:0410A0009EE30200C9 +:0410A1000793F8E7D2 +:0410A20016232010E1 +:0410A300479900F475 +:0410A40000F4082329 +:0410A5006605A88DA7 +:0410A60014C7846384 +:0410A70002F6646386 +:0410A80040000613EB +:0410A90012C789637E +:0410AA008007871321 +:0410AB0012070763BE +:0410AC002000071306 +:0410AD00F6E795E3EA +:0410AE002020079364 +:0410AF0000F4162310 +:0410B000B7F94795B0 +:0410B10080636711E0 +:0410B200672112E7B9 +:0410B30012E7866357 +:0410B40096E367094F +:0410B5004791F4E784 +:0410B60000F4162309 +:0410B7000780079314 +:0410B80000F4092314 +:0410B9001623A00D4D +:0410BA0077130004A4 +:0410BB0047850FD77F +:0410BC0000F71B63BB +:0410BD00031447834E +:0410BE004789C79502 +:0410BF0000F408230E +:0410C00007A34785B6 +:0410C10050B600F431 +:0410C20054965426C6 +:0410C30049F659068B +:0410C4004AD64A6658 +:0410C5004BB64B4695 +:0410C6004C964C26D2 +:0410C7005DF24D0683 +:0410C800808261655C +:0410C900072347852D +:0410CA00BFD900F496 +:0410CB00062347852C +:0410CC00478300F462 +:0410CD00EF9D03B4DC +:0410CE0007B347E934 +:0410CF0097A602FAE4 +:0410D00000E7D6035C +:0410D10057700793BA +:0410D20002C7E66308 +:0410D300FFF706130A +:0410D4000FF676138A +:0410D500EF634789F5 +:0410D60006A300C7A6 +:0410D700082300F4F6 +:0410D80012E300F42B +:0410D9004783FAF758 +:0410DA00EB9503147B +:0410DB00072347851B +:0410DC00BF5100F40C +:0410DD00FFE707938F +:0410DE00000406A361 +:0410DF000FD7F7939D +:0410E0004795D3FD60 +:0410E100F8F711E328 +:0410E20006B347E921 +:0410E300079302FA73 +:0410E40094B64AF084 +:0410E50000E4D70349 +:0410E600FCE7F7E349 +:0410E70006A3B7ADF8 +:0410E8004789000430 +:0410E9000793B5F5BF +:0410EA001623201099 +:0410EB00479100F435 +:0410EC004789B5C5B6 +:0410ED0000F41623D2 +:0410EE0016E3478D31 +:0410EF004783F4F748 +:0410F000D7CD031441 +:0410F1000793BFF9A9 +:0410F2001623102091 +:0410F300BF2500F421 +:0410F400202007931E +:0410F500478DBFD98B +:0410F6000793BFF1AC +:0410F700B7F12030FD +:0410F800203007930A +:0410F9000793BDE1BB +:0410FA001623204059 +:0410FB00479500F421 +:0410FC0000F40823D1 +:0410FD00B5ED47E125 +:0410FE0020400793F4 +:0410FF0000F41623C0 +:0411000008234799E0 +:0411010047D100F4DE +:041102000000BDE14B +:0411030000000713CE +:0411040000E6166388 +:0411050000000513CE +:0411060000008067FE +:0411070000E507B345 +:0411080000170713B2 +:0411090000E586B3C4 +:04110A000007C78390 +:04110B00FFF6C683A2 +:04110C00FED780E3A7 +:04110D0040D785330F +:04110E0000008067F6 +:04110F0000A5C7B3BD +:041110000037F7931A +:0411110000C50733DB +:0411120000079663D9 +:04111300003007930E +:0411140002C7E263C9 +:041115000005079337 +:041116000AE57C6307 +:041117000005C68386 +:0411180000178793A2 +:0411190000158593A5 +:04111A00FED78FA3CA +:04111B00FEE7E8E320 +:04111C0000008067E8 +:04111D000035769390 +:04111E00000507932E +:04111F0000068E63D5 +:041120000005C6837D +:041121000017879399 +:04112200001585939C +:04112300FED78FA3C1 +:041124000037F69307 +:04112500FE9FF06FCA +:04112600FFC77693F6 +:04112700FE06861327 +:0411280006C7F4639F +:041129000005A38397 +:04112A000045A28357 +:04112B000085AF8309 +:04112C0000C5AF0348 +:04112D000105AE8387 +:04112E000145AE03C6 +:04112F000185A30390 +:0411300001C5A883CA +:04113100024585935B +:041132000077A0237F +:04113300FFC5A80349 +:041134000057A2239B +:0411350001F7A423F7 +:0411360001E7A62304 +:0411370001D7A82311 +:0411380001C7AA231E +:041139000067AC237C +:04113A000117AE23C8 +:04113B00024787934D +:04113C00FF07AE23D8 +:04113D00FADFF06F76 +:04113E000005A603FF +:04113F00004787934B +:04114000004585934E +:04114100FEC7AE2314 +:04114200FED7E8E309 +:04114300F4E7E8E302 +:0411440000008067C0 +:04114500F60101139B +:0411460006912A23C1 +:0411470008F12A235E +:0411480006112E233B +:04114900000177B773 +:04114A0006812C23CB +:04114B0008D126237E +:04114C0008E128236B +:04114D0009012C2345 +:04114E0009112E2332 +:04114F006387A4838B +:041150000205D2635F +:0411510008B0079348 +:0411520000F4A023E2 +:04115300FFF0051391 +:0411540007C120832C +:0411550007812403E7 +:0411560007412483A6 +:041157000A01011375 +:0411580000008067AC +:041159002080079358 +:04115A0000F11A2363 +:04115B0000A12423A8 +:04115C0000A12C239F +:04115D0000000793F4 +:04115E0000058463A1 +:04115F00FFF587937E +:0411600000F128234F +:0411610000F12E2348 +:0411620008C1069327 +:04116300FFF00793FF +:0411640000058413EB +:0411650000048513EA +:04116600008105936C +:0411670000F11B2355 +:0411680000D122236D +:04116900518000EFC2 +:04116A00FFF00793F8 +:04116B0000F55663D2 +:04116C0008B007932D +:04116D0000F4A023C7 +:04116E00F8040CE392 +:04116F000081278351 +:0411700000078023D1 +:04117100F8DFF06F44 +:0411720000050793DA +:041173000017879347 +:04117400FFF7C703B7 +:04117500FE071CE372 +:0411760040A78533D6 +:04117700FFF5051368 +:04117800000080678C +:0411790000050793D3 +:04117A0000060E63FA +:04117B000015859343 +:04117C00FFF5C703B1 +:04117D00001787933D +:04117E00FFF606135F +:04117F00FEE78FA355 +:04118000FE0714E36F +:0411810000C78633EA +:0411820000C79463AB +:041183000000806781 +:041184000017879336 +:04118500FE078FA32F +:04118600FF1FF06FE8 +:0411870000B505B3F7 +:0411880000050793C4 +:0411890000B78663C2 +:04118A000007C70390 +:04118B0000071663E0 +:04118C0040A78533C0 +:04118D000000806777 +:04118E00001787932C +:04118F00FE9FF06F60 +:04119000F80101134E +:0411910006812C2384 +:0411920006112E23F1 +:0411930006912A2374 +:04119400000604133A +:041195000206526399 +:0411960008B0079303 +:0411970000F520231C +:04119800FFF005134C +:0411990007C12083E7 +:04119A0007812403A2 +:04119B000741248361 +:04119C000801011332 +:04119D000000806767 +:04119E0000068613AE +:04119F002080069313 +:0411A00000B1242353 +:0411A10000B12C234A +:0411A20000D11A233B +:0411A30000000593B0 +:0411A40000040463DC +:0411A500FFF40593BB +:0411A600FFF00793BC +:0411A70000B1282348 +:0411A80000B12E2341 +:0411A90000070693A2 +:0411AA000081059328 +:0411AB0000F11B2311 +:0411AC0000050493A3 +:0411AD00408000EF8F +:0411AE00FFF00793B4 +:0411AF0000F556638E +:0411B00008B00793E9 +:0411B10000F4A02383 +:0411B200F8040EE34C +:0411B300008127830D +:0411B400000780238D +:0411B500F91FF06FBF +:0411B600000177B706 +:0411B7000006871394 +:0411B8000006069394 +:0411B9000005861394 +:0411BA000005059394 +:0411BB006387A5039E +:0411BC00F51FF06FBC +:0411BD001005846332 +:0411BE00FFC5A7833F +:0411BF00FF01011318 +:0411C0000081242363 +:0411C10000112623D0 +:0411C2000091222353 +:0411C300FFC58413CD +:0411C4000007D463E9 +:0411C50000F40433FB +:0411C6000005049389 +:0411C70058D000EF0D +:0411C8000001773774 +:0411C9006A87278387 +:0411CA000007061301 +:0411CB000207926322 +:0411CC0000042223D6 +:0411CD006A872423E6 +:0411CE000081240375 +:0411CF0000C12083B8 +:0411D000000485137F +:0411D1000041248332 +:0411D2000101011303 +:0411D3005610006F43 +:0411D40002F4786346 +:0411D5000004268369 +:0411D60000D4073307 +:0411D70000E79A6330 +:0411D8000007A70362 +:0411D9000047A783A1 +:0411DA0000D7073300 +:0411DB0000E42023E9 +:0411DC0000F42223D6 +:0411DD006A862423D7 +:0411DE00FC1FF06F93 +:0411DF00000707936B +:0411E0000047A7031A +:0411E100000704639C +:0411E200FEE47AE3CA +:0411E3000007A683D8 +:0411E40000D7863377 +:0411E5000286186303 +:0411E60000042603D8 +:0411E70000C686B305 +:0411E80000D7A02369 +:0411E90000D7863372 +:0411EA00F8C718E347 +:0411EB0000072603D0 +:0411EC00004727038E +:0411ED0000D606B36F +:0411EE0000D7A02363 +:0411EF0000E7A22350 +:0411F000F79FF06F06 +:0411F10000C478635B +:0411F20000C007939F +:0411F30000F4A02341 +:0411F400F69FF06F03 +:0411F50000042603C9 +:0411F60000C406B378 +:0411F70000D71A63A0 +:0411F8000007268343 +:0411F9000047270381 +:0411FA0000C686B3F2 +:0411FB0000D42023D9 +:0411FC0000E42223C6 +:0411FD000087A223A2 +:0411FE00F41FF06F7B +:0411FF000000806705 +:04120000FE010113D7 +:0412010000912A230B +:04120200003584939C +:04120300FFC4F4939D +:0412040000112E2384 +:0412050000812C2315 +:041206000121282377 +:041207000131262368 +:041208000084849347 +:0412090000C0079387 +:04120A0006F4F8638B +:04120B0000C0049388 +:04120C0006B4E663DB +:04120D0000050913BC +:04120E00471000EF96 +:04120F00000177B7AC +:041210006A87A7033F +:041211006A878693CF +:0412120000070413BA +:0412130006041C634E +:04121400000174372A +:041215006AC4041390 +:041216000004278326 +:0412170000079A63CF +:04121800000005933A +:0412190000090513B0 +:04121A00375000EF5A +:04121B0000A42023E8 +:04121C0000048593B2 +:04121D0000090513AC +:04121E00365000EF57 +:04121F00FFF0099340 +:041220000B351A630D +:0412210000C007936F +:0412220000F920238C +:0412230000090513A6 +:0412240041D000EFC6 +:041225000100006F55 +:04122600F804DCE309 +:0412270000C0079369 +:0412280000F520238A +:0412290000000513A9 +:04122A0001C120835B +:04122B000181240316 +:04122C0001412483D5 +:04122D00010129038F +:04122E0000C129834F +:04122F0002010113A4 +:0412300000008067D3 +:04123100000427830B +:04123200409787B3A7 +:041233000407CE637B +:0412340000B00613ED +:0412350000F67A63E2 +:0412360000F420237D +:0412370000F4043388 +:0412380000942023DB +:041239000100006F41 +:04123A0000442783C2 +:04123B0002871A63A9 +:04123C0000F6A023F5 +:04123D00000905138C +:04123E003B5000EF32 +:04123F0000B40513DF +:0412400000440793CC +:04124100FF8575139D +:0412420040F5073339 +:04124300F8070EE3B7 +:0412440000E404338B +:0412450040A787B384 +:0412460000F420236D +:04124700F8DFF06F6D +:0412480000F7222366 +:04124900FD1FF06F26 +:04124A000004071382 +:04124B000044240334 +:04124C00F1DFF06F6F +:04124D000035041351 +:04124E00FFC4741352 +:04124F00FA8502E337 +:0412500040A405B3FE +:041251000009051378 +:04125200295000EF30 +:04125300F9351AE36C +:04125400F35FF06FE5 +:04125500FE01011382 +:041256000121282327 +:041257000085A90362 +:0412580000812C23C2 +:041259000141242308 +:04125A0001612023EB +:04125B0000112E232D +:04125C0000912A23B0 +:04125D000131262312 +:04125E0001512223F5 +:04125F0000058413EF +:0412600000060B1366 +:0412610000068A13E6 +:041262000D26EE6304 +:0412630000C5D78368 +:041264004807F7132D +:041265000C07066309 +:0412660000042483D9 +:041267000105A58355 +:041268000144270313 +:0412690000050A93DF +:04126A0040B489B350 +:04126B0000300493B8 +:04126C0002E484B361 +:04126D000020071343 +:04126E0002E4C4B31F +:04126F0000168713CB +:041270000137073308 +:0412710000E4F4633E +:0412720000070493DA +:041273004007F793A6 +:041274000C0784637C +:041275000004859359 +:04127600000A8513D2 +:04127700E25FF0EF53 +:041278000005091351 +:0412790004051263F3 +:04127A0000C0079316 +:04127B0000FAA023B2 +:04127C0000C45783D0 +:04127D00FFF0051366 +:04127E000407E793E7 +:04127F0000F416233E +:0412800001C1208305 +:0412810001812403C0 +:04128200014124837F +:041283000101290339 +:0412840000C12983F9 +:0412850000812A03B7 +:0412860000412A8376 +:0412870000012B0334 +:04128800020101134B +:04128900000080677A +:04128A0001042583B3 +:04128B0000098613BD +:04128C00A0DFF0EF00 +:04128D0000C45783BF +:04128E00B7F7F79324 +:04128F000807E793D2 +:0412900000F416232D +:0412910001242823E9 +:0412920000942A2377 +:0412930001390933E1 +:04129400413484B3AA +:0412950001242023ED +:041296000094242379 +:04129700000A09132D +:04129800012A746350 +:04129900000A09132B +:04129A000004250324 +:04129B00000906132D +:04129C00000B0593AB +:04129D001D9000EFB1 +:04129E00008427831E +:04129F000000051333 +:0412A000412787B3A8 +:0412A10000F424230E +:0412A200000427839A +:0412A3000127893363 +:0412A40001242023DE +:0412A500F6DFF06F11 +:0412A60000048613A7 +:0412A700000A8513A1 +:0412A800211000EF22 +:0412A9000005091320 +:0412AA00F8051EE342 +:0412AB000104258392 +:0412AC00000A85139C +:0412AD00C41FF0EF7B +:0412AE00F31FF06FCB +:0412AF0000C5D7831C +:0412B000F401011331 +:0412B1000A912A2351 +:0412B2000B212823C1 +:0412B3000B312623B2 +:0412B4000A112E23CA +:0412B5000A812C235B +:0412B6000B412423A1 +:0412B7000B51222392 +:0412B8000B61202383 +:0412B90009712E2366 +:0412BA0009812C2357 +:0412BB0009912A2348 +:0412BC0009A1282339 +:0412BD0009B126232A +:0412BE000807F79393 +:0412BF00000509938A +:0412C0000005891389 +:0412C100000604938C +:0412C20006078C632C +:0412C3000105A783F7 +:0412C400060798631E +:0412C5000400059389 +:0412C60000D126230A +:0412C700CE5FF0EF17 +:0412C80000A9202336 +:0412C90000A928232D +:0412CA0000C12683B6 +:0412CB00040516639D +:0412CC0000C00793C4 +:0412CD0000F9A02361 +:0412CE00FFF0051315 +:0412CF000BC12083AC +:0412D0000B81240367 +:0412D1000B41248326 +:0412D2000B012903E0 +:0412D3000AC12983A0 +:0412D4000A812A035E +:0412D5000A412A831D +:0412D6000A012B03DB +:0412D70009C12B839B +:0412D80009812C0359 +:0412D90009412C8318 +:0412DA0009012D03D6 +:0412DB0008C12D8396 +:0412DC000C010113ED +:0412DD000000806726 +:0412DE00040007936E +:0412DF0000F92A23C5 +:0412E000020007936E +:0412E10002F10CA367 +:0412E20000000AB747 +:0412E300030007936A +:0412E40002012A23B6 +:0412E50002F10D23E2 +:0412E60000D12E23E2 +:0412E70002500C9312 +:0412E80000017B374F +:0412E90000017BB7CE +:0412EA0000017D374B +:0412EB0000015C376B +:0412EC00000A8A93D7 +:0412ED000004841362 +:0412EE00000447832E +:0412EF00000784630D +:0412F0000D979C6357 +:0412F10040940DB365 +:0412F200020D866300 +:0412F300000D8693D1 +:0412F4000004861359 +:0412F5000009059354 +:0412F6000009851353 +:0412F700D79FF0EF9E +:0412F800FFF0079369 +:0412F90024F506636F +:0412FA000341268303 +:0412FB0001B686B3FF +:0412FC0002D12A23CE +:0412FD00000447831F +:0412FE0022078C63D4 +:0412FF00FFF0079362 +:04130000001404933E +:0413010002012023A2 +:04130200020126239B +:0413030002F12223AE +:04130400020124239B +:04130500060101A339 +:0413060006012C238D +:0413070000100D9332 +:041308000004C58395 +:041309000050061377 +:04130A00D90B0513E3 +:04130B007FC000EFB0 +:04130C000014841332 +:04130D00020127832F +:04130E000605146359 +:04130F000107F713C8 +:041310000007066369 +:0413110002000713BC +:0413120006E101A34C +:041313000087F71345 +:041314000007066365 +:0413150002B0071308 +:0413160006E101A348 +:041317000004C68385 +:0413180002A0071315 +:0413190004E68C63F7 +:04131A0002C1278362 +:04131B000004841333 +:04131C000000069334 +:04131D000090061323 +:04131E0000A0051313 +:04131F00000447037C +:04132000001405931D +:04132100FD070713AA +:0413220008E67C63FA +:0413230004068463D5 +:0413240002F1262389 +:041325000400006F51 +:041326000014041398 +:04132700F1DFF06F93 +:04132800D90B0713C3 +:0413290040E5053363 +:04132A0000AD95334A +:04132B0000A7E7B37D +:04132C0002F1202387 +:04132D000004049321 +:04132E00F69FF06FC7 +:04132F0001C12703CE +:0413300000470693D9 +:041331000007270387 +:0413320000D12E2395 +:041333000407406308 +:0413340002E1262389 +:041335000004470366 +:0413360002E0079337 +:0413370008F716633A +:041338000014470353 +:0413390002A0079374 +:04133A0004F71A6337 +:04133B0001C1278342 +:04133C000024041372 +:04133D0000478713CB +:04133E000007A7837A +:04133F0000E12E2378 +:041340000207CA6373 +:0413410002F1222370 +:041342000600006F32 +:0413430040E007334C +:041344000027E79304 +:0413450002E1262378 +:0413460002F120236D +:04134700FB9FF06FA9 +:0413480002A787B3BE +:0413490000100693F7 +:04134A000005841303 +:04134B0000E787B37D +:04134C00F4DFF06F6B +:04134D00FFF0079313 +:04134E00FCDFF06F61 +:04134F00001404136F +:041350000201222351 +:0413510000000693FF +:0413520000000793FD +:0413530000900613ED +:0413540000A00513DD +:041355000004470346 +:0413560000140593E7 +:04135700FD07071374 +:0413580008E67263CE +:04135900FA0690E31D +:04135A0000044583C3 +:04135B000030061345 +:04135C00D98B851391 +:04135D006B4000EFF2 +:04135E00020502631F +:04135F00D98B87930C +:0413600040F505331C +:0413610004000793EA +:0413620000A797B396 +:04136300020125035B +:04136400001404135A +:0413650000F56533F7 +:0413660002A120239D +:0413670000044583B6 +:041368000060061308 +:04136900D9CD0513C2 +:04136A0000140493D4 +:04136B0002B10C239C +:04136C00678000EFA7 +:04136D0008050A6302 +:04136E00040A986372 +:04136F00020127034D +:0413700001C127830D +:0413710010077713D7 +:041372000207086303 +:041373000047879315 +:0413740000F12E2333 +:041375000341278386 +:04137600014787B3F1 +:0413770002F12A2332 +:04137800DD5FF06FD6 +:0413790002A787B38D +:04137A0000100693C6 +:04137B0000058413D2 +:04137C0000E787B34C +:04137D00F61FF06FF8 +:04137E0000778793DA +:04137F00FF87F7935A +:0413800000878793C8 +:04138100FCDFF06F2E +:0413820001C107138B +:04138300954C0693EC +:041384000009061343 +:0413850002010593C9 +:0413860000098513C2 +:0413870000000097CB +:04138800000000E77A +:04138900FFF00793D7 +:04138A0000050A133D +:04138B00FAF514E378 +:04138C0000C95783BA +:04138D00FFF0051355 +:04138E000407F793C6 +:04138F00D00790E310 +:0413900003412503ED +:04139100CF9FF06F8B +:0413920001C107137B +:04139300954C0693DC +:041394000009061333 +:0413950002010593B9 +:0413960000098513B2 +:041397001B8000EFC8 +:04139800FC5FF06F97 +:04139900FD0101133E +:04139A0001512A23B0 +:04139B000105A7831E +:04139C0000070A93A9 +:04139D000085A7031D +:04139E000281242381 +:04139F000291222372 +:0413A00001312E23C6 +:0413A10001412C23B7 +:0413A20002112623EB +:0413A30003212023DF +:0413A4000161282398 +:0413A5000171262389 +:0413A60000050993A2 +:0413A70000058413A6 +:0413A80000060493A4 +:0413A90000068A139D +:0413AA0000E7D46321 +:0413AB00000707939D +:0413AC0000F4A02386 +:0413AD0004344703BA +:0413AE0000070663CB +:0413AF000017879309 +:0413B00000F4A02382 +:0413B100000427838A +:0413B2000207F793A4 +:0413B3000007886344 +:0413B4000004A78307 +:0413B50000278793F3 +:0413B60000F4A0237C +:0413B7000004290302 +:0413B800006979133C +:0413B90000091E63A6 +:0413BA0001940B137C +:0413BB00FFF00B93A1 +:0413BC0000C42783BF +:0413BD000004A7037E +:0413BE0040E787B3CA +:0413BF0008F9426384 +:0413C000000427837B +:0413C1000434468327 +:0413C2000207F79394 +:0413C30000D036B36D +:0413C4000C0790631F +:0413C50004340613D3 +:0413C600000A059381 +:0413C7000009851381 +:0413C800000A80E7B0 +:0413C900FFF0079397 +:0413CA0006F50863B9 +:0413CB000004278370 +:0413CC0000400613C4 +:0413CD000004A7036E +:0413CE000067F7932A +:0413CF0000C42683AD +:0413D0000000049382 +:0413D10000C7986356 +:0413D20040E684B3BA +:0413D3000004D463DB +:0413D400000004937E +:0413D50000842783E6 +:0413D60001042703E4 +:0413D70000F7566362 +:0413D80040E787B3B0 +:0413D90000F484B3E5 +:0413DA0000000913F3 +:0413DB0001A4041352 +:0413DC00FFF00B1300 +:0413DD0009249063EC +:0413DE0000000513F3 +:0413DF000200006F99 +:0413E0000010069360 +:0413E100000B0613E4 +:0413E200000A059365 +:0413E3000009851365 +:0413E400000A80E794 +:0413E50003751A630F +:0413E600FFF00513FC +:0413E70002C120839C +:0413E8000281240357 +:0413E9000241248316 +:0413EA0002012903D0 +:0413EB0001C1298390 +:0413EC0001812A034E +:0413ED0001412A830D +:0413EE0001012B03CB +:0413EF0000C12B838B +:0413F00003010113E1 +:0413F1000000806711 +:0413F20000190913C2 +:0413F300F25FF06F46 +:0413F40000D40733E7 +:0413F50003000613D8 +:0413F60004C701A384 +:0413F7000454470350 +:0413F80000168793C1 +:0413F90000F407B342 +:0413FA0000268693B0 +:0413FB0004E781A3DF +:0413FC00F25FF06F3D +:0413FD000010069343 +:0413FE0000040613CE +:0413FF00000A059348 +:041400000009851347 +:04140100000A80E776 +:04140200F96508E39D +:0414030000190913B0 +:04140400F65FF06F30 +:04140500FD010113D1 +:041406000281242318 +:041407000291222309 +:041408000321202379 +:0414090001312E235C +:04140A000211262382 +:04140B0001412C234C +:04140C0001512A233D +:04140D00016128232E +:04140E0000068993B8 +:04140F000185C6830A +:0414100006E0079358 +:0414110000060913B5 +:04141200000504933A +:041413000005841339 +:041414000435861302 +:0414150028F68663CC +:0414160006D7EA63A8 +:041417000630079301 +:041418000AF68E63DF +:0414190000D7EE63A7 +:04141A002A068A63B1 +:04141B0005800793AE +:04141C001EF68A63CB +:04141D0004240A9306 +:04141E0004D40123CE +:04141F000B80006FCF +:0414200006400793E8 +:0414210000F68663E8 +:041422000690079396 +:04142300FEF694E35A +:041424000004278316 +:041425000007250394 +:041426000807F6932A +:0414270000450593E4 +:0414280008068E63C1 +:041429000005278310 +:04142A0000B72023C4 +:04142B00000176B78F +:04142C000007D8637A +:04142D0002D00713CF +:04142E0040F007B3D0 +:04142F0004E401A32D +:04143000DA4686937F +:0414310000A00713FD +:041432000D80006FBA +:0414330007300793E4 +:0414340024F68C63AB +:0414350002D7E26395 +:0414360006F0079322 +:0414370006F68E63C4 +:04143800070007930F +:04143900F8F698E346 +:04143A000005A7837F +:04143B000207E7932A +:04143C0000F5A023F4 +:04143D000140006FFB +:04143E0007500793B9 +:04143F0004F68E63BE +:041440000780079387 +:04144100F6F698E340 +:041442000780079385 +:04144300000176B777 +:0414440004F402A307 +:04144500DB86869329 +:041446001580006F9E +:0414470000072783F0 +:0414480004258A935A +:04144900004786933F +:04144A000007A7836D +:04144B0000D7202383 +:04144C0004F58123FF +:04144D0000100793F1 +:04144E002200006F09 +:04144F000407F69305 +:0414500000052783E9 +:0414510000B720239D +:04145200F60682E335 +:041453000107979363 +:041454004107D793E2 +:04145500F59FF06FA0 +:0414560000042583E6 +:0414570000072783E0 +:041458000805F81378 +:0414590000478513B0 +:04145A00000808631B +:04145B0000A72023A3 +:04145C000007A7835B +:04145D000140006FDB +:04145E000405F593F9 +:04145F0000A720239F +:04146000FE0588E31A +:041461000007D78326 +:0414620006F00593F8 +:0414630000017737D6 +:0414640012B68E63CB +:04146500DA470693C9 +:0414660000A00713C8 +:04146700040401A3D5 +:041468000044258394 +:0414690000B4242384 +:04146A000005C8634E +:04146B000004250351 +:04146C00FFB5751340 +:04146D0000A4202394 +:04146E00000796637A +:04146F0000060A93D6 +:04147000020582638C +:0414710000060A93D4 +:0414720002E7F5B3E5 +:04147300FFFA8A935F +:0414740000B685B386 +:041475000005C58326 +:0414760000BA802315 +:0414770002E7D5B300 +:041478000EE7FC631C +:041479000080079355 +:04147A0002F71463FE +:04147B0000042783BF +:04147C000017F793CB +:04147D0000078E6373 +:04147E0000442703FC +:04147F0001042783BA +:0414800000E7C86356 +:0414810003000793CA +:04148200FEFA8FA33C +:04148300FFFA8A934F +:041484004156063394 +:0414850000C4282354 +:0414860000098713BF +:0414870000090693BF +:0414880000C1061386 +:0414890000040593C3 +:04148A0000048513C2 +:04148B00C39FF0EF1C +:04148C00FFF00A1350 +:04148D001345186388 +:04148E00FFF0051353 +:04148F0002C12083F3 +:0414900002812403AE +:04149100024124836D +:041492000201290327 +:0414930001C12983E7 +:0414940001812A03A5 +:0414950001412A8364 +:0414960001012B0322 +:041497000301011339 +:041498000000806769 +:0414990004D582A351 +:04149A00000176B720 +:04149B00DA46869314 +:04149C0000042583A0 +:04149D00000725031C +:04149E000805F81332 +:04149F00000527839A +:0414A00000450513EB +:0414A10002080863D2 +:0414A20000A720235C +:0414A3000015F71326 +:0414A40000070663D4 +:0414A5000205E593C4 +:0414A60000B420234B +:0414A7000100071326 +:0414A800EE079EE3CA +:0414A9000004258393 +:0414AA00FDF5F593C4 +:0414AB0000B4202346 +:0414AC00EEDFF06F10 +:0414AD000405F81327 +:0414AE0000A7202350 +:0414AF00FC0808E34A +:0414B0000107979306 +:0414B1000107D793C5 +:0414B200FC5FF06F7C +:0414B300DA4706937B +:0414B400008007139A +:0414B500EC9FF06F49 +:0414B6000005879313 +:0414B700EEDFF06F05 +:0414B8000005A68302 +:0414B900000727837E +:0414BA000145A583C0 +:0414BB000806F81314 +:0414BC00004785134D +:0414BD0000080A63B6 +:0414BE0000A7202340 +:0414BF000007A783F8 +:0414C00000B7A023AE +:0414C1000180006F37 +:0414C20000A720233C +:0414C3000406F69392 +:0414C4000007A783F3 +:0414C500FE0686E3B6 +:0414C60000B79023B8 +:0414C70000042823D2 +:0414C80000060A937D +:0414C900EF5FF06F72 +:0414CA00000727836D +:0414CB000045A6032F +:0414CC000000059384 +:0414CD0000478693BB +:0414CE0000D7202300 +:0414CF000007AA83E5 +:0414D000000A851376 +:0414D1000E4000EFDA +:0414D20000050663A8 +:0414D3004155053347 +:0414D40000A422232B +:0414D5000044278325 +:0414D60000F42823D3 +:0414D700040401A365 +:0414D800EB9FF06F27 +:0414D9000104268361 +:0414DA00000A86136B +:0414DB00000905936C +:0414DC000004851370 +:0414DD00000980E79B +:0414DE00ED4500E3F5 +:0414DF00000427835B +:0414E0000027F79357 +:0414E1000407946305 +:0414E20000C127839B +:0414E30000C4250319 +:0414E400EAF556E3EC +:0414E5000007851364 +:0414E600EA5FF06F5A +:0414E7000010069358 +:0414E800000A86135D +:0414E900000905935E +:0414EA000004851362 +:0414EB00000980E78D +:0414EC00E96504E3C7 +:0414ED00001A0A13C4 +:0414EE0000C427838C +:0414EF0000C127030E +:0414F00040E787B397 +:0414F100FCFA4CE3D2 +:0414F200FC1FF06F7C +:0414F30000000A13D8 +:0414F40001940A93C2 +:0414F500FFF00B13E6 +:0414F600FE1FF06F76 +:0414F700FF010113DD +:0414F8000081242328 +:0414F9000091222319 +:0414FA000001843732 +:0414FB000005049351 +:0414FC00000585134F +:0414FD000011262391 +:0414FE00B0042023F3 +:0414FF00168000EF64 +:04150000FFF007935E +:0415010000F5186376 +:04150200B004278387 +:0415030000078463F6 +:0415040000F4A0232C +:0415050000C120837E +:041506000081240339 +:0415070000412483F8 +:0415080001010113C9 +:0415090000008067F7 +:04150A000FF5F59351 +:04150B0000C50633DE +:04150C0000C516639D +:04150D0000000513C2 +:04150E0000008067F2 +:04150F000005478309 +:04151000FEB78CE3B3 +:0415110000150513A9 +:04151200FE9FF06FD9 +:0415130004A5FA63CE +:0415140000C586B3D5 +:0415150004D5766320 +:04151600FFF6459304 +:041517000000079336 +:04151800FFF78793BF +:0415190000F59463E2 +:04151A0000008067E6 +:04151B0000F687331C +:04151C000007480379 +:04151D0000C7873349 +:04151E0000E50733AA +:04151F00010700239D +:04152000FE1FF06F4B +:0415210000F5873317 +:0415220000074683F5 +:0415230000F5073395 +:041524000017879392 +:0415250000D70023C8 +:04152600FEF616E3D4 +:0415270000008067D9 +:041528000000079325 +:04152900FF5FF06F01 +:04152A0000008067D6 +:04152B0000008067D5 +:04152C0000059663BD +:04152D00000605931C +:04152E00B48FF06F17 +:04152F00FE010113A5 +:0415300000812C23E7 +:0415310000112E2354 +:0415320000912A23D7 +:041533000121282347 +:041534000131262338 +:041535000006041395 +:041536000206166330 +:04153700A18FF0EFA1 +:041538000000091393 +:0415390001C1208349 +:04153A000181240304 +:04153B00000905138B +:04153C0001412483C2 +:04153D00010129037C +:04153E0000C129833C +:04153F000201011391 +:0415400000008067C0 +:04154100000584938A +:041542000005099304 +:0415430003C000EFF2 +:041544000004891303 +:04154500FC8578E3C6 +:041546000004059305 +:0415470000098513FF +:04154800AE0FF0EF03 +:04154900000509137D +:04154A00FA050EE3AD +:04154B000004859380 +:04154C00000406137E +:04154D00F09FE0EF3C +:04154E00000485937D +:04154F0000098513F7 +:041550009B4FF0EFCE +:04155100FA1FF06F1E +:04155200FFC5A783A7 +:04155300FFC7851336 +:041554000007D86351 +:0415550000A585B3B5 +:041556000005A78362 +:0415570000F5053363 +:0415580000008067A8 +:04155900FF0101137A +:04155A0000812423C5 +:04155B0000017437E0 +:04155C006B04278372 +:04155D000121202325 +:04155E00001126232F +:04155F0000912223B2 +:041560000005091366 +:041561006B04041300 +:0415620004079A637D +:04156300000005136C +:0415640000000593EB +:041565000000061369 +:0415660000000693E8 +:041567000000071366 +:041568000D60089377 +:04156900000000730B +:04156A0000050493E1 +:04156B0002055663BC +:04156C00084000EF44 +:04156D00409004B3F3 +:04156E0000952023A1 +:04156F00FFF0051371 +:0415700000C1208313 +:0415710000812403CE +:04157200004124838D +:041573000001290347 +:04157400010101135D +:04157500000080678B +:0415760000A420238A +:041577000004250344 +:0415780000000593D7 +:041579000000061355 +:04157A0000A905338C +:04157B0000000693D3 +:04157C000000071351 +:04157D0000000793D0 +:04157E000D60089361 +:04157F0000000073F5 +:0415800000050493CB +:0415810000055A63A4 +:04158200409004B3DE +:04158300028000EFF3 +:04158400009520238B +:04158500FFF00493DC +:0415860000042783B3 +:04158700FFF0051359 +:0415880000F909332A +:04158900F9249EE3C0 +:04158A000094202386 +:04158B0000078513BD +:04158C00F91FF06FE4 +:04158D00000177B72B +:04158E006387A503C7 +:04158F000000806771 +:041590000000000057 +:041591000000000056 +:041592000000000055 +:041593000000000054 +:041594000001051E2F +:041595000001052428 +:041596000001052A21 +:04159700000105301A +:04159800000104D278 +:04159900000104F257 +:04159A00000104F256 +:04159B00000104F255 +:04159C00000104F254 +:04159D00000104F253 +:04159E00000104F252 +:04159F00000104F64D +:0415A000000104FC46 +:0415A1000073655915 +:0415A20000006F4E88 +:0415A300494D444822 +:0415A400535F5854E5 +:0415A50056417465D2 +:0415A600666E4949DB +:0415A7006172466FB8 +:0415A800203A656D13 +:0415A9003D4349561F +:0415AA00202C642568 +:0415AB0065707341B3 +:0415AC00522D7463E5 +:0415AD006F6974618D +:0415AE002C73253D38 +:0415AF005554492026 +:0415B0003D3930375A +:0415B100202C732552 +:0415B2003D43544918 +:0415B300202C732550 +:0415B400657869707D +:0415B5007065726C7F +:0415B6000A75253D50 +:0415B7000000000030 +:0415B80041746553C2 +:0415B9006E494956D8 +:0415BA0029286F6607 +:0415BB000000203AD2 +:0415BC0049746553B6 +:0415BD007475706E63 +:0415BE0065646F4DA4 +:0415BF003230252879 +:0415C00030252C584E +:0415C1000A29583269 +:0415C2000000000025 +:0415C3004B4C4350FA +:0415C40076694420E0 +:0415C500646564698C +:0415C6002079622006 +:0415C7006F6D2032F2 +:0415C800000A65644C +:0415C90052494343FD +:0415CA00203635365C +:0415CB0065646F6D77 +:0415CC000000000A11 +:0415CD00636E79537D +:0415CE00626D4520E5 +:0415CF006564646586 +:0415D0006F6D2064B7 +:0415D100000A656443 +:0415D20075706E4979 +:0415D30044442074F8 +:0415D4006F6D2052C5 +:0415D500000A65643F +:0415D60075706E4975 +:0415D7006F6D2074A0 +:0415D80069206564BD +:0415D90047522073E2 +:0415DA000A3432425B +:0415DB00000000000C +:0415DC007074754F63 +:0415DD006D20747594 +:0415DE002065646FB1 +:0415DF0059207369B3 +:0415E00034345655F4 +:0415E10000000A34C8 +:0415E2007074754F5D +:0415E3006D2074758E +:0415E4002065646FAB +:0415E50059207369AD +:0415E60032345655F0 +:0415E70000000A32C4 +:0415E8007074754F57 +:0415E9006D20747588 +:0415EA002065646FA5 +:0415EB0052207369AE +:0415EC00343242470C +:0415ED000000000AF0 +:0415EE002043534300 +:0415EF004752203D02 +:0415F00055593242D5 +:0415F10078252056E3 +:0415F20000000020D5 +:0415F30037555449CB +:0415F4003120393039 +:0415F50033322D362A +:0415F600000020359C +:0415F70037555449C7 +:0415F8003020393036 +:0415F9003535322D25 +:0415FA0000000020CD +:0415FB0036555449C4 +:0415FC003120313039 +:0415FD0033322D3622 +:0415FE000000203594 +:0415FF0036555449C0 +:041600003020313035 +:041601003535322D1C +:0416020000000020C4 +:041603007574655342 +:0416040045464170A6 +:04160500000A292886 +:041606005B67655267 +:041607005832302500 +:04160800203D205D04 +:0416090058323025FE +:04160A000000000AD2 +:04160B0073616C4655 +:04160C006973206876 +:04160D006920657A71 +:04160E007962206E6F +:04160F003A73657451 +:04161000756C2520B0 +:041611006365530AB0 +:0416120020726F745F +:04161300657A697318 +:041614006C25203AE7 +:0416150025282075EF +:041616007020756C5F +:04161700736567612F +:0416180061500A29EA +:04161900732065676E +:04161A003A657A694A +:04161B00756C2520A5 +:04161C000000000AC0 +:04161D00736172453E +:04161E0020676E696A +:04161F007463657318 +:041620002520726FA0 +:0416210000000A7546 +:0416220073616C463E +:041623007265206864 +:041624002065736169 +:041625006F72726509 +:0416260073202C728F +:041627006F74636514 +:041628007525207292 +:041629007465520A88 +:04162A00206C617659 +:04162B00000A642528 +:04162C0073616C4634 +:04162D007277206848 +:04162E002065746956 +:04162F006F727265FF +:0416300070202C7288 +:041631002065676168 +:04163200520A7525BE +:041633006176746503 +:041634006425206C9D +:041635000000000AA7 +:041636000001241A71 +:0416370000012594F5 +:0416380000012598F0 +:0416390000012598EF +:04163A0000012594F2 +:04163B000001259CE9 +:04163C000001241A6B +:04163D0000012594EF +:04163E0000012598EA +:04163F004B4C43507D +:0416400074756F5FEF +:041641006C25203ABA +:041642000A7A487563 +:0416430000000000A3 +:041644003A5354437E +:04164500756C25207B +:041646000000000A96 +:0416470000015C88BA +:0416480000015C98A9 +:0416490000015CA49C +:04164A0000015CB08F +:04164B0000015CBC82 +:04164C0000015CC875 +:04164D0000015CD468 +:04164E0000015CE05B +:04164F0000015CEC4E +:0416500000015CF841 +:0416510000015D0433 +:04165200636E7953F7 +:04165300207075206E +:0416540025206E6976 +:041655002E2E2E64A3 +:041656000000000A86 +:04165700636E7953F2 +:04165800776F642024 +:041659006E69206E28 +:04165A002E642520B5 +:04165B00000A2E2E25 +:04165C006C746F74C7 +:04165D0073656E69DA +:04165E006C25203A9D +:04165F006328207567 +:041660002029727556 +:041661006C25202FA5 +:041662007028207557 +:04166300297665720D +:041664006C63202C67 +:04166500746E636BD1 +:041666006C25203A95 +:04166700632820755F +:04166800202972754E +:041669006C25202F9D +:04166A00702820754F +:04166B002976657205 +:04166C006F74202E49 +:04166D006E696C74C2 +:04166E00745F7365CD +:04166F00203A707637 +:04167000202C752590 +:041671003A4D535645 +:041672000A752520B0 +:041673000000000073 +:041674006F727245DA +:0416750063203A7242 +:04167600646C756FBC +:04167700746F6E20FE +:041678006165722016 +:041679007266206411 +:04167A0054206D6F1C +:04167B003337534866 +:04167C00000A3335F8 +:04167D006F727245D1 +:04167E0063203A7239 +:04167F00646C756FB3 +:04168000746F6E20F5 +:04168100616572200D +:041682007266206408 +:0416830054206D6F13 +:041684003037505655 +:04168500000A3230F5 +:041686006F727245C8 +:0416870063203A7230 +:04168800646C756FAA +:04168900746F6E20EC +:04168A006165722004 +:04168B0072662064FF +:04168C0049206D6F15 +:04168D003136365468 +:04168E0000000A331B +:04168F00314D435046 +:041690002032363896 +:041691006E756F669D +:0416920000000A64E6 +:041693006F727245BB +:0416940069203A721D +:04169500726F636E9F +:0416960074636572A2 +:04169700616C6620FC +:0416980074206873DF +:0416990020657079DF +:04169A0065746564AA +:04169B0064657463AB +:04169C000000000A40 +:04169D0076616E55AF +:04169E00616C6961B1 +:04169F0000656C6214 +:0416A00020232323BD +:0416A100205949443F +:0416A200454449561C +:0416A3004944204F47 +:0416A4004954494715 +:0416A5002052455A30 +:0416A6004353202F5B +:0416A7004F434E411E +:0416A8005245564E03 +:0416A9002052455432 +:0416AA0054494E4908 +:0416AB00204B4F2061 +:0416AC000A232323C7 +:0416AD000000000A2F +:0416AE004353534F00 +:0416AF00776620201A +:0416B0007525202E4E +:0416B100322E252E82 +:0416B200000061755E +:0416B30074696E499F +:0416B40072726520C9 +:0416B5002020726F10 +:0416B60000006425A7 +:0416B70020232323A6 +:0416B80054495753E7 +:0416B9004D20484335 +:0416BA002045444F34 +:0416BB0025204F5443 +:0416BC002323207351 +:0416BD0000000A23FC +:0416BE0020202020A8 +:0416BF0053204F4E17 +:0416C00000434E593C +:0416C1007474657365 +:0416C20020676E69C6 +:0416C3002043544923 +:0416C40025206F74FA +:0416C50000000A64B3 +:0416C600636E795383 +:0416C7000A70752010 +:0416C800000000001E +:0416C900636E795380 +:0416CA00736F6C20AE +:0416CB0000000A749D +:0416CC0065646F4D95 +:0416CD0061686320CD +:0416CE000A65676ED4 +:0416CF000000000017 +:0416D0006F666E498A +:0416D10061686320C9 +:0416D2000A65676ED0 +:0416D3000000000013 +:0416D40044202A2A5A +:0416D50047554245EE +:0416D6004955422010 +:0416D7002A20444C35 +:0416D800000000000E +:0416D9006E694C0AE0 +:0416DA00203A7365DA +:0416DB00252075252C +:0416DC0000000A639D +:0416DD00636F6C4388 +:0416DE007020736B9A +:0416DF006C207265A4 +:0416E0003A656E6990 +:0416E100207525202B +:0416E2005348203A0F +:0416E3002E7525201B +:0416E40075332E2507 +:0416E5007A486B20B4 +:0416E6005356202017 +:0416E7002E75252017 +:0416E80075322E2504 +:0416E9000A7A482011 +:0416EA0000000000FC +:0416EB006977734860 +:0416EC003A68746480 +:0416ED00207525201F +:0416EE007773562098 +:0416EF00687464694E +:0416F0007525203A02 +:0416F100614D202007 +:0416F200766F72633A +:0416F3006F6973693F +:0416F40025203A6E05 +:0416F50000000A7572 +:0416F6002520732513 +:0416F70000632575F2 +:0416F800252E752501 +:0416F9006B75322EAD +:0416FA0025207A48E5 +:0416FB002E252E75F5 +:0416FC007A48753281 +:0416FD0000000000E9 +:0416FE006F72724550 +:0416FF006E203A72AD +:041700007573206F6E +:041701006261746944 +:041702006D20656C85 +:041703002065646F8A +:041704006E756F6629 +:0417050064202C64CC +:04170600756166653E +:041707006E69746C27 +:041708006F74206773 +:041709003034322026 +:04170A0000000A7061 +:04170B0065646F4D55 +:04170C002073252001 +:04170D00656C65732F +:04170E006465746337 +:04170F0068202D2001 +:04171000636E797318 +:041711006469772070 +:04171200203A68749D +:041713007870752550 +:041714000000000AC7 +:041715004B4C4350A6 +:041716003A6E695F5F +:04171700756C2520A8 +:04171800000A7A4801 +:041719006F625F6834 +:04171A00726564721E +:04171B007525203AD6 +:04171C005F68202CB6 +:04171D005F74706F16 +:04171E00726174730D +:04171F0066666F7417 +:0417200025203A73D3 +:0417210000000A7545 +:041722007473655423 +:04172300746170205D +:041724006E72657408 +:0417250000000000C0 +:041726003A315641BD +:0417270042475220C3 +:04172800000000536A +:041729003A315641BA +:04172A00734752208F +:04172B000000004278 +:04172C003A315641B7 +:04172D00625059208D +:04172E0000007250F5 +:04172F003A325641B3 +:04173000625059208A +:0417310000007250F2 +:041732003A325641B0 +:041733007347522086 +:04173400000000426F +:041735003A335641AC +:0417360042475220B4 +:041737000000564810 +:041738003A335641A9 +:0417390042475220B1 +:04173A000000005358 +:04173B003A335641A6 +:04173C00734752207D +:04173D000000004266 +:04173E003A335641A3 +:04173F00625059207B +:0417400000007250E3 +:041741007473614C10 +:041742006573752036 +:04174300000000643E +:0417440000000000A1 +:0417450000000000A0 +:04174600000000019E +:04174700000101019B +:04174800000000019C +:04174900000000009C +:04174A00000001108A +:04174B000044060B45 +:04174C000000010098 +:04174D000001000097 +:04174E008080800C0B +:04174F00081A1A1A40 +:041750000000000A8B +:0417510000012C4225 +:041752000001294A1F +:041753000001295216 +:041754000001289ACE +:041755000001294E18 +:04175600000129560F +:04175700000129461E +:0417580000012982E1 +:041759000001295A08 +:04175A000001295E03 +:04175B0000012962FE +:04175C0000012982DD +:04175D0000012982DC +:04175E0000012982DB +:04175F0000012982DA +:0417600000012982D9 +:0417610000012982D8 +:041762000001298CCD +:0417630000012A1E39 +:0417640000012A2E28 +:0417650000012A480D +:0417660000012A86CE +:0417670000012A6AE9 +:0417680000012A9CB6 +:0417690000012B88C8 +:04176A0000012BAAA5 +:04176B0000012BC08E +:04176C00444F435251 +:04176D0030203A45A9 +:04176E00342E257878 +:04176F00202C786C46 +:04177000000A6425E2 +:04177100444F43425C +:0417720030203A45A4 +:04177300322E257875 +:04177400000A786C83 +:04177500666F7250D9 +:041776002075252E87 +:04177700007339259D +:04177800756C342533 +:04177900632563255C +:04177A006C2520209A +:04177B0000000075F5 +:04177C00656E694CE1 +:04177D00746C756DA6 +:04177E00646F6D2007 +:04177F0000003A65C7 +:0417800073657270AB +:041781002D31207373 +:04178200000000352E +:041783004E5241572A +:041784003A474E4949 +:04178500726F43201C +:041786007470757294 +:041787006D20646508 +:041788002065646F05 +:041789002064692847 +:04178A000A2964259F +:04178B00000000005A +:04178C002078752527 +:04178D0075736E758D +:04178E00726F707096 +:04178F000064657419 +:04179000666F7250BE +:0417910020656C69FA +:0417920064616F6CB3 +:041793000000003A18 +:041794007365727097 +:041795002D30207360 +:041796000000003916 +:0417970000015F20CE +:0417980000015F24C9 +:0417990000015F28C4 +:04179A0000015F2CBF +:04179B0000015E08E3 +:04179C0000015F30B9 +:04179D0000015F34B4 +:04179E0000015F38AF +:04179F0000015E588F +:0417A0000001664896 +:0417A10000015F3CA8 +:0417A20000015F449F +:0417A30000015F489A +:0417A40000015F5091 +:0417A50000015F548C +:0417A60000015F5C83 +:0417A70000015F647A +:0417A80000015F6C71 +:0417A90000015F7468 +:0417AA0000015F8457 +:0417AB0000015F9446 +:0417AC0000015FA435 +:0417AD0000015FB424 +:0417AE0000015FC413 +:0417AF0000015FD402 +:0417B00000015FDCF9 +:0417B10000015FE4F0 +:0417B2003EA93E29E5 +:0417B3003EE93E6964 +:0417B4003E993E1903 +:0417B5003ED93E5982 +:0417B6003EC93E39B1 +:0417B7003E1D3E4D48 +:0417B8003E2D3EED97 +:0417B9003EAD3ECD36 +:0417BA003E653E6DDD +:0417BB001C483E0187 +:0417BC001C501C1889 +:0417BD001CC81CD058 +:0417BE005ED85E583B +:0417BF0000003EB92F +:0417C000736572508B +:0417C10000000073B1 +:0417C200666E6F439D +:0417C300006D7269DA +:0417C4006D73694D8B +:0417C5006863746180 +:0417C6006572202CFC +:0417C70000797274BF +:0417C80000000031EC +:0417C90000000032EA +:0417CA0000000033E8 +:0417CB0000000034E6 +:0417CC0000000036E3 +:0417CD0000000037E1 +:0417CE0000000038DF +:0417CF00554E454DE1 +:0417D0000000000015 +:0417D10000004B4F7A +:0417D2004B43414202 +:0417D3000000000012 +:0417D400000050556C +:0417D5004E574F44D8 +:0417D600000000000F +:0417D7005446454CE3 +:0417D800000000000D +:0417D90048474952E2 +:0417DA0000000054B7 +:0417DB004F464E49DE +:0417DC000000000009 +:0417DD005F44434CD6 +:0417DE004B434142F6 +:0417DF004847494CE2 +:0417E00000000054B1 +:0417E1004E414353DF +:0417E200454E494CDB +:0417E300444F4D5FC3 +:0417E40000000045BC +:0417E5004E414353DB +:0417E600454E494CD7 +:0417E7005059545FA2 +:0417E80000000045B8 +:0417E9004E414353D7 +:0417EA00454E494CD3 +:0417EB00544E495FB0 +:0417EC000000002BCE +:0417ED004E414353D3 +:0417EE00454E494CCF +:0417EF00544E495FAC +:0417F0000000002DC8 +:0417F100454E494CCC +:0417F200544C554DB1 +:0417F300444F4D5FB3 +:0417F40000000045AC +:0417F50053414850C4 +:0417F60000002B457F +:0417F70053414850C2 +:0417F80000002D457B +:0417F900464F5250B5 +:0417FA005F454C49B2 +:0417FB004B544F48B4 +:0417FC00000059454B +:0417FD0000012EAC0D +:0417FE0000012E8A2E +:0417FF0000012E6453 +:0418000000012E7C39 +:0418010000012F5C57 +:0418020000012F5C56 +:0418030000013010A0 +:041804000001303A75 +:041805000001304668 +:041806000001308627 +:041807000001305A52 +:0418080000012F703C +:0418090000012F703B +:04180A0000012FB4F6 +:04180B0000012FE0C9 +:04180C0000012FE0C8 +:04180D0064206425CA +:04180E000000004294 +:04180F00656E6F444F +:0418100000000000D4 +:041811006C69614657 +:041812000000646509 +:041813000000732539 +:04181400252E7525E3 +:041815002075322EDA +:0418160000007375E6 +:041817006C207525A7 +:0418180073656E691D +:0418190000000000CB +:04181A006C206F4E81 +:04181B00006B6E6987 +:04181C00000176CB86 +:04181D0000000002C5 +:04181E0000012D9800 +:04181F00000165203F +:041820000001652C32 +:041821000001653429 +:041822007020752598 +:041823006C6578690F +:04182400000000734D +:04182500000176CD7B +:0418260000000009B5 +:0418270000012C4A46 +:0418280064206425AF +:0418290000006765EF +:04182A0025257525D6 +:04182B0000000000B9 +:04182C006564695630 +:04182D006E69206F51 +:04182E006F72702045 +:04182F003E202063D4 +:0418300000000000B4 +:04183100706D615322 +:04183200676E696C08 +:0418330074706F203E +:041834003E20202E04 +:0418350000000000AF +:04183600636E795311 +:0418370074706F203A +:041838002020202E1E +:041839003E2020200D +:04183A0000000000AA +:04183B007074754F01 +:04183C006F20747530 +:04183D00202E747075 +:04183E003E20202008 +:04183F0000000000A5 +:0418400074736F50FE +:041841006F72702D25 +:0418420020202E63D1 +:041843003E20202003 +:0418440000000000A0 +:04184500706D6F4310 +:0418460062697461FE +:0418470074696C69EB +:041848003E202079A5 +:04184900000000009B +:04184A006964754117 +:04184B00706F206F2B +:04184C006E6F6974DE +:04184D003E202073A6 +:04184E000000000096 +:04184F0074746553F5 +:0418500073676E69E3 +:0418510074706F2020 +:041852003E202020F4 +:041853000000000091 +:04185400616F4C3C38 +:041855007270206429 +:041856006C69666FE4 +:04185700003E2065CA +:041858007661533C26 +:041859007270206524 +:04185A006C69666FE0 +:04185B00003E2065C6 +:04185C007365523C22 +:04185D00732074651B +:04185E0069747465D0 +:04185F003E73676EFF +:041860000000000084 +:041861006B6E694CF5 +:041862006F72702011 +:04186300693E2D6647 +:041864007475706EB9 +:04186500000000007F +:041866006B6E694CF0 +:04186700706E692016 +:041868003E2D747528 +:04186900666F7270C4 +:04186A00000000007A +:04186B0074696E49E5 +:04186C00206C616922 +:04186D0075706E69BB +:04186E000000007402 +:04186F002044434C82 +:0418700074204C4252 +:041871006F656D69C9 +:041872000000747589 +:041873006E776F44D9 +:041874006D61732D02 +:041875006E696C70BC +:041876000000006707 +:0418770070617753D2 +:0418780066656C2015 +:0418790069722F74ED +:04187A000074686727 +:04187B002D65725015 +:04187C002043444180 +:04187D006E696167C8 +:04187E000000000066 +:04187F006C6C7546D2 +:041880002058542078 +:0418810075746573A2 +:0418820000000070F2 +:041883002033564177 +:0418840065746E69B0 +:0418850063616C72BD +:0418860078696665B2 +:04188700000000005D +:041888006E616353D7 +:04188900656E696CB3 +:04188A0000000073E7 +:04188B006E616353D4 +:04188C00656E696CB0 +:04188D0072747320DE +:04188E000000002E28 +:04188F00202E6C5348 +:04189000726279689F +:0418910073206469F3 +:04189200002E72743E +:041893006E616353CC +:04189400656E696CA8 +:0418950074656D20E9 +:0418960000646F6813 +:041897006E616353C8 +:04189800656E696CA4 +:0418990070797420CE +:04189A0000000065E5 +:04189B006E616353C4 +:04189C00656E696CA0 +:04189D00696C6120F1 +:04189E002E6D6E67D6 +:04189F000000000045 +:0418A00069726F48B2 +:0418A100746E6F7A78 +:0418A2006D206C61E8 +:0418A300006B736102 +:0418A400747265569F +:0418A5006C616369A6 +:0418A60073616D20DD +:0418A7000000006BD2 +:0418A8006B73614DB0 +:0418A90069726220DE +:0418AA006E74686789 +:0418AB0000737365EE +:0418AC0065766552A6 +:0418AD0020657372CD +:0418AE000046504C54 +:0418AF005949443C13 +:0418B00074616C20D3 +:0418B1006574202E0C +:0418B200003E74730D +:0418B300703034322B +:0418B4003838322F5F +:0418B50072702070BD +:0418B6000000636F5C +:0418B700703438331E +:0418B8006F727020BB +:0418B90000000063C8 +:0418BA006930383425 +:0418BB003637352F58 +:0418BC0072702069BD +:0418BD000000636F55 +:0418BE00703038341A +:0418BF003637352F54 +:0418C00072702070B2 +:0418C1000000636F51 +:0418C200693036391A +:0418C3003830312F59 +:0418C40070206930F7 +:0418C50000636F72DB +:0418C600656E694C96 +:0418C7006D207832E6 +:0418C8000065646FE4 +:0418C900656E694C93 +:0418CA006D207833E2 +:0418CB000065646FE1 +:0418CC00656E694C90 +:0418CD006D207834DE +:0418CE000065646FDE +:0418CF00656E694C8D +:0418D0006D207835DA +:0418D1000065646FDB +:0418D200656E694C8A +:0418D30066207835DE +:0418D400616D726F61 +:0418D500000000749B +:0418D60078363532F9 +:0418D7002030343257 +:0418D8006570736163 +:0418D9000000746334 +:0418DA006D205854D1 +:0418DB000065646FD1 +:0418DC00494D4448E6 +:0418DD004354492007 +:0418DE000000000006 +:0418DF006C616E4189 +:0418E0007320676F9B +:0418E10020636E7999 +:0418E2000046504C20 +:0418E3006C616E4185 +:0418E4007320676F97 +:0418E50020636E7995 +:0418E60000687456CC +:0418E7006E7973485B +:0418E8006F74206396 +:0418E9006172656C57 +:0418EA000065636EC4 +:0418EB006E79735649 +:0418EC006874206399 +:0418ED006873657245 +:0418EE0000646C6FB7 +:0418EF004C502D48E4 +:0418F0007250204CC6 +:0418F1006F432D65AF +:0418F20000747361AA +:0418F3004C502D48E0 +:0418F4006F50204CC5 +:0418F500432D747398 +:0418F6007473616F37 :0418F70000000000ED -:0418F8006E776F4454 -:0418F9006D61732D7D -:0418FA006E696C7037 -:0418FB000000006782 -:0418FC00706177534D -:0418FD0066656C2090 -:0418FE0069722F7468 -:0418FF0000746867A2 -:041900002D6572508F -:0419010020434441FA -:041902006E69616742 -:0419030000000000E0 -:041904006C6C75464C -:0419050020585420F2 -:04190600757465731C -:04190700000000706C -:0419080020335641F1 -:0419090065746E692A -:04190A0063616C7237 -:04190B00786966652C -:04190C0000000000D7 -:04190D006E61635351 -:04190E00656E696C2D -:04190F000000007361 -:041910006E6163534E -:04191100656E696C2A -:041912007274732058 -:041913000000002EA2 -:04191400202E6C53C2 -:041915007262796819 -:04191600732064696D -:04191700002E7274B8 -:041918006E61635346 -:04191900656E696C22 -:04191A0074656D2063 -:04191B0000646F688D -:04191C006E61635342 -:04191D00656E696C1E -:04191E007079742048 -:04191F00000000655F -:041920006E6163533E -:04192100656E696C1A -:04192200696C61206B -:041923002E6D6E6750 +:0418F800706D61535B +:0418F900676E696C41 +:0418FA006168702091 +:0418FB000000657311 +:0418FC0070303834DC +:0418FD00206E6920D0 +:0418FE00706D617335 +:0418FF000072656CA2 +:041900006F6C6C415B +:0419010056542077A1 +:0419020050482050D9 +:0419030078324C4C9E +:0419040000000000DF +:041905006F6C6C4156 +:041906007075207761 +:04190700706D61732B +:041908007832656C60 +:0419090000000000DA +:04190A007664413C82 +:04190B006974202EAD +:04190C00676E696D2C +:04190D003E20202038 +:04190E0000000000D5 +:04190F00656469564C +:04191000504C206FA8 +:04191100000000468C +:041912005062505976 +:041913006E69207267 +:041914006C6F432091 +:0419150000617053AA +:0419160072502F528A +:0419170066666F2071 +:04191800007465737F +:0419190020592F47DB +:04191A007366666F1B +:04191B0000007465EF +:04191C0062502F42A4 +:04191D0066666F206B +:04191E000074657379 +:04191F0072502F5281 +:041920006961672072 +:041921000000006E54 +:0419220020592F47D2 +:041923006E69616721 :0419240000000000BF -:0419250069726F482C -:04192600746E6F7AF2 -:041927006D206C6162 -:04192800006B73617C -:041929007472655619 -:04192A006C61636920 -:04192B0073616D2057 -:04192C000000006B4C -:04192D006B73614D2A -:04192E006972622058 -:04192F006E74686703 -:041930000073736568 -:041931006576655220 -:041932002065737247 -:041933000046504CCE -:041934005949443C8D -:0419350074616C204D -:041936006574202E86 -:04193700003E747387 -:0419380070303432A5 -:041939003838322FD9 -:04193A007270207037 -:04193B000000636FD6 -:04193C007034383398 -:04193D006F72702035 -:04193E000000006342 -:04193F00693038349F -:041940003637352FD2 -:041941007270206937 -:041942000000636FCF -:041943007030383494 -:041944003637352FCE -:04194500727020702C -:041946000000636FCB -:041947006930363994 -:041948003830312FD3 -:041949007020693071 -:04194A0000636F7255 -:04194B00656E694C10 -:04194C006D20783260 -:04194D000065646F5E -:04194E00656E694C0D -:04194F006D2078335C -:041950000065646F5B -:04195100656E694C0A -:041952006D20783458 -:041953000065646F58 -:04195400656E694C07 -:041955006D20783554 -:041956000065646F55 -:04195700656E694C04 -:041958006620783558 -:04195900616D726FDB -:04195A000000007415 -:04195B007836353273 -:04195C0020303432D1 -:04195D0065707361DD -:04195E0000007463AE -:04195F006D2058544B -:041960000065646F4B -:04196100494D444860 -:041962004354492081 +:0419250062502F429B +:04192600696167206C +:041927000000006E4E +:041928002D65725067 +:0419290020434441D2 +:04192A006E6961473A +:04192B0000000000B8 +:04192C0073202E48AE +:04192D006C706D610C +:04192E007461726509 +:04192F00000000654F +:0419300073202E48AA +:041931006C636E79FC +:0419320000006E65DE +:0419330062202E48B8 +:04193400706B636110 +:041935006863726F02 +:0419360000000000AD +:0419370061202E48B5 +:0419380076697463F5 +:041939000000006545 +:04193A0073202E5692 +:04193B006C636E79F2 +:04193C0000006E65D4 +:04193D0062202E56A0 +:04193E00706B636106 +:04193F006863726FF8 +:0419400000000000A3 +:0419410061202E569D +:0419420076697463EB +:04194300000000653B +:041944000066664F84 +:0419450000007333F8 +:0419460000733031C9 +:0419470000733033C6 +:041948002D706F543B +:041949007466656CEF +:04194A000000000099 +:04194B00746E65430E +:04194C0000007265C0 +:04194D0074746F42FD +:04194E00722D6D6F1A +:04194F0074686769E8 +:041950000000000093 +:041951002066664F57 +:041952002073662870 +:041953003639203DC4 +:04195400297A486B39 +:04195500000000008E +:0419560020207832A3 +:04195700207366286B +:041958003834203DC2 +:04195900297A486B34 +:04195A000000000089 +:04195B0000706F5455 +:04195C0074746F42EE +:04195D0000006D6FAA +:04195E0069726F48F3 +:04195F00746E6F7AB9 +:0419600000006C61B6 +:0419610074726556E1 +:041962006C616369E8 :041963000000000080 -:041964006C616E4103 -:041965007320676F15 -:0419660020636E7913 -:041967000046504C9A -:041968006C616E41FF -:041969007320676F11 -:04196A0020636E790F -:04196B000068745646 -:04196C006E797348D5 -:04196D006F74206310 -:04196E006172656CD1 -:04196F000065636E3E -:041970006E797356C3 -:041971006874206313 -:0419720068736572BF -:0419730000646C6F31 -:041974004C502D485E -:041975007250204C40 -:041976006F432D6529 -:041977000074736124 -:041978004C502D485A -:041979006F50204C3F -:04197A00432D747312 -:04197B007473616FB1 +:0419640065746C41F9 +:0419650074616E72C9 +:0419660000676E693F +:04196700746C754DDA +:04196800696C7069CD +:0419690069746163D9 +:04196A0000006E6F9C +:04196B0074627553DA +:04196C0074636172CD +:04196D00006E6F6930 +:04196E006F747541DC +:04196F000000000074 +:04197000756E614DE2 +:0419710000006C61A5 +:04197200494D44484F +:041973000000000070 +:04197400004956448C +:0419750000373A38C5 +:0419760073736150D6 +:0419770075726874A9 +:04197800000000006B +:04197900656E694CE2 +:04197A002820783277 +:04197B0029626F620C :04197C000000000067 -:04197D00706D6153D5 -:04197E00676E696CBB -:04197F00616870200B -:04198000000065738B -:041981007030383456 -:04198200206E69204A -:04198300706D6173AF -:041984000072656C1C -:041985006F6C6C41D6 -:04198600565420771C -:041987005048205054 -:0419880078324C4C19 -:04198900000000005A -:04198A006F6C6C41D1 -:04198B0070752077DC -:04198C00706D6173A6 -:04198D007832656CDB -:04198E000000000055 -:04198F007664413CFD -:041990006974202E28 -:04199100676E696DA7 -:041992003E202020B3 -:041993000000000050 -:0419940065646956C7 -:04199500504C206F23 -:041996000000004607 -:0419970050625059F1 -:041998006E692072E2 -:041999006C6F43200C -:04199A000061705325 -:04199B0072502F5205 -:04199C0066666F20EC -:04199D0000746573FA -:04199E0020592F4756 -:04199F007366666F96 -:0419A000000074656A -:0419A10062502F421F -:0419A20066666F20E6 -:0419A30000746573F4 -:0419A40072502F52FC -:0419A50069616720ED -:0419A6000000006ECF -:0419A70020592F474D -:0419A8006E6961679C -:0419A900000000003A -:0419AA0062502F4216 -:0419AB0069616720E7 -:0419AC000000006EC9 -:0419AD002D657250E2 -:0419AE00204344414D -:0419AF006E696147B5 -:0419B0000000000033 -:0419B10073202E4829 -:0419B2006C706D6187 -:0419B3007461726584 -:0419B40000000065CA -:0419B50073202E4825 -:0419B6006C636E7977 -:0419B70000006E6559 -:0419B80062202E4833 -:0419B900706B63618B -:0419BA006863726F7D +:04197D00656E694CDE +:04197E0000007832BB +:04197F00656E694CDC +:041980002820783370 +:041981006563616CCD +:0419820000002964D4 +:04198300656E694CD8 +:04198400282078346B +:0419850029626F6202 +:04198600000000005D +:04198700656E694CD4 +:0419880000007833B0 +:04198900656E694CD2 +:04198A0000007834AD +:04198B00656E694CD0 +:04198C0000007835AA +:04198D00303239318A +:04198E003830317844 +:04198F000000003024 +:04199000303036318C +:041991003032317847 +:041992000000003021 +:041993003032393184 +:041994003032317844 +:04199500000000301E +:04199600656E6547CE +:0419970020636972EE +:0419980000333A34AA +:04199900783032333D +:04199A002030343293 +:04199B006974706F8C +:04199C0000002E6DAC +:04199D007836353231 +:04199E00203034328F +:04199F006974706F88 +:0419A00000002E6DA8 +:0419A100656E6547C3 +:0419A20020636972E3 +:0419A300393A363166 +:0419A400000000003F +:0419A5004D352E325C +:0419A60028207A4833 +:0419A7002978616DCD +:0419A800000000003B +:0419A900484D303144 +:0419AA006D28207A0A +:0419AB000029646546 +:0419AC00484D33333C +:0419AD006D28207A07 +:0419AE0000296E6935 +:0419AF002056544426 +:0419B0007030383427 +:0419B1000000000032 +:0419B2004153455602 +:0419B3003034362076 +:0419B400303834781B +:0419B5000030364088 +:0419B6002E636552E5 +:0419B7003130362075 +:0419B800000000002B +:0419B9002E636552E2 +:0419BA003930372069 :0419BB000000000028 -:0419BC0061202E4830 -:0419BD007669746370 -:0419BE0000000065C0 -:0419BF0073202E560D -:0419C0006C636E796D -:0419C10000006E654F -:0419C20062202E561B -:0419C300706B636181 -:0419C4006863726F73 -:0419C500000000001E -:0419C60061202E5618 -:0419C7007669746366 -:0419C80000000065B6 -:0419C9000066664FFF -:0419CA000000733373 -:0419CB000073303144 -:0419CC000073303341 -:0419CD002D706F54B6 -:0419CE007466656C6A -:0419CF000000000014 -:0419D000746E654389 -:0419D100000072653B -:0419D20074746F4278 -:0419D300722D6D6F95 -:0419D4007468676963 -:0419D500000000000E -:0419D6002066664FD2 -:0419D70020736628EB -:0419D8003639203D3F -:0419D900297A486BB4 -:0419DA000000000009 -:0419DB00202078321E -:0419DC0020736628E6 -:0419DD003834203D3D -:0419DE00297A486BAF -:0419DF000000000004 -:0419E00000706F54D0 -:0419E10074746F4269 -:0419E20000006D6F25 -:0419E30069726F486E -:0419E400746E6F7A34 -:0419E50000006C6131 -:0419E600747265565C -:0419E7006C61636963 -:0419E80000000000FB -:0419E90065746C4174 -:0419EA0074616E7244 -:0419EB0000676E69BA -:0419EC00746C754D55 -:0419ED00696C706948 -:0419EE006974616354 -:0419EF0000006E6F17 -:0419F0007462755355 -:0419F1007463617248 -:0419F200006E6F69AB -:0419F3006F74754157 -:0419F40000000000EF -:0419F500756E614D5D -:0419F60000006C6120 -:0419F700494D4448CA -:0419F80000000000EB -:0419F9000049564407 -:0419FA0000373A3840 -:0419FB007373615051 -:0419FC007572687424 -:0419FD0000000000E6 -:0419FE00656E694C5D -:0419FF0028207832F2 -:041A000029626F6286 -:041A010000000000E1 -:041A0200656E694C58 -:041A03000000783235 -:041A0400656E694C56 -:041A050028207833EA -:041A06006563616C47 -:041A0700000029644E -:041A0800656E694C52 -:041A090028207834E5 -:041A0A0029626F627C -:041A0B0000000000D7 -:041A0C00656E694C4E -:041A0D00000078332A -:041A0E00656E694C4C -:041A0F000000783427 -:041A1000656E694C4A -:041A11000000783524 -:041A12003032393104 -:041A130038303178BE -:041A1400000000309E -:041A15003030363106 -:041A160030323178C1 -:041A1700000000309B -:041A180030323931FE -:041A190030323178BE -:041A1A000000003098 -:041A1B00656E654748 -:041A1C002063697268 -:041A1D0000333A3424 -:041A1E0078303233B7 -:041A1F00203034320D -:041A20006974706F06 -:041A210000002E6D26 -:041A220078363532AB -:041A23002030343209 -:041A24006974706F02 -:041A250000002E6D22 -:041A2600656E65473D -:041A2700206369725D -:041A2800393A3631E0 -:041A290000000000B9 -:041A2A004D352E32D6 -:041A2B0028207A48AD -:041A2C002978616D47 -:041A2D0000000000B5 -:041A2E00484D3031BE -:041A2F006D28207A84 -:041A300000296465C0 -:041A3100484D3333B6 -:041A32006D28207A81 -:041A330000296E69AF -:041A340020565444A0 -:041A350070303834A1 -:041A360000000000AC -:041A3700415345567C -:041A380030343620F0 -:041A39003038347895 -:041A3A000030364002 -:041A3B002E6365525F -:041A3C0031303620EF -:041A3D0000000000A5 -:041A3E002E6365525C -:041A3F0039303720E3 -:041A400000000000A2 -:041A4100484D35399E -:041A42004828207A96 -:041A43002056544491 -:041A440000294949E3 -:041A4500484D3533A0 -:041A46004828207A92 -:041A4700205654448D -:041A48000000294928 -:041A4900484D36319D -:041A4A004528207A91 -:041A4B002956544480 -:041A4C000000000096 -:041A4D007A484D394D -:041A4E0044532820B5 -:041A4F0000295654C0 -:041A500000006E4FD5 -:041A51006D2064257B -:041A5200000000563A -:041A5300202020200F -:041A540000007525F4 -:041A550000017656C0 -:041A56000000001C70 -:041A570000012FEA71 -:041A58006F706D49F5 -:041A5900203F747244 -:041A5A002C593D3195 -:041A5B004E3D3220AA -:041A5C000000000086 -:041A5D0064616F4C05 -:041A5E0020676E6926 -:041A5F0074746573C3 -:041A600073676E69D1 -:041A61000000000081 -:041A62004452535542 -:041A630000415441A9 -:041A6400000168EC29 -:041A6500000020005D -:041A660020002CE54B -:041A6700E926F4FD7B -:041A680038BC200066 -:041A69000000000079 -:041A6A00000168F817 -:041A6B000000200057 -:041A6C002000323EE6 -:041A6D00F113FA0473 -:041A6E003B612000B8 -:041A6F000000000073 -:041A700000014430FD -:041A710000014430FC -:041A720000014430FB -:041A73000001442EFC -:041A7400000144E643 -:041A750000014430F8 -:041A7600363335319D -:041A7700303432785D -:041A78000600000064 -:041A790007FE00F074 -:041A7A000FEA010668 -:041A7B0001120396BB -:041A7C0032312002E1 -:041A7D003278303853 -:041A7E000000303400 -:041A7F0000F005006E -:041A8000010606183D -:041A810003480FAA5D -:041A82000442011207 -:041A83007830363948 -:041A840000303432C8 -:041A850003C000009A -:041A8600049200F0D6 -:041A87000F800106C5 -:041A8800011203360E -:041A89003233008272 -:041A8A00343278304A -:041A8B000000003027 -:041A8C0000F0014025 -:041A8D00010601AAA3 -:041A8E00031F0E31F3 -:041A8F0049100112E7 -:041A9000783635323D -:041A910000303432BB -:041A9200010000004F -:041A9300015500F009 -:041A94000E27010612 -:041A9500011203191E -:041A96003432922034 -:041A970000007030AB -:041A9800000000004A -:041A990000F002D087 -:041A9A000106035AE4 -:041A9B00033E0F39BE -:041A9C00000E011225 -:041A9D003633353176 -:041A9E003034327836 -:041A9F000600004CF1 -:041AA00007FE00F04D -:041AA10029EA0138F5 -:041AA2000112039694 -:041AA30032312002BA -:041AA400327830382C -:041AA50000003838CD -:041AA6000120050016 -:041AA70001380618E4 -:041AA80003480FAA36 -:041AA90004420112E0 -:041AAA007830363921 -:041AAB000038383295 -:041AAC0003C0000073 -:041AAD00049201207E -:041AAE000F8001386C -:041AAF0001120336E7 -:041AB000323300824B -:041AB1003432783023 -:041AB20000424C3072 -:041AB30000F00140FE -:041AB400013801AA4A -:041AB500031F2931B1 -:041AB60049100112C0 -:041AB7007836353216 -:041AB8004C30343248 -:041AB90001000042E6 -:041ABA00015500F0E2 -:041ABB00292701389E -:041ABC0001120319F7 -:041ABD003832922009 -:041ABE00000070387C -:041ABF000000000023 -:041AC000012002D02F -:041AC1000138036085 -:041AC200033F134586 -:041AC300000E0112FE -:041AC400703438330F -:041AC500000000001D -:041AC60001F000002B -:041AC7000280018018 -:041AC8001D3201A723 -:041AC9000204033ED2 -:041ACA003436000EA0 -:041ACB00303478300B -:041ACC0000000030E6 -:041ACD000190028002 -:041ACE0001C103202F -:041ACF00026024305D -:041AD000000C0210F4 -:041AD10078303436FF -:041AD2000034383371 -:041AD300028000008D -:041AD400032001806A -:041AD5003F3001ECB1 -:041AD6000210026098 -:041AD7003834000E91 -:041AD8000000693071 -:041AD9000000000009 -:041ADA0000F002D046 -:041ADB00020D035A9B -:041ADC00033E0F397D -:041ADD00044F03129D -:041ADE0070303834F8 -:041ADF000000000003 -:041AE00002D0000030 -:041AE100035A01E0C3 -:041AE2001E3C020D97 -:041AE3000414063EA3 -:041AE4003436000C88 -:041AE50038347830E9 -:041AE60000000030CC -:041AE70001E0028098 -:041AE800020D0320C8 -:041AE9000260213046 -:041AEA00000C0414D4 -:041AEB0078303436E5 -:041AEC00003231355E -:041AED000280000073 -:041AEE0003200200CF -:041AEF001C3002386D -:041AF0000414026078 -:041AF1003735000C79 -:041AF2000000693651 -:041AF30000000000EF -:041AF400012002D0FB -:041AF5000271036017 -:041AF600033F134552 -:041AF700044F031283 -:041AF80070363735D8 -:041AF90000000000E9 -:041AFA0002D0000016 -:041AFB000360024042 -:041AFC002744027108 -:041AFD000404054098 -:041AFE003038000C70 -:041AFF0030367830D5 -:041B000000000030B1 -:041B01000258032063 -:041B02000274042045 -:041B030004801758EB -:041B040000040010C9 -:041B050070303237D3 -:041B060000000000DB -:041B070005000000D5 -:041B0800067202D08F -:041B090014DC02EEF8 -:041B0A000018052892 -:041B0B003031000471 -:041B0C0037783432C0 -:041B0D000000383666 -:041B0E0003000400CC -:041B0F000326054064 -:041B100006881DA086 -:041B110000040010BC -:041B12003038323104 -:041B130032303178C3 -:041B14000500003494 -:041B1500069804002A -:041B160026F8042A7F -:041B17000010037047 -:041B1800343600045B -:041B190036397830B1 -:041B1A00000069302E -:041B1B0001E0028063 -:041B1C00041A032084 -:041B1D000260213011 -:041B1E00000D05149D -:041B1F0030383031F9 -:041B20000000006958 -:041B21000780000039 -:041B22000898021C01 -:041B230010940465B1 -:041B24000518052C6F -:041B25003031000D4E -:041B260000703038E3 -:041B270000000000BA -:041B280004380780F6 -:041B290004650898AF -:041B2A00052C2494CE -:041B2B00000400189A -:041B2C0030303631EE -:041B2D0030323178A9 -:041B2E00064000303D -:041B2F00087004B086 -:041B30002EFF04E29E -:041B3100001003F1AC -:041B320000000004AB -:041B33007665642F40 -:041B34006370652F46 -:041B35006F635F710A -:041B36006F72746EE8 -:041B370072656C6CFB -:041B3800615F305F5A -:041B39006D5F6C76FA -:041B3A0000006D65D5 -:041B3B002B302D23FB -:041B3C000000002085 -:041B3D00004C6C6884 -:041B3E00456766652C -:041B3F000000474615 -:041B400033323130DB -:041B410037363534CA -:041B420042413938AB -:041B4300464544438C -:041B4400000000009D -:041B450033323130D6 -:041B460037363534C5 -:041B47006261393866 -:041B48006665646307 -:041B49000000000098 -:041B4A001A808080FD -:041B4B0000081A1A5A -:041B4C000000000095 -:041B4D000000000094 -:041B4E0000016CCC5A -:041B4F000000000092 +:0419BC00484D353924 +:0419BD004828207A1C +:0419BE002056544417 +:0419BF000029494969 +:0419C000484D353326 +:0419C1004828207A18 +:0419C2002056544413 +:0419C30000002949AE +:0419C400484D363123 +:0419C5004528207A17 +:0419C6002956544406 +:0419C700000000001C +:0419C8007A484D39D3 +:0419C900445328203B +:0419CA000029565446 +:0419CB0000006E4F5B +:0419CC006D20642501 +:0419CD0000000056C0 +:0419CE002020202095 +:0419CF00000075257A +:0419D000000176CAD2 +:0419D1000000001CF6 +:0419D20000012D7E65 +:0419D30073616C468A +:0419D40065722068B0 +:0419D50065206461C4 +:0419D600726F727248 +:0419D7000000000A02 +:0419D80044525355CD +:0419D9000041544134 +:0419DA0075206F4EB7 +:0419DB00647265735A +:0419DC0020617461B1 +:0419DD006E756F664E +:0419DE006E6F2064A4 +:0419DF00746E65209D +:0419E00025207972D3 +:0419E10000000A7583 +:0419E2006174614487 +:0419E3007265762093 +:0419E4006E6F697346 +:0419E5002E75252016 +:0419E60064207525DF +:0419E7002073656F95 +:0419E80020746F6E8A +:0419E9006374616D55 +:0419EA007766206894 +:0419EB000000000AEE +:0419EC0064204352DE +:0419ED0020617461A0 +:0419EE006461657259 +:0419EF007525282012 +:0419F0007479622084 +:0419F1000A297365E7 +:0419F20000000000F1 +:0419F300666F725059 +:0419F40020656C6995 +:0419F50064207525D0 +:0419F6002061746197 +:0419F7006461657250 +:0419F8007525282009 +:0419F900747962207B +:0419FA000A297365DE +:0419FB0000000000E8 +:0419FC006E6B6E554B +:0419FD00206E776F72 +:0419FE007265737526 +:0419FF00617461644A +:041A0000746E65207B +:041A0100000A7972EC +:041A020061766E6932 +:041A03002064696C86 +:041A040072746E6525 +:041A050000000A795A +:041A060074696E4948 +:041A0700666E6F6335 +:041A08006420676986 +:041A09002061746183 +:041A0A007469727712 +:041A0B00206E657470 +:041A0C0020752528F4 +:041A0D006574796221 +:041A0E00000A29732E +:041A0F00666F72503C +:041A100020656C6978 +:041A110064207525B3 +:041A1200206174617A +:041A13007469727709 +:041A1400206E657467 +:041A150020752528EB +:041A16006574796218 +:041A1700000A297325 +:041A180020534854BB +:041A19002046504CC7 +:041A1A00756C617610 +:041A1B00657320656A +:041A1C006F7420744F +:041A1D0025783020D8 +:041A1E0000000A7842 +:041A1F0020534854B4 +:041A200072756F73F9 +:041A21007320656366 +:041A22007420746553 +:041A23007525206F96 +:041A24000000000AB4 +:041A2500000168D480 +:041A2600000168E073 +:041A2700000168E46E +:041A2800000168EC65 +:041A2900000166D87A +:041A2A000000200098 +:041A2B0020002CE586 +:041A2C00E926F4FDB6 +:041A2D0038BC2000A1 +:041A2E0000000000B4 +:041A2F00000166E468 +:041A30000000200092 +:041A31002000323E21 +:041A3200F113FA04AE +:041A33003B612000F3 +:041A340000000000AE +:041A350072746C5506 +:041A36006F6C206150 +:041A37000000007734 +:041A380000776F4C78 +:041A39006964654D2A +:041A3A0000006D75C6 +:041A3B006867694827 +:041A3C0000000000A6 +:041A3D007361685019 +:041A3E006573206547 +:041A3F007463656CFB +:041A40003A6E6F6922 +:041A41002F752520B8 +:041A420028207525BE +:041A43004147504681 +:041A440025202C2904 +:041A450032332F7594 +:041A460056542820AA +:041A4700000A295018 +:041A48002050565480 +:041A49002046504C97 +:041A4A00756C6176E0 +:041A4B00657320653A +:041A4C006F7420741F +:041A4D0025783020A8 +:041A4E0000000A7812 +:041A4F0020474F538A +:041A50006C6F6874DB +:041A51006573206435 +:041A52006F74207419 +:041A530025783020A2 +:041A540000000A780C +:041A5500636E7953F0 +:041A560046504C208A +:041A57006C61762028 +:041A5800732065751D +:041A5900742074651C +:041A5A007830206F51 +:041A5B00000A7825E0 +:041A5C0069726F48F4 +:041A5D00746E6F7ABA +:041A5E0073206C6124 +:041A5F006C706D61D9 +:041A600074617265D6 +:041A61006573206524 +:041A62006F74207409 +:041A63000A752520BB +:041A6400000000007E +:041A650069747345E8 +:041A66006574616DD5 +:041A67004350206464 +:041A6800485F4B4C3C +:041A69003A4C4C5057 +:041A6A00756C252052 +:041A6B00332E252EC3 +:041A6C004D20756C28 +:041A6D00000A7A48A9 +:041A6E00204F43566C +:041A6F00676E6172CB +:041A700025203A658E +:041A710050430A7361 +:041A720025203A43AE +:041A730000000A75F0 +:041A74006E797343D1 +:041A7500000000630A +:041A76006E797348CA +:041A77000000006308 +:041A78000067656E30 +:041A790000736F7017 +:041A7A00642073254C +:041A7B0063657465C6 +:041A7C002C646574FD +:041A7D00207325208D +:041A7E00616C6F70B8 +:041A7F00797469729B +:041A80000000000A58 +:041A81006E797356B1 +:041A82006564206314 +:041A830074636574AF +:041A8400202C646549 +:041A85007020732535 +:041A860072616C6FAE +:041A87000A797469FB +:041A8800000000005A +:041A890020474F5350 +:041A8A0065746564B6 +:041A8B0064657463B7 +:041A8C000000000A4C +:041A8D0020474F534C +:041A8E0020746F6EE3 +:041A8F0065746564B1 +:041A900064657463B2 +:041A91000000000A47 +:041A9200000141B25C +:041A9300000141B25B +:041A9400000141B25A +:041A9500000141B05B +:041A960000014228E1 +:041A9700000141B257 +:041A98004E52415712 +:041A99003A474E4931 +:041A9A00766E6920DB +:041A9B0064696C61AD +:041A9C0072617420DF +:041A9D005F746567A6 +:041A9E00000A6D6C61 +:041A9F003633353174 +:041AA0003034327834 +:041AA100060000003B +:041AA20007FE00F04B +:041AA3000FEA01063F +:041AA4000112039692 +:041AA50032312002B8 +:041AA600327830382A +:041AA70000003034D7 +:041AA80000F0050045 +:041AA9000106061814 +:041AAA0003480FAA34 +:041AAB0004420112DE +:041AAC00783036391F +:041AAD00003034329F +:041AAE0003C0000071 +:041AAF00049200F0AD +:041AB0000F8001069C +:041AB10001120336E5 +:041AB2003233008249 +:041AB3003432783021 +:041AB40000000030FE +:041AB50000F00140FC +:041AB600010601AA7A +:041AB700031F0E31CA +:041AB80049100112BE +:041AB9007836353214 +:041ABA000030343292 +:041ABB000100000026 +:041ABC00015500F0E0 +:041ABD000E270106E9 +:041ABE0001120319F5 +:041ABF00343292200B +:041AC0000000703082 +:041AC1000000000021 +:041AC20000F002D05E +:041AC3000106035ABB +:041AC400033E0F3995 +:041AC500000E0112FC +:041AC600363335314D +:041AC700303432780D +:041AC8000600004CC8 +:041AC90007FE00F024 +:041ACA0029EA0138CC +:041ACB00011203966B +:041ACC003231200291 +:041ACD003278303803 +:041ACE0000003838A4 +:041ACF0001200500ED +:041AD00001380618BB +:041AD10003480FAA0D +:041AD20004420112B7 +:041AD30078303639F8 +:041AD400003838326C +:041AD50003C000004A +:041AD6000492012055 +:041AD7000F80013843 +:041AD80001120336BE +:041AD9003233008222 +:041ADA0034327830FA +:041ADB0000424C3049 +:041ADC0000F00140D5 +:041ADD00013801AA21 +:041ADE00031F293188 +:041ADF004910011297 +:041AE00078363532ED +:041AE1004C3034321F +:041AE20001000042BD +:041AE300015500F0B9 +:041AE4002927013875 +:041AE50001120319CE +:041AE60038329220E0 +:041AE7000000703853 +:041AE80000000000FA +:041AE900012002D006 +:041AEA00013803605C +:041AEB00033F13455D +:041AEC00000E0112D5 +:041AED0070343833E6 +:041AEE0000000000F4 +:041AEF0001F0000002 +:041AF00002800180EF +:041AF1001D3201A7FA +:041AF2000204033EA9 +:041AF3003436000E77 +:041AF40030347830E2 +:041AF50000000030BD +:041AF60001900280D9 +:041AF70001C1032006 +:041AF8000260243034 +:041AF900000C0210CB +:041AFA0078303436D6 +:041AFB000034383348 +:041AFC000280000064 +:041AFD000320018041 +:041AFE003F3001EC88 +:041AFF00021002606F +:041B00003834000E67 +:041B01000000693047 +:041B020000000000DF +:041B030000F002D01C +:041B0400020D035A71 +:041B0500033E0F3953 +:041B0600044F031273 +:041B070070303834CE +:041B080000000000D9 +:041B090002D0000006 +:041B0A00035A01E099 +:041B0B001E3C020D6D +:041B0C000414063E79 +:041B0D003436000C5E +:041B0E0038347830BF +:041B0F0000000030A2 +:041B100001E002806E +:041B1100020D03209E +:041B1200026021301C +:041B1300000C0414AA +:041B140078303436BB +:041B15000032313534 +:041B16000280000049 +:041B170003200200A5 +:041B18001C30023843 +:041B1900041402604E +:041B1A003735000C4F +:041B1B000000693627 +:041B1C0000000000C5 +:041B1D00012002D0D1 +:041B1E0002710360ED +:041B1F00033F134528 +:041B2000044F031259 +:041B210070363735AE +:041B220000000000BF +:041B230002D00000EC +:041B24000360024018 +:041B250027440271DE +:041B2600040405406E +:041B27003038000C46 +:041B280030367830AB +:041B29000000003088 +:041B2A00025803203A +:041B2B00027404201C +:041B2C0004801758C2 +:041B2D0000040010A0 +:041B2E0070303237AA +:041B2F0000000000B2 +:041B300005000000AC +:041B3100067202D066 +:041B320014DC02EECF +:041B33000018052869 +:041B34003031000448 +:041B35003778343297 +:041B3600000038363D +:041B370003000400A3 +:041B3800032605403B +:041B390006881DA05D +:041B3A000004001093 +:041B3B0030383231DB +:041B3C00323031789A +:041B3D00050000346B +:041B3E000698040001 +:041B3F0026F8042A56 +:041B4000001003701E +:041B41003436000432 +:041B42003639783088 +:041B43000000693005 +:041B440001E002803A +:041B4500041A03205B +:041B460002602130E8 +:041B4700000D051474 +:041B480030383031D0 +:041B4900000000692F +:041B4A000780000010 +:041B4B000898021CD8 +:041B4C001094046588 +:041B4D000518052C46 +:041B4E003031000D25 +:041B4F0000703038BA :041B50000000000091 -:041B51000001037616 -:041B52000001042A60 -:041B5300000101EE9E -:041B54000001020A80 -:041B55000001025633 -:041B5600008000000B -:041B5700008000000A -:041B58000000000089 -:041B59000000000088 -:041B5A000000000087 -:041B5B000000000086 -:041B5C000000000085 -:041B5D000000000084 -:041B5E000000000083 -:041B5F000000000082 -:041B60000000000081 -:041B61000000000080 -:041B6200000000007F -:041B6300000000007E -:041B6400000000007D -:041B6500000000007C -:041B6600000000007B -:041B6700000000007A -:041B68000000000079 -:041B69000000000078 -:041B6A000000000077 -:041B6B000000000076 -:041B6C000000000075 +:041B510004380780CD +:041B52000465089886 +:041B5300052C2494A5 +:041B54000004001871 +:041B550030303631C5 +:041B56003032317880 +:041B57000640003014 +:041B5800087004B05D +:041B59002EFF04E275 +:041B5A00001003F183 +:041B5B000000000482 +:041B5C007665642F17 +:041B5D006370652F1D +:041B5E006F635F71E1 +:041B5F006F72746EBF +:041B600072656C6CD2 +:041B6100615F305F31 +:041B62006D5F6C76D1 +:041B630000006D65AC +:041B64002B302D23D2 +:041B6500000000205C +:041B6600004C6C685B +:041B67004567666503 +:041B680000004746EC +:041B690033323130B2 +:041B6A0037363534A1 +:041B6B004241393882 +:041B6C004645444363 :041B6D000000000074 -:041B6E000000000073 -:041B6F000000000072 -:041B70000000000071 -:041B71000000000070 +:041B6E0033323130AD +:041B6F00373635349C +:041B7000626139383D +:041B710066656463DE :041B7200000000006F -:041B7300000000006E -:041B7400000000006D +:041B73001A808080D4 +:041B740000081A1A31 :041B7500000000006C :041B7600000000006B -:041B7700000000006A +:041B770000016D708C :041B78000000000069 -:041B790000010194D2 -:041B7A0000800000E7 -:041B7B000100000065 -:041B7C000002010062 -:041B7D0000800000E4 -:041B7E000000000162 -:041B7F0000000080E2 -:041B80000001000060 -:041B8100000001005F +:041B79000000000068 +:041B7A000001036003 +:041B7B00000104144D +:041B7C00000101D88B +:041B7D00000101F46E +:041B7E000001024020 +:041B7F0000800000E2 +:041B800000800000E1 +:041B81000000000060 :041B8200000000005F -:041B8300020E040941 -:041B84003D0E00C84A -:041B85003F6E038329 -:041B86003ED03DAC64 -:041B870000000383D4 -:041B8800026404B23D -:041B89003C9300E9A0 -:041B8A003F560416A8 -:041B8B003E9F3D49F3 -:041B8C00000004163B -:041B8D00017804E5F2 -:041B8E003CCE0081C8 -:041B8F003FAE0383DF -:041B90003F333D4959 -:041B910000000383CA -:041B920001B405B8DD -:041B93003C49009336 -:041B94003F9F041655 -:041B95003F103CD9E8 -:041B96000000041631 -:041B970000015D6488 -:041B980000015D7477 -:041B990000015D806A -:041B9A0000015D8C5D -:041B9B0000015D9850 -:041B9C0000015DA443 -:041B9D0000015DB036 -:041B9E0000015DBC29 -:041B9F0000015DC81C -:041BA00000015DD40F -:041BA10000015DE002 -:041BA2000001686C6A -:041BA300000168785D -:041BA400000168884C -:041BA500000168983B -:041BA6000001686C66 -:041BA7000001687859 -:041BA8000001688848 -:041BA9000001684887 -:041BAA00000168547A -:041BAB00000168606D -:041BAC0000016724A9 -:041BAD0000016728A4 -:041BAE000001672C9F -:041BAF00000167309A -:041BB000000166C406 -:041BB100000000022E -:041BB2000001769820 -:041BB30008FC012CFD -:041BB40000011E12FC -:041BB500000166D4F1 -:041BB6000000000229 -:041BB700000177149E -:041BB80000FF000A20 -:041BB90000011E12F7 -:041BBA00000166E0E0 -:041BBB000000000224 -:041BBC00000176545A -:041BBD0000FF000124 -:041BBE0000011E12F2 -:041BBF00000166F0CB -:041BC000000000021F -:041BC1000001768821 -:041BC200078000C8D0 -:041BC30000011E12ED -:041BC400000166FCBA -:041BC500000000021A -:041BC6000001768222 -:041BC7000007000112 -:041BC80000011E12E8 -:041BC90000016708A8 -:041BCA000000000215 -:041BCB000001770E90 -:041BCC00003F0001D5 -:041BCD0000011E12E3 -:041BCE000001671893 -:041BCF000000000210 -:041BD0000001768614 -:041BD10004B000C894 -:041BD20000011E12DE -:041BD300000163E0CA -:041BD400000000000D -:041BD5000001773E56 -:041BD6000001000109 -:041BD700000175781C -:041BD800000163F0B5 -:041BD9000000000008 -:041BDA000001773F50 -:041BDB000001000104 -:041BDC00000175800F -:041BDD00000164009F -:041BDE000000000102 -:041BDF00000177404A -:041BE00000180000E9 -:041BE10000012FCE02 -:041BE200000164108A -:041BE30000000000FE -:041BE4000001773B4A -:041BE50000010001FA -:041BE6000001758005 -:041BE7000001642075 -:041BE80000000000F9 -:041BE9000001773C44 -:041BEA0000010001F5 -:041BEB000001758000 -:041BEC000001629CF6 -:041BED0000000003F1 -:041BEE000001760478 -:041BEF0000000000F2 -:041BF00000000000F1 -:041BF100000162B0DD -:041BF20000000003EC -:041BF300000175EC8C -:041BF40000000000ED -:041BF50000000000EC -:041BF600000162C4C4 -:041BF70000000003E7 -:041BF800000175FC77 -:041BF90000000000E8 -:041BFA0000000000E7 -:041BFB00000162D8AB -:041BFC0000000003E2 -:041BFD00000175DC92 -:041BFE0000000000E3 -:041BFF0000000000E2 -:041C0000000162EC91 -:041C010000000003DC -:041C0200000175E484 -:041C030000000000DD -:041C040000000000DC -:041C05000001630077 -:041C060000000003D7 -:041C0700000175CC97 -:041C080000000000D8 -:041C090000000000D7 -:041C0A00000163145E -:041C0B0000000003D2 -:041C0C00000175C49A -:041C0D0000000000D3 -:041C0E0000000000D2 -:041C0F000001632845 -:041C100000000003CD -:041C1100000175F465 -:041C120000000000CE -:041C130000000000CD -:041C1400000164E087 -:041C150000000000CB -:041C1600000177242E -:041C170000040001C4 -:041C18000001748CC7 -:041C1900000164F072 -:041C1A0000000000C6 -:041C1B000001772528 -:041C1C0000010001C2 -:041C1D0000017590BD -:041C1E00000164FC61 -:041C1F0000000000C1 -:041C20000001772622 -:041C210000030001BB -:041C2200000174A0A9 -:041C23000001650C4B -:041C240000000000BC -:041C2500000177271C -:041C260000010001B8 -:041C270000017590B3 -:041C28000001651C36 -:041C290000000000B7 -:041C2A000001772816 -:041C2B0000010001B3 -:041C2C0000017588B6 -:041C2D000001652C21 -:041C2E0000000000B2 -:041C2F000001771F1A -:041C300000020001AD -:041C310000016E88B8 -:041C32000001653810 -:041C330000000000AD -:041C34000001772014 -:041C350000030001A7 -:041C360000016E94A7 -:041C370000016544FF -:041C380000000000A8 -:041C3900000177210E -:041C3A0000020001A3 -:041C3B0000016E88AE -:041C3C0000016550EE -:041C3D0000000000A3 -:041C3E000001772208 -:041C3F00000200019E -:041C400000016E88A9 -:041C41000001655CDD -:041C4200000000009E -:041C43000001772302 -:041C44000002000199 -:041C450000016EA488 -:041C46000001656CC8 -:041C47000000000099 -:041C480000017729F7 -:041C49000001000195 -:041C4A0000017570B0 -:041C4B000001657CB3 -:041C4C000000000094 -:041C4D000001772DEE -:041C4E000001000190 -:041C4F00000175A873 -:041C500000016584A6 -:041C5100000000008F -:041C52000001772EE8 -:041C5300000100018B -:041C54000001758096 -:041C550000016434F2 -:041C5600000000008A -:041C570000017718F9 -:041C58000002000185 -:041C5900000174BC56 -:041C5A0000016440E1 -:041C5B000000000184 -:041C5C000001771CF0 -:041C5D00000F000074 -:041C5E0000012FA8AA -:041C5F0000016450CC -:041C6000000000017F -:041C61000001771AED -:041C6200001C000062 -:041C630000012F84C9 -:041C640000016460B7 -:041C6500000000007B -:041C66000001771BE7 -:041C67000001000177 -:041C6800000175A062 -:041C690000016470A2 -:041C6A000000000076 -:041C6B0000017719E4 -:041C6C000002000171 -:041C6D00000174C836 -:041C6E00000164808D -:041C6F000000000071 -:041C70000001771DDB -:041C7100000100016D -:041C72000001759860 -:041C73000001649474 -:041C7400000000016B -:041C75000001772AC9 -:041C760000FF00006B -:041C770000012F6ECB -:041C7800000164A45F -:041C79000000000166 -:041C7A000001772BC3 -:041C7B00003F000026 -:041C7C0000012F6EC6 -:041C7D00000164B44A -:041C7E000000000161 -:041C7F000001772CBD -:041C8000000F000051 -:041C810000012EB67A -:041C8200000164C435 -:041C8300000000015C -:041C84000001773DA7 -:041C8500001F00003C -:041C860000012EB675 -:041C8700000164D024 -:041C88000000000454 -:041C890000011FE255 -:041C8A000001625C97 -:041C8B000000000055 -:041C8C00000165F4FA -:041C8D000000000152 -:041C8E0000017730AA -:041C8F00001F000131 -:041C900000012ECC55 -:041C910000016604E4 -:041C9200000000004E -:041C93000001772FA6 -:041C94000002000149 -:041C9500000174B026 -:041C960000016614CF -:041C97000000000049 -:041C9800000177319F -:041C99000001000145 -:041C9A000001758050 -:041C9B0000016628B6 -:041C9C000000000044 -:041C9D000001773299 -:041C9E000001000140 -:041C9F00000175804B -:041CA0000001663C9D -:041CA100000000033C -:041CA200000175BC0C -:041CA300000169547F -:041CA40000011DB06E -:041CA5000001633C9B -:041CA6000000000436 -:041CA70000011D0219 -:041CA8000001628055 -:041CA9000000000037 -:041CAA000001634C86 -:041CAB000000000431 -:041CAC0000011D62B4 -:041CAD000001628050 -:041CAE000000000032 -:041CAF000001635C71 -:041CB000000000042C -:041CB100000124E624 -:041CB200000000002E -:041CB300000000002D -:041CB4000001637058 -:041CB500000000012A -:041CB600000177486A -:041CB700000A01011D -:041CB8000001301ED9 -:041CB900000163843F -:041CBA000000000026 -:041CBB00000176AE00 -:041CBC000001000122 -:041CBD00000175802D -:041CBE000001639826 -:041CBF00000000041D -:041CC000000135F8F2 -:041CC100000000001F -:041CC200000000001E -:041CC300000163AC0D -:041CC400000000001C -:041CC500000176584C -:041CC600000A00010F -:041CC70000016E5C4E -:041CC800000163BCF8 -:041CC9000000000017 -:041CCA000001769609 -:041CCB000003000111 -:041CCC0000016EB0F5 -:041CCD00000163CCE3 -:041CCE00000000040E -:041CCF0000012AB036 -:041CD0000000000010 -:041CD100000000000F -:041CD2000001659018 -:041CD300000000000D -:041CD400000177375D -:041CD5000003000107 -:041CD600000174D4C1 -:041CD700000165A003 -:041CD8000000000107 -:041CD900000177345B -:041CDA00001F0000E7 -:041CDB0000012F4A8B -:041CDC00000165B0EE -:041CDD000000000102 -:041CDE000001773555 -:041CDF0000FF000002 -:041CE00000012F06CA -:041CE100000165C0D9 -:041CE20000000001FD -:041CE300000177364F -:041CE40000C80A002A -:041CE50000012F06C5 -:041CE600000165D0C4 -:041CE70000000001F8 -:041CE8000001773947 -:041CE90000050000F2 -:041CEA0000012EF0D7 -:041CEB00000165E0AF -:041CEC0000000001F3 -:041CED000001773A41 -:041CEE0000050000ED -:041CEF0000012EF0D2 -:041CF0000001665039 -:041CF10000000000EF -:041CF200000177383E -:041CF30000050001E7 -:041CF400000174E493 -:041CF5000001665C28 -:041CF60000000000EA -:041CF700000177333E -:041CF80000010001E6 -:041CF900000175B0C1 -:041CFA000001666C13 -:041CFB0000000001E4 -:041CFC00000177412B -:041CFD0000FF0000E4 -:041CFE0000012EB6FD -:041CFF000001667802 -:041D000000000001DE -:041D01000001774224 -:041D020000FF0000DE -:041D030000012EB6F7 -:041D040000016684F0 -:041D050000000001D9 -:041D0600000177431E -:041D070000FF0000D9 -:041D080000012EB6F2 -:041D090000016690DF -:041D0A0000000001D4 -:041D0B000001774418 -:041D0C0000FF0000D4 -:041D0D0000012EB6ED -:041D0E000001669CCE -:041D0F0000000001CF -:041D10000001774512 -:041D110000FF0000CF -:041D120000012EB6E8 -:041D1300000166A8BD -:041D140000000001CA -:041D1500000177460C -:041D160000FF0000CA -:041D170000012EB6E3 -:041D1800000166B4AC -:041D190000000001C5 -:041D1A000001774706 -:041D1B00000F0000B5 -:041D1C0000012EB6DE -:041D1D00000175D478 -:041D1E0000000000C1 -:041D1F0000000000C0 -:041D200000000000BF -:041D210000000000BE -:041D220000000000BD -:041D2300000167EC68 -:041D2400000168084A -:041D25000001683021 -:041D26000001683818 -:041D2700000168400F -:041D2800000167EC63 -:041D2900000167F856 -:041D2A00000168103C -:041D2B00000168202B -:041D2C00000167CC7F -:041D2D00000168D079 -:041D2E00000168DC6C -:041D2F000001672424 -:041D3000000167CC7B -:041D3100000167D472 -:041D32000001678CB9 -:041D330000016798AC -:041D3400000167A49F -:041D3500000168A899 -:041D3600000168B888 -:041D3700000168C47B -:041D3800000167241B -:041D3900000167CC72 -:041D3A000001672419 -:041D3B000001690436 -:041D3C000001691425 -:041D3D000001692414 -:041D3E000001693403 +:041B8300000000005E +:041B8400000000005D +:041B8500000000005C +:041B8600000000005B +:041B8700000000005A +:041B88000000000059 +:041B89000000000058 +:041B8A000000000057 +:041B8B000000000056 +:041B8C000000000055 +:041B8D000000000054 +:041B8E000000000053 +:041B8F000000000052 +:041B90000000000051 +:041B91000000000050 +:041B9200000000004F +:041B9300000000004E +:041B9400000000004D +:041B9500000000004C +:041B9600000000004B +:041B9700000000004A +:041B98000000000049 +:041B99000000000048 +:041B9A000000000047 +:041B9B000000000046 +:041B9C000000000045 +:041B9D000000000044 +:041B9E000000000043 +:041B9F000000000042 +:041BA0000000000041 +:041BA1000000000040 +:041BA2000001017EBF +:041BA30000800000BE +:041BA400010000003C +:041BA5000002010039 +:041BA60000800000BB +:041BA7000000000139 +:041BA80000000080B9 +:041BA9000001000037 +:041BAA000000010036 +:041BAB000000000036 +:041BAC00020E040918 +:041BAD003D0E00C821 +:041BAE003F6E038300 +:041BAF003ED03DAC3B +:041BB00000000383AB +:041BB100026404B214 +:041BB2003C9300E977 +:041BB3003F5604167F +:041BB4003E9F3D49CA +:041BB5000000041612 +:041BB600017804E5C9 +:041BB7003CCE00819F +:041BB8003FAE0383B6 +:041BB9003F333D4930 +:041BBA0000000383A1 +:041BBB0001B405B8B4 +:041BBC003C4900930D +:041BBD003F9F04162C +:041BBE003F103CD9BF +:041BBF000000041608 +:041BC00000015C883C +:041BC10000015C982B +:041BC20000015CA41E +:041BC30000015CB011 +:041BC40000015CBC04 +:041BC50000015CC8F7 +:041BC60000015CD4EA +:041BC70000015CE0DD +:041BC80000015CECD0 +:041BC90000015CF8C3 +:041BCA0000015D04B5 +:041BCB000001665857 +:041BCC00000166644A +:041BCD000001667439 +:041BCE000001668428 +:041BCF000001665853 +:041BD0000001666446 +:041BD1000001667435 +:041BD2000001663474 +:041BD3000001664067 +:041BD4000001664C5A +:041BD5000001651096 +:041BD6000001651491 +:041BD700000165188C +:041BD8000001651C87 +:041BD900000164B0F3 +:041BDA000000000205 +:041BDB000001770C82 +:041BDC0008FC012CD4 +:041BDD000001204E95 +:041BDE00000164C0DE +:041BDF000000000200 +:041BE0000001778801 +:041BE10000FF000AF7 +:041BE2000001204E90 +:041BE300000164CCCD +:041BE40000000002FB +:041BE500000176C8BD +:041BE60000FF0001FB +:041BE7000001204E8B +:041BE800000164DCB8 +:041BE90000000002F6 +:041BEA00000176FC84 +:041BEB00078000C8A7 +:041BEC000001204E86 +:041BED00000164E8A7 +:041BEE0000000002F1 +:041BEF00000176F685 +:041BF00000070001E9 +:041BF1000001204E81 +:041BF200000164F496 +:041BF30000000002EC +:041BF40000017782F3 +:041BF500003F0001AC +:041BF6000001204E7C +:041BF7000001650480 +:041BF80000000002E7 +:041BF900000176FA77 +:041BFA0004B000C86B +:041BFB000001204E77 +:041BFC00000161CCB7 +:041BFD0000000000E4 +:041BFE00000177B2B9 +:041BFF0000010001E0 +:041C0000000175F872 +:041C0100000161DCA1 +:041C020000000000DE +:041C0300000177B3B2 +:041C040000010001DA +:041C05000001760064 +:041C0600000161EC8C +:041C070000000001D8 +:041C0800000177B4AC +:041C090000180000BF +:041C0A0000012D6246 +:041C0B00000161FC77 +:041C0C0000000000D4 +:041C0D00000177AFAC +:041C0E0000010001D0 +:041C0F00000176005A +:041C10000001620C61 +:041C110000000000CF +:041C1200000177B0A6 +:041C130000010001CB +:041C14000001760055 +:041C1500000160B0BA +:041C160000000003C7 +:041C170000017684CE +:041C180000000000C8 +:041C190000000000C7 +:041C1A00000160C4A1 +:041C1B0000000003C2 +:041C1C000001766CE1 +:041C1D0000000000C3 +:041C1E0000000000C2 +:041C1F00000160D888 +:041C200000000003BD +:041C21000001767CCC +:041C220000000000BE +:041C230000000000BD +:041C2400000160EC6F +:041C250000000003B8 +:041C26000001765CE7 +:041C270000000000B9 +:041C280000000000B8 +:041C29000001610055 +:041C2A0000000003B3 +:041C2B0000017664DA +:041C2C0000000000B4 +:041C2D0000000000B3 +:041C2E00000161143C +:041C2F0000000003AE +:041C30000001764CED +:041C310000000000AF +:041C320000000000AE +:041C33000001612823 +:041C340000000003A9 +:041C350000017644F0 +:041C360000000000AA +:041C370000000000A9 +:041C38000001613C0A +:041C390000000003A4 +:041C3A0000017674BB +:041C3B0000000000A5 +:041C3C0000000000A4 +:041C3D00000162CC74 +:041C3E0000000000A2 +:041C3F000001779891 +:041C4000000400019B +:041C41000001750821 +:041C4200000162DC5F +:041C4300000000009D +:041C4400000177998B +:041C45000001000199 +:041C46000001761013 +:041C4700000162E84E +:041C48000000000098 +:041C49000001779A85 +:041C4A000003000192 +:041C4B000001751C03 +:041C4C00000162F839 +:041C4D000000000093 +:041C4E000001779B7F +:041C4F00000100018F +:041C50000001761009 +:041C51000001630823 +:041C5200000000008E +:041C53000001779C79 +:041C5400000100018A +:041C5500000176080C +:041C5600000163180E +:041C57000000000089 +:041C5800000177937D +:041C59000002000184 +:041C5A0000016F2CEA +:041C5B0000016324FD +:041C5C000000000084 +:041C5D000001779477 +:041C5E00000300017E +:041C5F0000016F38D9 +:041C600000016330EC +:041C6100000000007F +:041C62000001779571 +:041C6300000200017A +:041C640000016F2CE0 +:041C65000001633CDB +:041C6600000000007A +:041C6700000177966B +:041C68000002000175 +:041C690000016F2CDB +:041C6A0000016348CA +:041C6B000000000075 +:041C6C000001779765 +:041C6D000002000170 +:041C6E0000016F48BA +:041C6F0000016358B5 +:041C70000000000070 +:041C71000001779D5A +:041C7200000100016C +:041C7300000175F007 +:041C740000016368A0 +:041C7500000000006B +:041C7600000177A151 +:041C77000001000167 +:041C780000017628C9 +:041C79000001637093 +:041C7A000000000066 +:041C7B00000177A24B +:041C7C000001000162 +:041C7D0000017600EC +:041C7E0000016220DF +:041C7F000000000061 +:041C80000001778C5C +:041C8100000200015C +:041C820000017538B0 +:041C83000001622CCE +:041C8400000000015B +:041C85000001779053 +:041C8600000F00004B +:041C870000012D3CEF +:041C88000001623CB9 +:041C89000000000156 +:041C8A000001778E50 +:041C8B00001C000039 +:041C8C0000012D180E +:041C8D000001624CA4 +:041C8E000000000052 +:041C8F000001778F4A +:041C9000000100014E +:041C910000017620B8 +:041C92000001625C8F +:041C9300000000004D +:041C94000001778D47 +:041C95000002000148 +:041C96000001754490 +:041C97000001626C7A +:041C98000000000048 +:041C9900000177913E +:041C9A000001000144 +:041C9B0000017618B6 +:041C9C000001628061 +:041C9D000000000142 +:041C9E000001779E2C +:041C9F0000FF000042 +:041CA00000012D0210 +:041CA100000162904C +:041CA200000000013D +:041CA3000001779F26 +:041CA400003F0000FD +:041CA50000012D020B +:041CA600000162A037 +:041CA7000000000138 +:041CA800000177A020 +:041CA900000F000028 +:041CAA0000012C4ABF +:041CAB00000162B022 +:041CAC000000000133 +:041CAD00000177B10A +:041CAE00001F000013 +:041CAF0000012C4ABA +:041CB000000162BC11 +:041CB100000000042B +:041CB20000012260AB +:041CB300000160705C +:041CB400000000002C +:041CB500000163E0E7 +:041CB6000000000129 +:041CB700000177A40D +:041CB800001F000108 +:041CB90000012C609A +:041CBA00000163F0D2 +:041CBB000000000025 +:041CBC00000177A309 +:041CBD000002000120 +:041CBE000001752C80 +:041CBF0000016400BC +:041CC0000000000020 +:041CC100000177A502 +:041CC200000100011C +:041CC30000017600A6 +:041CC40000016414A3 +:041CC500000000001B +:041CC600000177A6FC +:041CC7000001000117 +:041CC80000017600A1 +:041CC900000164288A +:041CCA000000000313 +:041CCB000001763C62 +:041CCC00000167406C +:041CCD0000011FEC07 +:041CCE000001615060 +:041CCF00000000040D +:041CD00000011F3EB2 +:041CD100000160941A +:041CD200000000000E +:041CD300000161604B +:041CD4000000000408 +:041CD50000011F9E4D +:041CD6000001609415 +:041CD7000000000009 +:041CD8000001617036 +:041CD9000000000403 +:041CDA00000126429D +:041CDB000000000005 +:041CDC000000000004 +:041CDD00000161841D +:041CDE000000000101 +:041CDF00000177BCCD +:041CE000000A0101F4 +:041CE10000012DB21F +:041CE2000001619804 +:041CE30000000000FD +:041CE4000001772262 +:041CE50000010001F9 +:041CE6000001760083 +:041CE700000161ACEB +:041CE80000000000F8 +:041CE900000176CCB4 +:041CEA00000A0001EB +:041CEB0000016F0085 +:041CEC00000161BCD6 +:041CED0000000000F3 +:041CEE000001770A70 +:041CEF0000030001ED +:041CF00000016F542C +:041CF1000001637C0F +:041CF20000000000EE +:041CF300000177ABCA +:041CF40000030001E8 +:041CF5000001755025 +:041CF6000001638CFA +:041CF70000000001E8 +:041CF800000177A8C8 +:041CF900001F0000C8 +:041CFA0000012CDEDB +:041CFB000001639CE5 +:041CFC0000000001E3 +:041CFD00000177A9C2 +:041CFE0000FF0000E3 +:041CFF0000012C9A1A +:041D0000000163ACCF +:041D010000000001DD +:041D0200000177AABB +:041D030000C80A000A +:041D040000012C9A14 +:041D0500000163BCBA +:041D060000000001D8 +:041D0700000177ADB3 +:041D080000050000D2 +:041D090000012C8425 +:041D0A00000163CCA5 +:041D0B0000000001D3 +:041D0C00000177AEAD +:041D0D0000050000CD +:041D0E0000012C8420 +:041D0F000001643C2F +:041D100000000000CF +:041D1100000177ACAA +:041D120000050001C7 +:041D130000017560F6 +:041D1400000164481E +:041D150000000000CA +:041D1600000177A7AA +:041D170000010001C6 +:041D18000001763020 +:041D19000001645809 +:041D1A0000000001C4 +:041D1B00000177B597 +:041D1C0000FF0000C4 +:041D1D0000012C4A4B +:041D1E0000016464F8 +:041D1F0000000001BF +:041D2000000177B691 +:041D210000FF0000BF +:041D220000012C4A46 +:041D230000016470E7 +:041D240000000001BA +:041D2500000177B78B +:041D260000FF0000BA +:041D270000012C4A41 +:041D28000001647CD6 +:041D290000000001B5 +:041D2A00000177B885 +:041D2B0000FF0000B5 +:041D2C0000012C4A3C +:041D2D0000016488C5 +:041D2E0000000001B0 +:041D2F00000177B97F +:041D300000FF0000B0 +:041D310000012C4A37 +:041D320000016494B4 +:041D330000000001AB +:041D3400000177BA79 +:041D350000FF0000AB +:041D360000012C4A32 +:041D3700000164A0A3 +:041D380000000001A6 +:041D3900000177BB73 +:041D3A00000F000096 +:041D3B0000012C4A2D +:041D3C0000017654D8 +:041D3D0000000000A2 +:041D3E0000000000A1 :041D3F0000000000A0 :041D4000000000009F :041D4100000000009E -:041D4200000000009D -:041D4300000000009C -:041D4400000000009B -:041D4500000000009A -:041D46000000000099 -:041D47000000000098 -:041D48000000000097 -:041D49000000000096 -:041D4A000000000095 -:041D4B000000000094 -:041D4C000000000093 -:041D4D000000000092 -:041D4E000000000091 -:041D4F000000000090 -:041D5000000000008F -:041D5100000000008E -:041D5200000000008D -:041D5300000000008C -:041D5400000000008B -:041D5500000000008A -:041D56000000000089 -:041D570000015C200B -:041D580000017560B1 -:041D590000017560B0 -:041D5A0000108010E5 -:041D5B000000800004 -:041D5C0000016874A6 -:041D5D00000167E832 -:041D5E0000016758C1 -:041D5F000001676CAC -:041D600000016724F3 -:041D610000016940D4 -:041D6200000167EC29 -:041D6300000167F81C -:041D6400000167EC27 -:041D65000001680809 -:041D66000001678091 -:041D6700000167848C -:041D6800000167B05F -:041D6900000167C04E -:041D6A00000167DC31 -:041D6B00000167E428 -:041D6C00000168EC1E -:041D6D00000168F811 -:041D6E00000174FC00 -:041D6F000000000769 -:041D700000016EC040 -:041D7100000000036B -:041D720000016F4CB1 -:041D7300000000026A -:041D740000016F8873 -:041D75000000000862 -:041D760000016FB049 -:041D77000000000D5B -:041D780000017050A6 -:041D79000000000B5B -:041D7A00000171549F -:041D7B00000000055F -:041D7C0000017230C0 -:041D7D000000000959 -:041D7E00000172945A -:041D7F00000000065A -:041D800000017348A3 -:041D81000000000955 -:041D8200000173C029 -:041D8300C896554B5E +:041D4200000165D85F +:041D4300000165F442 +:041D44000001661C18 +:041D4500000166240F +:041D46000001662C06 +:041D4700000165D85A +:041D4800000165E44D +:041D4900000165FC34 +:041D4A000001660C22 +:041D4B00000165B876 +:041D4C00000166BC70 +:041D4D00000166C863 +:041D4E00000165101B +:041D4F00000165B872 +:041D5000000165C069 +:041D510000016578B0 +:041D520000016584A3 +:041D53000001659096 +:041D54000001669490 +:041D5500000166A47F +:041D5600000166B072 +:041D57000001651012 +:041D5800000165B869 +:041D59000001651010 +:041D5A00000166F02E +:041D5B00000167001C +:041D5C00000167100B +:041D5D0000016720FA +:041D5E000000000081 +:041D5F000000000080 +:041D6000000000007F +:041D6100000000007E +:041D6200000000007D +:041D6300000000007C +:041D6400000000007B +:041D6500000000007A +:041D66000000000079 +:041D67000000000078 +:041D68000000000077 +:041D69000000000076 +:041D6A000000000075 +:041D6B000000000074 +:041D6C000000000073 +:041D6D000000000072 +:041D6E000000000071 +:041D6F000000000070 +:041D7000000000006F +:041D7100000000006E +:041D7200000000006D +:041D7300000000006C +:041D7400000000006B +:041D7500000000006A +:041D76000002002047 +:041D770000015634DD +:041D7800000175E011 +:041D7900000175E010 +:041D7A0000108010C5 +:041D7B0000008000E4 +:041D7C00000166609C +:041D7D00000165D428 +:041D7E0000016544B7 +:041D7F0000016558A2 +:041D800000016510E9 +:041D81000001672CCA +:041D8200000165D81F +:041D8300000165E412 +:041D8400000165D81D +:041D8500000165F400 +:041D86000001656C87 +:041D87000001657082 +:041D88000001659C55 +:041D8900000165AC44 +:041D8A00000165C827 +:041D8B00000165D01E +:041D8C00000166D814 +:041D8D00000166E407 +:041D8E000001757863 +:041D8F000000000749 +:041D900000016F647B +:041D9100000000034B +:041D920000016FF0ED +:041D9300000000024A +:041D94000001702CAE +:041D95000000000842 +:041D96000001705484 +:041D97000000000D3B +:041D9800000170F4E2 +:041D99000000000B3B +:041D9A00000171F8DB +:041D9B00000000053F +:041D9C00000172D4FC +:041D9D00000000073B +:041D9E000001733895 +:041D9F00000000063A +:041DA000000173C407 +:041DA1000000000935 +:041DA2000001743C8C +:041DA300C896554B3E :00000001FF diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 911d5ce..0cd49de 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -78,6 +78,7 @@ avinput_t target_input; alt_u8 pcm1862_active; +alt_u32 read_it2(alt_u32 regaddr); inline void lcd_write_menu() { diff --git a/software/sys_controller/ossc/firmware.c b/software/sys_controller/ossc/firmware.c index e18a2d2..950bbb6 100644 --- a/software/sys_controller/ossc/firmware.c +++ b/software/sys_controller/ossc/firmware.c @@ -90,15 +90,6 @@ static int check_fw_image(alt_u32 offset, alt_u32 size, alt_u32 golden_crc, alt_ return 0; } -#ifdef DEBUG -int fw_update() -{ - sniprintf(menu_row2, LCD_ROW_LEN+1, "Unavailable"); - lcd_write_menu(); - usleep(1000000); - return -1; -} -#else int fw_update() { int retval, i; @@ -234,4 +225,3 @@ failure: return -1; } -#endif diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index ce3cffa..e80a176 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -179,10 +179,12 @@ MENU(menu_settings, P99_PROTECT({ \ { LNG("","<セッテイオショキカ >"), OPT_FUNC_CALL, { .fun = { set_default_avconfig, NULL } } }, { LNG("Link prof->input","Link prof->input"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.link_av, OPT_WRAP, AV1_RGBs, AV_LAST, link_av_desc } } }, { LNG("Link input->prof","Link input->prof"), OPT_AVCONFIG_SELECTION, { .sel = { &profile_link, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, - { "", OPT_FUNC_CALL, { .fun = { import_userdata, NULL } } }, { LNG("Initial input","ショキニュウリョク"), OPT_AVCONFIG_SELECTION, { .sel = { &def_input, OPT_WRAP, SETTING_ITEM(avinput_str) } } }, { "LCD BL timeout", OPT_AVCONFIG_SELECTION, { .sel = { &lcd_bl_timeout, OPT_WRAP, SETTING_ITEM(lcd_bl_timeout_desc) } } }, +#ifndef DEBUG + { "", OPT_FUNC_CALL, { .fun = { import_userdata, NULL } } }, { LNG("","<ファームウェアアップデート>"), OPT_FUNC_CALL, { .fun = { fw_update, NULL } } }, +#endif })) diff --git a/software/sys_controller/ossc/sysconfig.h b/software/sys_controller/ossc/sysconfig.h index 9195574..bf68b60 100644 --- a/software/sys_controller/ossc/sysconfig.h +++ b/software/sys_controller/ossc/sysconfig.h @@ -30,10 +30,12 @@ #define printf(...) #else #include +#include "utils.h" #define OS_PRINTF printf #define ErrorF printf // use reduced printf //#define printf alt_printf +#define printf dd_printf #endif #define WAITLOOP_SLEEP_US 10000 diff --git a/software/sys_controller/ossc/utils.c b/software/sys_controller/ossc/utils.c index e1d466b..dda9eef 100644 --- a/software/sys_controller/ossc/utils.c +++ b/software/sys_controller/ossc/utils.c @@ -17,8 +17,12 @@ // along with this program. If not, see . // +#include +#include +#include "sys/alt_stdio.h" #include "utils.h" #include "system.h" +#include "sysconfig.h" #include "io.h" alt_u32 bswap32(alt_u32 w) @@ -72,3 +76,18 @@ unsigned long crc32(unsigned char *input_data, unsigned long input_data_length, */ return IORD_32DIRECT(HW_CRC32_0_BASE, 0x10); } + +/* printf for direct driver interface */ +int dd_printf(const char *__restrict fmt, ...) { + int ret; + va_list ap; + char buf[PRINTF_BUFSIZE]; + + va_start(ap, fmt); + ret = vsnprintf(buf, PRINTF_BUFSIZE, fmt, ap); + va_end(ap); + if (ret > 0) + alt_putstr(buf); + + return 0; +} diff --git a/software/sys_controller/ossc/utils.h b/software/sys_controller/ossc/utils.h index 80a81e6..cb45f0b 100644 --- a/software/sys_controller/ossc/utils.h +++ b/software/sys_controller/ossc/utils.h @@ -22,10 +22,14 @@ #include +#define PRINTF_BUFSIZE 512 + unsigned char bitswap8(unsigned char v); alt_u32 bswap32(alt_u32 w); unsigned long crc32(unsigned char *input_data, unsigned long input_data_length, int do_initialize); +int dd_printf(const char *__restrict fmt, ...); + #endif diff --git a/software/sys_controller_bsp/Makefile b/software/sys_controller_bsp/Makefile index 3f736e3..89072e0 100644 --- a/software/sys_controller_bsp/Makefile +++ b/software/sys_controller_bsp/Makefile @@ -238,6 +238,9 @@ altera_nios2_gen2_hal_driver_SRCS_ROOT := HAL # altera_nios2_gen2_hal_driver sources altera_nios2_gen2_hal_driver_C_LIB_SRCS := \ $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_usleep.c \ + $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_printf.c \ + $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_putchar.c \ + $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_putstr.c \ $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_busy_sleep.c \ $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_ecc_fatal_exception.c \ $(altera_nios2_gen2_hal_driver_SRCS_ROOT)/src/alt_instruction_exception_entry.c \ diff --git a/software/sys_controller_bsp/libhal_bsp.a b/software/sys_controller_bsp/libhal_bsp.a index a02e0db72d4426cd0f6c258d938a24cdd82a9976..f9f47158f36ec33b47d2b5c287cc3bcc7eb0ecbc 100644 GIT binary patch delta 2500 zcmZ`)du&rx82@hDd)tn&-L_l17w)cgP_bjTW8J{OwroIo%+ZhvGUv9oj8~Sil`cMl ztTGXZiJ2S?B*p}TCjPMyvrEJv0Yh{#W@%tikVk+7gO4ntOD2K={myMqdpq=`-#NeU z*Yllo?(cl(oWwP5;!kd*WT;k_>wNXHSCV9j7Hus_UT;l}N=w~20N@1>-UTp!0x7g1W+brO!^$abv@hM{Q!#&0@OSS;QJC_NdSgmSua3i2S9TGVCAxGYyBC3 z+H*MR<~;x%7{n|z3P5cOPV|2^cn08c3-!jj!h^eC>Dt}fb3B*h=JiK+^u@LU<@*O? zk?o-Y2%d1ysf%)C!|hhI$(%Hu@zp? zFmb`5%qhN~i6C*ot~kqH%}k4oL-|7Dv!d+E4c8V!iMnj{3l{CF#?A8j*kb882Cq++ z$qBQ2C}sfQa1(3M0Nh{(6U-Yp`|Wj@e|7B-8@}5(*?yrjA>43YZ@UzpIB|aLtIx(y ze{+6fJkc;t3;~@jM;1s<+-RF48<(&7c-^p{heLO#1L5FguzB;?W@r21cHIlp>%y&- zp?&elTStJH3!!2E5uEL(IPP@Y{`;qf{e?(Z!Y`L?d_Z@5_{gKkQ9n24w;k}qGvfza zE}n~gu!$e@53JCS8X?I>?73j$LEm$3pg?gP0_ENT?%}oDYYY#Vr(8Y&wwZhRk*UA2 zC$F~+&g7@2g@J-A=WP5SUoms)_Qb8h{oojJX~=* z6-g}|*s#UaO%)Cth%i&rekr16=Ay>sh_`5bOm{e}@!g20G`5^{MCpbkczxQ60izG&!+y##cZ(Ra-UE41Q03KWK;wewR-EZ zT8vgh9oqV^qT~{^rD$Fmt6B=wE@~gu^=ZapXKF}2U{oJ#VRB}Q7bhWH)$kNEUPl+3 z>Q6D_o3M|E#|jJGGf_|>^kGwFOyZZZ@tBf$FD4?0=A^R^8azVlY|?JNT(>OZJtZiHE~38&7T{@m~J%su?3{uTq>N@ z(flKFql+^T(Nbbh-D>Lnpt&BJEDl{=Evcw}YVBV*0RFNr2hQT0IBxt97QRuB0>8eB zUq$#o==lO6!4A#CO%dA+ycTrspKc1T;PK#---R$wzu&_WuAH79TI$ef!qM}=3aUfi z@z^Wb5^2KKuvAeqWoIn3t!pq8jdjW7qON$uOVJ&%9vV(Jt|Yas6tk4DBQ8zx6f?dI zu{E{B6f=H4`KMx-t0U`8E`B?T5F|HkE^a5eS+@Y|T_UZ^T?_a<7;}uQbGi63?6rn8 znqAx(l5Z)c`r=FR*!7#yxAwpF6%q@_nUZ5Gr<)eOnN*r;%!f#4^&WE8XNtJ#!cn(k zY+0dMB{2tE>U(DSOh&r4h{gdVdVBg{ma;E0W$6*Jrp^wCBEIZ7m7q y=&BJe9jVBxZ)HU}lx`68t|IF&uJvUAfNF+HmK?S0$3`F{bTpZB!@ delta 678 zcmX@}jq%)V#tBmO#+F6~#uf&~3JL}aAb?CNC>R=;n}LND61W%`81xtz*wPpnII9>K zc(WK71l1TAL>@t~#4QE}=}!o((8a)@ybcv>I59A2T>={Oj)B4O9s`5PC5Chc^L-2` z*dAyw2z!VzFnIrCVDJZOg5eOL13)<33OCNMV_?9!7GV?LY$^E@p=0toHnGhC zGF1p$fg<9YUn;Po=n~t^qmsfh`GBbq+%B-r52i)PW&!PMwD^mrbB@g=WHmthdhD;E zi8MH!Lleny?PEvSl_w~^S<-hZn#pGZ;!t%>J`-?mvslOkMwm|H&FexRz;zje{kAqz o6-7jB^M{yoaQ}nUNNm2B_y%q=NJMP&i Date: Mon, 22 Oct 2018 21:18:14 +0300 Subject: [PATCH 14/21] enable latency tester on debug build --- software/sys_controller/ossc/av_controller.c | 14 +++----------- 1 file changed, 3 insertions(+), 11 deletions(-) diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 88952f0..41adfb5 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -698,15 +698,6 @@ int init_hw() return 0; } -#ifdef DEBUG -int latency_test() -{ - sniprintf(menu_row2, LCD_ROW_LEN+1, "Unavailable"); - lcd_write_menu(); - usleep(1000000); - return -1; -} -#else int latency_test() { alt_u32 lt_status, btn_vec, btn_vec_prev=1; alt_u16 latency_ms_x100, stb_ms_x100; @@ -739,7 +730,9 @@ int latency_test() { SPI_Timer_Off(); latency_ms_x100 = lt_status & 0xffff; stb_ms_x100 = (lt_status >> 16) & 0xfff; - if ((latency_ms_x100 == 0) || (latency_ms_x100 == 0xffff)) + if (latency_ms_x100 == 0) + sniprintf(menu_row2, LCD_ROW_LEN+1, "False trigger"); + else if (latency_ms_x100 == 0xffff) sniprintf(menu_row2, LCD_ROW_LEN+1, "Timeout"); else if (stb_ms_x100 == 0xfff) sniprintf(menu_row2, LCD_ROW_LEN+1, "%u.%.2ums", latency_ms_x100/100, latency_ms_x100%100); @@ -763,7 +756,6 @@ int latency_test() { return 0; } -#endif // Enable chip outputs void enable_outputs() From ad056f249fed618bbf5b4f02e0dd3ddaedbee683 Mon Sep 17 00:00:00 2001 From: marqs Date: Mon, 22 Oct 2018 21:33:22 +0300 Subject: [PATCH 15/21] bump line5x-generic default width to 1600 and max. h_total to 2800 --- software/sys_controller/tvp7002/video_modes.h | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/software/sys_controller/tvp7002/video_modes.h b/software/sys_controller/tvp7002/video_modes.h index 3fd4102..3e2f511 100644 --- a/software/sys_controller/tvp7002/video_modes.h +++ b/software/sys_controller/tvp7002/video_modes.h @@ -24,7 +24,7 @@ #include "sysconfig.h" #define H_TOTAL_MIN 300 -#define H_TOTAL_MAX 2300 +#define H_TOTAL_MAX 2800 #define H_SYNCLEN_MIN 10 #define H_SYNCLEN_MAX 255 #define H_BPORCH_MIN 1 @@ -100,14 +100,14 @@ typedef struct { #define VIDEO_MODES_DEF { \ /* 240p modes */ \ - { "1536x240", 1536, 240, 2046, 262, 234, 15, 150, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L5_GEN_4_3 | MODE_PLLDIVBY2) }, \ + { "1600x240", 1600, 240, 2046, 262, 202, 15, 150, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L5_GEN_4_3 | MODE_PLLDIVBY2) }, \ { "1280x240", 1280, 240, 1560, 262, 170, 15, 72, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3 | MODE_PLLDIVBY2) }, \ { "960x240", 960, 240, 1170, 262, 128, 15, 54, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L3_GEN_4_3 | MODE_PLLDIVBY2) }, \ { "320x240", 320, 240, 426, 262, 49, 14, 31, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_320_COL | MODE_L3_320_COL | MODE_L4_320_COL | MODE_L5_320_COL) }, \ { "256x240", 256, 240, 341, 262, 39, 14, 25, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_256_COL | MODE_L3_256_COL | MODE_L4_256_COL | MODE_L5_256_COL) }, \ { "240p", 720, 240, 858, 262, 57, 15, 62, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_PT | MODE_L2 | MODE_PLLDIVBY2) }, \ /* 288p modes */ \ - { "1536x240L", 1536, 240, 2046, 312, 234, 41, 150, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L5_GEN_4_3 | MODE_PLLDIVBY2) }, \ + { "1600x240L", 1600, 240, 2046, 312, 202, 41, 150, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L5_GEN_4_3 | MODE_PLLDIVBY2) }, \ { "1280x288", 1280, 288, 1560, 312, 170, 15, 72, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3 | MODE_PLLDIVBY2) }, \ { "960x288", 960, 288, 1170, 312, 128, 15, 54, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L3_GEN_4_3 | MODE_PLLDIVBY2) }, \ { "320x240LB", 320, 240, 426, 312, 49, 41, 31, 3, (VIDEO_SDTV | VIDEO_PC), GROUP_240P, (MODE_L2_320_COL | MODE_L3_320_COL | MODE_L4_320_COL | MODE_L5_320_COL) }, \ From c250042cdb2b01a018d9e5a4da8ed628154e91b1 Mon Sep 17 00:00:00 2001 From: marqs Date: Mon, 22 Oct 2018 21:47:25 +0300 Subject: [PATCH 16/21] bump max number of profiles to 15 --- software/sys_controller/ossc/userdata.h | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/software/sys_controller/ossc/userdata.h b/software/sys_controller/ossc/userdata.h index de2f49b..f565a68 100644 --- a/software/sys_controller/ossc/userdata.h +++ b/software/sys_controller/ossc/userdata.h @@ -28,7 +28,7 @@ #include "video_modes.h" #include "flash.h" -#define MAX_PROFILE 9 +#define MAX_PROFILE (MAX_USERDATA_ENTRY-1) #define INIT_CONFIG_SLOT MAX_USERDATA_ENTRY #define UDATA_IMPT_CANCELLED 104 From 76d69d19bf4825053a3c8a481526a107bf44f289 Mon Sep 17 00:00:00 2001 From: marqs Date: Tue, 30 Oct 2018 01:31:40 +0200 Subject: [PATCH 17/21] switch to RV32E --- README.md | 4 +- ossc.qsf | 2 +- software/ossc_sw.project | 4 +- software/sys_controller/Makefile | 8 +- software/sys_controller/crt0.boot_E.S | 87 + .../mem_init/sys_onchip_memory2_0.hex | 14860 ++++++++-------- software/sys_controller_bsp/Makefile | 8 +- software/sys_controller_bsp/libhal_bsp.a | Bin 31564 -> 31568 bytes software/sys_controller_bsp/public.mk | 2 +- sys.qsys | 2 +- sys.sopcinfo | 6 +- 11 files changed, 7449 insertions(+), 7534 deletions(-) create mode 100644 software/sys_controller/crt0.boot_E.S diff --git a/README.md b/README.md index aac8b03..79c7d51 100644 --- a/README.md +++ b/README.md @@ -26,11 +26,11 @@ Architecture SW toolchain build procedure -------------------------- -1. Download, configure, build and install RISC-V toolchain with Newlib + multilib support: +1. Download, configure, build and install RISC-V toolchain with Newlib + RV32EMC support: ~~~~ git clone --recursive https://github.com/riscv/riscv-gnu-toolchain cd riscv-gnu-toolchain -./configure --prefix=/opt/riscv --enable-multilib +./configure --prefix=/opt/riscv --with-arch=rv32emc --with-abi=ilp32e sudo make # sudo needed if installing under default /opt/riscv location ~~~~ 2. Compile custom binary to IHEX converter: diff --git a/ossc.qsf b/ossc.qsf index bb4c272..0ce1aba 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -224,7 +224,7 @@ set_global_assignment -name ENABLE_SIGNALTAP OFF set_global_assignment -name USE_SIGNALTAP_FILE output_files/ossc_la.stp set_global_assignment -name FITTER_EFFORT "AUTO FIT" -set_global_assignment -name SEED 15 +set_global_assignment -name SEED 16 diff --git a/software/ossc_sw.project b/software/ossc_sw.project index ae32caf..8837972 100644 --- a/software/ossc_sw.project +++ b/software/ossc_sw.project @@ -136,7 +136,7 @@ make APP_CFLAGS_DEBUG_LEVEL="-DDEBUG" generate_hex make ENABLE_AUDIO=y APP_CFLAGS_DEBUG_LEVEL="-DDEBUG" generate_hex - cd ../sys_controller_bsp && touch public.mk Makefile + cd ../sys_controller_bsp && touch bsp_timestamp make clean make APP_CFLAGS_DEBUG_LEVEL="-DDEBUG" @@ -182,7 +182,7 @@ make generate_hex make OSDLANG=JP make ENABLE_AUDIO=y - cd ../sys_controller_bsp && touch public.mk Makefile + cd ../sys_controller_bsp && touch bsp_timestamp make clean make diff --git a/software/sys_controller/Makefile b/software/sys_controller/Makefile index 02bc1f1..8e83516 100644 --- a/software/sys_controller/Makefile +++ b/software/sys_controller/Makefile @@ -169,7 +169,7 @@ C_SRCS += ossc/utils.c C_SRCS += ulibSD/sd_io.c C_SRCS += ulibSD/spi_io.c CXX_SRCS := -ASM_SRCS := crt0.boot.S +ASM_SRCS := crt0.boot_E.S # Path to root of object file tree. @@ -652,11 +652,11 @@ build_post_process : # included makefile fragment. # ifeq ($(DEFAULT_CROSS_COMPILE),) -DEFAULT_CROSS_COMPILE := riscv64-unknown-elf- +DEFAULT_CROSS_COMPILE := riscv32-unknown-elf- endif ifeq ($(DEFAULT_STACKREPORT),) -DEFAULT_STACKREPORT := riscv64-unknown-elf-size +DEFAULT_STACKREPORT := riscv32-unknown-elf-size endif ifeq ($(DEFAULT_DOWNLOAD),) @@ -755,7 +755,7 @@ ifeq ($(MKDIR),) MKDIR := $(DEFAULT_MKDIR) endif -RV_OBJCOPY = riscv64-unknown-elf-objcopy +RV_OBJCOPY = riscv32-unknown-elf-objcopy #------------------------------------------------------------------------------ # PATTERN RULES TO BUILD OBJECTS diff --git a/software/sys_controller/crt0.boot_E.S b/software/sys_controller/crt0.boot_E.S new file mode 100644 index 0000000..eaf071d --- /dev/null +++ b/software/sys_controller/crt0.boot_E.S @@ -0,0 +1,87 @@ +// Copyright 2017 ETH Zurich and University of Bologna. +// Copyright and related rights are licensed under the Solderpad Hardware +// License, Version 0.51 (the “License”); you may not use this file except in +// compliance with the License. You may obtain a copy of the License at +// http://solderpad.org/licenses/SHL-0.51. Unless required by applicable law +// or agreed to in writing, software, hardware and materials distributed under +// this License is distributed on an “AS IS” BASIS, WITHOUT WARRANTIES OR +// CONDITIONS OF ANY KIND, either express or implied. See the License for the +// specific language governing permissions and limitations under the License. + +#include "pulpino.h" + +#define EXCEPTION_STACK_SIZE 72 + + +/* ========================================================= [ entry ] === */ + .section .text + +default_exc_handler: + jal x0, default_exc_handler + +reset_handler: + /* set all registers to zero */ + mv x1, x0 + mv x2, x1 + mv x3, x1 + mv x4, x1 + mv x5, x1 + mv x6, x1 + mv x7, x1 + mv x8, x1 + mv x9, x1 + mv x10, x1 + mv x11, x1 + mv x12, x1 + mv x13, x1 + mv x14, x1 + mv x15, x1 + + /* stack initilization */ + la x2, _stack_start + +_start: + .global _start + + /* clear BSS */ + la x14, _bss_start + la x15, _bss_end + + bge x14, x15, zero_loop_end + +zero_loop: + sw x0, 0(x14) + addi x14, x14, 4 + ble x14, x15, zero_loop +zero_loop_end: + + +main_entry: + /* jump to alt_main program entry point */ + jal alt_main + +/* =================================================== [ exceptions ] === */ +/* This section has to be down here, since we have to disable rvc for it */ + + .section .vectors, "ax" + .option norvc; + + // external interrupts are handled by the same callback + // until compiler supports IRQ routines + .org 0x00 + .rept 31 + nop + .endr + jal x0, default_exc_handler + + // reset vector + .org 0x80 + jal x0, reset_handler + + // illegal instruction exception + .org 0x84 + jal x0, default_exc_handler + + // ecall handler + .org 0x88 + jal x0, default_exc_handler diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 1d45149..1e15479 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -42,7548 +42,7376 @@ :04002900858685063D :04002A00868686063A :04002B008786870637 -:04002C008886880634 -:04002D008986890631 -:04002E008A868A062E -:04002F008B868B062B -:040030008C868C0628 -:040031008D868D0625 -:040032008E868E0622 -:040033008F868F061F -:040034000000911720 -:04003500F3010113BF -:0400360000007D1732 -:040037005B8D0D13BD -:0400380000008D97A0 -:04003900A24D8D93B4 -:04003A0001BD57634A -:04003B00000D202371 -:04003C00DDE30D11E2 -:04003D0000EFFFAD24 -:04003E00114100402C -:04003F00C6064501AB -:040040002835283502 -:04004100A60367DDCE -:0400420067DD690706 -:040043006947A583E1 -:0400440040B267DD82 -:040045006987A5031F -:04004600206F0141E5 -:04004700110119008A -:04004800C62ACE06F0 -:040049004A4040EFFA -:04004A0040F245B289 -:04004B00655D862A3F -:04004C0005134681D1 -:04004D0061055D8567 -:04004E00A9FDA01D4B -:04004F0067DD808267 -:04005000000207376C -:040051006AE7A02397 -:0400520007B7655D2A -:04005300675D019C48 -:04005400CC078793BB -:04005500DD4505136D -:0400560068F72E23F6 -:040057004118A6A105 -:0400580000C586B3A6 -:04005900E4637541A6 -:04005A00853200D516 -:04005B00435C808200 -:04005C00DBF58FE958 -:04005D00C7830585CB -:04005E00C31CFFF5CB -:04005F00C939B7EDF7 -:040060000C05270361 -:04006100979311411F -:04006200C42200852F -:04006300C606C226E5 -:040064000037E793E7 -:04006500842A84AEB7 -:040066002703C75C49 -:04006700431C0C0426 -:04006800E7958B8508 -:040069004501431CEE -:04006A004027D71341 -:04006B004037D693B1 -:04006C008AA18B1DBD -:04006D008F5587859F -:04006E008FD98BC1DA -:04006F0000F48463B2 -:04007000FD20051357 -:04007100442240B233 -:040072000141449272 -:04007300450580823D -:04007400B7E121A52A -:040075008082552907 -:04007600C91957A9A4 -:04007700CA09C99158 -:0400780057ED5918CF -:04007900C709C218D9 -:04007A000345051322 -:04007B004781C18870 -:04007C008082853EBB -:04007D00C13957A985 -:04007E000405C26350 -:04007F000C45270302 -:0400800002E5FE6334 -:040081000D052683C0 -:04008200FFF68713EB -:04008300E71D8F6D79 -:0400840002D5D5B319 -:04008500010007B7B8 -:04008600F007879365 -:040087008DFD05A244 -:040088000C052783B9 -:040089000025E593D6 -:04008A002683C7CC36 -:04008B0047810C0598 -:04008C008B054A98FE -:04008D004785C701DB -:04008E0057EDCA9CC4 -:04008F008082853EA8 -:040090001005CE6326 -:04009100DC227139C3 -:04009200DE06D84A64 -:04009300D64EDA2645 -:04009400D256D4521A -:04009500892AD05A8A -:040096005529843232 -:040097000C064363AD -:040098000C090163EB -:040099002783CEDD0E -:04009A007C630C492E -:04009B00FA630AF604 -:04009C0027830AF5B7 -:04009D008E0D0D09AE -:04009E0040C786339E -:04009F000AE66363A7 -:0400A0000A074163A7 -:0400A1008DFD17FDBD -:0400A2006A05EDC935 -:0400A30000022AB776 -:0400A40049816B2102 -:0400A500802A0A1390 -:0400A600110A8A931E -:0400A700020B0B132A -:0400A8004501E31912 -:0400A90057FDA8BD9A -:0400AA007513CE3EBE -:0400AB00CD09003447 -:0400AC008F89479160 -:0400AD00F36384BABB -:0400AE0084BE00E725 -:0400AF0077938C09AE -:0400B000CB810034CC -:0400B100A8B1552974 -:0400B2004491478DA1 -:0400B30000E7E3631C -:0400B400087C84BA86 -:0400B500013685B3D8 -:0400B6008626953EC7 -:0400B700C436C63A4B -:0400B80015C040EF40 -:0400B900473246A2E2 -:0400BA008537087C02 -:0400BB006841000890 -:0400BC00009788B36E -:0400BD0044050513DE -:0400BE0010180813FB -:0400BF0003179D6323 -:0400C0000B892783FE -:0400C10099A6467244 -:0400C200C39097A2AE -:0400C3000C0927837A -:0400C40086138F050B -:0400C5004B9C010748 -:0400C600CF9D8B89B6 -:0400C700C21C478987 -:0400C80050F2556D30 -:0400C90054D2546257 -:0400CA0059B259428C -:0400CB005A925A22C9 -:0400CC0061215B0251 -:0400CD00C5838082E5 -:0400CE00078500079B -:0400CF00034586332C -:0400D000036585B38C -:0400D100015676332B -:0400D2008E4D8DE9D9 -:0400D30003060633E7 -:0400D4008FA3824133 -:0400D500B75DFEC74E -:0400D600B7990411C1 -:0400D70080825529A5 -:0400D8007179C9452C -:0400D900C85AD4220B -:0400DA00D226D6064E -:0400DB00CE4ED04AEB -:0400DC00CA56CC52E2 -:0400DD00C462C65ED5 -:0400DE008B32842AB3 -:0400DF00C61D5529BC -:0400E0000B842783E3 -:0400E1000BC4270322 -:0400E20097AE8A3615 -:0400E30096BE84AE93 -:0400E40000E7FE63D0 -:0400E50000E6FC63D2 -:0400E6000D042A8358 -:0400E700DAB34B81BC -:0400E8002783035512 -:0400E900EF630CC4F1 -:0400EA00450100FAD2 -:0400EB00542250B299 -:0400EC0059025492CF -:0400ED004A6249F228 -:0400EE004B424AD265 -:0400EF004C224BB2A2 -:0400F0008082614564 -:0400F100FE0A03E31D -:0400F2000D0429834D -:0400F30009B34C0100 -:0400F400F9B34130EB -:0400F5008463009987 -:0400F6008C33013412 -:0400F70085CE41343D -:0400F8003D09852217 -:0400F9002903F56181 -:0400FA0009330D04B5 -:0400FB007363418961 -:0400FC008952012AFA -:0400FD0006B3874A75 -:0400FE008626017BD6 -:0400FF00852285CE03 -:04010000F54D358103 -:04010100412A0A3352 -:0401020094CA9BCA36 -:04010300BF510A8559 -:040104008082552977 -:04010500C54957A9E8 -:040106002783C64144 -:0401070027030B853A -:0401080095BE0BC5D0 -:0401090000B6883381 -:04010A00FB6357A993 -:04010B00796306E529 -:04010C00114106E8AF -:04010D008436C4224E -:04010E00853686B2FA -:04010F00C606862278 -:040110007FD030EF7D -:04011100658586AAD0 -:04011200000225378B -:0401130088B7682120 -:04011400634100083B -:040115008593460187 -:040116000513802528 -:0401170008131105B3 -:0401180088930208BE -:040119000313440880 -:04011A004863101313 -:04011B0040B2008668 -:04011C0047814422B1 -:04011D000141853ED9 -:04011E008E3380821A -:04011F00470300C6CC -:040120000605000EC2 -:0401210002B707B367 -:040122000307073395 -:0401230077338FE9B6 -:040124008FD9011757 -:04012500026787B333 -:04012600002383C16E -:04012700B7F100FE2E -:040128008082853E0E -:040129002703CD4D8E -:04012A0057B50C05B4 -:04012B002783CB5506 -:04012C00EBA90C85AA -:04012D0046B1471878 -:04012E000FF777133D -:04012F00FEB707937D -:0401300002F6E96387 -:04013100078A66D5FE -:040132006506869345 -:04013300439C97B69C -:040134000793878224 -:0401350026832000FD -:0401360026030D058A -:0401370085B30C453B -:04013800166302F652 -:04013900258300B664 -:04013A0086630CC507 -:04013B00282304F57C -:04013C0057B50205AC -:04013D000793A0B5CF -:04013E00BFF14000CD -:04013F0087936785B6 -:04014000BFD18007A4 -:0401410047D94358FF -:040142000FF7771329 -:0401430000F70F634F -:040144000F6347E11D -:0401450046D100F7A8 -:04014600136357B533 -:04014700079304D73F -:04014800BF5502009D -:040149000400079314 -:04014A000793B77DE3 -:04014B00B76508008C -:04014C001000079305 -:04014D001141B74D58 -:04014E002C23C60692 -:04014F0065DD0CE579 -:04015000DD5C470526 -:040151002A23D9186C -:04015200DD100205B5 -:040153008593C1349B -:0401540022015E0521 -:04015500478140B2EC -:040156000141853EA0 -:0401570057A98082A2 -:040158008082853EDE -:04015900063347958D -:04015A00242302F662 -:04015B0047850005CF -:04015C00D5B3C91C32 -:04015D0015FD02C5C5 -:04015E000FF5F7930F -:04015F00C11C81A19D -:040160000FF5F5930F -:040161000793C14CF3 -:04016200C51C0800B0 -:04016300058680820B -:0401640095B28A05C1 -:040165000793C54CEB -:040166000713090072 -:04016700C91C0105A9 -:040168008B89431C20 -:040169004908FFF54D -:04016A008905811D65 -:04016B000713808274 -:04016C0007930105EF -:04016D00E19906808E -:04016E0002000793F1 -:04016F00431CC91C48 -:04017000FFF58B8983 -:0401710080824548FB -:040172000713C54C5E -:0401730007930105E8 -:04017400E21105008F -:04017500C91C47C199 -:040176008B89431C12 -:040177004908FFF53F -:040178008905811D57 -:0401790047818082B8 -:04017A0002C0069326 -:04017B0000C7C36393 -:04017C000813808262 -:04017D00C91401059B -:04017E00000827034B -:04017F00FF6D8B097C -:040180002803C599F2 -:04018100873300C5FB -:04018200002300F561 -:0401830007850107E4 -:040184004781BFF1FF -:04018500C36346F119 -:04018600808200C7AC -:0401870000F58733C5 -:040188000007470322 -:040189000105081351 -:04018A00C914C55877 -:04018B00000827033E -:04018C00FF6D8B096F -:04018D00B7C5078566 -:04018E00053347ED01 -:04018F00479D02F591 -:0401900002F55533EC -:0401910000A7E4637C -:040192008082450121 -:0401930007850001DB -:04019400C119BFD5F9 -:04019500EF91451C85 -:04019600A78367DDF7 -:04019700C3955DC7E8 -:04019800C606114145 -:0401990040B2978257 -:04019A00C11C47D964 -:04019B0001415529A0 -:04019C00419C808280 -:04019D00C11CC14C74 -:04019E00C3C8419CF5 -:04019F004501C188CD -:0401A0006561808293 -:0401A100B00505138D -:0401A200C11C47D95C -:0401A30080825529D8 -:0401A40000B5673308 -:0401A500FFF00393D1 -:0401A6000037771394 -:0401A70010071063CA -:0401A8007F7F87B717 -:0401A900F7F787934A -:0401AA000005260323 -:0401AB000005A68322 -:0401AC0000F672B334 -:0401AD0000F66333C2 -:0401AE0000F282B326 -:0401AF000062E2B355 -:0401B00010729263D4 -:0401B10008D61663F3 -:0401B20000452603DB -:0401B3000045A683DA -:0401B40000F672B32C -:0401B50000F66333BA -:0401B60000F282B31E -:0401B7000062E2B34D -:0401B8000C729E63C4 -:0401B90006D61663ED -:0401BA000085260393 -:0401BB000085A68392 -:0401BC0000F672B324 -:0401BD0000F66333B2 -:0401BE0000F282B316 -:0401BF000062E2B345 -:0401C0000C729863C2 -:0401C10004D61663E7 -:0401C20000C526034B -:0401C30000C5A6834A -:0401C40000F672B31C -:0401C50000F66333AA -:0401C60000F282B30E -:0401C7000062E2B33D -:0401C8000C729263C0 -:0401C90002D61663E1 -:0401CA000105260302 -:0401CB000105A68301 -:0401CC0000F672B314 -:0401CD0000F66333A2 -:0401CE0000F282B306 -:0401CF000062E2B335 -:0401D0000A729C63B0 -:0401D10001450513CC -:0401D20001458593CB -:0401D300F4D60EE36D -:0401D40001061713F6 -:0401D50001069793F5 -:0401D60000F71E63AD -:0401D70001065713B3 -:0401D8000106D793B2 -:0401D90040F70533B3 -:0401DA000FF5759315 -:0401DB000205906326 -:0401DC000000806738 -:0401DD0001075713AC -:0401DE000107D793AB -:0401DF0040F70533AD -:0401E0000FF575930F -:0401E100000594631E -:0401E2000000806732 -:0401E3000FF7771388 -:0401E4000FF7F79387 -:0401E50040F70533A7 -:0401E600000080672E -:0401E70000054603C6 -:0401E8000005C683C5 -:0401E90000150513E5 -:0401EA0000158593E4 -:0401EB0000D61463C3 -:0401EC00FE0616E312 -:0401ED0040D60533C0 -:0401EE000000806726 -:0401EF0000450513AF -:0401F00000458593AE -:0401F100FCD61CE339 -:0401F20000000513F1 -:0401F3000000806721 -:0401F400008505136A -:0401F5000085859369 -:0401F600FCD612E33E -:0401F70000000513EC -:0401F800000080671C -:0401F90000C5051325 -:0401FA0000C5859324 -:0401FB00FAD618E335 -:0401FC0000000513E7 -:0401FD000000806717 -:0401FE0001050513DF -:0401FF0001058593DE -:04020000F8D61EE32B -:0402010000000513E1 -:040202000000806711 -:04020300D422717917 -:04020400D04AD226E4 -:04020500D606CE4EFD -:0402060089B2892A06 -:0402070084BA8436FB -:040208006637EDADBB -:0402090006130001D7 -:04020A009D63660684 -:04020B0056B70609D3 -:04020C0086930001D4 -:04020D00EC256886EE -:04020E00000157375D -:04020F0068870713E2 -:04021000000155375D -:0402110085CA87A66D -:0402120068C50513A3 -:040213003C5020EF4C -:04021400120D07B709 -:04021500282787937C -:040216000793C03E4C -:0402170084630400F8 -:04021800079300093F -:04021900041EF800C7 -:04021A000087E793DF -:04021B0000846413E4 -:04021C00858A888DBA -:04021D0002A34505EE -:04021E000323008135 -:04021F0003A3012113 -:040220000223009124 -:04022100C40200F122 -:040222002921C602C6 -:04022300542250B25F -:040224005902549295 -:04022500614549F2F4 -:040226006637808235 -:0402270006130001B9 -:04022800B76168C68C -:04022900000156B7C3 -:04022A006846869309 -:04022B005737B76921 -:04022C0007130001B3 -:04022D00B7696847FE -:04022E004702110171 -:04022F00080007B705 -:040230008FF9CE066E -:04023100110A073770 -:040232001047071357 -:04023300C03E8FD961 -:0402340000414783BB -:040235000037E79314 -:0402360000F10223AE -:04023700C111479515 -:040238009713478D44 -:0402390047830027D0 -:04023A00858A004170 -:04023B009B8D45054D -:04023C0002238FD931 -:04023D00578300F1F2 -:04023E00F7930061D1 -:04023F00132370070E -:04024000295100F14F -:04024100610540F221 -:040242001141808264 -:040243001437C42286 -:04024400C2260002CC -:0402450084AA460140 -:0402460004C0059358 -:040247000404051393 -:0402480031B5C60600 -:040249000FF4F59326 -:04024A000513460151 -:04024B003969040405 -:04024C0004C0059352 -:04024D00040405138D -:04024E003991460597 -:04024F00040405138B -:0402500040B2442252 -:040251004585449209 -:04025200B195014120 -:04025300C42211416F -:040254000002143759 -:04025500C04AC226B3 -:04025600892A84AEBF -:0402570005934601C4 -:04025800051304C0C6 -:04025900C6060404CD -:04025A007593311D4A -:04025B0046010FF950 -:04025C00040405137E -:04025D0005133991BB -:04025E00442204042E -:04025F00490240B25E -:04026000449285A699 -:04026100014146050C -:040262001141B18114 -:040263003FB5C606D7 -:04026400751340B21C -:0402650001410FF54F -:040266001141808240 -:04026700377DC60613 -:04026800450140B25A -:04026900808201414D -:04026A00CC22110190 -:04026B00C84ACA268D -:04026C0084AACE068C -:04026D004401892E91 -:04026E0000C449631C -:04026F00446240F2B3 -:04027000494244D2E9 -:0402710061054501DD -:0402720007B38082CC -:04027300C5830089B6 -:0402740085330007C7 -:040275007513008479 -:04027600C6320FF588 -:0402770004053F85B6 -:04027800BFD9463272 -:040279003E80079329 -:04027A0002F5053351 -:04027B00F4EFF06F3D -:04027C004581114166 -:04027D00C606453D2F -:04027E00C226C422AE -:04027F003F71C04AC1 -:04028000051345819C -:040281003F510610D3 -:04028200061004134B -:0402830000016937D6 -:040284000680049359 -:040285000FF47513EA -:04028600862A3F8DF8 -:04028700051385A234 -:04028800040581895F -:040289001ED020EF74 -:04028A00FE9416E3E5 -:04028B00442240B217 -:04028C00490244924D -:04028D008082014129 -:04028E00C422114134 -:04028F000413645D93 -:0402900045836B44F3 -:0402910045150024EB -:040292003F81C606DC -:040293000024478379 -:0402940003D00593FB -:04029500839D4511EF -:040296000027971393 -:0402970000C44783D5 -:040298008FD99BED72 -:0402990000F4062344 -:04029A0045053F0DCA -:04029B0045F53FA541 -:04029C0037254511AC -:04029D0003000593C2 -:04029E00061005132E -:04029F0005933F394B -:0402A00045250B20C5 -:0402A10005933F1969 -:0402A20045290F805B -:0402A300059337394F -:0402A400452D037071 -:0402A500458137193F -:0402A6003701453D9A -:0402A7000513458175 -:0402A8003DE50C9094 -:0402A9000513458173 -:0402AA003DC50CA0A2 -:0402AB000513458171 -:0402AC0035E50CB078 -:0402AD00051345816F -:0402AE0035C50CC086 -:0402AF00051345816D -:0402B0003DE10CD050 -:0402B100051345816B -:0402B2003DC10CE05E -:0402B3000513458169 -:0402B40035E10CF034 -:0402B5000513458167 -:0402B60035C10D0041 -:0402B7000044458337 -:0402B80040B24422EA -:0402B9000E1005130B -:0402BA00BD450141FC -:0402BB00D42271795F -:0402BC00D04AD2262C -:0402BD000413CE4E0A -:0402BE00D6063E80A2 -:0402BF0089AA47853C -:0402C0005433892EFC -:0402C100648D0285C1 -:0402C20000F595634B -:0402C3008493648933 -:0402C40045858004E8 -:0402C500C632453DBB -:0402C60045813549F0 -:0402C70003300513E8 -:0402C800D5933DADE0 -:0402C9000513008495 -:0402CA003D8503402B +:04002C000000911728 +:04002D00F5010113C5 +:04002E000000771740 +:04002F0032870713FA +:0400300000008797AE +:040031008507879325 +:0400320000F7576319 +:04003300000720237F +:04003400DDE30711F0 +:0400350000EFFEE7F3 +:040036001151004024 +:04003700C4064501B5 +:04003800200520057A +:04003900A60367DDD6 +:04003A0067DD3E0739 +:04003B003E47A58314 +:04003C0040A267DD9A +:04003D003E87A50352 +:04003E00206F0131FD +:04003F00A3A10AE08F +:0400400067DD808276 +:04004100000207377B +:040042003EE7A823CA +:0400430007B7655D39 +:04004400675D019C57 +:04004500CC078793CA +:04004600A8450513B1 +:040047003EF7262337 +:04004800675DAE49F9 +:040049003EC7270384 +:04004A00A78367DD44 +:04004B00557D3F0799 +:04004C004721CB116C +:04004D006741C3D86C +:04004E00C798177DBB +:04004F004711C7D8B6 +:040050004501C3D8CB +:0400510067DD808265 +:040052003EC7A7837B +:04005300CF91557D77 +:04005400A70367DDBA +:0400550028233F0716 +:040056004B1C000738 +:0400570007C24B4849 +:0400580083C1054219 +:0400590045138D5D61 +:04005A008082FFF5AC +:04005B00A50367DDB5 +:04005C0080823EC799 +:04005D002703C93973 +:04005E0011510C052B +:04005F0000859793EE +:04006000C026C222D2 +:04006100E793C40657 +:0400620084AE003731 +:04006300C75C842AC8 +:040064000C0427035E +:040065008B85431C28 +:04006600431CE795BB +:04006700D713450165 +:04006800D6934027C4 +:040069008B1D403774 +:04006A0087858AA15B +:04006B008BC18F5561 +:04006C0084638FD941 +:04006D00051300F483 +:04006E0040A2FD208F +:04006F004482441271 +:040070008082013158 +:0400710021414505DF +:040072005529B7E174 +:0400730057A9808287 +:04007400C991C9194C +:040075005918CA0943 +:04007600C21857ED68 +:040077000513C7099D +:04007800C1880345F3 +:04007900853E4781F8 +:04007A0057A9808280 +:04007B00C263C13962 +:04007C00270304054D +:04007D00FE630C45CD +:04007E00268302E5EE +:04007F0087130D05D1 +:040080008F6DFFF68B +:04008100D5B3E71DEF +:0400820007B702D5E5 +:04008300879301005E +:0400840005A2F007DA +:0400850027838DFD43 +:04008600E5930C05ED +:04008700C7CC0025BD +:040088000C052683BA +:040089004A984781C9 +:04008A00C7018B051A +:04008B00CA9C47853F +:04008C00853E57ED69 +:04008D00C163808249 +:04008E00717912056D +:04008F00D606D4229B +:04009000832AD226C7 +:040091005529843237 +:0400920002064863B7 +:0400930002030663FB +:040094002783C68573 +:0400950071630C4344 +:04009600FF6302F60C +:04009700278300F5C6 +:040098008E0D0D03B9 +:0400990040C78633A3 +:04009A0000E66863B1 +:04009B0000074663B1 +:04009C008DFD17FDC2 +:04009D00CDF14281DE +:04009E00542250B2E6 +:04009F0061455492D1 +:0400A00057FD808206 +:0400A1007513D03EC5 +:0400A200CD09003450 +:0400A3008F89479169 +:0400A400F36384BAC4 +:0400A50084BE00E72E +:0400A60077938C09B7 +:0400A700CB810034D5 +:0400A800BFD955293E +:0400A9004491478DAA +:0400AA0000E7E36325 +:0400AB00101C84BAE7 +:0400AC00005685B3C2 +:0400AD00953E8626D0 +:0400AE00CC3ACE1A60 +:0400AF00C836CA166F +:0400B000129040EF7B +:0400B10007B3101071 +:0400B200C03E0096B6 +:0400B3008793678543 +:0400B400C23E8027A1 +:0400B500000227B767 +:0400B6001107879314 +:0400B70046C2C43E3B +:0400B80042D267A128 +:0400B90043724762E5 +:0400BA00020787931F +:0400BB008537C63E81 +:0400BC00478200086F +:0400BD0002F61463D0 +:0400BE000B83278306 +:0400BF0092A65602AD +:0400C000C39097A2B0 +:0400C1000C03278382 +:0400C20086138F050D +:0400C3004B9C01074A +:0400C400CF9D8B89B8 +:0400C500C21C478989 +:0400C600BFB9556DFC +:0400C7000006478365 +:0400C80043A2459278 +:0400C90085B30605F0 +:0400CA00F5B302B7D1 +:0400CB0043B20075C7 +:0400CC00027787B37D +:0400CD004405039350 +:0400CE000077F7B30D +:0400CF008DDD63C19F +:0400D00010138393F3 +:0400D100027585B37C +:0400D2000FA381C136 +:0400D300B755FEB669 +:0400D400FB05041113 +:0400D500B70D45011D +:0400D60080825529A6 +:0400D700FDC1011353 +:0400D800CE22D0065E +:0400D900C232CC263D +:0400DA00842AC15D56 +:0400DB00C24D552994 +:0400DC000B84270367 +:0400DD0087AE83B6B1 +:0400DE008633972EA0 +:0400DF00268300E68E +:0400E00077630BC473 +:0400E100756308D764 +:0400E200270308D612 +:0400E300C0020D0446 +:0400E40002E5D4B3AA +:0400E5000CC427031D +:0400E60000E4E463EB +:0400E700A88D45019A +:0400E800FE038EE3A2 +:0400E9000D0422835D +:0400EA0002B3470115 +:0400EB00F2B34050DC +:0400EC00846300F237 +:0400ED0087330057FE +:0400EE00859640575C +:0400EF00CA3A852262 +:0400F000C63EC81E22 +:0400F1003515C416E7 +:0400F2002303E521DE +:0400F30047520D045F +:0400F40042A243C21F +:0400F50040E30333AE +:0400F600F36347B2B7 +:0400F700831E006301 +:0400F80046924602E4 +:0400F9008596871A47 +:0400FA00852296B213 +:0400FB00C81E863E57 +:0400FC00C43EC61A1E +:0400FD00ED0935894B +:0400FE0047824332C0 +:0400FF00048543C26F +:04010000C03E979ACC +:0401010083B347A2DB +:04010200979A406325 +:040103005529B76162 +:04010400447250826F +:04010500011344E2BC +:0401060080820241B0 +:04010700C94157A9EA +:040108002783C6592A +:0401090027030B8538 +:04010A0095BE0BC5CE +:04010B0000B6833384 +:04010C00FE6357A98E +:04010D007C6306E524 +:04010E00873206E34B +:04010F0086361151CE +:04011000C222853A48 +:040111008436C40666 +:0401120040EFC026D4 +:04011300872A79E0DE +:0401140025B76605A0 +:04011500652100025E +:040116000613468105 +:040117008593802626 +:0401180005131105B5 +:0401190082B70205A2 +:04011A00C9630008AD +:04011B0040A2008678 +:04011C0047814412C1 +:04011D00853E448255 +:04011E0080820131A9 +:04011F0000D70333CF +:040120000003438312 +:04012100440284937D +:0401220087B3068514 +:0401230083B302C3DD +:040124008FED02A3B6 +:040125000093F3B39D +:040126000077E7B3C4 +:04012700839363C19A +:0401280087B3101376 +:0401290083C1027715 +:04012A0000F30023BB +:04012B00853EBF7DD1 +:04012C00CD4D8082B3 +:04012D000C05270393 +:04012E00CB5557B5A1 +:04012F000C85278391 +:040130004718EBA9D8 +:04013100771346B149 +:0401320007930FF729 +:04013300E963FEB7C7 +:0401340066D902F690 +:040135008693078A1C +:0401360097B68206F0 +:040137008782439CDC +:040138002000079309 +:040139000D05268307 +:04013A000C45260347 +:04013B0002F685B390 +:04013C0000B6166390 +:04013D000CC5258345 +:04013E0004F58663DB +:04013F00020528236A +:04014000A0B557B55A +:0401410040000793E0 +:040142006785BFF11D +:040143008007879317 +:040144004358BFD18C +:04014500771347D90C +:040146000F630FF73D +:0401470047E100F795 +:0401480000F70F634A +:0401490057B546D18F +:04014A0004D7136360 +:04014B000200079314 +:04014C000793BF5501 +:04014D00B77D040076 +:04014E00080007930B +:04014F000793B765F6 +:04015000B74D100097 +:04015100C40611517E +:040152000CE52C2369 +:04015300470565DD1A +:04015400D918DD5C7D +:0401550002052A2352 +:04015600C134DD10C3 +:0401570032858593D5 +:0401580040A222019E +:04015900853E478117 +:04015A00808201316D +:04015B00853E57A9DD +:04015C0047958082C1 +:04015D0002F606336D +:04015E000005242351 +:04015F00C91C4785EB +:0401600002C5D5B34C +:04016100F79315FDFE +:0401620081A10FF573 +:04016300F593C11C33 +:04016400C14C0FF586 +:0401650008000793F4 +:040166008082C51CB2 +:040167008A0505867A +:04016800C54C95B23B +:0401690009000793EF +:04016A000105071371 +:04016B00431CC91C4C +:04016C00FFF58B8987 +:04016D00811D49089F +:04016E0080828905FD +:04016F00010507136C +:04017000068007936B +:040171000793E19976 +:04017200C91C0200A2 +:040173008B89431C15 +:040174004548FFF506 +:04017500C54C808273 +:040176000105071365 +:0401770005000793E5 +:0401780047C1E21188 +:04017900431CC91C3E +:04017A00FFF58B8979 +:04017B00811D490891 +:04017C0080828905EF +:04017D00069347811D +:04017E00C36302C095 +:04017F00808200C7B3 +:04018000010503135F +:040181002703C91473 +:040182008B090003E2 +:04018300C599FF6DAE +:0401840000C523038C +:0401850000F58733C7 +:0401860000670023EB +:04018700BFF1078538 +:0401880046F1478174 +:0401890000C7C36385 +:04018A0087338082B5 +:04018B00470300F531 +:04018C000313000752 +:04018D00C55801054B +:04018E002703C91466 +:04018F008B090003D5 +:040190000785FF6D73 +:0401910047EDB7C5BA +:0401920002F505333A +:040193005533479DFC +:04019400E46302F529 +:04019500450100A779 +:040196000001808262 +:04019700BFD5078544 +:04019800451CC11928 +:0401990067DDEF919E +:04019A003247A783BE +:04019B001151C395A6 +:04019C009782C4067C +:04019D0047D940A25C +:04019E005529C11C02 +:04019F008082013128 +:0401A000C14C419C71 +:0401A100419CC11CA0 +:0401A200C188C3C885 +:0401A3008082450110 +:0401A4000513656179 +:0401A50047D990C5E1 +:0401A6005529C11CFA +:0401A70067338082B8 +:0401A80053FD00B54E +:0401A900E7798B0D5A +:0401AA007F7F87B715 +:0401AB00F7F7879348 +:0401AC004194411029 +:0401AD0000F672B333 +:0401AE0000F66333C1 +:0401AF00E2B392BE67 +:0401B00097630062EF +:0401B10018630C7251 +:0401B200415006D6DC +:0401B30072B341D40E +:0401B400633300F6BB +:0401B50092BE00F600 +:0401B6000062E2B34E +:0401B7000A729863CD +:0401B80004D61B63EB +:0401B9004594451014 +:0401BA0000F672B326 +:0401BB0000F66333B4 +:0401BC00E2B392BE5A +:0401BD0091630062E8 +:0401BE001E630A7240 +:0401BF00455002D6CF +:0401C00072B345D4FD +:0401C100633300F6AE +:0401C20092BE00F6F3 +:0401C3000062E2B341 +:0401C40008729A63C0 +:0401C50002D61163EA +:0401C60049944910FF +:0401C70000F672B319 +:0401C80000F66333A7 +:0401C900E2B392BE4D +:0401CA0093630062D9 +:0401CB000551087260 +:0401CC000FE305D167 +:0401CD001713F6D638 +:0401CE0097930106FC +:0401CF001C630106A6 +:0401D000571300F7CA +:0401D100D7930106B9 +:0401D20005330106EA +:0401D300759340F7E9 +:0401D400E9910FF5A9 +:0401D5008341808260 +:0401D600053383C1A9 +:0401D700759340F7E5 +:0401D800E1910FF5AD +:0401D9007713808296 +:0401DA00F7930FF791 +:0401DB0005330FF7E2 +:0401DC00808240F7E6 +:0401DD0000054603D0 +:0401DE000005C683CF +:0401DF000585050588 +:0401E00000D61363CF +:0401E1000533FA6583 +:0401E200808240D601 +:0401E300059105116C +:0401E400FED612E34E +:0401E50080824501CE +:0401E60005A1052149 +:0401E700FCD61CE343 +:0401E80080824501CB +:0401E90005B1053126 +:0401EA00FCD616E346 +:0401EB0080824501C8 +:0401EC0005C1054103 +:0401ED00FCD610E349 +:0401EE0080824501C5 +:0401EF00120D07B72F +:0401F00087931111CF +:0401F100C03E2827BD +:0401F2000793CC069D +:0401F300E219F80015 +:0401F4000400079369 +:0401F5000323069E3C +:0401F600E79300A1EA +:0401F700E693008704 +:0401F8008B0D0086E5 +:0401F9004505858AA9 +:0401FA0000F10223EB +:0401FB0000D102A38A +:0401FC0000E103A378 +:0401FD00C602C40270 +:0401FE0040E226C1F4 +:0401FF008082017188 +:04020000470211118F +:04020100080007B733 +:040202008FF9CC069E +:04020300110A07379E +:040204001047071385 +:04020500C03E8FD98F +:0402060000414783E9 +:040207000037E79342 +:0402080000F10223DC +:04020900C111479543 +:04020A009713478D72 +:04020B0047830027FE +:04020C00858A00419E +:04020D009B8D45057B +:04020E0002238FD95F +:04020F00578300F120 +:04021000F7930061FF +:04021100132370073C +:04021200219900F13D +:04021300017140E253 +:040214001151808282 +:040215001437C222B6 +:04021600C0260002FC +:0402170084AA46016E +:0402180004C0059386 +:0402190004040513C1 +:04021A003B0DC406CE +:04021B000FF4F59354 +:04021C00051346017F +:04021D00338504041D +:04021E0004C0059380 +:04021F0004040513BB +:040220003B2946052B +:0402210004040513B9 +:0402220040A24412A0 +:040223004585448247 +:04022400B32D0131C4 +:04022500C42211419D +:040226000002143787 +:04022700C02AC22601 +:04022800460184AE59 +:0402290004C0059375 +:04022A0004040513B0 +:04022B0031FDC606D5 +:04022C0046014782BE +:04022D0004040513AD +:04022E000FF7F5933E +:04022F0005133B294F +:04023000442204045C +:0402310085A640B2AC +:0402320046054492A7 +:04023300B3210141B1 +:04023400C40611519A +:0402350040A23FBDE7 +:040236000FF5751338 +:04023700808201318F +:04023800C406115196 +:0402390040A23F455B +:04023A000131450148 +:04023B00113180827B +:04023C00C426C622EC +:04023D0084AAC806C1 +:04023E00440187AE42 +:04023F0000C448634C +:04024000443240C242 +:04024100450144A28D +:040242008082015164 +:040243000087873376 +:0402440000074583E7 +:040245000084853379 +:040246000FF5751328 +:04024700C03EC232C1 +:0402480004053F95D5 +:040249004782461290 +:04024A000793BFD186 +:04024B0005333E80B9 +:04024C00F06F02F558 +:04024D001151FCCF80 +:04024E00453D458164 +:04024F00374DC4065D +:04025000458140A202 +:04025100061005137B +:04025200BF5901315E +:04025300C222115161 +:040254000413645DCE +:040255004583404459 +:040256004515002426 +:040257003749C40659 +:0402580000244783B4 +:0402590003D0059336 +:04025A00839D45112A +:04025B0000279713CE +:04025C0000C4478310 +:04025D008FD99BEDAD +:04025E0000F406237F +:04025F004505379585 +:0402600045F5376DBC +:040261003FA945115B +:0402620003000593FD +:040263000610051369 +:0402640005933F813E +:0402650045250B2000 +:04026600059337A124 +:0402670045290F8096 +:040268000593378142 +:04026900452D0370AC +:04026A0045813F2566 +:04026B003F0D453DC1 +:04026C0005134581B0 +:04026D00372D0C908D +:04026E0005134581AE +:04026F00370D0CA09B +:0402700005134581AC +:040271003F290CB065 +:0402720005134581AA +:040273003F090CC073 +:0402740005134581A8 +:0402750037290CD049 +:0402760005134581A6 +:0402770037090CE057 +:0402780005134581A4 +:040279003DED0CF05B +:04027A0005134581A2 +:04027B003DCD0D0068 +:04027C000044458372 +:04027D0040A2441245 +:04027E000E10051346 +:04027F00B5CD0131C7 +:04028000C82211215E +:040281000413C62676 +:04028200CA063E80EA +:04028300872A4685FB +:04028400543387AEBA +:04028500648D0285FD +:0402860000D59563A7 +:04028700849364896F +:040288004585800424 +:04028900C432453DF9 +:04028A00C03AC23E76 +:04028B0045813D5517 +:04028C000330051323 +:04028D00D59335755B +:04028E0005130084D0 +:04028F00354D0340A6 +:04029000051345818C +:040291003D69035070 +:040292000FF475935D +:04029300030005134C +:0402940055933D4100 +:04029500F593008459 +:0402960005130FF548 +:0402970035490310D2 +:040298000104559375 +:040299000FF5F593D5 +:04029A000320051325 +:04029B0045813D95C7 +:04029C0035BD453DEA +:04029D00051345817F +:04029E00359D0C502E +:04029F0064DD4702D1 +:0402A0008693479268 +:0402A100C698404477 +:0402A20084934705F5 +:0402A3004409404486 +:0402A4008363462208 +:0402A500442900E701 +:0402A6008223470563 +:0402A70083A300E449 +:0402A8004685008403 +:0402A9000363473D67 +:0402AA00470100D632 +:0402AB0000E482A346 +:0402AC000C000593AA +:0402AD000E00051327 +:0402AE00351DC03EFC +:0402AF004705478236 +:0402B000059005139D +:0402B1000AE799635C +:0402B20075933521EA +:0402B300E5930FC5FB +:0402B4000513001519 +:0402B5003529059052 +:0402B6003BDD4511D6 +:0402B7000EB5759378 +:0402B8003BFD4511B4 +:0402B9000044C583B5 +:0402BA000E1005130A +:0402BB0005933BD597 +:0402BC0005130E40D8 +:0402BD0033ED0E20EF +:0402BE000054C583A0 +:0402BF000E300513E5 +:0402C0003BF989BDC0 +:0402C1000E80059313 +:0402C2000E400513D2 +:0402C30045853BD161 +:0402C40033F9453D88 +:0402C5000513458157 +:0402C60033D909100F +:0402C7000513458155 +:0402C8003B7D092051 +:0402C900051345854F +:0402CA003B5D09305F :0402CB000513458151 -:0402CC0035A5035001 -:0402CD000FF4759322 -:0402CE000300051311 -:0402CF0055933DB94D -:0402D000F59300841E -:0402D10005130FF50D -:0402D2003D81031057 -:0402D300010455933A -:0402D4000FF5F5939A -:0402D50003200513EA -:0402D60045813589A0 -:0402D7003D35453D2F -:0402D8000513458144 -:0402D9003D150C5073 -:0402DA00879364DDC5 -:0402DB00A4236B44A9 -:0402DC00478501371A -:0402DD006B44849357 -:0402DE004632440957 -:0402DF0000F90363BC -:0402E00047854429E1 -:0402E10000F4822380 -:0402E200008483A36E -:0402E30047BD4705C7 -:0402E40000E60363CA -:0402E50082A3478128 -:0402E600059300F488 -:0402E70005130C00EF -:0402E8003BE50E00E4 -:0402E900051347852D -:0402EA001B630590FD -:0402EB003BF10AF9E0 -:0402EC000FC5759332 -:0402ED000015E59380 -:0402EE00059005135F -:0402EF0045113BF981 -:0402F000759333E9E6 -:0402F10045110EB5F0 -:0402F200C5833BC9BC -:0402F30005130044AB -:0402F40033E10E10D4 -:0402F5000E4005931F -:0402F6000E200513BE -:0402F700C5833B7D03 -:0402F8000513005496 -:0402F90089BD0E307D -:0402FA0005933B4DE0 -:0402FB0005130E8059 -:0402FC0033650E4018 -:0402FD00453D4585B1 -:0402FE004581334DB6 -:0402FF0009100513CA -:0403000045813B698F -:0403010009200513B7 -:0403020045853B49A9 -:0403030009300513A5 -:040304004581336993 -:040305000940051393 -:04030600659333497F -:0403070005130C04CA -:040308003BA5098088 -:040309000FF4459315 -:04030A00E5930592E0 -:04030B00F59300B5B1 -:04030C0005130FB511 -:04030D00339509908B -:04030E00453D4581A3 -:04030F0005933BB95E -:0403100005130C10B5 -:040311003B910E000E -:04031200FC10079341 -:0403130000F483234C -:04031400542250B26D -:0403150059025492A3 -:04031600450549F25E -:04031700808261453A -:040318007593332D79 -:04031900BF890FC5C4 -:04031A004511114137 -:04031B003B31C606A6 -:04031C000085659360 -:04031D000FF5F59350 -:04031E00330545114D -:04031F00059340B250 -:0403200005130300BE -:040321000141061080 -:040322001141BB09C1 -:04032300C6064511B4 -:0403240040B239EDBD -:040325000045659397 -:040326000FF5F59347 -:04032700014145113A -:040328001141B9EDD9 -:04032900C422C6061E -:04032A00E919C226E5 -:04032B0005134581F0 -:04032C0031E50CD0DB -:04032D0040B2450590 -:04032E00449244228F -:04032F008082014186 -:040330004501842ED1 -:040331004585D9ED38 -:0403320039C1453D4B -:0403330000344583CA -:040334000580051328 -:0403350031D14481FD -:0403360000444583B7 -:040337000590051315 -:040338004583396D53 -:040339000513005454 -:04033A00394505A09C -:04033B000064458392 -:04033C0005B00513F0 -:04033D004583315D66 -:04033E00051300742F -:04033F00397105C04B -:04034000008445836D -:0403410005E00513BB -:04034200458339496D -:04034300051300940A -:04034400316105F02E -:0403450000A4458348 -:040346000600051395 -:04034700458339BDF4 -:04034800051300B4E5 -:0403490039950610CC -:04034A0000C4458323 -:04034B000620051370 -:04034C00458331AD07 -:04034D00051300D4C0 -:04034E0031850630BF -:04034F0000E44583FE -:04035000064005134B -:04035100458339990E -:04035200051300F49B -:0403530031B106506E -:0403540000340793D7 -:04035500C583044117 -:040356000785000710 -:04035700F4938C8D02 -:040358001AE30FF4A1 -:040359005537FEF422 -:04035A000513000186 -:04035B0020EF6E051C -:04035C0065376A2077 -:04035D000513000183 -:04035E0020EFAB459C -:04035F0085936960B9 -:04036000F59306F417 -:0403610005130FF57C -:04036200390105D088 -:04036300453D45814E -:04036400458D312969 -:040365001141BF295A -:04036600C422C606E1 -:040367004581E911D2 -:040368000CE005138D -:0403690045053EDD2B -:04036A00442240B237 -:04036B00808201414A -:04036C004501842E95 -:04036D004585D9F5F4 -:04036E0036C5453D0E -:04036F00003445838E -:0403700006800513EB -:0403710045833ED9A9 -:04037200051300442B -:0403730036F10690C9 -:040374000064458359 -:0403750006B00513B6 -:04037600458336C9BC -:0403770005130074F6 -:040378003E6506C018 -:040379000034458384 -:04037A000044478371 -:04037B0006D0051390 -:04037C00059397AEA0 -:04037D008D9D07103B -:04037E00005447835D -:04037F0047838D9D86 -:040380008D9D0064EB -:04038100007447833A -:04038200F5938D9DC5 -:0403830036710FF5CB -:04038400453D45812D -:04038500458D365913 -:040386001141B76109 -:040387004581C422C6 -:04038800453D842A41 -:040389003E95C606D1 -:04038A000C1005133B -:04038B0034333EB910 -:04038C0075930080E5 -:04038D008DC10FE52A -:04038E000C10051337 -:04038F0044223EB90D -:04039000458D40B2A5 -:040391000C600513E4 -:04039200BE810141E6 -:04039300D226717984 -:0403940084AECE4E17 -:0403950045F589AAF7 -:04039600CC524511EF -:04039700C636CA5646 -:04039800D422D6068F -:04039900C85AD04A24 -:04039A003E058AB2E0 -:04039B006A5D46B29F -:04039C006B4A07138E -:04039D0097938A8523 -:04039E00468300167C -:04039F000A1300C776 -:0403A0009AF56B4A15 -:0403A10006238EDDC4 -:0403A2008A8900D76D -:0403A3004505C299B1 -:0403A4004903376969 -:0403A5005537003A8E -:0403A60085A6000127 -:0403A7000513864A6A -:0403A80020EF6F05CE -:0403A900051356E002 -:0403AA0034C507004F -:0403AB000034FB130C -:0403AC0074134785FA -:0403AD000B630035A9 -:0403AE0047890EFB72 -:0403AF000EFB0B63D3 -:0403B00000897793B6 -:0403B1005537CB8968 -:0403B200051300012E -:0403B300641370C59A -:0403B40020EF020430 -:0403B500779353E007 -:0403B600CB890019D6 -:0403B70000015537B5 -:0403B8007245051372 -:0403B90001046413C4 -:0403BA00528020EF5E -:0403BB00002977930B -:0403BC005537CB895D -:0403BD000513000123 -:0403BE00641373450C -:0403BF0020EF0084A7 -:0403C000791351203C -:0403C1000A63004982 -:0403C20055370009A2 -:0403C300051300011D -:0403C40064137485C5 -:0403C50020EF0044E1 -:0403C60085A24FA01D -:0403C7000700051313 -:0403C800A7BFF0EFEC -:0403C9000C0B1263A4 -:0403CA0000015537A2 -:0403CB00758505131C -:0403CC004E0020EFD0 -:0403CD00003AF613E9 -:0403CE000F634785ED -:0403CF00CE5906F607 -:0403D00013634789E3 -:0403D10094130AF681 -:0403D2005537018416 -:0403D3008461000140 -:0403D4007705051391 -:0403D50020EF847D14 -:0403D60074134BA0B1 -:0403D70055370A0488 -:0403D8004589000152 -:0403D9007B85051308 -:0403DA004A8020EF46 -:0403DB000304F49390 -:0403DC000200079381 -:0403DD001AF480632B -:0403DE00030007937E -:0403DF0006F4896334 -:0403E000695D47C14B -:0403E1001AF49B630C -:0403E200000155378A -:0403E3007DC50513BC -:0403E400480020EFBE -:0403E5000593460D29 -:0403E60005135E8914 -:0403E700F0EF0730FC -:0403E80065DDA0BF70 -:0403E9008593464969 -:0403EA00A0A5ED8558 -:0403EB00040464138F -:0403EC006413BF01D6 -:0403ED00B729080420 -:0403EE00000155377E -:0403EF0078850513F5 -:0403F000450020EFB5 -:0403F1000184979359 -:0403F200F41387E198 -:0403F300D8E3040443 -:0403F4006413F8078F -:0403F5007413FA047F -:0403F600B7510FF4F8 -:0403F7000001553775 -:0403F8007A0505136A -:0403F90042C020EFEF -:0403FA0044814401F5 -:0403FB005537A805C5 -:0403FC0005130001E4 -:0403FD0020EF7CC5AC -:0403FE0065DD41A0D8 -:0403FF008593460D8F -:0404000005135EC5BD -:04040100F0EF0730E1 -:0404020065DD9A3FDB -:04040300859346494E -:040404000513EEC529 -:04040500F0EF0760AD -:040406004489993F4D -:0404070007200513B2 -:0404080096BFF0EFBC -:0404090001C5759321 -:04040A008DC18C45CF -:04040B0007200513AE -:04040C0096BFF0EFB8 -:04040D0000CA478357 -:04040E008B8945850C -:04040F004581E391AF -:040410000C000513C4 -:04041100957FF0EFF4 -:04041200451145D576 -:0404130094FFF0EF73 -:04041400051345C1C6 -:04041500F0EF0610EE -:040416006537945F53 -:0404170005130001C8 -:0404180020EF80C58C -:0404190047893AE0F5 -:04041A000EF99B63D9 -:04041B0008800593BD -:04041C00062005139E -:04041D00927FF0EFEB -:04041E00051345C1BC -:04041F00F0EF0630C4 -:04042000059391DFD0 -:040421000513084077 -:04042200F0EF0640B1 -:040423004505911FDB -:04042400955FF0EF01 -:04042500F0EF45119E -:0404260075938F5FDC -:04042700451101D5A5 -:040428008FBFF0EFA3 -:040429000640051371 -:04042A0093DFF0EF7D -:04042B00F0EF451198 -:04042C0075938DDF58 -:04042D00451101551F -:04042E008E3FF0EF1E -:04042F00064004136C -:04043000F0EF45396B -:0404310089418C9FD2 -:04043200147DE9014B -:040433004505044235 -:04043400F0EF804124 -:04043500F46D913F92 -:040436004531458186 -:040437008BFFF0EF58 -:040438000400059324 -:04043900F0EF453566 -:04043A0045858B5F0A -:04043B00F0EF453960 -:04043C0045818ADF8D -:04043D00F0EF45395E -:04043E00F0EF8A5FF2 -:04043F0050B28F7FA9 -:04044000549254225C -:0404410049F2590221 -:040442004AD24A62EE -:0404430045054B42DE -:04044400808261450C -:040445000001553726 -:040446007EC5051357 -:040447002F4020EF33 -:04044800460D65DD1B -:040449005EC5859374 -:04044A00073005135F -:04044B0087DFF0EF68 -:04044C00464965DDDB -:04044D00EC45859362 -:04044E005537BDE978 -:04044F000513000190 -:0404500020EF7FC555 -:04045100460D2CE048 -:040452005E89059327 -:040453000730051356 -:04045400859FF0EFA1 -:04045500464965DDD2 -:04045600EB0585939A -:0404570045E1BD5D61 -:040458000620051362 -:04045900837FF0EFBE -:04045A00051345C180 -:04045B00F0EF063088 -:04045C0045B182DF45 -:04045D001141BF0981 -:04045E00C226C422CC -:04045F0084AE842AB9 -:040460004581453D50 -:04046100F0EFC606EC -:040462000513815F9E -:04046300F0EF059021 -:040464007593FFCFBE -:04046500E49902F51F -:040466008DC1041A26 -:040467000FF5F59305 -:040468000105E59312 -:0404690040B2442237 -:04046A0005134492A0 -:04046B0001410590B6 -:04046C00FEAFF06F80 -:04046D00879367DD2D -:04046E00675DDD47A2 -:04046F006CF72223E1 -:040470000C87A7034B -:04047100F3800513FC -:04047200A683CB0D85 -:0404730007130D4717 -:04047400946310007D -:04047500A60302E6F2 -:04047600A5830D0746 -:0404770065370C4792 -:04047800114100012D -:040479000086569310 -:04047A0082C505131F -:04047B0020EFC606A2 -:04047C0040B2222048 -:04047D0001414501F3 -:04047E008082808276 -:04047F0086AE67DD01 -:04048000A50385AAA1 -:0404810011416C4772 -:04048200F0EFC606CB -:04048300C119A0AF4C -:04048400F3700513F9 -:04048500014140B23F -:040486007179808286 -:04048700D226D42283 -:04048800D606D04A7A -:040489007793CE4E49 -:04048A0086AA0FF639 -:04048B008432872E02 -:04048C0000861913BA -:04048D00E7A964DD9A -:04048E0000865993F8 -:04048F006537C42ADF -:04049000C62E000173 -:040491008745051383 -:0404920020EF85CE04 -:04049300A5031C6041 -:0404940085CA6C4465 -:04049500FA1FE0EF7B -:0404960046A2862ACA -:04049700C10D47321A -:0404980000016537C3 -:04049900051385CEF4 -:04049A0020EF888542 -:04049B0005131A60CB -:04049C0050B2F36007 -:04049D0054925422FF -:04049E0049F25902C4 -:04049F0080826145B1 -:0404A0006C44A50300 -:0404A100F00475935B -:0404A20005A2864ADF -:0404A300FB5FE0EF2C -:0404A400862AD16D66 -:0404A50000016537B6 -:0404A600051385A213 -:0404A70020EF8B05B2 -:0404A8000513172001 -:0404A900B7F1F35064 -:0404AA00CC2211014E -:0404AB00C64EC84A27 -:0404AC00CE06C45262 -:0404AD00892ACA26A8 -:0404AE0089B2842E5D -:0404AF0010000A131C -:0404B0004501E81109 -:0404B100446240F26F -:0404B200494244D2A5 -:0404B3004A2249B2DE -:0404B40080826105DC -:0404B500746384A246 -:0404B6000493008A21 -:0404B700864E10005D -:0404B800854A85A646 -:0404B900FD793F1D6D -:0404BA008C059926EE -:0404BB00BFC9098527 -:0404BC00655D65DD38 -:0404BD0071058593AD -:0404BE006D050513B0 -:0404BF0035A0206FD5 -:0404C000655D65DD34 -:0404C10077058593A3 -:0404C2006E4505136B -:0404C30034A0206FD2 -:0404C400C4221141FC -:0404C500842AC606B9 -:0404C600F0EFC2266B -:0404C7004581971FB5 -:0404C800F0EF45010B -:0404C900E061A75FE8 -:0404CA000713675D50 -:0404CB0043547247DD -:0404CC00019C07B7D1 -:0404CD00CC0787933E -:0404CE0002D7D7B3C7 -:0404CF0000A7060379 -:0404D000468345E931 -:0404D10044030107D8 -:0404D200653700E7A3 -:0404D300051300010C -:0404D40004058FC5C7 -:0404D500849364DDCB -:0404D600063378C4AD -:0404D70087B302B62F -:0404D80066E102D700 -:0404D90080C68693C0 -:0404DA00D68396B27D -:0404DB0087B300E6FD -:0404DC00468302D77A -:0404DD00068500C7C9 -:0404DE0002D787B307 -:0404DF0002F40433EC -:0404E00020EF85A2E2 -:0404E100C60308E066 -:0404E200C583027458 -:0404E3008522026408 -:0404E400F5CFF0EF71 -:0404E5000264C503E5 -:0404E600D20FF0EF52 -:0404E700453D4585C5 -:0404E800DFAFF0EFA3 -:0404E9003E80051339 -:0404EA00D93FE0EF27 -:0404EB0003500513A2 -:0404EC00D5AFF0EFA9 -:0404ED000513842A45 -:0404EE00F0EF0360C8 -:0404EF000512D50F0E -:0404F0008C498011A2 -:0404F100037005137C -:0404F200D42FF0EF24 -:0404F30000C5159398 -:0404F40044228DC150 -:0404F500449240B23B -:0404F6000001653765 -:0404F7009105051353 -:0404F800206F01412F -:0404F90040B202E02B -:0404FA0044924422C2 -:0404FB0080820141B9 -:0404FC00C04A1141A0 -:0404FD004505892AFE -:0404FE00C422C60648 -:0404FF00F0EFC22632 -:04050000F0EFA1DF98 -:040501004581867F2B -:04050200F0EF4501D0 -:040503003693899F03 -:040504004601001993 -:0405050045054581E2 -:04050600A35FF0EF10 -:04050700020915636D -:0405080064DD645DED -:040509007244041321 -:04050A0078C484939A -:04050B0000F44703AE -:04050C000164C6833D -:04050D0045814601DD -:04050E00F0EF4501C4 -:04050F00C783BD2FB2 -:0405100006A30164D9 -:04051100854A02F421 -:04051200442235E169 -:04051300449240B21C -:040514004501490252 -:04051500F06F014141 -:0405160067DD9C5FA2 -:04051700724787930D -:0405180043D8114172 -:040519000437C422BD -:04051A000413019C29 -:04051B005433CC0485 -:04051C00C22602E40D -:04051D008703C60684 -:04051E0046E900A703 -:04051F00879367E176 -:0405200084AA80C762 -:040521000001653739 -:04052200C5450513B3 -:0405230002D70733C1 -:04052400D78397BA28 -:04052500043300E7B4 -:0405260085A202F4B4 -:04052700775010EF0A -:0405280067DDE8B1F2 -:040529006F57C783BE -:04052A008E63472174 -:04052B00474102E75B -:04052C0000E78A63F7 -:04052D009A63471175 -:04052E00450102E79A -:04052F00031020EFA6 -:04053000A8214505B4 -:0405310001C9C7B77E -:0405320037F787937D -:040533000087E363F7 -:04053400852644BD17 -:04053500019020EF22 -:040536004422450D09 -:04053700449240B2F8 -:04053800206F0141EE -:0405390045013560E3 -:04053A004501B7F5CB -:04053B00001020EF9D -:04053C00B7E54501D9 -:04053D00871367DDDC -:04053E00468378C7B1 -:04053F00470902075F -:0405400078C78413E1 -:040541008363453D4E -:04054200450100E689 -:040543007E0020EF27 -:0405440002044783E3 -:04054500450D470910 -:04054600FCF771E36A -:040547008D1D4515AC -:040548000FF5751323 -:04054900715DBF5DC4 -:04054A00C686678971 -:04054B00C2A6C4A2DE -:04054C00DE4EC0CAF5 -:04054D00DA56DC524C -:04054E00D65ED85A43 -:04054F00D266D4623A -:04055000CE6ED06A31 -:040551007107879314 -:040552000002173755 -:040553000C072683E8 -:040554000006D46366 -:04055500FBFD17FD96 -:0405560031F020EF71 -:0405570087936BDD3E -:04055800CD83724B92 -:04055900872A00B736 -:04055A00724B841349 -:04055B00000D8A63A2 -:04055C00000217B7CB -:04055D000C07A803DC -:04055E000108581325 -:04055F0000387D9350 -:04056000037005130C -:0405610020EFC63A87 -:04056200892A35604D -:0405630003800513F9 -:0405640034C020EF90 -:0405650019936785FA -:0405660087930085F2 -:04056700F9B3F007ED -:04056800579300F9AC -:040569008B85006519 -:04056A00051384AA47 -:04056B0004A3039052 -:04056C0020EF00F488 -:04056D00C42A32A0CA -:04056E0003A00513CE -:04056F00320020EF47 -:04057000000217B7B7 -:040571000C07AB03C5 -:04057200E9B3478919 -:040573005B130129EC -:040574007B13012BC9 -:040575008AAA7FFBD4 -:0405760047320B05F8 -:0405770002FD866398 -:0405780000B4478301 -:040579000793E78974 -:04057A00FF6318F013 -:04057B004785013778 -:04057C0000FD996382 -:04057D00BA1389DA4A -:04057E004A131909FA -:04057F004C81001A91 -:04058000F493A8093F -:04058100D8E50204B3 -:040582000C89BA1313 -:04058300001A4A13FD -:0405840047834C85D8 -:04058500695D00B4F8 -:0405860009136C5D8C -:0405870004936A4926 -:040588006D5D78CC61 -:040589001C07946354 -:04058A003A070F63BA -:04058B003A0A0D63B8 -:04058C0000090783D8 -:04058D006537458DFC -:04058E008D9D00013E -:04058F009485051337 -:040590005D1010EFFB -:0405910000090783D3 -:040592005C63470956 -:04059300478518F789 -:040594000009002337 -:0405950000F405A3C6 -:040596009A1349115A -:0405970047A2008AED -:04059800A6036A85C7 -:040599008A93724B84 -:04059A007A33F00AB6 -:04059B006A33015A64 -:04059C00079300FAC7 -:04059D0040580016AC -:04059E00EC636ADDC3 -:04059F008793013706 -:0405A000E8630019F3 -:0405A100166300C716 -:0405A200478300EAA1 -:0405A3008F630084DE -:0405A40065373597EB -:0405A500886E00015B -:0405A60086D287DA98 -:0405A700051385CEE5 -:0405A80010EF9705B4 -:0405A90086A356F0DF -:0405AA00C703760A03 -:0405AB00478300C4BE -:0405AC001A63023498 -:0405AD00C70308F781 -:0405AE00478300D4AB -:0405AF00146302448B -:0405B000C70308F77E -:0405B100478300E498 -:0405B2001E6302546E -:0405B300C70306F77D -:0405B400478300F485 -:0405B5001863026461 -:0405B600C70306F77A -:0405B7004783010471 -:0405B8001263027454 -:0405B900C70306F777 -:0405BA0047830074FF -:0405BB001C6301E4D8 -:0405BC00C70304F776 -:0405BD0047830084EC -:0405BE00166301F4CB -:0405BF00C70304F773 -:0405C00047830094D9 -:0405C10010630204BD -:0405C200C70304F770 -:0405C300478300A4C6 -:0405C4001A630214A0 -:0405C500C70302F76F -:0405C600478300B4B3 -:0405C7001463022493 -:0405C800C70302F76C -:0405C90047830194CF -:0405CA001E630304A5 -:0405CB00C70300F76B -:0405CC00478301A4BC -:0405CD001863031498 -:0405CE00C70300F768 -:0405CF004783024418 -:0405D000096303B404 -:0405D100470900F7DF -:0405D200736387CAFE -:0405D300478900E96B -:0405D4000FF7F91311 -:0405D5000174C703E3 -:0405D60002E4478371 -:0405D70002F70863BC -:0405D80000A40783F1 -:0405D90087B3476934 -:0405DA00676102E76C -:0405DB0080C70713BB -:0405DC00D70397BAF0 -:0405DD000793010778 -:0405DE00196320D0AD -:0405DF00470900F7D1 -:0405E000736387CAF0 -:0405E100478900E95D -:0405E2000FF7F91303 -:0405E300785D478375 -:0405E4000703C3B195 -:0405E50046E900A43F -:0405E600073367E18F -:0405E700879302D71D -:0405E800450380C780 -:0405E9001593010461 -:0405EA0081C1010AC0 -:0405EB0097BA460174 -:0405EC0000E7D7034A -:0405ED0002E50533EB -:0405EE0003044703B8 -:0405EF0081410542FF -:0405F000D603C7095E -:0405F10082050187F7 -:0405F20020EF8A0567 -:0405F30013632CC0A2 -:0405F40049050009AC -:0405F500733BA2238F -:0405F6000144222377 -:0405F7000194042344 -:0405F8000785A83596 -:0405F90000F90023E2 -:0405FA00BD85490171 -:0405FB001C63C319A1 -:0405FC0005831E0A4B -:0405FD006537000955 -:0405FE0005130001E0 -:0405FF00059595C504 -:04060000411010EFA6 -:040601000009078362 -:04060200D9635771F0 -:0406030000231CE7CD -:0406040005A3000941 -:040605004911000493 -:04060600E40A11E30E -:0406070078CC470361 -:0406080001744783AF -:0406090006F71E636F -:04060A000014C7030E -:04060B00018447839C -:04060C0006F7186372 -:04060D000024C703FB -:04060E000194478389 -:04060F0006F7126375 -:040610000034C703E8 -:0406110001A4478376 -:0406120004F71C636A -:040613000044C703D5 -:0406140001B4478363 -:0406150004F716636D -:040616000054C703C2 -:0406170001C4478350 -:0406180004F7106370 -:040619000124C703EE -:04061A00029447837C -:04061B0002F71A6365 -:04061C000134C703DB -:04061D0002A4478369 -:04061E0002F7146368 -:04061F000144C703C8 -:0406200002B4478356 -:0406210000F71E635D -:040622000114C703F5 -:040623000284478383 -:0406240000F7186360 -:040625000254C703B1 -:0406260003C447833F -:0406270000F7056370 -:04062800000913634F -:04062900C5034905B7 -:04062A00478301847D -:04062B008B6302F4E7 -:04062C00458300A75B -:04062D0020EF0104B5 -:04062E0008A34F408E -:04062F00136300A4AD -:04063000490500096F -:0406310001C4C50338 -:0406320003344783C3 -:0406330000A7846335 -:0406340052E020EF81 -:0406350001D4C50324 -:0406360003444783AF -:0406370000A7846331 -:0406380013C020EFDC -:0406390001E4C50310 -:04063A00035447839B -:04063B0000A784632D -:04063C00136020EF38 -:04063D000214C503DB -:04063E000384478367 -:04063F000224C58349 -:0406400000A7966316 -:040641000394478354 -:0406420000B7846316 -:040643000F2020EF75 -:0406440001B4C50335 -:0406450003244783C0 -:0406460000A78B631B -:04064700053347E14F -:0406480067DD02F573 -:040649008A478793C2 -:04064A0020EF953ECA -:04064B00C5032CA017 -:04064C0047830204DA -:04064D00846303744B -:04064E00F0EF00A722 -:04064F00C503B21F0E -:04065000478301F4E7 -:040651008463036457 -:0406520020EF00A7EE -:0406530065DD3D40E4 -:04065400461D69DDF9 -:0406550076458593CE -:040656007B59851334 -:040657002B1020EF55 -:040658008513C50938 -:0406590020EF7B59BA -:04065A00C7030C8046 -:04065B00478302646B -:04065C00186303D448 -:04065D00C70300F7D8 -:04065E004783027458 -:04065F00066303E447 -:04066000C50300F7D7 -:04066100F0EF015461 -:0406620067DD98BFF9 -:040663007867C7836A -:04066400C503CF817A -:040665004783028441 -:04066600876303F4AF -:04066700155100A782 -:040668008561056241 -:04066900367010EFE8 -:04066A0078CC0593B0 -:04066B0001740513FE -:04066C00031006135E -:04066D00289020EFC2 -:04066E00442640B628 -:04066F00780D02A35D -:040670004496854ADD -:0406710059F24906EB -:040672005AD25A629C -:040673005BB25B42D9 -:040674005C925C2216 -:040675004DF25D02E3 -:0406760080826161BC -:04067700002317FD48 -:04067800490100F93B -:040679000023BD1588 -:04067A00BFE50009CF -:04067B0076DAC783E1 -:04067C008CE34705BF -:04067D000785CAE73C -:04067E000FF7F793E8 -:04067F0076FA86A3DE -:04068000CAE795E34D -:0406810087CA4709D4 -:0406820000E97363B5 -:04068300F913478997 -:04068400B9610FF752 -:04068500D22671798F -:04068600CC5264DD11 -:04068700D606C4626D -:04068800D04AD4225E -:04068900CA56CE4E31 -:04068A00C65EC85A26 -:04068B00724487939B -:04068C0000A785033B -:04068D0066E1466973 -:04068E0002C5063368 -:04068F000107C70395 -:040690000177C783A4 -:0406910080C6859307 -:0406920084934809FC -:040693008C1372440E -:0406940095B280C6D5 -:040695000145C60352 -:0406960000C5DA03BE -:040697000135C983DD -:040698001B07956344 -:040699000184C9030C -:04069A0079130905C2 -:04069B00D7830FF9F9 -:04069C0044110144C0 -:04069D0080078693B9 -:04069E006685C28526 -:04069F0080068593B9 -:0406A0001CF5E963F9 -:0406A10002000693BA -:0406A2001ED78F636D -:0406A3001AF6E563FB -:0406A400836346C165 -:0406A500843A00D7BC -:0406A600C68367DDC3 -:0406A70047A16F57A1 -:0406A80000F6956360 -:0406A90006420606F9 -:0406AA00C78382413F -:0406AB004B050124D6 -:0406AC0000C7F76329 -:0406AD0040F60B33D5 -:0406AE005B130B428D -:0406AF0047E9010B0B -:0406B00002F507B395 -:0406B10046898F01E6 -:0406B2000294CA8361 -:0406B30000016537A6 -:0406B400C64505131F -:0406B500D58397E270 -:0406B600C78300A74F -:0406B7000AB301275A -:0406B80097DA035476 -:0406B90002E585B31E -:0406BA0002D5C5B3ED -:0406BB0002E7873398 -:0406BC0001059B9306 -:0406BD00010BDB93BF -:0406BE0005C29ADEF9 -:0406BF000AC281C129 -:0406C000010ADA93BE -:0406C1001B93975E92 -:0406C200DB930107BE -:0406C300865E010B43 -:0406C400101010EF13 -:0406C50000D4C70393 -:0406C6000537478528 -:0406C7001593200067 -:0406C800C70301E77C -:0406C9001363022491 -:0406CA00450100F7EF -:0406CB0000A486837E -:0406CC00C60347E931 -:0406CD0086B30104EB -:0406CE00073702F6F2 -:0406CF0007130010FD -:0406D0000442800759 -:0406D100096E0ACED6 -:0406D20050B209AE6B -:0406D300C78396E261 -:0406D4000B330126BD -:0406D5004C2202CBE6 -:0406D60002C787B31D -:0406D70007AE0B520D -:0406D800D7038FF9BC -:0406D900073300A63D -:0406DA00062A02C723 -:0406DB007FF777131B -:0406DC008FD98F4DD6 -:0406DD000FF00737DC -:0406DE0000EB7B337F -:0406DF000167E7B315 -:0406E00000021737C6 -:0406E10028238FC972 -:0406E200C7830AF7C9 -:0406E3004B42011471 -:0406E4008FD107B6F5 -:0406E50064338C5D91 -:0406E6006433015424 -:0406E7002023017457 -:0406E800C7830A8733 -:0406E900C60300C480 -:0406EA00C68301C4FE -:0406EB0007F60156B7 -:0406EC008FD1066A3A -:0406ED0002A4C6039A -:0406EE00542206C6C6 -:0406EF008FD106524F -:0406F000E9338FD586 -:0406F1006933012741 -:0406F200693301491E -:0406F300282301397E -:0406F400C783092788 -:0406F500C68303C4F1 -:0406F600590202B4EF -:0406F70006AA07BA8E -:0406F800C6838FD551 -:0406F90049F201B40D -:0406FA008FD54A62EC -:0406FB0001A4C6830D -:0406FC004BB24AD2E1 -:0406FD008FD506A6E9 -:0406FE000194C6831A -:0406FF000692549279 -:0407000020238FD54E -:04070100614508F74F -:040702004685808226 -:0407030090E3490135 -:04070400D783E6D7DA -:04070500C90301859E -:040706008B8500C41B -:0407070008E3C789B3 -:04070800490DE409AA -:0407090004E3B5A9A7 -:04070A00C903E40932 -:04070B0019710175EA -:04070C00012039335C -:04070D000693BD2D65 -:04070E00440D100086 -:04070F00E4D78EE3BA -:04071000200006932C -:04071100E4D799E3AD -:040712000284C78313 -:040713008C1D4411E4 -:040714006589A081D2 -:0407150004B7816341 -:0407160000F5E8639F -:04071700E2D79DE3A5 -:040718000284C7830D -:04071900B7E54415E7 -:04071A0084636691FD -:04071B0066A104D7F8 -:04071C00E2D793E3AA -:04071D000284C78308 -:04071E008C1D4419D1 -:04071F000FF474134C -:040720000224C78365 -:04072100C783A821C1 -:04072200440D0284FC -:0407230004068C1D1F -:040724000FF4741347 -:04072500C783B511C0 -:04072600843A0224EB -:04072700DE079EE368 -:0407280009B11A21D8 -:0407290009C20A42B5 -:04072A00010A5A1353 -:04072B000109D99354 -:04072C00C783B3E5E7 -:04072D004415022449 -:04072E001101B7D529 -:04072F0067DDC64E6E -:04073000470569DD33 -:0407310076E786A33E -:0407320072498793EE -:0407330043C4CA26CB -:04073400CE06CC22FF -:04073500C452C84A98 -:04073600C05AC2568D -:040737007249A583DB -:040738000087C783EC -:04073900724984136A -:04073A0020048663AE -:04073B0020058463AE -:04073C00019C0937DC -:04073D00A0EEC8372B -:04073E00CC090913C6 -:04073F00B0080813E3 -:04074000029959338E -:0407410002B858336F -:0407420054B3C79154 -:0407430006130298FF -:04074400A0310700D9 -:0407450054B308069B -:0407460006130298FC -:04074700653706907C -:040748000513000194 -:0407490010EFB645B2 -:04074A0008136EA082 -:04074B0007930640CA -:04074C00404C3E805F -:04074D00000165370B -:04074E00B745051393 -:04074F000304DAB312 -:0407500002F95B331C -:04075100F4B3875620 -:04075200865A0304BC -:0407530002F97933FB -:0407540086CA87A624 -:040755006BC010EF76 -:0407560003B00513D4 -:04075700381010EF57 -:0407580005138A2AD1 -:0407590010EF03C0DA -:04075A00468337702B -:04075B00761300947D -:04075C007A1301F516 -:04075D0065370FFAF3 -:04075E0085D200013F -:04075F00BAC50513FF -:04076000690010EF2D -:040761000164478365 -:0407620000844603C6 -:0407630000279713C1 -:04076400879367D937 -:0407650097BA91C7E7 -:04076600A70343940E -:040767000793724939 -:04076800E21907008B -:04076900069007935C -:04076A0000016637ED -:04076B000613655DAF -:04076C0045C5BD863C -:04076D006E450513BD -:04076E0075C020EF43 -:04076F00573347298C -:04077000663702E9FD -:04077100655D0001C1 -:04077200882687D678 -:04077300061386DA09 -:0407740045C5BE06B3 -:0407750077050513EC -:0407760073C020EF3D -:04077700C78367E1EC -:04077800E3998097EA -:04077900D1CFF0EFFD -:04077A00C68364DDF1 -:04077B0045837844F6 -:04077C00A50300844D -:04077D0086567249E1 -:04077E002C8020EFBC -:04077F0000A40523AA -:040780001B6357FDA3 -:04078100653700F5E3 -:04078200051300015A -:0407830010EFBF852F -:040784004791602019 -:0407850000F4052354 -:0407860000A4058343 -:0407870049E967DDF8 -:040788006CB78523A2 -:04078900033585B3FC -:04078A000913696185 -:04078B00C78380C9D7 -:04078C006ADD784466 -:04078D0000016537CB -:04078E00C2C50513C8 -:04078F00C70395CA3D -:040790008F7D0165F3 -:040791006EEA8AA3DF -:0407920000E5D48327 -:040793000104470313 -:0407940002E484B344 -:0407950084B3405891 -:04079600D4B3034491 -:04079700862602E4CC -:040798005B0010EF03 -:0407990000A407832E -:04079A00010445838E -:04079B006F5AC503C9 -:04079C00033787B3E5 -:04079D0000445603BB -:04079E00993E4681B9 -:04079F0000E9578393 -:0407A00002F585B326 -:0407A1000304478383 -:0407A20081C105C24A -:0407A3005683C78929 -:0407A40082850189C0 -:0407A500F7138A8537 -:0407A60010EF0FF44D -:0407A70045037E7018 -:0407A800F0EF0374F7 -:0407A9004583DB8F1A -:0407AA0045030104FE -:0407AB0010EF02F455 -:0407AC0008A36FD05F -:0407AD00F0EF00A4C5 -:0407AE004783B5FFC9 -:0407AF00450302C438 -:0407B000458100E49B -:0407B1004583E79104 -:0407B2008D8900F439 -:0407B3000015B593E5 -:0407B400AA6FF0EF49 -:0407B50003A44783CF -:0407B60002C4450331 -:0407B7004462C78D44 -:0407B80044D240F2F5 -:0407B90049B24942B6 -:0407BA004A924A22F3 -:0407BB0061054B0287 -:0407BC00D00FF06FFB -:0407BD0069116485D5 -:0407BE0077048493A5 -:0407BF00D5490913FC -:0407C000E0078DE3DE -:0407C100E115B52960 -:0407C20000F44703F5 -:0407C30002D4468393 -:0407C40040F2446259 -:0407C500494244D28F -:0407C6004A2249B2C8 -:0407C7004B024A9205 -:0407C8004581460120 -:0407C900E06F610577 -:0407CA0040F28E7FEC -:0407CB0044D244626E -:0407CC0049B24942A3 -:0407CD004A924A22E0 -:0407CE0061054B0274 -:0407CF0011418082D2 -:0407D00064DDC226FC -:0407D1006CD4C5031C -:0407D200C606C42271 -:0407D30028E010EF1B -:0407D400E121842A71 -:0407D5006CD4C68397 -:0407D600472967DD6B -:0407D70072D780A3B2 -:0407D800C78367DD8F -:0407D90085637BC7F2 -:0407DA00675D00E770 -:0407DB006CF707238D -:0407DC00C70367DD0B -:0407DD0047817227B7 -:0407DE0067DDC7010B -:0407DF006CE7C78379 -:0407E0000713675D37 -:0407E10097BA70074C -:0407E2008023453DEE -:0407E30010EF00D73C -:0407E400852210401A -:0407E500442240B2B8 -:0407E60001414492F7 -:0407E70011418082BA -:0407E80064DDC226E4 -:0407E9006CD4C50304 -:0407EA00C606C42259 -:0407EB000E6010EF9D -:0407EC00E51D842A59 -:0407ED006CD4C6837F -:0407EE0080A367DDA0 -:0407EF0067DD72D779 -:0407F0007227C703A2 -:0407F100C701478174 -:0407F200C78367DD75 -:0407F300675D73A724 -:0407F4007007071370 -:0407F500453D97BA2D -:0407F60000D7802385 -:0407F7000B6010EF94 -:0407F80040B2852264 -:0407F90044924422C0 -:0407FA0080820141B7 -:0407FB00C70367DDEC -:0407FC0046E96CA7B7 -:0407FD00862367DD0B -:0407FE00073376E760 -:0407FF0067E102D7D5 -:0408000080C7879393 -:04080100D68397BA49 -:04080200675D00E747 -:0408030070D7162371 -:040804000147C6835F -:040805001423675DF4 -:04080600C68378D756 -:04080700675D012701 -:040808006CD7142372 -:0408090000A7D683EB -:04080A001E23675DE5 -:04080B00C6836ED75B -:04080C00675D0157CC -:04080D006ED71B2364 -:04080E000137C68365 -:04080F001123675DED -:04081000D70378D7BB -:0408110067DD00C7D8 -:040812006EE79D23CD -:0408130067DD80829B -:0408140076C7C603DA -:04081500DF0367DDB9 -:0408160067DD70C763 -:040817007887DE837D -:04081800CE0367DDC7 -:04081900675D6C8724 -:04081A00D30367DDC0 -:04081B0007136FC789 -:04081C0067DD7247DB -:04081D00D88386AA4C -:04081E0045036F67B8 -:04081F0067DD00B7DA -:040820007827C8036A -:04082100D58367DD37 -:0408220067E16FA774 -:0408230080C7879370 -:040824000703C929D4 -:04082500166300A7AF -:04082600476904C753 -:0408270002E60733AB -:040828005503973E9F -:040829001A6300E767 -:04082A00450303E59A -:04082B001663014708 -:04082C00450303D5A8 -:04082D00126301272A -:04082E00550303C5A6 -:04082F001E6300A79D -:040830004503006517 -:040831001A630157EE -:040832004503011564 -:040833001663013710 -:040834005703010560 -:04083500066300C78F -:04083600675D00B743 -:0408370002A34505CE -:04083800476978A7ED -:0408390002E606339A -:04083A000513655DE0 -:04083B0097B27105FA -:04083C00000166371A -:04083D0000B7962347 -:04083E0001E7972314 -:04083F0001D78A2330 -:0408400001C7892340 -:040841000067952394 -:0408420001178AA36D -:04084300010789A37D -:0408440073C606135E -:04084500206F45C516 -:0408460011413FE03D -:04084700C422C606FB -:04084800143747C555 -:040849002023000266 -:04084A0020230EF465 -:04084B0028230E044C -:04084C0065090A042C -:04084D000804282350 -:04084E007105051318 -:04084F00FFFFD0EFE8 -:040850001D1007135D -:040851009C2367DDA0 -:0408520015376EE701 -:040853000793000304 -:0408540020231D1030 -:0408550005130EF485 -:04085600D0EFD40506 -:040857002637FE1F23 -:0408580005B70006DA -:040859000613019CE5 -:04085A008593A806D4 -:04085B000513CC05B0 -:04085C00E0EF0404C1 -:04085D0010EFBF2FAA -:04085E0010EF440053 -:04085F00ED0967A098 -:0408600000016537F7 -:040861009D050513D9 -:04086200288010EFEB -:04086300852254791D -:04086400442240B238 -:04086500808201414B -:0408660010EF450149 -:04086700079374205F -:040868001A630FF010 -:04086900653700F5FA -:04086A000513000171 -:04086B0010EF9F45A6 -:04086C005475262079 -:04086D0010EFBFE9E0 -:04086E00450939F00F -:04086F00FCEFE0EFCB -:040870000A6347CD03 -:04087100653700F5F2 -:040872000513000169 -:0408730010EFA1855C -:040874005471242077 -:04087500E0EFBF6D84 -:0408760010EF863FBA -:04087700C919352046 -:0408780000016537DF -:04087900A3C50513FB -:04087A00228010EFD9 -:04087B00470567DDE9 -:04087C0078E7832373 -:04087D00FC1FE0EF8D -:04087E00C909842AF6 -:04087F0000016537D8 -:04088000A4C50513F3 -:0408810020C010EF94 -:04088200B751547D99 -:0408830065D9291DED -:040884000613655D95 -:040885008593031044 -:040886000513D10580 -:0408870020EF73B536 -:0408880065D921E02D -:040889000613655D90 -:04088A0085930360EF -:04088B000513EC85E0 -:04088C0020EF7C05D8 -:04088D00453D20A025 -:04088E007A3000EFCD -:04088F00C50367DD59 -:0408900000EF7217EC -:0408910017B779908C -:04089200A783000236 -:0408930097130D07A3 -:04089400436300E7D3 -:040895002125000712 -:04089600F0EF450139 -:04089700BF05996F91 -:0408980000016637BE -:040899001141655D47 -:04089A00A746061354 -:04089B00051345C537 -:04089C00C606710516 -:04089D002A0020EF1E -:04089E00878FF0EF61 -:04089F00000F4537CA -:0408A0002405051313 -:0408A100EB7FD0EF2A -:0408A200557D40B28E -:0408A300808201410D -:0408A400C606114132 -:0408A500A27FF0EF4F -:0408A600730010EFDC -:0408A70040B267DD17 -:0408A8007A17C503F3 -:0408A900F06F0141AA -:0408AA00715D94AF39 -:0408AB00D65ED85AE3 -:0408AC00C4A2C68696 -:0408AD00C0CAC2A655 -:0408AE00DC52DE4EEC -:0408AF00D462DA56DF -:0408B000D06AD266D2 -:0408B1003D91CE6E39 -:0408B2006BDD6B5D32 -:0408B3001005476382 -:0408B40000016537A3 -:0408B500A80505137A -:0408B600138010EFAC -:0408B700000166379F -:0408B80005200713FD -:0408B900061346815B -:0408BA0045C5AB86FF -:0408BB006E4B051368 -:0408BC00224020EFC7 -:0408BD00000165B71A -:0408BE008593464593 -:0408BF008513B505E3 -:0408C00020EF770BA3 -:0408C100E0EF13A0B1 -:0408C200A537FFBF98 -:0408C3000513000712 -:0408C400645D120558 -:0408C500D0EF64DD2F -:0408C6004901E25FA3 -:0408C7006CE14A0195 -:0408C800724404135F -:0408C90084936D5D4A -:0408CA0017B778C420 -:0408CB00A7830002FD -:0408CC0006B70D0757 -:0408CD0065E10003DE -:0408CE000107971374 -:0408CF00A02383419E -:0408D000C71380ECDE -:0408D10083E1FFF7C9 -:0408D200F6138F7515 -:0408D30066E10FF7D4 -:0408D40080C5842334 -:0408D50080E6A223F4 -:0408D600CF8165DD8C -:0408D700FFE607939E -:0408D8000FF7F7938C -:0408D9007663450DF0 -:0408DA00C78300F5DB -:0408DB0094637FC5DE -:0408DC00A02300C78E -:0408DD0067DD800C47 -:0408DE007EC58E2322 -:0408DF007F87A60366 -:0408E0007EE7AC23E0 -:0408E10021A1E24926 -:0408E200C7836AE17D -:0408E300C62A809A07 -:0408E4004501C78182 -:0408E500249000EF6C -:0408E600C70366DD01 -:0408E70047836CE6F1 -:0408E8008A630164BA -:0408E90047BD14E70C -:0408EA0078FD022370 -:0408EB00FF63478DD3 -:0408EC00479504E741 -:0408ED0006E7F063C7 -:0408EE004D894C05DF -:0408EF0007934A0918 -:0408F000F793FFF784 -:0408F10045A10FF717 -:0408F20004F5EA63BC -:0408F300078A65D932 -:0408F4008D858593D6 -:0408F500439C97AEDB -:0408F6006637878258 -:0408F70086AA0001CC -:0408F800ACC6061371 -:0408F900051345C5D9 -:0408FA0020EF6E4B32 -:0408FB0055B712A03B -:0408FC00464500016C -:0408FD0070858593EA -:0408FE00770B8513DC -:0408FF001E8020EF48 -:04090000F01FE0EF15 -:04090100A223A0018C -:04090200BFB58006F7 -:040903004D854C0DC5 -:04090400B7754A0178 -:040905004D814C09CB -:040906004901BFE5FF -:04090700879369D990 -:04090800070A91C980 -:04090900430C973EC6 -:04090A00000165374C -:04090B00ADC505135E -:04090C007E1000EF6A -:04090D00C78367DD58 -:04090E008993722730 -:04090F0066DD91C947 -:04091000C783C39D39 -:04091100675D6CE6CC -:040912007007071350 -:04091300C50397BAC7 -:0409140067DD000794 -:040915007217C7038B -:0409160000A70763CC -:0409170072A780A3A0 -:0409180057B000EFE5 -:04091900C78366DD4D -:04091A0005A36CE6DF -:04091B004705000488 -:04091C0000F40B23B5 -:04091D000374478395 -:04091E007663458D2A -:04091F00459500F703 -:04092000F5938D9D21 -:04092100856E0FF5DB -:040922003FA010EFF3 -:040923004DE010EFA4 -:04092400FFAFE0EF52 -:04092500C78367DD40 -:04092600C7817867A6 -:0409270010EF8562E6 -:0409280085CA046018 -:0409290010EF8552F4 -:04092A00478326F0E9 -:04092B0046450164D8 -:04092C006E4B0513F6 -:04092D0099BE078ADE -:04092E000009A58394 -:04092F00000422237B -:04093000124020EF62 -:04093100000165B7A5 -:04093200859346451E -:040933008513AF85F4 -:0409340020EF770B2E -:04093500C783112043 -:04093600E399809A27 -:04093700E25FE0EFAC -:04093800C70367DDAD -:0409390047A96CC797 -:04093A0000F7176348 -:04093B00C78147B277 -:04093C0000EF453D46 -:04093D00C7033A10A2 -:04093E004783015496 -:04093F00036302C488 -:04094000470102F772 -:0409410046014681A4 -:0409420045014581A5 -:04094300B00FE0EF22 -:040944000154C50392 -:04094500EDDFE0EF13 -:040946000154C7830E -:040947000004222363 -:0409480002F406238C -:040949000154C7830B -:04094A00C583EB95E1 -:04094B004783016479 -:04094C00856302D4E9 -:04094D00653702B751 -:04094E00051300018C -:04094F0000EFB045C0 -:0409500047036D30BC -:04095100C68300F465 -:0409520046010164F5 -:040953004501458194 -:04095400ABCFE0EF56 -:040955000164C783EF -:0409560002F406A3FE -:04095700016447836D -:0409580085CACBADD4 -:04095900E0EF8552F4 -:04095A004789FC1FAE -:04095B000AF50F6327 -:04095C000A63479152 -:04095D00478502F5D3 -:04095E0004F51D631C -:04095F0000B4478316 -:040960006537CBA983 -:040961000513000179 -:0409620000EFB405E9 -:04096300F0EF6870D9 -:04096400A081C86F37 -:04096500B55949092E -:04096600B549490D39 -:04096700022347C15F -:04096800490578FDC8 -:040969004783BDA55E -:04096A00CB8D00B47D -:04096B0000016537EB -:04096C00B185051339 -:04096D0065D000EF62 -:04096E005783675DE7 -:04096F00E7936F8714 -:040970001C2300271D -:0409710017376EF7CF -:04097200202300023C -:04097300F0EF0EF79C -:040974000513CC3F5C -:04097500D0EF12C0ED -:04097600BB81B65F2C -:0409770000016537DF -:04097800B24505136C -:0409790062D000EF59 -:04097A000004222330 -:04097B0037E010EF62 -:04097C000164478348 -:04097D0005134645D3 -:04097E0097136E4B12 -:04097F0067D900270D -:0409800091C7879301 -:04098100438C97BA52 -:040982007DD010EF25 -:04098300000165B753 -:0409840085934645CC -:040985008513AF85A2 -:0409860010EF770BEC -:04098700C7837CB0F6 -:04098800FBC5809A91 -:04098900CDDFE0EFEF -:04098A004783B76D7B -:04098B00D3D500B40C -:04098C0000016537CA -:04098D00B305051396 -:04098E005D9000EF89 -:04098F00E7EFF0EFAF -:0409900065D9BF491D -:040991001141655D4E -:040992000310061335 -:04099300D105859372 -:0409940078C505130A -:0409950010EFC60693 -:0409960065DD5E704D -:04099700061365617D -:0409980085932F20F4 -:040999000513A7C5D6 -:04099A0010EF80C515 -:04099B0040B25D30D9 -:04099C00470567DDC7 -:04099D0078E782A3D2 -:04099E0001414501CD -:04099F00715D808284 -:0409A000C2A6C4A285 -:0409A10064D9DE4EE9 -:0409A200645D69DD4A -:0409A300DC52C0CA98 -:0409A400C686D266CB -:0409A500D85ADA56EC -:0409A600D462D65EE3 -:0409A700CE6ED06AD6 -:0409A8004C81490134 -:0409A900E5C484938A -:0409AA0004138A4E5A -:0409AB0065B77C04AC -:0409AC0046450001BB -:0409AD00F005859339 -:0409AE006D09851337 -:0409AF00729010EF43 -:0409B000002C97136D -:0409B100430C972636 -:0409B2004645655DF4 -:0409B30071050513B2 -:0409B400715010EF7F -:0409B500C1DFE0EFCF -:0409B60047016AC1CA -:0409B700FFFA8D13A3 -:0409B8006BE16B6123 -:0409B90000016DB715 -:0409BA0016B76C5DA3 -:0409BB00A58300020E -:0409BC0005370D06E8 -:0409BD00F63300030A -:0409BE00202301A54C -:0409BF00A68380CBC0 -:0409C000C6930D06C7 -:0409C1008EE9FFF6C6 -:0409C20080DBA22311 -:0409C3000263C21DEC -:0409C40096930326DD -:0409C50096A2001CDA -:0409C6009023E32D6A -:0409C700464500B6EB -:0409C800F08D859396 -:0409C9006D0A05139B -:0409CA006BD010EFEF -:0409CB00BC5FE0EF3E -:0409CC0026034705B2 -:0409CD00A6837F8CF2 -:0409CE00E20D804B6B -:0409CF0003569063D8 -:0409D000040C9F6311 -:0409D100061365D9CB -:0409D20085930360A6 -:0409D3008522EC8508 -:0409D40010EFC63624 -:0409D50046B24EB028 -:0409D6004CED470994 -:0409D7007EDC2C2373 -:0409D8004689C63A4C -:0409D900800B290363 -:0409DA0002D70E63CF -:0409DB000513650992 -:0409DC00D0EF7105E2 -:0409DD0047329C9F62 -:0409DE00D683BF8D70 -:0409DF0047090006BE -:0409E000FAD609E357 -:0409E100000167B7F3 -:0409E200859346456E -:0409E3000513F10700 -:0409E40010EF6D0A99 -:0409E500E0EF6530AA -:0409E6004701B5BF51 -:0409E7001CF9BF59DF -:0409E800BF6D47098F -:0409E90047690C85C9 -:0409EA00F19753E34B -:0409EB0040B64426A8 -:0409EC0049064496DE -:0409ED005A6259F2FF -:0409EE005B425AD23C -:0409EF005C225BB279 -:0409F0005D025C92B6 -:0409F100453D4DF241 -:0409F200006F6161D0 -:0409F300711D0C90D6 -:0409F40000B10793B4 -:0409F50067DDCA3EB2 -:0409F60079878793E3 -:0409F70067DDCC3EAE -:0409F80079978793D1 -:0409F90067DDCE3EAA -:0409FA0079A78793BF -:0409FB0067DDD03EA6 -:0409FC0079B78793AD -:0409FD00D43ED23ED4 -:0409FE00879367DD97 -:0409FF00D63E79C7A0 -:040A00000F0327B702 -:040A0100F0178793D0 -:040A02000793C63E52 -:040A03001823303054 -:040A0400478D00F129 -:040A050000F10923D0 -:040A0600A58367E17C -:040A0700CAA68007F4 -:040A0800CCA2CE8628 -:040A0900C6CEC8CAC3 -:040A0A00C2D6C4D2BA -:040A0B00DE5EC0DA11 -:040A0C0005A3DC6200 -:040A0D0084BE0001A2 -:040A0E0067E1C99142 -:040A0F008087C60313 -:040A10000001653745 -:040A1100DB050513E9 -:040A12003C9000EF25 -:040A13002583646172 -:040A1400C9818044D0 -:040A15000001653740 -:040A1600051381C182 -:040A170000EFDC45CB -:040A1800675D3B30AB -:040A19008004A6832C -:040A1A000493478179 -:040A1B0045E97C0726 -:040A1C007C07071339 -:040A1D000007560375 -:040A1E0000D61C637F -:040A1F00626347695E -:040A2000675910F70B -:040A21000713078A26 -:040A220097BAD44764 -:040A23008782439CE7 -:040A24008A630709D1 -:040A250007853AB750 -:040A26004789BFF14C -:040A2700D70366DDAE -:040A280047136F867B -:040A29009C231007F3 -:040A2A0026836EE6CB -:040A2B009713804459 -:040A2C005D6300F610 -:040A2D0067DD00077A -:040A2E0073A7C703E0 -:040A2F00478546258C -:040A300000C7066392 -:040A31000017079310 -:040A32000FF7F79330 -:040A330000E697132F -:040A340000075E63F6 -:040A35004683675D30 -:040A3600458578C7B3 -:040A3700E56346012C -:040A3800068500D55A -:040A39000FF6F613AB -:040A3A0078C7062350 -:040A3B004501472901 -:040A3C0000E78663E6 -:040A3D000723675DC7 -:040A3E0045056CF707 -:040A3F00D70367DD95 -:040A400066E16F8775 -:040A41008096C68352 -:040A420007429B4D7F -:040A43009C2383412C -:040A4400EA816EE7EE -:040A4500C68366DD21 -:040A4600068A70A606 -:040A47009C238F5508 -:040A4800D7036EE77B -:040A490040F66F877D -:040A4A0017B7446630 -:040A4B00A0230002E2 -:040A4C0044D60EE797 -:040A4D0049B6494617 -:040A4E004A964A2654 -:040A4F005BF24B0605 -:040A500061255C625E -:040A5100478D8082CB -:040A52004791BF9178 -:040A53004795BF8183 -:040A54004799B7B156 -:040A5500479DB7A161 -:040A560047A1B7916C -:040A570047A5B78177 -:040A58006761BF35DE -:040A590080974783B8 -:040A5A000017B69338 -:040A5B0080D704A399 -:040A5C004683675D09 -:040A5D00675D721748 -:040A5E006CD706A3A8 -:040A5F004505E789D9 -:040A600047A929B1C8 -:040A6100E0EFBF21E2 -:040A6200BFE597BF96 -:040A630017B7695DFB -:040A64000913000270 -:040A6500A4837249AB -:040A660007830C07EF -:040A6700476900A932 -:040A680000016637EC -:040A690002E787B366 -:040A6A0007136761A6 -:040A6B00655D80C77E -:040A6C00DD4606134A -:040A6D00051345C563 -:040A6E00973E6D053D -:040A6F00C68367DDF6 -:040A700010EF7217FA -:040A71004783353052 -:040A7200C7B900B947 -:040A73008793678579 -:040A74008FE5800783 -:040A75007FF4F69381 -:040A760000F0373322 -:040A770096B30685A7 -:040A780096BA00E644 -:040A79000700071358 -:040A7A000713C39902 -:040A7B0080C10690A0 -:040A7C000793888DC7 -:040A7D00E09902A05A -:040A7E0002000793D8 -:040A7F000002163724 -:040A8000060628033B -:040A81006637655D12 -:040A82000613000156 -:040A830045C5DE0681 -:040A840071050513E0 -:040A8500301010EF2E -:040A86008D9FE0EF71 -:040A8700675DB79D53 -:040A88006F8757839A -:040A89000107C79307 -:040A8A006EF71C23C4 -:040A8B0067DDBF99CB -:040A8C0078C7C7035D -:040A8D004681460553 -:040A8E0000E66563B6 -:040A8F00769307054E -:040A900086230FF7B3 -:040A9100BF3578D71E -:040A9200871367DD82 -:040A9300470378C7D6 -:040A940046050017FC -:040A950078C7879304 -:040A960065634681CD -:040A9700070500E669 -:040A98000FF776934B -:040A990000D780A35F -:040A9A00675DBF29AC -:040A9B0078C707937E -:040A9C000047C783C5 -:040A9D0078C70713FC -:040A9E0017FDC781F8 -:040A9F000FF7F793C3 -:040AA00000F7022336 -:040AA100675DBDFDD3 -:040AA20078C70713F7 -:040AA300004747833E -:040AA400F36346B9F9 -:040AA50047B900F657 -:040AA600B7DD07852C -:040AA700000165B72E -:040AA8004645655DFD -:040AA900DF0585934D -:040AAA006D050513BE -:040AAB00339010EF85 -:040AAC00000165B729 -:040AAD0046456B5DF2 -:040AAE00E005859347 -:040AAF00710B0513AF -:040AB000325010EFC1 -:040AB1006A5D69C150 -:040AB20069096AE183 -:040AB300825FE0EF8F -:040AB40000021BB76A -:040AB5004C6D19FD6E -:040AB600724A0A1363 -:040AB70080CA8A93D4 -:040AB80071090913A4 -:040AB9000D0BA70377 -:040ABA00468187A644 -:040ABB000137773355 -:040ABC000007D60356 -:040ABD0000E60663E6 -:040ABE000789068519 -:040ABF00FF869AE331 -:040AC00000AA058300 -:040AC100471547E9A5 -:040AC20002F587B3FF -:040AC300C78397D678 -:040AC4007E630177D5 -:040AC500653700F79A -:040AC6000513000113 -:040AC70000EFE0C597 -:040AC800E0EF0F301C -:040AC90067E1FDEFF5 -:040ACA00800784A37A -:040ACB004711BD9979 -:040ACC0004D74563A3 -:040ACD00973E181820 -:040ACE00FDC7470316 -:040ACF0040D7573382 -:040AD000CB098B05BE -:040AD1001818078A60 -:040AD200A78397BAA5 -:040AD3008023FE4737 -:040AD400BFC100D7C7 -:040AD500000166377F -:040AD6000613068578 -:040AD70045C5E30628 -:040AD800710B051386 -:040AD9001B1010EFEF -:040ADA00F88FE0EFC2 -:040ADB000007A53734 -:040ADC0012050513E7 -:040ADD00DC6FD0EF0B -:040ADE0047B1B76DF8 -:040ADF00FAF683E3BD -:040AE000D0EF854A84 -:040AE100BFB9DB8F2F -:040AE200871367DD32 -:040AE300470378C786 -:040AE40046790187C7 -:040AE50078C78793B4 -:040AE600656346817D -:040AE700070500E619 -:040AE8000FF77693FB -:040AE90000D78C2383 -:040AEA0067DDBBE920 -:040AEB0078C787132E -:040AEC000187470334 -:040AED0078C78793AC -:040AEE00D76D46FD7D -:040AEF00B7CD177DEB -:040AF000000165B7E5 -:040AF1004645655DB4 -:040AF200E4058593FF -:040AF3006D05051375 -:040AF400215010EF8E -:040AF500000165B7E0 -:040AF6004645655DAF -:040AF700E5058593F9 -:040AF800710505136C -:040AF900201010EFCA -:040AFA00690969C15C -:040AFB00F04FE0EFE9 -:040AFC0000021A37A3 -:040AFD004AED19FDA8 -:040AFE004BB14B2588 -:040AFF00710909135D -:040B00000D0A268331 -:040B0100478187267B -:040B02000136F6B30F -:040B0300000756038E -:040B040000D60A63AA -:040B05000709078550 -:040B0600FF579AE318 -:040B0700D0EF854A5C -:040B0800BFF9D1CF91 -:040B090000FB4C633E -:040B0A0007854729EB -:040B0B0002E7E7B363 -:040B0C0006A3675D78 -:040B0D00F0EF6CF7A2 -:040B0E00B5E5B08F0A -:040B0F00FF7790E3F9 -:040B10004785B5CD93 -:040B110047A9B9A196 -:040B120086AAB18D71 -:040B13000001663740 -:040B14000613655D02 -:040B150045C57386D9 -:040B1600710505134D -:040B17000B90106FC0 -:040B180046500693AA -:040B190002D506B348 -:040B1A0006400793F7 -:040B1B000001663738 -:040B1C000613655DFA -:040B1D0045C50A06BA -:040B1E007105051345 -:040B1F0002F6C6B361 -:040B20000950106FF9 -:040B2100663786AA03 -:040B2200655D00010C -:040B230005C60613EA -:040B2400051345C5AB -:040B2500106F7105D7 -:040B260046B707F0D7 -:040B27008693000FA2 -:040B28000533240667 -:040B2900678902D501 -:040B2A0096478793D0 -:040B2B003E800713EE -:040B2C00006336B775 -:040B2D00EA068693BB -:040B2E000001663725 -:040B2F00050606139E -:040B300057B345C5AD -:040B3100F7B302F51F -:040B3200472902E766 -:040B330002D556B3DE -:040B34000513655DE3 -:040B3500D73371053C -:040B3600106F02E753 -:040B3700069303B06E -:040B380006B346704A -:040B3900079302D547 -:040B3A0066370640D4 -:040B3B00655D0001F3 -:040B3C007306061323 -:040B3D00051345C592 -:040B3E00C6B37105C4 -:040B3F00106F02F63B -:040B400086AA017010 -:040B41000001663712 -:040B42000613655DD4 -:040B430045C5088616 -:040B4400710505131F -:040B45000010106F1D -:040B460027100693DB -:040B470002D506B31A -:040B480006400793C9 -:040B4900000166370A -:040B4A000613655DCC -:040B4B0045C50A860C -:040B4C007105051317 -:040B4D0002F6C6B333 -:040B4E007DC0106FE7 -:040B4F0027100693D2 -:040B5000053305055F -:040B5100069302D530 -:040B520066370640BC -:040B53000613000184 -:040B540045C50A8603 -:040B550002D546B3CC -:040B56000513655DC1 -:040B5700106F7105A5 -:040B580016937B6015 -:040B590086E10185AB +:0402CC00337D094035 +:0402CD000C04659325 +:0402CE00098005138B +:0402CF0045933355CB +:0402D00005920FF490 +:0402D10000B5E593FC +:0402D2000FB5F593DC +:0402D3000990051376 +:0402D40045813B41E4 +:0402D5003369453D07 +:0402D6000C10059370 +:0402D7000E000513FD +:0402D8000793334114 +:0402D9008323FC106F +:0402DA0040D200F41A +:0402DB0044B24442A3 +:0402DC000161450572 +:0402DD003BA9808237 +:0402DE000FC5759340 +:0402DF001151BF9961 +:0402E000C4064511FA +:0402E100659333B13D +:0402E200F59300850B +:0402E30045110FF5BD +:0402E40040A23B8178 +:0402E500030005937A +:0402E60006100513E6 +:0402E700B3890131A5 +:0402E800451111515A +:0402E900332DC406E7 +:0402EA00659340A236 +:0402EB00F593004542 +:0402EC0045110FF5B4 +:0402ED00B32D0131FB +:0402EE00C4061151E0 +:0402EF00E911C2222D +:0402F000051345812C +:0402F1003B290CD0C9 +:0402F20040A24505DC +:0402F300013144127F +:0402F400842E808252 +:0402F500D9F54501F1 +:0402F600453D4585B8 +:0402F70045833311F7 +:0402F80005130034B6 +:0402F90039ED058056 +:0402FA0000444583F4 +:0402FB000590051352 +:0402FC00458339C538 +:0402FD000513005491 +:0402FE0031DD05A049 +:0402FF0000644583CF +:0403000005B005132C +:04030100458339F106 +:04030200051300746B +:0403030039C905C02F +:0403040000844583A9 +:0403050005E00513F7 +:04030600458331E119 +:040307000513009446 +:04030800397D05F046 +:0403090000A4458384 +:04030A0006000513D1 +:04030B004583395598 +:04030C00051300B421 +:04030D00316D061038 +:04030E0000C445835F +:04030F0006200513AC +:0403100045833145AB +:04031100051300D4FC +:04031200395906301F +:0403130000E445833A +:040314000640051387 +:04031500458331717A +:04031600051300F4D7 +:040317003149065012 +:040318000034079313 +:0403190004414581D5 +:04031A000007C7030E +:04031B008D9907852C +:04031C000FF5F59351 +:04031D00FEF41AE3ED +:04031E0006F58593C8 +:04031F000FF5F5934E +:0403200005D00513EC +:04032100458139B128 +:040322003999453D83 +:04032300BF15458D30 +:04032400C4061151A9 +:04032500E911C222F6 +:0403260005134581F5 +:0403270031890CE02C +:0403280040A24505A5 +:040329000131441248 +:04032A00842E80821B +:04032B00D9F54501BA +:04032C00453D458581 +:04032D00458331359E +:04032E00051300347F +:04032F00310D068006 +:0403300000444583BD +:04033100069005131A +:0403320045833921A5 +:04033300051300644A +:04033400313906B0A5 +:040335000074458388 +:0403360006C00513E5 +:0403370045833111B8 +:0403380047830034C3 +:040339000513004464 +:04033A0097AE06D0A4 +:04033B00071005930F +:04033C0047838D9DC9 +:04033D008D9D00543E +:04033E00006447838D +:04033F0047838D9DC6 +:040340008D9D00741B +:040341000FF5F5932C +:0403420045813EE1D2 +:040343003EC9453D2D +:04034400B761458DCB +:04034500C22211516E +:04034600842A45813F +:04034700C406453D66 +:04034800051336C1A2 +:04034900366D0C10F1 +:04034A0000803433C8 +:04034B000FE57593B2 +:04034C0005138DC147 +:04034D00366D0C10ED +:04034E0040A2441273 +:04034F000513458DC0 +:0403500001310C600B +:040351001121BE7147 +:04035200C22AC626CF +:04035300451184AE1E +:04035400C82245F581 +:040355008432C036F8 +:040356003659CA0644 +:04035700675D468216 +:040358004047061301 +:0403590097938A8567 +:04035A0046830016C0 +:04035B009AF500C649 +:04035C0007938EDD98 +:04035D0006234047EC +:04035E00C03E00D6C7 +:04035F00C2998A892C +:040360003F494505C7 +:0403610005134782B7 +:04036200C783070046 +:04036300C43E00375D +:04036400F7133681D4 +:040365004685003495 +:040366000035759356 +:04036700076347A23F +:04036800468908D7E3 +:0403690008D7076347 +:04036A000087F6937F +:04036B00E593C299BB +:04036C00F6930205FD +:04036D00C29900171A +:04036E000105E5930D +:04036F000027F693DA +:04037000E593C299B6 +:040371008B910085E7 +:04037200E593C399B3 +:040373000513004529 +:04037400C43A070080 +:0403750047223631B4 +:040376001A071963E6 +:040377004785880D21 +:0403780004F40C631A +:04037900126347893B +:04037A0097931AF447 +:04037B0087E1018491 +:04037C00D463440101 +:04037D00041300075E +:04037E00F4930A00EA +:04037F0007930304D9 +:040380008B63020089 +:04038100079314F4D6 +:04038200836303008E +:0403830047C104F476 +:04038400906365DD40 +:04038500460D16F417 +:040386003305859323 +:040387000730051323 +:0403880065DD34F902 +:0403890085934649C9 +:04038A00A83DB8854D +:04038B000405E593ED +:04038C00E593BFA591 +:04038D00BF8D080513 +:04038E0001849793BC +:04038F00F41387E1FB +:04039000DCE30404A2 +:040391006413FA07F0 +:040392007413FA04E2 +:04039300B7750FF437 +:04039400460D65DDD0 +:0403950033458593D4 +:040396000730051314 +:04039700A93FF0EF9B +:04039800464965DD90 +:04039900B9C58593CA +:04039A0007600513E0 +:04039B00A83FF0EF98 +:04039C000513448978 +:04039D00F0EF072056 +:04039E007593A5BFEF +:04039F008C4501C5C3 +:0403A00005138DC1F3 +:0403A100F0EF072052 +:0403A2004782A5BF2A +:0403A300C783458542 +:0403A4008B8900C77A +:0403A5004581E3911A +:0403A6000C0005132F +:0403A700A45FF0EF70 +:0403A800451145D5E1 +:0403A900A3DFF0EFEF +:0403AA00051345C131 +:0403AB00F0EF061059 +:0403AC004712A33F12 +:0403AD001D634789FC +:0403AE0005930CF7B0 +:0403AF0005130880AA +:0403B000F0EF062044 +:0403B10045C1A1FFA2 +:0403B20006300513F9 +:0403B300A15FF0EF67 +:0403B4000840059365 +:0403B50006400513E6 +:0403B600A09FF0EF25 +:0403B700F0EF450519 +:0403B8004511A4DF68 +:0403B9009EDFF0EFE4 +:0403BA0001D5759361 +:0403BB00F0EF451109 +:0403BC0005139F3F47 +:0403BD00F0EF064017 +:0403BE004511A35FE3 +:0403BF009D5FF0EF5F +:0403C00001557593DB +:0403C100F0EF451103 +:0403C20004139DBFC4 +:0403C3004539064072 +:0403C4009C1FF0EF9B +:0403C500E901894180 +:0403C6000442147D5C +:0403C7008041450527 +:0403C800A0BFF0EFF3 +:0403C9004581F46D09 +:0403CA00F0EF4531DA +:0403CB0005939B7F7C +:0403CC0045350400AF +:0403CD009ADFF0EFD4 +:0403CE0045394585E3 +:0403CF009A5FF0EF52 +:0403D00045394581E5 +:0403D10099DFF0EFD1 +:0403D2009EFFF0EFAB +:0403D300444240D28E +:0403D400450544B2E5 +:0403D50080820161C0 +:0403D600460D65DD8E +:0403D7003345859392 +:0403D80007300513D2 +:0403D90098BFF0EFEA +:0403DA00464965DD4E +:0403DB00B74585930A +:0403DC00460DBDE528 +:0403DD0033058593CC +:0403DE0007300513CC +:0403DF00973FF0EF65 +:0403E000464965DD48 +:0403E100B605859345 +:0403E2004401B5C558 +:0403E300B5D54481C7 +:0403E400051345E1D7 +:0403E500F0EF06200F +:0403E60045C194BFBA +:0403E70006300513C4 +:0403E800941FF0EF7F +:0403E900B73D45B126 +:0403EA00C2221151C9 +:0403EB00842AC0267A +:0403EC00453D84AE59 +:0403ED00C40645817C +:0403EE00929FF0EFFB +:0403EF00059005135D +:0403F000911FF0EF7A +:0403F10002F5759309 +:0403F200041AE4996C +:0403F300F5938DC130 +:0403F400E5930FF589 +:0403F50044120105A8 +:0403F600448240A25B +:0403F7000590051355 +:0403F800F06F013170 +:0403F90067DD8FFF2E +:0403FA00A8478793F6 +:0403FB002A23675DED +:0403FC00A70340F71C +:0403FD0005130C8751 +:0403FE00CB01F380BC +:0403FF000D47A703FC +:04040000100007934E +:0404010000F713638A +:0404020080824501AE +:0404030086AE67DD7D +:04040400A50385AA1D +:040405001151414709 +:04040600F0EFC40649 +:04040700C119C02F28 +:04040800F370051375 +:04040900013140A2DB +:04040A0011218082BA +:04040B008432C8224D +:04040C00CA06C62630 +:04040D000FF47793DE +:04040E00872E86AA05 +:04040F0064DD062280 +:04041000A503C385F8 +:04041100759341445A +:0404120005A2F0044B +:040413009EAFF0EFB9 +:040414000513C119F2 +:0404150040D2F3508E +:0404160044B2444266 +:04041700808201617D +:04041800A503C22A4C +:04041900C42E414468 +:04041A00C03285B2B5 +:04041B0097EFF0EF78 +:04041C0046924602BC +:04041D00D57147222C +:04041E00F36005136F +:04041F001131BFE9EF +:04042000C806C62222 +:0404210087AAC426BC +:040422008732842E6B +:040423004501E41992 +:04042400443240C25C +:04042500015144A29B +:040426000693808237 +:0404270084A210009B +:040428000086F463F3 +:040429001000049328 +:04042A0085A6863AE3 +:04042B00C23A853E0E +:04042C003FA5C03EEA +:04042D004782FD7194 +:04042E008C054712E0 +:04042F00070597A680 +:040430001121B7F1EE +:04043100C626C822F1 +:04043200842ECA0644 +:040433004481C0320E +:04043400ED6347012C +:040435004782008476 +:040436000463450115 +:04043700051300F7B2 +:0404380040D2F3407B +:0404390044B2444243 +:04043A00808201615A +:04043B0040940733AF +:04043C001000061393 +:04043D0000E67463FE +:04043E001000071390 +:04043F0085BA8636BE +:04044000C436852613 +:040441003719C23A6B +:040442004712FD69F7 +:04044300B61346A204 +:0404440085BA001461 +:04044500C236853600 +:0404460077C020EF6C +:040447008493872AE9 +:0404480046921004C4 +:040449001141B77D29 +:04044A00645DC42207 +:04044B000513C02AAB +:04044C00C60641841B +:04044D007D6030EFAF +:04044E00E9094582F1 +:04044F00200007136F +:04045000460146819A +:0404510041840513CA +:0404520010B030EFC7 +:04045300442240B24D +:040454008082014160 +:04045500C822112187 +:04045600CA06C626E6 +:0404570083AE842AC2 +:04045800C03684B274 +:040459004501E099E0 +:04045A000713A815C7 +:04045B0083262000D4 +:04045C00009774632E +:04045D002000031365 +:04045E0017134582A9 +:04045F0067DD010351 +:04046000468183410D +:040461008513862257 +:04046200C41E4187EC +:0404630030EFC21A9A +:0404640043120C50E3 +:04046500C90143A2E4 +:0404660040A005337A +:04046700444240D2F9 +:04046800016144B238 +:040469004502808246 +:04046A00859A861ECB +:04046B00C21AC41ECF +:04046C00F56D35F9FC +:04046D0043A2431251 +:04046E005713040517 +:04046F0093BA0083B9 +:04047000406484B3AD +:0404710065DDB74549 +:040472008593655DAC +:04047300051346C562 +:04047400206F42C5EE +:0404750065DD12D05F +:040476008593655DA8 +:0404770005134D0517 +:04047800206F4405A8 +:04047900115111D03C +:04047A00C406C222D0 +:04047B00F0EF842AF0 +:04047C0045819B3FDC +:04047D00F0EF450156 +:04047E00E435A9BFF9 +:04047F000713675D9B +:04048000434848079E +:04048100019C07B71C +:04048200CC07879389 +:0404830002A7D7B342 +:0404840000A70603C4 +:04048500450345F1F5 +:0404860066DD010727 +:0404870056C686933C +:040488000413645D98 +:0404890006334EC424 +:04048A00458302B6EE +:04048B0087B30264CD +:04048C0096B202A77B +:04048D0000E6D503AD +:04048E0002744603AB +:04048F0002A787B386 +:0404900000C7450359 +:0404910087B3050523 +:04049200450302A775 +:04049300050500E774 +:0404940002F5053335 +:04049500FACFF0EFBB +:0404960002644503B4 +:0404970040A2441229 +:04049800F06F0131CF +:0404990040A2D9EFB5 +:04049A0001314412D6 +:04049B0011518082F9 +:04049C0084AAC02648 +:04049D00C406450547 +:04049E00F0EFC22297 +:04049F00F0EFA9BF12 +:0404A0004581901FE3 +:0404A100F0EF450132 +:0404A200B693933F3B +:0404A30046010014FA +:0404A4004505458144 +:0404A500AB3FF0EF8A +:0404A600645DE49D10 +:0404A700879367DDF3 +:0404A80004134EC724 +:0404A900C6834804BA +:0404AA00470301679C +:0404AB00460100F412 +:0404AC004501458140 +:0404AD00D08FF0EF0D +:0404AE00879367DDEC +:0404AF00C7834EC7EA +:0404B00007A3016736 +:0404B100852602F4A6 +:0404B20044123F3978 +:0404B300448240A29D +:0404B40001314501CC +:0404B500A41FF06F21 +:0404B600C406115116 +:0404B700ED2DC22243 +:0404B800C78367DDB2 +:0404B900472145177B +:0404BA0004E78C6364 +:0404BB0089634741C9 +:0404BC00471100E7FD +:0404BD0004E79E634F +:0404BE00621020EFB9 +:0404BF00A0A14505AE +:0404C0000713675D5A +:0404C1004350480755 +:0404C200019C07B7DB +:0404C300CC07879348 +:0404C40002C7D7B3E1 +:0404C50000A7060383 +:0404C600675D45F138 +:0404C70056C70713FA +:0404C800063386AAC7 +:0404C900973202B6AE +:0404CA0000E75703ED +:0404CB0002E787B30A +:0404CC0001C9C73764 +:0404CD0037F70713E3 +:0404CE0000F763636D +:0404CF00853646BD6B +:0404D0005D9020EF2C +:0404D1004412450D7F +:0404D200013140A212 +:0404D3001950206F2D +:0404D4005C9020EF29 +:0404D500BFC5450159 +:0404D600871367DD44 +:0404D70046834EC743 +:0404D80047090207C7 +:0404D9004EC7841373 +:0404DA008363453DB6 +:0404DB00450100E6F1 +:0404DC005A9020EF23 +:0404DD00020447834B +:0404DE00450D470978 +:0404DF00FCF775E3CE +:0404E0008D1D451514 +:0404E1000FF575138B +:0404E2001111BF7DB8 +:0404E300CC06678953 +:0404E400C826CA223A +:0404E5007107879381 +:0404E60000021737C2 +:0404E7000C07268355 +:0404E8000006D463D3 +:0404E900FBFD17FD03 +:0404EA00014030EFAE +:0404EB00879362DDB4 +:0404EC00C783480278 +:0404ED00842A00B7A6 +:0404EE0048028493A9 +:0404EF001737C7995B +:0404F000278300025C +:0404F10083C10C07B0 +:0404F20005138B8DD6 +:0404F300C63E03708E +:0404F40018F020EFED +:0404F5000513C02A01 +:0404F60020EF038070 +:0404F70045821850D2 +:0404F80016936605EC +:0404F9000613008561 +:0404FA008EF1F00689 +:0404FB00006556132F +:0404FC008A058ECD12 +:0404FD000513C22AF7 +:0404FE00C43603906D +:0404FF0000C484A30E +:0405000015F020EFE3 +:040501000513C02AF4 +:0405020020EF03A043 +:040503001637155042 +:0405040047B20002F8 +:040505000C062603B7 +:04050600438245895E +:0405070062DD46A2C9 +:0405080002B78B6348 +:0405090000B4C583F2 +:04050A00E589471226 +:04050B0018F005934C +:04050C0002D5F363BE +:04050D009D63458520 +:04050E00569300B749 +:04050F00F693012638 +:0405100006857FF6E7 +:040511001906B5937F +:040512000015C59378 +:04051300A80947816B +:040514000207771350 +:04051500B593DB655A +:04051600C5930C86F7 +:0405170047850015FF +:0405180000B4C30365 +:04051900675D665D57 +:04051A003F4606133F +:04051B004EC70713AD +:04051C001A031A6341 +:04051D003C04026335 +:04051E003C058063B5 +:04051F00000605834A +:040520005C634309CC +:04052100002318B3E8 +:040522004605000684 +:0405230000C485A3E8 +:04052400A6034411D5 +:040525001313480262 +:0405260065050085E2 +:04052700F0050513C3 +:0405280000A3733386 +:0405290000160513A0 +:04052A0000736333C4 +:04052B006D6365DDBA +:04052C00851300D55E +:04052D0069630016E8 +:04052E0040D000C5F4 +:04052F0000661663E9 +:040530000084C6037A +:0405310036F60D632A +:040532004C0586A34B +:0405330000C7458335 +:040534000254C603A4 +:0405350008C59A63F8 +:0405360000D7458322 +:040537000264C60391 +:0405380008C59463FB +:0405390000E745830F +:04053A000274C6037E +:04053B0006C59E63F0 +:04053C0000F74583FC +:04053D000284C6036B +:04053E0006C59863F3 +:04053F0001074583E8 +:040540000294C60358 +:0405410006C59263F6 +:040542000077458376 +:040543000204C603E5 +:0405440004C59C63EB +:040545000087458363 +:040546000214C603D2 +:0405470004C59663EE +:040548000097458350 +:040549000224C603BF +:04054A0004C59063F1 +:04054B0000A745833D +:04054C000234C603AC +:04054D0002C59A63E6 +:04054E0000B745832A +:04054F000244C60399 +:0405500002C59463E9 +:040551000197458346 +:040552000324C603B5 +:0405530000C59E63DE +:0405540001A7458333 +:040555000334C603A2 +:0405560000C59863E1 +:04055700024745838F +:0405580003D4C603FF +:0405590000C58963ED +:04055A008622458927 +:04055B0000B4736312 +:04055C0074134609C5 +:04055D0045830FF6CD +:04055E00C603017758 +:04055F0088630304A6 +:04056000860302C547 +:0405610045F100A4BC +:0405620002B60633A4 +:04056300859365DD3A +:04056400962E56C5B4 +:0405650001065583B3 +:0405660020D0061388 +:0405670000C59963CF +:040568008622458919 +:0405690000B4736304 +:04056A0074134609B7 +:04056B00665D0FF6C4 +:04056C004E5646039E +:04056D008603CE2112 +:04056E0045F100A4AF +:04056F00063363DD0F +:04057000839302B6B9 +:04057100C50356C3A5 +:04057200C08301043D +:0405730015930324B5 +:0405740081C101033D +:04057500D60393B264 +:04057600053300E366 +:04057700460102C572 +:040578008141054276 +:040579000000866395 +:04057A000183A60350 +:04057B008A05820566 +:04057C00C21AC43E9D +:04057D0020EFC03675 +:04057E00675D121093 +:04057F00431246825B +:0405800062DD47A24F +:040581004EC7071347 +:040582004405E0113B +:0405830048D2A02397 +:040584000064A2234A +:0405850000F48423D7 +:040586000585A02D1A +:0405870000B6002397 +:04058800BD854401E8 +:040589009963C01999 +:04058A000303200542 +:04058B0050F1000625 +:04058C001E135F6378 +:04058D000006002341 +:04058E00000485A33D +:04058F009AE3441196 +:0405900067DDE4053A +:040591004EC7C60388 +:040592000194C68387 +:0405930006D61E6307 +:040594000017460303 +:0405950001A4C68374 +:0405960006D618630A +:0405970000274603F0 +:0405980001B4C68361 +:0405990006D612630D +:04059A0000374603DD +:04059B0001C4C6834E +:04059C0004D61C6302 +:04059D0000474603CA +:04059E0001D4C6833B +:04059F0004D6166305 +:0405A00000574603B7 +:0405A10001E4C68328 +:0405A20004D6106308 +:0405A30001274603E3 +:0405A40002B4C68354 +:0405A50002D61A63FD +:0405A60001374603D0 +:0405A70002C4C68341 +:0405A80002D6146300 +:0405A90001474603BD +:0405AA0002D4C6832E +:0405AB0000D61E63F5 +:0405AC0001174603EA +:0405AD0002A4C6835B +:0405AE0000D61863F8 +:0405AF0002574603A6 +:0405B00003E4C68317 +:0405B10000D6046309 +:0405B2004405E0110B +:0405B3000187450374 +:0405B4000314C683E3 +:0405B50000A68D63AC +:0405B6000104C583F4 +:0405B7002E1020EFF3 +:0405B80088A367DDD0 +:0405B900871300A400 +:0405BA00E0114EC737 +:0405BB0045034405AB +:0405BC00C68301C72A +:0405BD0087630354F9 +:0405BE0020EF00A684 +:0405BF0067DD2FB015 +:0405C0004EC7871388 +:0405C10001D7450316 +:0405C2000364C68385 +:0405C30000A68763A4 +:0405C40078C020EFEC +:0405C500871367DD54 +:0405C60045034EC7D4 +:0405C700C68301E7FF +:0405C80087630374CE +:0405C90020EF00A679 +:0405CA0067DD780071 +:0405CB004EC787137D +:0405CC0002174503CA +:0405CD0003A4C6833A +:0405CE000227458338 +:0405CF0000A6966389 +:0405D00003B4C68327 +:0405D10000B6876386 +:0405D200736020EF43 +:0405D300871367DD46 +:0405D40045034EC7C6 +:0405D500C68301B721 +:0405D6008E630344E9 +:0405D70046E100A653 +:0405D80002D5053310 +:0405D900869366D9C6 +:0405DA00953663C629 +:0405DB000B5020EFB2 +:0405DC00871367DD3D +:0405DD0045034EC7BD +:0405DE00C6830207C7 +:0405DF008763039497 +:0405E000F0EF00A692 +:0405E10067DDB57F9E +:0405E2004EC7871366 +:0405E30001F74503D4 +:0405E4000384C68343 +:0405E50000A6846385 +:0405E6001A5020EF98 +:0405E70065DD66DD8B +:0405E80051568513D0 +:0405E9008593461D93 +:0405EA0030EF4C257D +:0405EB0067DD418007 +:0405EC00871366DD2E +:0405ED00C9014EC72B +:0405EE0051568513CA +:0405EF006F2020EF6A +:0405F000871367DD29 +:0405F10046034EC7A8 +:0405F200C683026753 +:0405F300186303F492 +:0405F400460300D6E4 +:0405F500C683027740 +:0405F600096304048D +:0405F700450300D6E2 +:0405F800F0EF0157C8 +:0405F90067DDA05FBB +:0405FA004EC787134E +:0405FB00C68366DD70 +:0405FC00CE814E66F8 +:0405FD000287450329 +:0405FE000414C70317 +:0405FF0000A70763E7 +:040600000562155129 +:0406010020EF856100 +:0406020067DD1AC0D6 +:040603004EC78593C6 +:0406040001948513C5 +:0406050003100613C5 +:040606003D0030EF94 +:0406070040E2852226 +:0406080067DD445214 +:040609004E0782A373 +:04060A00017144C274 +:04060B00137D808259 +:04060C000066002361 +:04060D00B5214401CE +:04060E0000060023BF +:04060F00C603BFE57A +:0406100045054CD57B +:04061100C8A604E390 +:040612007613060550 +:0406130086A30FF6B5 +:040614001DE34CC5D1 +:040615004589C6A6A7 +:040616007363862262 +:04061700460900B4DC +:040618000FF6741352 +:040619001101B1A575 +:04061A00CE22675D28 +:04061B000693CC2650 +:04061C008503480703 +:04061D0047F100A6FB +:04061E0007B3635D5E +:04061F00061302F5C7 +:04062000041356C3A6 +:04062100C58356C374 +:0406220007130106B3 +:0406230097B248073B +:0406240000C7D60332 +:040625000147C28344 +:04062600C603C03215 +:04062700C6220137AF +:04062800C603C23211 +:040629004689019667 +:04062A0004D615637A +:04062B0001A746835A +:04062C00F6930685B6 +:04062D004B500FF629 +:04062E000C6367856D +:04062F00ED6322F65F +:04063000079304C761 +:040631000D63040051 +:04063200031320F698 +:04063300F06302006E +:04063400031306C7DF +:0406350047910800E1 +:0406360004660E63E5 +:040637008006031323 +:040638000963478D7E +:04063900033704037C +:04063A0087AE000483 +:04063B001C6677635F +:04063C004305A09141 +:04063D0010E34681FF +:04063E004F90FC6677 +:04063F0000C7468327 +:04064000C6018A0560 +:04064100468DDACD3B +:04064200D6D5B77DD5 +:040643000177C683F2 +:0406440036B316F1C2 +:04064500B74500D0E5 +:04064600000207B7F0 +:040647001EF6016337 +:0406480016C7EE6380 +:040649004799630961 +:04064A0000660663DD +:04064B00479163412F +:04064C00FA661BE34C +:04064D004383635D23 +:04064E0043214513EC +:04064F00006396634B +:0406500002C202865A +:040651000102D2933D +:0406520001274383B6 +:04065300F763430501 +:040654008333005399 +:0406550003424072AA +:040656000103531336 +:04065700053342F134 +:0406580044320255D1 +:0406590040F583B332 +:04065A00024744838C +:04065B00540395228D +:04065C0082B300A5C0 +:04065D00C42202832E +:04065E00C2B34409D6 +:04065F0044030282CC +:04066000843302B726 +:0406610002C2028748 +:040662000102D2932C +:0406630004429416A3 +:04066400C8228041E7 +:040665000125450323 +:0406660000A30433B6 +:04066700027403B363 +:0406680094139396BE +:0406690042830103C4 +:04066A00804100D7F4 +:04066B004385CA22D7 +:04066C00043702FA53 +:04066D009363200073 +:04066E0044010074CF +:04066F0003B76489E0 +:040670000363100010 +:04067100438100962B +:0406720002A5853325 +:040673000010063736 +:0406740080060613E3 +:0406750006EE07C2C4 +:04067600052E44E227 +:0406770046228D7119 +:0406780002C58633FE +:04067900026585B3DE +:04067A007FF676137E +:04067B00005666338C +:04067C0005378E4967 +:04067D0013370FF030 +:04067E0005D200029F +:04067F008E4D8DE926 +:0406800063B38C5183 +:0406810028230074B6 +:0406820043830A7331 +:040683004603011712 +:0406840045F1010734 +:04068500062A03B688 +:0406860000C3E3B317 +:04068700E7B346424D +:04068800447200F3C5 +:040689000136139390 +:04068A000077E3B35F +:04068B00E3B347D2BC +:04068C00202300F334 +:04068D0047830A7322 +:04068E00460300C758 +:04068F0007F601E782 +:040690008FD1066A96 +:0406910002C7460353 +:040692008FD10652AC +:0406930000A70603B3 +:0406940002B6063371 +:04069500962E45B2A6 +:0406960001564603C0 +:040697008FD10646B3 +:0406980047828EDD2A +:0406990047928EDD19 +:04069A008EDD07AE3C +:04069B0008D3282335 +:04069C0003E74783A6 +:04069D0002D74683B7 +:04069E0006AA07BAE7 +:04069F0046838FD52A +:0406A0008FD501D71A +:0406A10001C74683C4 +:0406A20001B7470352 +:0406A3008FD506A643 +:0406A4008FD90712D1 +:0406A50008F3202313 +:0406A60080826105E8 +:0406A700001007B781 +:0406A80006F60363EC +:0406A900002007B76F +:0406AA00E2F61FE372 +:0406AB0002A743035C +:0406AC0087B3479930 +:0406AD00F793406718 +:0406AE0043030FF7FC +:0406AF001BE3024700 +:0406B0004402E60317 +:0406B100FE840313AD +:0406B2000103141319 +:0406B300C0228041A0 +:0406B40003134412D6 +:0406B500141300C456 +:0406B600804101037B +:0406B700BD99C22205 +:0406B80002A743034F +:0406B90087B3478D2F +:0406BA000786406708 +:0406BB000FF7F793AB +:0406BC004303B591AE +:0406BD00479102A7B8 +:0406BE00406787B357 +:0406BF004303BFC56D +:0406C000479502A7B1 +:0406C1004795BFD5C5 +:0406C2001111BF4D06 +:0406C300CA2267DD03 +:0406C400645D470525 +:0406C5004CE786A3D5 +:0406C600480407934A +:0406C7000047A283C3 +:0406C800CC06C8266E +:0406C900480404934A +:0406CA001A0282632B +:0406CB0048042703B5 +:0406CC0018070E639A +:0406CD00A0EEC7B71D +:0406CE00B007879357 +:0406CF0002E7D7B3B4 +:0406D0000084C703D8 +:0406D100019C03B7CE +:0406D200CC0383933F +:0406D3000253D3B348 +:0406D40016070C6396 +:0406D5000257D2B343 +:0406D60003B0051355 +:0406D700C21EC61663 +:0406D8001FE020EF10 +:0406D9000513C42A17 +:0406DA0020EF03C04A +:0406DB00C7831F4072 +:0406DC00C6030184CC +:0406DD0043920084C0 +:0406DE000027971347 +:0406DF00879367D9BD +:0406E00097BA89C775 +:0406E1002703439414 +:0406E200079348042E +:0406E30042B2070018 +:0406E4000793E2197D +:0406E50066370690DE +:0406E600655D00014D +:0406E700950606135B +:0406E800051345C5EC +:0406E900C2164405EC +:0406EA0030EFC61E09 +:0406EB0042921160C6 +:0406EC00079343B27B +:0406ED00069306402A +:0406EE00655D3E8088 +:0406EF0002F2D73309 +:0406F000051345C5E4 +:0406F100F6334D058A +:0406F200C23A02D333 +:0406F30002F2F2B36A +:0406F400472987BA51 +:0406F50002E657338F +:0406F6000001663762 +:0406F7000613C01610 +:0406F800D6B395865A +:0406F90030EF02D309 +:0406FA0067DD0DA00B +:0406FB005697C783C4 +:0406FC00F0EFE3999F +:0406FD0067DDDE4F88 +:0406FE004E47C6831A +:0406FF00C583461257 +:040700002503008449 +:0407010020EF480499 +:0407020067DD00109F +:0407030086BE577DDA +:040704000CE5056398 +:0407050000A48523A4 +:0407060000A48703C1 +:04070700467167DDF3 +:0407080042E783231E +:0407090002C70733E9 +:04070A00879367DD8D +:04070B00C50356C705 +:04070C00C6834E460C +:04070D0040D00104D3 +:04070E000324C2837B +:04070F00C70397BACB +:040710008D79016777 +:0407110008A3675D75 +:04071200D58344A7A0 +:04071300472200E792 +:0407140002D585B3D2 +:040715000FF7771350 +:0407160007334681DE +:0407170005C202B75E +:04071800573381C111 +:04071900064202C7CB +:04071A008563824130 +:04071B004F940002F5 +:04071C008A858285C3 +:04071D000FF7771348 +:04071E005F0020EF69 +:04071F000394C50377 +:04072000E58FF0EF82 +:040721000104C58387 +:040722000314C503F4 +:04072300530020EF70 +:0407240000A488A302 +:04072500BD3FF0EFF5 +:0407260002E4C7839F +:0407270000E4C50322 +:04072800E79145818F +:0407290000F4C58390 +:04072A00B5938D896D +:04072B00F0EF0015D6 +:04072C00C783AFAF21 +:04072D00C50303C439 +:04072E00C78502E495 +:04072F0040E244520E +:04073000017144C24D +:04073100DAAFF06FDC +:04073200B569078618 +:0407330063916285E7 +:040734007702829333 +:04073500D543839392 +:040736004791B541F1 +:0407370000F4852322 +:04073800ED09BF25E3 +:04073900C70344525C +:04073A00C68300F47E +:04073B0040E202F4A2 +:04073C00460144C26C +:04073D000171458180 +:04073E00AC5FE06F5D +:04073F00445240E2FE +:04074000017144C23D +:040741001151808250 +:0407420064DDC0268C +:040743004294C50314 +:04074400C406C22203 +:04074500021010EF9F +:04074600E121842AFF +:040747004294C6838F +:04074800472967DDF9 +:0407490046D78EA35E +:04074A00C78367DD1D +:04074B00856351C7AA +:04074C00675D00E7FE +:04074D0042F705A3C7 +:04074E00C70367DD99 +:04074F00478147E7B0 +:0407500067DDC70199 +:0407510042B7C78361 +:040752000713675DC5 +:0407530097BA45C745 +:040754008023453D7C +:0407550010EF00D7CA +:04075600852269800F +:04075700441240A266 +:0407580001314482A5 +:040759001151808238 +:04075A0064DDC02674 +:04075B004294C503FC +:04075C00C406C222EB +:04075D0067A010EF92 +:04075E00E51D842AE7 +:04075F004294C68377 +:040760008EA367DD20 +:0407610067DD46D733 +:0407620047E7C7039B +:04076300C701478102 +:04076400C78367DD03 +:04076500675D4987FC +:0407660045C7071369 +:04076700453D97BABB +:0407680000D7802313 +:0407690064A010EF89 +:04076A0040A2852202 +:04076B00448244126E +:04076C008082013155 +:04076D00C70367DD7A +:04076E0046F14267A7 +:04076F00862367DD99 +:0407700007334CE718 +:0407710067DD02D767 +:0407720056C787934C +:04077300D68397BAD8 +:04077400675D00E7D6 +:0407750046D714232C +:040776000147C683EE +:040777001423675D83 +:04077800C6834ED70F +:04077900675D012790 +:04077A0042D712232D +:04077B0000A7D6837A +:04077C001D23675D75 +:04077D00C68344D714 +:04077E00675D01575B +:04077F0044D719231F +:040780000137C683F4 +:040781001123675D7C +:04078200D7034ED774 +:0407830067DD00C767 +:0407840044E79B2388 +:0407850067DD80822A +:04078600C603115144 +:0407870067DD4CC717 +:04078800D483C2262E +:0407890067DD46875B +:04078A00D403C422AE +:04078B0067DD4E8751 +:04078C004247C3839A +:04078D00D28367DDCF +:04078E0067DD45A737 +:04078F004527D30324 +:04079000C58367DDD9 +:04079100675D4E272B +:04079200D78367DDC5 +:04079300071345679C +:0407940086AA4807E2 +:0407950000B7450361 +:0407960067DDC03E1D +:0407970056C7879327 +:040798000703C93159 +:04079900176300A73B +:04079A00477104C7D8 +:04079B0002E6073338 +:04079C005503973E2C +:04079D001B6300E7F3 +:04079E004503029578 +:04079F001763014794 +:0407A0004503028586 +:0407A10013630127B6 +:0407A2005503027584 +:0407A3001F6300A729 +:0407A40045030055B4 +:0407A5001B6301577A +:0407A60045030065A2 +:0407A700176301379C +:0407A800570300B53E +:0407A900450200C73E +:0407AA0000A706633B +:0407AB004505675D3C +:0407AC004EA702A3AF +:0407AD000633477157 +:0407AE00655D02E69D +:0407AF0046C5051323 +:0407B00089A397B2D0 +:0407B1008A2300B7E0 +:0407B2008923008710 +:0407B3008AA300779E +:0407B4004702006791 +:0407B5009723442220 +:0407B60044920097D2 +:0407B700005795232F +:0407B80000E796239D +:0407B900000166379E +:0407BA005FC60613FD +:0407BB00013145C5FE +:0407BC005D10206F3D +:0407BD00C40611510C +:0407BE0047C5C22247 +:0407BF0000021437E9 +:0407C0000EF42023F0 +:0407C1000E042023DF +:0407C2000A042823DA +:0407C3002823650979 +:0407C400051308040D +:0407C500E0EF7105EB +:0407C60007139E8FE8 +:0407C70067DD1D10BD +:0407C80044E79A2345 +:0407C90000031537DD +:0407CA001D10079364 +:0407CB000EF42023E5 +:0407CC00D405051338 +:0407CD009CAFE0EF0E +:0407CE0000062637C4 +:0407CF00019C05B7CD +:0407D0000404051305 +:0407D100A80606135D +:0407D200CC0585933A +:0407D300E26FE0EF02 +:0407D40030F010EF02 +:0407D500549010EF3D +:0407D600C941547948 +:0407D70010EF4501D9 +:0407D8000793601013 +:0407D90054750FF054 +:0407DA0008F50163BA +:0407DB001F8020EF6C +:0407DC00E0EF4509FC +:0407DD0047CD95FF70 +:0407DE0018635471D7 +:0407DF00E0EF06F54C +:0407E00010EF9CFF7B +:0407E100C5092530F1 +:0407E200470567DD83 +:0407E3004EE7832337 +:0407E400856FF0EF3E +:0407E500ED39842A3C +:0407E60065D92D950F +:0407E7000613655D33 +:0407E80085930310E2 +:0407E90005139F85D0 +:0407EA0020EF49951E +:0407EB0065D943F099 +:0407EC000613655D2E +:0407ED00859303608D +:0407EE000513B685B4 +:0407EF0020EF5205A0 +:0407F000453D42B091 +:0407F100570010EFAE +:0407F200C50367DDF7 +:0407F30010EF47D7E5 +:0407F40017B756607D +:0407F500A7830002D4 +:0407F60097130D0741 +:0407F700436300E771 +:0407F800259D000734 +:0407F900F0EF4501D7 +:0407FA008522A88F1D +:0407FB00441240A2C2 +:0407FC0080820131C5 +:0407FD00BFD5547D93 +:0407FE00C78367DD69 +:0407FF00110142772B +:040800000785CC227A +:040801000FF7F79363 +:0408020007C207B270 +:04080300C63E83C1A9 +:040804005783645D55 +:0408050047324544ED +:04080600CA26CE062A +:0408070067218FD9FD +:040808001A238FD947 +:04080900173744F465 +:04080A00663700024B +:04080B0064DD0001A7 +:04080C000EF72023A0 +:04080D008C860613BC +:04080E00851345C544 +:04080F0020EF46C4CC +:04081000F0EF48308D +:040811006741984F54 +:040812004785177D82 +:040813001737C83A91 +:040814002683000235 +:0408150046420D0743 +:04081600C2368EF167 +:04081700C2D5E3DD86 +:04081800869366DD80 +:04081900D6035206AA +:04081A00459201669C +:04081B004544578376 +:04081C000EB61E6393 +:04081D0016FD76F559 +:04081E001A238FF515 +:04081F00202344F45A +:0408200086230EF726 +:04082100F0EF4604AA +:040822002537940FD3 +:0408230005130006B3 +:04082400E0EFA80554 +:04082500578386CFA0 +:0408260047324544CC +:0408270005136691BE +:040828008FD93E80A6 +:040829001A238FD52A +:04082A00173744F444 +:04082B002023000284 +:04082C0020EF0EF7B4 +:04082D0020EF2C701C +:04082E0047852ED0FC +:04082F0000F5186355 +:04083000000217B7F4 +:04083100C43E5BBCAA +:04083200FE07D7E303 +:040833002EF020EF94 +:0408340000815703E5 +:040835006637EF151E +:0408360006130001A4 +:0408370045C58D46E0 +:0408380046C485131A +:040839003DD020EF9F +:04083A008DEFF0EF5F +:04083B0045445703D6 +:04083C0017FD77F13C +:04083D001A238FF9F2 +:04083E00173744F430 +:04083F002023000270 +:0408400065090EF741 +:040841007105051325 +:04084200FF7FD0EF75 +:04084300B7814792A0 +:04084400176347C22D +:04084500663700F71B +:040846000613000194 +:04084700B7C18E4661 +:04084800658547A2D9 +:0408490083C115FD55 +:04084A00064006134B +:04084B0056B38FED24 +:04084C00773302C735 +:04084D009C6302C7DF +:04084E00663700B752 +:04084F00061300018B +:0408500045C58EC646 +:0408510046C4851301 +:04085200379020EFCC +:04085300F5B3BF71C9 +:04085400851302C73F +:04085500D7B346C40B +:04085600663702C738 +:04085700C02E0001AE +:040858008F8606136E +:0408590020EF45C582 +:04085A00BFBD35B039 +:04085B000186D683B9 +:04085C009DE34612C0 +:04085D0007D2F6C602 +:04085E001A2383D105 +:04085F0040F244F42B +:0408600020234462AB +:0408610044D20EF778 +:0408620061054501E6 +:04086300115180822D +:04086400F0EFC406E7 +:0408650010EF979F5A +:0408660067DD4B30CF +:04086700C50340A2E3 +:0408680001315017F3 +:040869008CAFF06FF1 +:04086A00FD41011338 +:04086B00D222D406BB +:04086C00D0EFD026D3 +:04086D00842AFBBF1F +:04086E00D3DFF0EFF5 +:04086F0064DD86AA14 +:04087000C663655D99 +:0408710066371206CE +:040872000713000167 +:040873004681052095 +:0408740090C6061311 +:04087500051345C55D +:0408760020EF440526 +:0408770065B72E70C3 +:0408780046450001F0 +:0408790093C585930B +:04087A004D04851391 +:04087B001FD020EF7B +:04087C00FE7FE0EF2C +:04087D000007A53794 +:04087E001205051347 +:04087F00F03FD0EF87 +:04088000F23FD0EF84 +:0408810000A4551367 +:0408820012C0079306 +:0408830002F507B3C0 +:04088400C602645DE7 +:04088500C002C402E7 +:040886000413C20293 +:04088700CC3E480417 +:04088800C83E479986 +:04088900000217B79B +:04088A000D07A7832C +:04088B00655D665DE4 +:04088C0001079713B6 +:04088D00C69383414A +:04088E002023FFF72D +:04088F0083E156E6C5 +:040890000003073723 +:04089100F5938F75D7 +:0408920066DD0FF719 +:0408930056E6A22360 +:0408940056B504232E +:0408950086368532EC +:04089600CF8166DDCB +:04089700FFE587935F +:040898000FF7F793CC +:040899007663430D32 +:04089A00C78300F31D +:04089B00946355C647 +:04089C00202300B75E +:04089D0067DD5605B8 +:04089E0054B68E239B +:04089F005587A68350 +:0408A00054E7AC234A +:0408A10066DDEAD155 +:0408A2004E76C78344 +:0408A300C3F164DD5C +:0408A4000184478301 +:0408A500675DCFDDDF +:0408A60000B44783D0 +:0408A7005697460317 +:0408A800EBC58FD13C +:0408A900EA3FD0EF63 +:0408AA00646347E25A +:0408AB0047320AF5D1 +:0408AC000630079378 +:0408AD0008E7EF6306 +:0408AE00C68366DDBA +:0408AF0047854E76B5 +:0408B000876346050F +:0408B10047C200F644 +:0408B200FFA7861303 +:0408B30000C0363318 +:0408B40001844783F1 +:0408B50017FD46A144 +:0408B6000FF7F793AE +:0408B70004F6E963F7 +:0408B800078A66D96C +:0408B9008546869357 +:0408BA00439C97B60E +:0408BB006637878293 +:0408BC00061300011E +:0408BD0045C5920695 +:0408BE0044050513D5 +:0408BF001C5020EFBA +:0408C000000165B717 +:0408C1008593464590 +:0408C2008513EA456B +:0408C30020EF4D04D1 +:0408C400E0EF24D06D +:0408C500A001EC5F43 +:0408C600560622238D +:0408C70067DDB7AD85 +:0408C8004587C78316 +:0408C90000F037B351 +:0408CA0085A3078972 +:0408CB0047B242F4FA +:0408CC000785474213 +:0408CD000FF7F79397 +:0408CE004799C63E42 +:0408CF0000F70763C4 +:0408D0000017079373 +:0408D1000FF7F79393 +:0408D200D0EFC83E5D +:0408D3004785DD9FD9 +:0408D4002915C43EE0 +:0408D500C78367DD91 +:0408D600CA2A56973D +:0408D7004501C7818F +:0408D8005E1000EFBF +:0408D90042B4C783DB +:0408DA0001844683CC +:0408DB0000F684633C +:0408DC00E711475287 +:0408DD00CB0D4722D6 +:0408DE0000B4468399 +:0408DF0066DDC69577 +:0408E00047E6C7031D +:0408E100C30DC43A45 +:0408E200869366DDB6 +:0408E30097B645C6B9 +:0408E4000007C50341 +:0408E500C68367DD82 +:0408E600C40247D72A +:0408E70000A686637E +:0408E80046A78EA3EE +:0408E900190010EFF3 +:0408EA0042B4C6034B +:0408EB0001844783BA +:0408EC0012C7836349 +:0408ED00473D67DD3F +:0408EE004EE782232C +:0408EF0085BE470D6E +:0408F00006C7736361 +:0408F100F4634795D0 +:0408F200478906C765 +:0408F3004509470567 +:0408F4000793C23E66 +:0408F500F793FFF680 +:0408F60046A10FF711 +:0408F70004F6ED63B3 +:0408F800078A66D92C +:0408F90087868693D5 +:0408FA00439C97B6CE +:0408FB004785878224 +:0408FC0067DDFE0DA9 +:0408FD003377C78303 +:0408FE000017B79395 +:0408FF00B735079171 +:04090000D605479938 +:0409010042B4C783B2 +:04090200479DB70D49 +:0409030067DDBF39B4 +:0409040042A7C783BC +:0409050000F037B314 +:04090600BF0107A185 +:0409070016E3479913 +:040908004785F00629 +:04090900470DB719C6 +:04090A00C2024505DB +:04090B004709B75D84 +:04090C00BFE54501FD +:04090D004783C0025A +:04090E000C2303941F +:04090F0005A300C478 +:040910004685000414 +:04091100F663458DB7 +:04091200459500F611 +:04091300F5938D9D2E +:04091400CE3A0FF5D3 +:040915000C3010EFA3 +:04091600193010EF95 +:04091700F44FE0EFCA +:04091800C78367DD4D +:0409190047724E676C +:04091A00853AC781D2 +:04091B00520010EF87 +:04091C0045124582B9 +:04091D0067D010EFA0 +:04091E000184478386 +:04091F004645655D87 +:040920000027971302 +:04092100879367D978 +:0409220097BA89C730 +:040923000513438CE9 +:040924002223440541 +:0409250020EF0004BB +:0409260065B70C5055 +:04092700655D000109 +:040928008593464528 +:04092900051393051A +:04092A0020EF4D0568 +:04092B0067DD0B1069 +:04092C005697C78390 +:04092D00E0EFE3997B +:04092E0047D2D21FBB +:04092F0067DDCF8928 +:040930004287C70330 +:04093100156347A95A +:04093200453D00F748 +:04093300723000EF2F +:04093400C53FD0EFFC +:0409350067DDC602B2 +:040936004EC787130E +:04093700015746839B +:0409380002E447038B +:040939004EC787938B +:04093A0002E68863E6 +:04093B0046814701A9 +:04093C0045814601AA +:04093D00E0EF4501A1 +:04093E0064DDAC6F59 +:04093F004EC4879388 +:040940000157C50393 +:04094100D6BFE0EF4E +:040942004EC4879385 +:040943000157C7038E +:040944000004222366 +:0409450002E407239E +:040946000157C7038B +:04094700C683E70D6F +:0409480047030167F9 +:04094900006302F451 +:04094A00470302D786 +:04094B00460100F46D +:04094C00450145819B +:04094D00A88FE0EFA0 +:04094E00879367DD47 +:04094F00C7834EC745 +:0409500007A3016791 +:04095100478302F4E2 +:04095200CFB101849C +:040953004512458282 +:04095400E3BFE0EF2E +:040955000563478966 +:0409560047910AF5C6 +:0409570002F505633D +:04095800126347855A +:04095900478304F5D7 +:04095A00CF9500B481 +:04095B00AFAFF0EF5B +:04095C004789A81D02 +:04095D00B5C1C03E22 +:04095E00BFED478D15 +:04095F00822347C1E7 +:0409600047854EF584 +:040961004783BFC544 +:04096200C39D00B47D +:040963005783675DF2 +:04096400E793454789 +:040965001A2300272A +:04096600173744F704 +:040967002023000247 +:04096800F0EF0EF7A7 +:040969000513BEDFD5 +:04096A00D0EF12C0F8 +:04096B00B99DB55F1E +:04096C00000422233E +:04096D00037010EF14 +:04096E000184478336 +:04096F004645655D37 +:0409700000279713B2 +:04097100879367D928 +:0409720097BA89C7E0 +:040973000513438C99 +:0409740020EF440527 +:0409750065B778806A +:04097600655D0001BA +:0409770085934645D9 +:0409780005139305CB +:0409790020EF4D0519 +:04097A0067DD77407E +:04097B005697C78341 +:04097C00E0EFE3992C +:04097D00D0EFBE5F9A +:04097E00C802B2DF1A +:04097F00B765C60290 +:0409800000B44783F5 +:04098100F0EFD3CDF3 +:04098200BF71D04F22 +:04098300655D65D970 +:0409840006131151F4 +:040985008593031043 +:0409860005139F8531 +:04098700C4064EC58F +:040988005C8020EF80 +:04098900655D65D96A +:04098A0039C0061357 +:04098B0068458593A3 +:04098C0056C5051334 +:04098D005B4020EFBC +:04098E0067DD40A23F +:04098F0082A34705F3 +:0409900045014EE7E8 +:04099100808201312E +:04099200111167597F +:04099300AFC7079350 +:04099400CC06C8269F +:04099500C002CA22B0 +:04099600C43E448196 +:0409970065B7645D7F +:0409980046450001CF +:04099900BA05859383 +:04099A0042C405133B +:04099B006EE020EFFB +:04099C00971347A2C4 +:04099D00655D002470 +:04099E00430C973E31 +:04099F0005134645B1 +:0409A00020EF46C539 +:0409A100E0EF6D8096 +:0409A2004681B41FB7 +:0409A3001737C2221E +:0409A40025830002A5 +:0409A50067C10D0712 +:0409A600F63317FD10 +:0409A700645D00F596 +:0409A80056C42023EE +:0409A9000D0727030C +:0409AA00000305370A +:0409AB00471367DDAA +:0409AC008F69FFF759 +:0409AD0056E7A22344 +:0409AE00CA15C63E62 +:0409AF000863478210 +:0409B000675D02F687 +:0409B1000014951386 +:0409B20052070713CE +:0409B300EABD972AD8 +:0409B4001023479233 +:0409B50065B700B76B +:0409B60046450001B1 +:0409B700BA858593E5 +:0409B80042C785139A +:0409B900676020EF64 +:0409BA00ADFFE0EFBE +:0409BB00675D4685A9 +:0409BC00260347B215 +:0409BD00A3035587B4 +:0409BE0087BA564757 +:0409BF006741E605A1 +:0409C00002E31263D9 +:0409C10065D9E0BD57 +:0409C2000613655D56 +:0409C30085930360B5 +:0409C4000513B685DC +:0409C500C01A5205FD +:0409C6004D0020EFD1 +:0409C7004689430218 +:0409C80067DD44EDB6 +:0409C90056042703A6 +:0409CA005467AC239F +:0409CB00C03AC63632 +:0409CC008F634709E5 +:0409CD00650902E6D0 +:0409CE007105051397 +:0409CF009C3FD0EF8A +:0409D000B7B146B2C3 +:0409D10000075703C1 +:0409D20002E346896D +:0409D3004792FAE667 +:0409D400000165B702 +:0409D500859346457B +:0409D6008513BB05C5 +:0409D70020EF42C704 +:0409D800E0EF5FC02D +:0409D9004681A65F4E +:0409DA0014F9B759FC +:0409DB00BF5D46892D +:0409DC0047690485DE +:0409DD00EE9754E35A +:0409DE0040E244525D +:0409DF00453D44C28C +:0409E000006F017132 +:0409E100011346D0E8 +:0409E2000793FC413A +:0409E300CA3E00B157 +:0409E400879367DDB1 +:0409E500CC3E4F872E +:0409E600879367DDAF +:0409E700CE3E4F971A +:0409E800879367DDAD +:0409E900D03E4FA706 +:0409EA00879367DDAB +:0409EB00D23E4FB7F2 +:0409EC0067DDD43EB1 +:0409ED004FC78793D6 +:0409EE0027B7D63E13 +:0409EF0087930F03D8 +:0409F000C63EF017F8 +:0409F1003030079308 +:0409F2001823DA22CA +:0409F300645D00F14E +:0409F4000923478DFF +:0409F500079300F173 +:0409F600C783480467 +:0409F700D826018776 +:0409F80005A3DC0671 +:0409F900472500018D +:0409FA004804041396 +:0409FB008663448546 +:0409FC00849300E7F9 +:0409FD00F493001758 +:0409FE0067DD0FF4AE +:0409FF00A603675D87 +:040A0000071356077B +:040A010047815207D0 +:040A0200568345E9E9 +:040A03001C63000769 +:040A0400476900D668 +:040A05000EF766631F +:040A0600078A67599B +:040A0700A2C7071368 +:040A0800439C97BABA +:040A090007098782D0 +:040A0A0038B78E6308 +:040A0B00BFF10785AB +:040A0C0066DD4785D7 +:040A0D004546D70380 +:040A0E001007471373 +:040A0F0044E69A23FC +:040A10002703675DF4 +:040A1100169356479B +:040A1200D36300F7B3 +:040A130087A60006AC +:040A140000E716934E +:040A15000006DE6396 +:040A16004683675D4F +:040A170045854EC7FC +:040A1800E56346014B +:040A1900068500D579 +:040A1A000FF6F613CA +:040A1B004EC7062399 +:040A1C004501472920 +:040A1D0000E7866305 +:040A1E0005A3675D68 +:040A1F00450542F750 +:040A2000D70367DDB4 +:040A210066DD454702 +:040A22005696C6839B +:040A230007429B4D9E +:040A24009A2383414D +:040A2500EA8144E737 +:040A2600C68366DD40 +:040A2700068A46668F +:040A28009A238F5529 +:040A2900D70344E7C4 +:040A2A0050E245470A +:040A2B0017B7545253 +:040A2C00A023000201 +:040A2D0054C20EE7BA +:040A2E0003C10113EC +:040A2F0047898082F1 +:040A3000478DBF8DA2 +:040A31004791B7BD75 +:040A32004795B7AD80 +:040A33004799B79D8B +:040A3400479DB78D96 +:040A350047A1BFB95D +:040A360047A5BFA968 +:040A3700675DBF999F +:040A38005697478303 +:040A39000017B69359 +:040A3A0056D704A3E4 +:040A3B004683675D2A +:040A3C00675D47D7D4 +:040A3D0042D704A3F5 +:040A3E004505E791F2 +:040A3F00045000EF70 +:040A4000BF0547A9FE +:040A41008D3FE0EF16 +:040A42000683BFE583 +:040A4300477100A453 +:040A4400000217B7DE +:040A450002E686B38C +:040A46000713675DCE +:040A4700A78356C764 +:040A480066370C07FA +:040A4900655D0001E6 +:040A4A00A986061360 +:040A4B00051345C585 +:040A4C00C23E42C59F +:040A4D0066DD973695 +:040A4E0047D6C6833E +:040A4F00384020EF1C +:040A500000B44703A4 +:040A51004792CB29D4 +:040A5200061366051C +:040A53008E7D80060E +:040A54007FF7F6939F +:040A550000C0373373 +:040A560096B30685C8 +:040A570096BA00E665 +:040A58000700071379 +:040A59000713C219A4 +:040A5A0083C10690BE +:040A5B000037F61357 +:040A5C0002A007935A +:040A5D000793E21900 +:040A5E001637020045 +:040A5F00523000020F +:040A600045C5655DC6 +:040A61006637C03202 +:040A62000613000176 +:040A63000513AA4687 +:040A640020EF46C574 +:040A6500E0EF32E0AC +:040A6600B79D831F96 +:040A67005783675DED +:040A6800C7934547A4 +:040A69001A23010744 +:040A6A00BF9944F7F5 +:040A6B00C70367DD79 +:040A6C0046054EC726 +:040A6D0065634681F6 +:040A6E00070500E692 +:040A6F000FF7769374 +:040A70004ED78623B4 +:040A710067DDBF3549 +:040A72004EC78713D1 +:040A7300001747031E +:040A74008793460519 +:040A750046814EC7A1 +:040A760000E66563CE +:040A77007693070566 +:040A780080A30FF751 +:040A7900BF2900D7BA +:040A7A000793675D1A +:040A7B00C7834EC718 +:040A7C000713004715 +:040A7D00C7814EC718 +:040A7E00F79317FDD6 +:040A7F0002230FF748 +:040A8000BDFD00F7C1 +:040A81000713675D93 +:040A820047834EC791 +:040A830046B9004729 +:040A840000F6F36322 +:040A8500078547B9E1 +:040A860065B7B7DDBC +:040A8700655D0001A8 +:040A880085934645C7 +:040A89000513AB4561 +:040A8A0020EF42C552 +:040A8B00635D330074 +:040A8C00000165B749 +:040A8D0046C3051344 +:040A8E0085934645C1 +:040A8F0020EFAC4563 +:040A9000E0EF31C0A2 +:040A9100675DF84F56 +:040A92000713635D86 +:040A930017B756C774 +:040A9400A6030002B3 +:040A950067DD0D0705 +:040A960052078793E9 +:040A97008241064250 +:040A980045ED468161 +:040A99000007D5037A +:040A9A0000C506632A +:040A9B00078906853C +:040A9C00FEB69AE325 +:040A9D0000A4078327 +:040A9E0087B3467163 +:040A9F00461502C72F +:040AA000C78397BAB7 +:040AA1006463017712 +:040AA200461102F601 +:040AA30004D64A63C8 +:040AA400973E181849 +:040AA500FDC747033F +:040AA60040D75733AB +:040AA700CF118B05DB +:040AA8001818078A89 +:040AA900A78397BACE +:040AAA008023FE4760 +:040AAB00E0EF00D7A1 +:040AAC0067DDF28F81 +:040AAD00560784A3C1 +:040AAE006637B5A151 +:040AAF0006850001B7 +:040AB000AD06061376 +:040AB100051345C51F +:040AB20020EF46C328 +:040AB300E0EF1F60F1 +:040AB400A537EF8FE4 +:040AB500051300071E +:040AB600D0EF120566 +:040AB700BFC1E24F8A +:040AB80086E347B1D9 +:040AB9006509FCF6D9 +:040ABA0071050513AA +:040ABB00E12FD0EF68 +:040ABC00871367DD58 +:040ABD00635D56C758 +:040ABE0067DDBF9998 +:040ABF004EC7871384 +:040AC0000187470360 +:040AC1008793467958 +:040AC20046814EC754 +:040AC30000E6656381 +:040AC4007693070519 +:040AC5008C230FF778 +:040AC600B3DD00D7C5 +:040AC700871367DD4D +:040AC80047034EC7CB +:040AC9008793018787 +:040ACA0046FD4EC7D0 +:040ACB00177DD76D4F +:040ACC0065B7B7CD86 +:040ACD00655D000162 +:040ACE008593464581 +:040ACF000513AE0558 +:040AD00020EF42C50C +:040AD10065B7218064 +:040AD200655D00015D +:040AD300859346457C +:040AD4000513AF0552 +:040AD50020EF46C503 +:040AD6006441204017 +:040AD700E6AFE0EFB7 +:040AD80017B7147DBB +:040AD900A6830002EE +:040ADA0067DD0D07C0 +:040ADB005207871324 +:040ADC0047818EE1DF +:040ADD005583466D8A +:040ADE008C6300071E +:040ADF00078500D5B2 +:040AE0009AE3070985 +:040AE1006509FEC7DE +:040AE2007105051382 +:040AE300D72FD0EF4A +:040AE4004725BFC91A +:040AE50000F74C6367 +:040AE6000785472910 +:040AE70002E7E7B388 +:040AE80004A3675D9F +:040AE900F0EF42F7F1 +:040AEA00B711960F9B +:040AEB009CE3473110 +:040AEC00BDF5FCE771 +:040AED00C70367DDF7 +:040AEE0047A9569727 +:040AEF00C6071BE338 +:040AF000B98587A697 +:040AF100B9AD47A9AB +:040AF200DBC1011350 +:040AF300202318287C +:040AF4002E23241178 +:040AF5002C2322810B +:040AF600E0EF22917A +:040AF700C22AD4CF6C +:040AF800761010EF75 +:040AF900440D4792CF +:040AFA004611EBC5F1 +:040AFB000828182C83 +:040AFC0016A020EF31 +:040AFD00000165B7D8 +:040AFE008593461185 +:040AFF000828D4856A +:040B000012E020EFF0 +:040B01000640079310 +:040B02005783E941EB +:040B0300059303C192 +:040B0400462103E1A2 +:040B050001E10513F2 +:040B060000F11E23B9 +:040B070013E020EFE8 +:040B080004615783AA +:040B0900020102A340 +:040B0A0000F11A23B9 +:040B0B000481578387 +:040B0C0000F11B23B6 +:040B0D0000EF45525E +:040B0E00578343B016 +:040B0F00D42A04A13F +:040B100000F11A23B3 +:040B110004C1578341 +:040B120000F11B23B0 +:040B130000EF455258 +:040B14005783423091 +:040B1500D62A04E1F7 +:040B160000F11A23AD +:040B170005015783FA +:040B180000F11B23AA +:040B190000EF455252 +:040B1A00D82A40B0E5 +:040B1B00234125034A +:040B1C0000EFCA2AF2 +:040B1D0055A23FF0AE +:040B1E000793DA2A35 +:040B1F0087131E20FA +:040B2000E063FE652B +:040B2100460514E78A +:040B220000EF1828A0 +:040B230057D240B0B5 +:040B240006F50B6364 +:040B250006600793CC +:040B260010EFC23ECC +:040B270047926A7017 +:040B28008963470591 +:040B290047632AE70D +:040B2A00071326F790 +:040B2B008863F35098 +:040B2C0044632AE70D +:040B2D00071324F78F +:040B2E008763F340A6 +:040B2F0065B72AE795 +:040B300085930001A8 +:040B3100C23EC945B2 +:040B3200851367DDE3 +:040B3300464546C726 +:040B340008A020EF06 +:040B3500CF2FE0EFEF +:040B3600000F453730 +:040B37002405051379 +:040B3800C1EFD0EF4A +:040B3900DA634792A2 +:040B3A0058632A07CB +:040B3B0066372A806F +:040B3C00655D0001F2 +:040B3D00DB4606137A +:040B3E00051345C591 +:040B3F0010EF42C5AC +:040B4000147D7C3074 +:040B4100645DA2B994 +:040B42000001663711 +:040B4300D5060613BA +:040B4400051345C58B +:040B450010EF42C4A7 +:040B460056B27AB079 +:040B4700663767DDC9 +:040B48008513000110 +:040B4900061346C782 +:040B4A0045C5D606C1 +:040B4B00795010EFDE +:040B4C00C96FE0EF9E +:040B4D0054B257C285 +:040B4E00C23E43015F +:040B4F00C422450176 +:040B5000089364633F +:040B510092634792D2 +:040B520047831AA714 +:040B5300468301E1F3 +:040B5400470301C191 +:040B5500CFD501D126 +:040B5600000167B77C +:040B5700C6078793B3 +:040B58000613452219 +:040B5900C03201E1C4 :040B5A0000016637F9 -:040B5B0016D1655DED -:040B5C000346061333 -:040B5D00051345C572 -:040B5E00106F71059E -:040B5F0045E979A04B -:040B600002B50533A2 -:040B6100859365E132 -:040B6200464580C5BF -:040B6300655D95AA8D -:040B640071050513FF -:040B65000510106FF8 -:040B660000251793BC -:040B670005136559B4 -:040B6800953E07C5EA -:040B6900655D410C79 -:040B6A0005134645E4 -:040B6B00106F710591 -:040B6C0047A9037022 -:040B6D0000F50F631D -:040B6E0000251793B4 -:040B6F000513655DA8 -:040B7000953EF005B9 -:040B7100655D410C71 -:040B720005134645DC -:040B7300106F710589 -:040B740065B70170F0 -:040B75008593000163 -:040B7600B7F5068544 -:040B7700A70367E188 -:040B780067DD8007AE -:040B79007C078793DB -:040B7A00464546ADF9 -:040B7B000167D583B6 -:040B7C0006E5946393 -:040B7D000FF6F693E6 -:040B7E000B63E1190B -:040B7F001101280731 -:040B800069DDC64E17 -:040B81006A59C803E2 -:040B8200695DC84A97 -:040B83004F0907937C -:040B8400003815130D -:040B8500CE0697AA57 -:040B8600CA26CC228D -:040B87000007A88338 -:040B88000047C783D8 -:040B8900A603475127 -:040B8A008733004865 -:040B8B00859302E765 -:040B8C00F593FF5688 -:040B8D0043150FF508 -:040B8E006A59899384 -:040B8F004F090913EE -:040B9000460397324F -:040B9100686300474E -:040B9200635902B3EE -:040B93000313058AB9 -:040B9400959AFF43EC -:040B95008582418C88 -:040B96000789068540 -:040B9700F8C698E321 -:040B9800BF5946817A -:040B9900C783E39992 -:040B9A0017FD00083B -:040B9B000FF7F793C6 -:040B9C000223954A51 -:040B9D00440100F51A -:040B9E00A099448155 -:040B9F000008C70380 -:040BA000954A0785E6 -:040BA10002E7E7B3CD -:040BA2000663B7ED42 -:040BA300187D0008B1 -:040BA40001098023A0 -:040BA5004462B7CD22 -:040BA60044D240F203 -:040BA70049B24942C4 -:040BA80084A367E1DA -:040BA900610580075B -:040BAA00C58FE06FA4 -:040BAB000D63478D02 -:040BAC00479104F673 -:040BAD00FCF611E35E -:040BAE004485471C17 -:040BAF00842A97827B -:040BB0000009C783EE -:040BB1004645655DF3 -:040BB200993E078ED3 -:040BB300004947832B -:040BB4000009298388 -:040BB50089334951E6 -:040BB600A7830327E7 -:040BB70005130049D9 -:040BB80097CA6D0566 -:040BB90010EF438C6A -:040BBA00A7836FE0BE -:040BBB004691004916 -:040BBC00C70397CA0A -:040BBD00EA630047A0 -:040BBE0066D912E6FC -:040BBF008693070A08 -:040BC000973600C69E -:040BC100870243184C -:040BC200C3914B1C74 -:040BC300C7839782CB -:040BC400485100098B -:040BC50000178593FD -:040BC6000733078E5C -:040BC700431400F9DA -:040BC8000047470398 -:040BC900073342D0DC -:040BCA0096930307F4 -:040BCB0005330035B9 -:040BCC00280300D921 -:040BCD009732000556 -:040BCE00046347185D -:040BCF00022300E815 -:040BD00097CA0005BB -:040BD1000047C7838F -:040BD20096CA475127 -:040BD30002E787B3FB -:040BD40000B98023C1 -:040BD500461C963EE6 -:040BD600BF31C29CCD -:040BD700ECE3479173 -:040BD80067D9F0C722 -:040BD90002078793F5 -:040BDA00963E060A33 -:040BDB008782421CAF -:040BDC00483D470C3D -:040BDD0000C7450305 -:040BDE0000D74603F3 -:040BDF000005C783C3 -:040BE00000E74703E0 -:040BE10001069D6309 -:040BE20000F678633E -:040BE300F71317FDF0 -:040BE40080230FF764 -:040BE500B5C500E5AD -:040BE6008732FD6DE8 -:040BE700F863BFDD13 -:040BE800078500E796 -:040BE9000FF7F613F9 -:040BEA0000C580239F -:040BEB00FD6DB5E9FE -:040BEC00BFDD863AA9 -:040BED0045BD4710AB -:040BEE000006578323 -:040BEF0000B69C634D -:040BF00000C75703E0 -:040BF10000F7756331 -:040BF20007C217FD22 -:040BF300102383C187 -:040BF400B55500F6FD -:040BF50000E75703BB -:040BF600FEE7FBE338 -:040BF700B7F50785C2 -:040BF80045BD475060 -:040BF90047834218D4 -:040BFA0099630007F4 -:040BFB00C78100B6F8 -:040BFC00F79317FD57 -:040BFD0000230FF7CB -:040BFE00BDB500F78A -:040BFF0000464683E3 -:040C000000D7F563C1 -:040C0100F6930785DA -:040C020000230FF7C5 -:040C0300B5A500D7BC -:040C04004B9C479826 -:040C05004703655DDF -:040C06004645000758 -:040C0700710505135B -:040C080097BA070A86 -:040C090010EF438C19 -:040C0A0044625BE005 -:040C0B0044D240F29D -:040C0C0049B249425E -:040C0D00E06F61052E -:040C0E004798ABAFA9 -:040C0F0045034B9CB2 -:040C100097820007C0 -:040C11004798B7DD6C -:040C120055034B9C9F -:040C130097820007BD -:040C140067DDBFE9F0 -:040C150070078823B9 -:040C1600C495BFC9F9 -:040C170066B7C005F7 -:040C180086930001BE -:040C190066370446F0 -:040C1A00655D000113 -:040C1B0004C60613F2 -:040C1C00051345C5B2 -:040C1D0010EF71055E -:040C1E00BF4549E0A5 -:040C1F00000166B7B3 -:040C200003C68693EE -:040C210047DCB7CD28 -:040C22004398D7E933 -:040C2300BF45479CE6 -:040C2400716D8082EC -:040C25001011262361 -:040C260010812423F2 -:040C270010912223E3 -:040C2800F96347BD68 -:040C2900753700A774 -:040C2A0005130001AD -:040C2B00268D80850D -:040C2C00A06D547DE6 -:040C2D00445257B71F -:040C2E00355787931C -:040C2F0057B7C03EB5 -:040C30008793004165 -:040C3100C23E441764 -:040C320087936795A8 -:040C3300142320075F -:040C3400379300F101 -:040C3500052300A5EE -:040C3600049300F132 -:040C370047A50105C7 -:040C380004A2842A64 -:040C390008A7F563B0 -:040C3A00079365DDDA -:040C3B004629044002 -:040C3C007005859327 -:040C3D0000D10513CA -:040C3E0000F105A319 -:040C3F000001062387 -:040C400033C010EFBE -:040C4100C78367DD21 -:040C420065DD6CE719 -:040C43000360061331 -:040C440000F10C238C -:040C4500C78367DD1D -:040C460085936CC75F -:040C470005137C0510 -:040C48000CA301B147 -:040C490067DD00F172 -:040C4A007227C783C3 -:040C4B0000F10BA306 -:040C4C00C78367DD16 -:040C4D000D2370A75C -:040C4E0010EF00F1B2 -:040C4F0086263020A5 -:040C500005100593F3 -:040C5100E0EF850A41 -:040C5200842A8D4F14 -:040C53007537F135CB -:040C54000593000103 -:040C5500051304403F -:040C56002C5D81850B -:040C5700208385224F -:040C5800240310C1A0 -:040C5900248310815F -:040C5A006151104193 -:040C5B0007938082F9 -:040C5C0005A30310D9 -:040C5D0065DD00F160 -:040C5E0006A357C9C9 -:040C5F00061300F187 -:040C600047890310AD -:040C610078C585933A -:040C620000F1051385 -:040C630000F1072372 -:040C64000001062362 -:040C65002A8010EFE2 -:040C6600061365E12B -:040C670085930C0065 -:040C6800008880C5BB -:040C6900298010EFDF -:040C6A000593862642 -:040C6B00850A1000E6 -:040C6C0086AFE0EF80 -:040C6D008613656124 -:040C6E0005930014D6 -:040C6F000513232026 -:040C7000E0EF8CC560 -:040C710075378E6FD6 -:040C720085A2000156 -:040C73003230061302 -:040C740083C505131C -:040C750044012C35D5 -:040C76007169B75198 -:040C7700121126230D -:040C7800128124239E -:040C7900129122238F -:040C7A0013212023FF -:040C7B0011312E23E2 -:040C7C0011412C23D3 -:040C7D0011512A23C4 -:040C7E0011612823B5 -:040C7F0011712623A6 -:040C80001181242397 -:040C81001191222388 -:040C8200F16347BD16 -:040C8300753704A716 -:040C84000513000153 -:040C85002AED80854F -:040C8600208359FD71 -:040C8700240312C16F -:040C8800854E128102 -:040C8900124124836D -:040C8A001201290327 -:040C8B0011C12983E7 -:040C8C0011812A03A5 -:040C8D0011412A8364 -:040C8E0011012B0322 -:040C8F0010C12B83E2 -:040C900010812C03A0 -:040C910010412C835F -:040C920080826155A6 -:040C9300010509133B -:040C94008AAA0942DD -:040C95000593860A33 -:040C9600854A10007B -:040C9700FA1FD0EF81 -:040C98006537C511E6 -:040C9900051300013E -:040C9A00B77574C5F1 -:040C9B00000165B738 -:040C9C0076058593C1 -:040C9D00D0EF850A05 -:040C9E0089AAC1AFAF -:040C9F006537C909E3 -:040CA00085D60001F4 -:040CA100768505133C -:040CA20049852261FD -:040CA3004583B77955 -:040CA4004603008182 -:040CA500E58900914C -:040CA600052007938B -:040CA70000F60963E7 -:040CA80000016537AB -:040CA9007885051332 -:040CAA00498922A5AD -:040CAB004783B7BD07 -:040CAC00CB9100A147 -:040CAD00866347050E -:040CAE0065370AE7B5 -:040CAF000513000128 -:040CB00022B97F05E1 -:040CB1004783BF991D -:040CB200470300C133 -:040CB30007A200B1E3 -:040CB40007138FD9BA -:040CB50092E3044082 -:040CB60066DDF4E71C -:040CB7004781870AE0 -:040CB80086934525B5 -:040CB90045A97006D3 -:040CBA0000D7460316 -:040CBB0000C56663A7 -:040CBC0000D78833A2 -:040CBD0000C8002348 -:040CBE00070507859A -:040CBF00FEB796E303 -:040CC00001914783D4 -:040CC1000623675D42 -:040CC20047256CF75F -:040CC30000F766636D -:040CC4000723675D3E -:040CC500A0296CF7FF -:040CC60001814783DE -:040CC700FEF77AE3D7 -:040CC800017147036C -:040CC900655D67DD21 -:040CCA0072E7812329 -:040CCB00C70367DD17 -:040CCC0067DD700769 -:040CCD0001B10593D9 -:040CCE0072E780A3A6 -:040CCF0001A1470335 -:040CD000061367DDC3 -:040CD10005130360A4 -:040CD20085237C05F5 -:040CD30010EF70E7C7 -:040CD40065370EE092 -:040CD5000593000182 -:040CD600051303609F -:040CD700284D7B0524 -:040CD8004432BD6D78 -:040CD90000B147031C -:040CDA000FF4779309 -:040CDB008FD907A204 -:040CDC0003100713E7 -:040CDD00EAE793E3CC -:040CDE00044280212B -:040CDF0007938041B6 -:040CE0001CE32F20C2 -:040CE100655DE8F471 -:040CE20003100613E2 -:040CE30000F1059384 -:040CE40078C50513B7 -:040CE50010EF6B6140 -:040CE6004A010A6055 -:040CE700040004936E -:040CE80010000C13D9 -:040CE90080CB0B139E -:040CEA000F200C9338 -:040CEB0010000B9357 -:040CEC00409C0633EF -:040CED00016A053360 -:040CEE00009105B3B9 -:040CEF0010090913CC -:040CF00003940763FF -:040CF100409B84B3ED -:040CF200074010EFB8 -:040CF300041394D280 -:040CF4009A13F0045B -:040CF50004420104B0 -:040CF6000593860AD2 -:040CF700854A10001A -:040CF800010A5A1380 -:040CF900D0EF804177 -:040CFA004481E17FD1 -:040CFB000613B7D154 -:040CFC0010EF0F20C6 -:040CFD00653704A0B3 -:040CFE0067DD0001AD -:040CFF00061347058C -:040D000085D6323032 -:040D01007CC5051395 -:040D020078E782A369 -:040D0300B5312011D5 -:040D0400DC010113FA -:040D050022B12223D2 -:040D060022C12423BF -:040D070022D12623AC -:040D08001454862ACF -:040D0900200005932E -:040D0A002E23080884 -:040D0B002823201168 -:040D0C002A2322E193 -:040D0D002C2322F180 -:040D0E002E2323016C -:040D0F00C6362311B0 -:040D1000298010EF37 -:040D110000A0556386 -:040D1200C0EF08081E -:040D13002083CD5F0D -:040D1400450121C1B3 -:040D150024010113A1 -:040D16001141808285 -:040D17001437C422A7 -:040D1800C2260002ED -:040D190084AA460161 -:040D1A0004A0059399 -:040D1B0004040513B4 -:040D1C00D0EFC60648 -:040D1D0085A691CF47 -:040D1E000513460172 -:040D1F00D0EF040409 -:040D2000059394AFF4 -:040D2100051304A012 -:040D2200460504047A -:040D2300902FD0EF4E -:040D240004040513AB -:040D250040B2442272 -:040D26004585449229 -:040D2700D06F014147 -:040D28001141910FD5 -:040D29001437C42295 -:040D2A00C2260002DB -:040D2B0084AEC04A88 -:040D2C004601892AC9 -:040D2D0004A0059386 -:040D2E0004040513A1 -:040D2F00D0EFC60635 -:040D300085CA8D0FD4 -:040D3100051346015F -:040D3200D0EF0404F6 -:040D330005138FEF26 -:040D3400442204044D -:040D3500490240B27D -:040D3600449285A6B8 -:040D3700014146052B -:040D38008E8FD06F5B -:040D3900C42211417E -:040D3A001433440525 -:040D3B00741300A489 -:040D3C0085A20FF489 -:040D3D00C606451988 -:040D3E00FABFF0EF19 -:040D3F00442285A223 -:040D4000451D40B25B -:040D4100F06F01410D -:040D42001141F9DF83 -:040D4300C4220506BB -:040D44000FF5741320 -:040D4500450585A239 -:040D4600F0EFC606FE -:040D470085A2F89FEA -:040D480040B244224F -:040D49000141450916 -:040D4A00F7BFF06F90 -:040D4B0045151141F8 -:040D4C00F0EFC606F8 -:040D4D000713F29FF7 -:040D4E004781086071 -:040D4F0004E5136341 -:040D500009000593FE -:040D51000200051384 -:040D5200F5BFF0EF0A -:040D530005134581BE -:040D5400F0EF0210AA -:040D55004581F51FC0 -:040D5600022005135F -:040D5700F47FF0EF46 -:040D58000513458DAD -:040D5900F0EF023085 -:040D5A004581F3DFFD -:040D5B0002800513FA -:040D5C00F33FF0EF82 -:040D5D0003000593F7 -:040D5E000710051362 -:040D5F00F27FF0EF40 -:040D600040B24785D1 -:040D61000141853E89 -:040D62001101808279 -:040D630000A107A341 -:040D6400000215373D -:040D65004605CC2251 -:040D66000513842EBF -:040D670005930405E7 -:040D6800CE0600F1C2 -:040D690086EFD0EF52 -:040D6A00C0EF85222F -:040D6B0040F2B91F7A -:040D6C006105446277 -:040D6D00114180822E -:040D6E00645DC422DA -:040D6F006F845783B3 -:040D7000C226C606CB -:040D7100F9F7F79304 -:040D720083C107C270 -:040D73006EF41C23DB -:040D7400000214B7AE -:040D75000EF4A023B5 -:040D7600C0EF455134 -:040D770045D1B61F8D -:040D780003800513DC -:040D7900FA7FF0EF1E -:040D7A00051345D147 -:040D7B00F0EF039002 -:040D7C0045D1F9DF85 -:040D7D00F0EF4551FD -:040D7E0045D1F95F03 -:040D7F000710051341 -:040D8000F8BFF0EFD9 -:040D8100051345D140 -:040D8200F0EF05E0A9 -:040D830045D1F81F3F -:040D840006D005137D -:040D8500F77FF0EF15 -:040D8600453145D1DD -:040D8700F6FFF0EF94 -:040D8800320005939D -:040D8900F0EF45053D -:040D8A0045D1F65FFA -:040D8B00F0EF451927 -:040D8C000593F5DFF7 -:040D8D0045093200E2 -:040D8E00F53FF0EF4E -:040D8F006F84578393 -:040D9000E79340B2F3 -:040D91001C23040714 -:040D920044226EF495 -:040D93000EF4A02397 -:040D94000141449243 -:040D95001101808246 -:040D9600645DCC22AA -:040D97006F8457838B -:040D9800CA26CE0693 -:040D9900F9F7F793DC -:040D9A0083C107C248 -:040D9B00C64EC84A2E -:040D9C001C23C452FE -:040D9D0014B76EF425 -:040D9E008A2A00029B -:040D9F000EF4A0238B -:040DA000892E45054E -:040DA1003200059384 -:040DA200F03FF0EF3F -:040DA3006F8457837F -:040DA400855245C16E -:040DA5000207E793C7 -:040DA6006EF41C23A8 -:040DA7000EF4A02383 -:040DA80077D000EF11 -:040DA9000FF5799336 -:040DAA0000099B633E -:040DAB00000175B717 -:040DAC0085934645A0 -:040DAD008552D9454D -:040DAE0072D000EF10 -:040DAF0044814985AD -:040DB000009A07B3EB -:040DB1000007C5036F -:040DB200048545D19E -:040DB300EBFFF0EF73 -:040DB4000FF4F793AE -:040DB500FF37E6E33B -:040DB6006F8457836C -:040DB700000214B76B -:040DB800F79345D197 -:040DB90007C2FDF779 -:040DBA001C2383C1B2 -:040DBB00A0236EF40F -:040DBC0005130EF419 -:040DBD00F0EF0C0047 -:040DBE005783E95F0F -:040DBF0045C16F8437 -:040DC000E793854AE6 -:040DC1001C230207E6 -:040DC200A0236EF408 -:040DC30000EF0EF43B -:040DC400799370F0BF -:040DC5009B630FF528 -:040DC60075B70009F4 -:040DC700464500019C -:040DC800D9458593F1 -:040DC90000EF854A68 -:040DCA0049856BF0FC -:040DCB0007B34481A5 -:040DCC00C5030099C2 -:040DCD0045D1000705 -:040DCE00F0EF0485B9 -:040DCF00F793E51F92 -:040DD000E6E30FF453 -:040DD1005783FF370E -:040DD20040F26F84F8 -:040DD30000021737CC -:040DD4000407E79396 -:040DD5006EF41C2379 -:040DD6002023446230 -:040DD70044D20EF7FD -:040DD80049B2494291 -:040DD90061054A2244 -:040DDA0011418082C1 -:040DDB001437C422E3 -:040DDC00C226000229 -:040DDD0084AA46019D -:040DDE0002C00593B7 -:040DDF0004040513F0 -:040DE000C0EFC60694 -:040DE10085A6E0DF24 -:040DE20005134605AA -:040DE300C0EF040455 -:040DE4000593E3BFD1 -:040DE500051302C030 -:040DE60046050404B6 -:040DE700DF3FC0EF3B -:040DE80004040513E7 -:040DE90040B24422AE -:040DEA004585449265 -:040DEB00C06F014193 -:040DEC001141E01FB2 -:040DED001437C422D1 -:040DEE00C226000217 -:040DEF0084AEC04AC4 -:040DF0004601892A05 -:040DF10002C00593A4 -:040DF20004040513DD -:040DF300C0EFC60681 -:040DF40085CADC1FB1 -:040DF500051346019B -:040DF600C0EF040442 -:040DF7000513DEFF03 -:040DF8004422040489 -:040DF900490240B2B9 -:040DFA00449285A6F4 -:040DFB000141460567 -:040DFC00DD9FC06F48 -:040DFD0000021537A4 -:040DFE004601114158 -:040DFF000513458112 -:040E0000C606040519 -:040E0100DC5FC0EF03 -:040E0200C0EF4529CF -:040E030045E1931F13 -:040E0400F0EF4505C1 -:040E050045E1FA1FAA -:040E0600F0EF4509BB -:040E070045E1F99F29 -:040E0800F0EF450DB5 -:040E09004505F91F83 -:040E0A00F43FF0EFD2 -:040E0B00152140B2BB -:040E0C000015351385 -:040E0D00808201419D -:040E0E00C2261141A6 -:040E0F00450584AA67 -:040E1000C422C6062C -:040E1100F27FF0EF8D -:040E12000034941301 -:040E13008C49991D50 -:040E14000FF4741350 -:040E1500450585A268 -:040E1600F5BFF0EF45 -:040E1700450985A262 -:040E1800F53FF0EFC3 -:040E1900450D85A25C -:040E1A00F4BFF0EF42 -:040E1B0040B244227B -:040E1C00449285A6D1 -:040E1D000001753724 -:040E1E00860505132D -:040E1F00F06F01412E -:040E20001141B93F84 -:040E210084AAC226B7 -:040E2200C42245059C -:040E2300F0EFC60620 -:040E24007513EDDF76 -:040E250047890D8567 -:040E2600001564133C -:040E270000F48963E7 -:040E280000549413CB -:040E290074138C4969 -:040E2A0064130FF44A -:040E2B0085A2004458 -:040E2C00F0EF450599 -:040E2D0085A2F01F8B -:040E2E00F0EF450993 -:040E2F0085A2EF9F0A -:040E3000F0EF450D8D -:040E31004422EF1F49 -:040E320085A640B29F -:040E33007537449239 -:040E340005130001A1 -:040E3500014187C52B -:040E3600B39FF06F07 -:040E3700C42211417F -:040E38000002143769 -:040E39004601C22686 -:040E3A00059384AAEE -:040E3B00051305C0D6 -:040E3C00C6060404DE -:040E3D00C9BFC0EF7A -:040E3E000FF4F59325 -:040E3F00051346054C -:040E4000C0EF0404F7 -:040E41000593CC7FCA -:040E4200051305C0CF -:040E43004605040458 -:040E4400C7FFC0EF35 -:040E45000404051389 -:040E460040B2442250 -:040E47004585449207 -:040E4800C06F014135 -:040E49001141C8DFAC -:040E4A001437C42273 -:040E4B00C2260002B9 -:040E4C0084AEC04A66 -:040E4D004601892AA7 -:040E4E0005C0059343 -:040E4F00040405137F -:040E5000C0EFC60623 -:040E51007593C4DFF2 -:040E520046010FF94D -:040E5300040405137B -:040E5400C79FC0EF85 -:040E55000404051379 -:040E560040B2442240 -:040E570085A6490221 -:040E58004605449275 -:040E5900C06F014124 -:040E5A001141C63F3D -:040E5B000220051359 -:040E5C00C422C606E0 -:040E5D00F0EFC226CA -:040E5E007413F67F94 -:040E5F0045CD0FF579 -:040E6000F0EF455911 -:040E61006489FA5F47 -:040E6200710485137F -:040E6300FAEFC0EFF3 -:040E6400455D458D16 -:040E6500F93FF0EF72 -:040E6600710485137B -:040E6700F9EFC0EFF0 -:040E68000804659382 -:040E6900022005134B -:040E6A00F7FFF0EFAF -:040E6B003E800513AD -:040E6C00F8AFC0EF2C -:040E6D0007F475937E -:040E6E0040B2442228 -:040E6F000513449291 -:040E7000014102201A -:040E7100F63FF06FE9 -:040E7200C422114144 -:040E730005136409F6 -:040E7400C606710439 -:040E7500F66FC0EF65 -:040E7600455945C5D0 -:040E7700F4BFF0EFE5 -:040E780071040513E9 -:040E7900F56FC0EF62 -:040E7A004589455D04 -:040E7B00F3BFF0EFE2 -:040E7C0071040513E5 -:040E7D0040B2442219 -:040E7E00C06F0141FF -:040E7F001141F40F1A -:040E8000842EC422D6 -:040E8100454985AAB0 -:040E8200F0EFC606C1 -:040E830085A2F1DF74 -:040E840040B2442212 -:040E85000141454D95 -:040E8600F0FFF06F1A -:040E8700051385AA20 -:040E8800F06F03D034 -:040E890085AAF05FE7 -:040E8A00F06F45457B -:040E8B001141EFDF43 -:040E8C00C422C606B0 -:040E8D000065478332 -:040E8E00456D842A00 -:040E8F0000479593F0 -:040E9000F5938DDD6C -:040E9100F0EF0FF57A -:040E92004583EE1F87 -:040E93004571006441 -:040E9400ED7FF0EF0F -:040E9500003445835D -:040E9600F0EF45290B -:040E97004583ECDFC4 -:040E980045250044A8 -:040E9900EC3FF0EF4B -:040E9A000054458338 -:040E9B00F0EF45210E -:040E9C004583EB9F00 -:040E9D0045350004D3 -:040E9E00EAFFF0EF88 -:040E9F000014458373 -:040EA000F0EF4531F9 -:040EA1004583EA5F3C -:040EA20044220024C2 -:040EA300452D40B2E7 -:040EA400F06F0141A9 -:040EA5001101E95FEF -:040EA60084AACA262A -:040EA700CC22451103 -:040EA800842EC6329C -:040EA900C84ACE065F -:040EAA00E35FF0EF23 -:040EAB0075934632C3 -:040EAC0006630F8545 -:040EAD000793100691 -:040EAE00E2637FF08C -:040EAF00048610970E -:040EB0000015E593B1 -:040EB10004C2451121 -:040EB200E5FFF0EF79 -:040EB300D59380C192 -:040EB400F59300446E -:040EB50045050FF5EB -:040EB600E4FFF0EF76 -:040EB70000449593CB -:040EB8000F05F5939A -:040EB900F0EF450908 -:040EBA007537E41F85 -:040EBB0009370001F2 -:040EBC0085A6019C6A -:040EBD00970505137D -:040EBE00CC0909133F -:040EBF00915FF0EF60 -:040EC0000289593317 -:040EC1003E800793D5 -:040EC200000F45B721 -:040EC30024058593EA -:040EC400000175377D -:040EC5009945051333 -:040EC60009334401A7 -:040EC7005633029903 -:040EC80055B302F923 -:040EC900763302B9C1 -:040ECA00F0EF02F64D -:040ECB0057B78E7F08 -:040ECC0087930225E1 -:040ECD00F0630FF7C8 -:040ECE0027B7032718 -:040ECF008793042CD5 -:040ED0004405D7F707 -:040ED1000127F96399 -:040ED200080BF437DE -:040ED300FBF4041315 -:040ED400012434338E -:040ED50067DD0409C8 -:040ED60068C78793CF -:040ED700C78397A294 -:040ED80007130007F5 -:040ED90087B3028059 -:040EDA00D71302E741 -:040EDB0097BA0014AE -:040EDC000297C4B302 -:040EDD00F493479DA6 -:040EDE0085A60FF4E2 -:040EDF000097F36322 -:040EE00067DD459DE8 -:040EE10000241713BF -:040EE2008947879322 -:040EE300F49397BA33 -:040EE400438C0FF537 -:040EE500000175375C -:040EE6000513862644 -:040EE700F0EF9B8508 -:040EE800041A873F22 -:040EE90000349593A9 -:040EEA0044628DC110 -:040EEB0044D240F2BB -:040EEC00F5934942EF -:040EED00450D0F851B -:040EEE00F06F61053B -:040EEF004511D6DFF4 -:040EF000D67FF0EFCA -:040EF1001141B729CB -:040EF200842AC42268 -:040EF300C606456981 -:040EF400D0DFF0EF6C -:040EF5007593478525 -:040EF6001A630FA5C7 -:040EF700E59300F48B -:040EF800442200256B -:040EF900456940B255 -:040EFA00F06F014153 -:040EFB00E593D3DFC9 -:040EFC00BFC500A5C9 -:040EFD0000A5558374 -:040EFE00C4221141B8 -:040EFF00842A81A11F -:040F000004B0051321 -:040F0100F0EFC60641 -:040F02004583D21F32 -:040F0300051300A42E -:040F0400F0EF04A066 -:040F05005583D15FE0 -:040F0600051300C40B -:040F070081A104D0F0 -:040F0800D07FF0EFB7 -:040F090000C4458358 -:040F0A0004C0051307 -:040F0B00CFBFF0EF75 -:040F0C0000E4558325 -:040F0D0004F00513D4 -:040F0E00F0EF81A1DE -:040F0F004583CEDF69 -:040F1000051300E4E1 -:040F1100F0EF04E019 -:040F12005583CE1F16 -:040F1300051300447E -:040F140081A10510A2 -:040F1500CD3FF0EFED -:040F160000444583CB -:040F170005000513B9 -:040F1800CC7FF0EFAB -:040F19000064558398 -:040F1A000530051386 -:040F1B00F0EF81A1D1 -:040F1C004583CB9F9F -:040F1D000513006454 -:040F1E00F0EF0520CB -:040F1F005583CADF4D -:040F20000513008431 -:040F210081A1055055 -:040F2200C9FFF0EF24 -:040F2300008445837E -:040F2400054005136C -:040F2500C93FF0EFE1 -:040F260001045583EA -:040F27000570051339 -:040F2800F0EF81A1C4 -:040F29004583C85FD5 -:040F2A0005130104A6 -:040F2B00F0EF05607E -:040F2C005583C79F83 -:040F2D000513012483 -:040F2E0081A1059008 -:040F2F00C6BFF0EF5A -:040F300001244583D0 -:040F3100058005131F -:040F3200C5FFF0EF18 -:040F3300014455839D -:040F340005B00513EC -:040F3500F0EF81A1B7 -:040F36004583C51F0B -:040F3700442201440B -:040F3800051340B2AB -:040F3900014105A0CD -:040F3A00C3FFF06F92 -:040F3B00C42211417A -:040F3C000513842AEB -:040F3D00C60603F0F1 -:040F3E00BE5FF0EFB3 -:040F3F000F05759392 -:040F400005138DC147 -:040F4100F0EF03F0DA -:040F420085A2C21FA3 -:040F430040B2442252 -:040F440000017537FC -:040F450092050513F9 -:040F4600F06F014106 -:040F47001141EF6FF6 -:040F4800842AC42211 -:040F4900C60645692A -:040F4A00BB5FF0EFAA -:040F4B000064159396 -:040F4C0003F5751321 -:040F4D00F5938DC9C2 -:040F4E0045690FF5ED -:040F4F00BEBFF0EF42 -:040F5000442285A210 -:040F5100753740B2FE -:040F52000513000182 -:040F5300014195457E -:040F5400EC0FF06F3F -:040F550065DD110144 -:040F56008593461D1C -:040F57000028DCC5CD -:040F58002DE9CE06AB -:040F5900C07FF0EF76 -:040F5A00F0EF45016E -:040F5B004585E5DF04 -:040F5C000350051326 -:040F5D00BB3FF0EFB7 -:040F5E000513655DB5 -:040F5F00F0EF8A45E0 -:040F60004501E77FE1 -:040F6100F9BFF0EFF5 -:040F6200F0EF45194E -:040F63000513C93F6A -:040F6400F0EF044066 -:040F65004581C95F9A -:040F6600F0EF45055E -:040F67000028C65F39 -:040F6800C8FFF0EFDF -:040F6900610540F2EC -:040F6A00114180822F -:040F6B00C04AC42292 -:040F6C00892E842A1C -:040F6D000324043322 -:040F6E00C60645115D -:040F6F00F0EFC226B7 -:040F7000891DB1FF27 -:040F71004054549301 -:040F72001593887DCE -:040F73008DC90034F0 -:040F7400F0EF451144 -:040F7500F593B55FDC -:040F760075370FF4C8 -:040F770006930001DC -:040F7800864A001491 -:040F790005130585D2 -:040F7A00F0EF8F45C0 -:040F7B0040B2E26F2F -:040F7C00F513442203 -:040F7D0049020FF422 -:040F7E000141449257 -:040F7F00114180821A -:040F8000842AC422D9 -:040F8100C60645411A -:040F8200AD5FF0EF80 -:040F8300003415938E -:040F84008DC9891D6D -:040F85000FF5F593DC -:040F8600F0EF454102 -:040F870085A2B0DFB0 -:040F880040B244220D -:040F890000017537B7 -:040F8A0093C50513F3 -:040F8B00F06F0141C1 -:040F8C00C139DE2F5A -:040F8D00C226114126 -:040F8E0002600513E5 -:040F8F00059384AE94 -:040F9000C42208006F -:040F91008432C606DA -:040F9200ADFFF0EFD0 -:040F930005934785F6 -:040F940089630094D9 -:040F950047A100F47C -:040F960005A4059316 -:040F970000F484637B -:040F98000184059338 -:040F990040B24422FC -:040F9A00F5934492F5 -:040F9B0005130FF536 -:040F9C0001410310FC -:040F9D00AB3FF06F07 -:040F9E000513458171 -:040F9F00BFDD026050 -:040FA000CC2211014D -:040FA100C84ACA264A -:040FA200C452C64E21 -:040FA3004785CE06AA -:040FA400892E842AE4 -:040FA5008A3689B24D -:040FA6000E6384BA98 -:040FA70047A100F569 -:040FA80006F50063E7 -:040FA9000067059345 -:040FAA000FF5F593B7 -:040FAB00F0EF451509 -:040FAC0045C1A79FF5 -:040FAD000593A809F7 -:040FAE00F593002790 -:040FAF0045150FF5E0 -:040FB000A67FF0EF39 -:040FB1004519459900 -:040FB200A5FFF0EFB8 -:040FB300862685A267 -:040FB400F0EF450510 -:040FB50047C1F61F1B -:040FB600036345B1DB -:040FB70045A100F45C -:040FB80002200513FB -:040FB900A43FF0EF72 -:040FBA0040F244625B -:040FBB00865244D244 -:040FBC004A2285CE72 -:040FBD00854A49B266 -:040FBE00610549423E -:040FBF00B9BFF06F57 -:040FC000032705936B -:040FC1000FF5F593A0 -:040FC200F0EF4515F2 -:040FC3000593A1DF12 -:040FC400BF55020013 -:040FC50087931141BC -:040FC600C422FFE55D -:040FC700C606C22672 -:040FC8000FF7F79395 -:040FC90084AA4705AA -:040FCA006263842EAC -:040FCB0047090CF7CF -:040FCC0003634789EB -:040FCD00478100E573 -:040FCE000024971351 -:040FCF0000449593B2 -:040FD000079A8DD916 -:040FD1008DDD8DC560 -:040FD2000FF5F5938F -:040FD300F0EF456591 -:040FD40045419D9F57 -:040FD500989FF0EF02 -:040FD6007593478D3B -:040FD70014630F850B -:040FD800E59300F4A9 -:040FD9004541005539 -:040FDA009BFFF0EF9A -:040FDB00956347894A -:040FDC0047850AF447 -:040FDD000A87E2633A -:040FDE000520059352 -:040FDF0000F40463B3 -:040FE0000530059340 -:040FE100F0EF4539AF -:040FE20005139A1F3A -:040FE300C0EF3E809D -:040FE40045519ACF0A -:040FE500949FF0EFF6 -:040FE60001851793D7 -:040FE700741387E117 -:040FE800D5630FF5C9 -:040FE9008921020751 -:040FEA0075B7E929C5 -:040FEB0085930001E9 -:040FEC0077939D85D5 -:040FED00C7B902047A -:040FEE000001763751 -:040FEF009E46061301 -:040FF0000001753750 -:040FF1009E850513C1 -:040FF200C48FF0EFC9 -:040FF30001047793EB -:040FF4008811CF8908 -:040FF50075B7EC0DD3 -:040FF60085930001DE -:040FF70075379E05A7 -:040FF80005130001DC -:040FF900F0EFA04530 -:040FFA004581C2AFBC -:040FFB004789A8B1C9 -:040FFC0037B3F521F1 -:040FFD00078500B0B4 -:040FFE0075B7B7818B -:040FFF0085930001D5 -:04100000BF459D0546 -:04100100000176373D -:041002009E0606132D -:0410030075B7BF55A9 -:0410040085930001CF -:04100500B7E19E456C -:0410060005B0059399 -:04100700F0EF453988 -:041008000513909F9D -:04100900C0EF3E8076 -:04100A004551914F6C -:04100B008B1FF0EF58 -:04100C00CD0D890974 -:04100D000001753732 -:04100E00A2450513DF -:04100F00BD4FF0EFF2 -:0410100045C1478D02 -:04101100FAF413E3F7 -:04101200F0EF456155 -:0410130005138DDF55 -:0410140045810360AF -:041015008D3FF0EF2C -:0410160040B244227E -:041017006537449263 -:0410180005130001BB -:041019000141AB45A1 -:04101A00BA8FF06F2A -:04101B000001753724 -:04101C00A3450513D0 -:04101D001101B7E125 -:04101E00842ACC2232 -:04101F00C62E455143 -:04102000F0EFCE0619 -:04102100470985BF37 -:041022000FF57793BC -:04102300156345B25A -:04102400470502E496 -:0410250000E59C63E3 -:041026000905751330 -:04102700F7050513B1 -:041028000015351367 -:04102900446240F2EB -:04102A00808261055A -:04102B007513E591C3 -:04102C00051308851B -:04102D00B7EDF7859F -:04102E000017D513BF -:04102F00B7DD89059B -:04103000D4A271597C -:04103100D2A6645D82 -:04103200CECED0CA84 -:04103300CAD6CCD27B -:04103400C6DEC8DA72 -:04103500C2E6C4E269 -:04103600DE6EC0EAC0 -:0410370072440713E5 -:041038008D36D68695 -:0410390001E7468302 -:04103A0016234791A1 -:04103B0047A100F1D8 -:04103C0000D797B38F -:04103D0001F74683EE -:04103E000087E793AD -:04103F0000F1172382 -:04104000040007930E -:0410410000D797B38A -:0410420002074683D8 -:0410430000F118237D -:041044000217470345 -:0410450040000793CD -:0410460000D797B385 -:0410470000F1192378 -:0410480097B367896A -:041049001A2300E77F -:04104A00079300F117 -:04104B00CC3E00B1E6 -:04104C00879367DD42 -:04104D00CE3E7477A8 -:04104E00879367DD40 -:04104F00D03E748794 -:04105000879367DD3E -:04105100D23E749780 -:04105200879367DD3C -:04105300D43E74A76C -:0410540064E167DD0F -:0410550074B7879352 -:0410560009B7695D10 -:041057008D93040071 -:041058008AAA80C41C -:0410590005A38B2E32 -:04105A00D63E00017D -:04105B0004134A012F -:04105C0084937244C3 -:04105D004B9580C46B -:04105E0000016C37EA -:04105F00A489091344 -:0410600000017CB758 -:041061000409899362 -:04106200018A1513D7 -:04106300856147F567 -:0410640000FA146317 -:04106500AA85557D86 -:04106600017DC7033E -:04106700016DC783CD -:041068000CEBE16349 -:0410690000271593B4 -:04106A0001258633A3 -:04106B0086024210A7 -:04106C00F7B3C84EC0 -:04106D00CFC901A73F -:04106E0095BE181CF7 -:04106F00FE85A783D0 -:04107000D603181477 -:04107100C783018DA3 -:0410720007860007E6 -:04107300D78397B6D2 -:041074008FF1FDC734 -:041075004613CFB59A -:041076008A05FFF6F2 -:0410770007661A638B -:04107800010DD6038D -:04107900656306792C -:04107A0046050756CA -:04107B0000C4082382 -:04107C000004172332 -:04107D00000409233F -:04107E0000F41A233D -:04107F001000061344 -:041080001CC788639E -:0410810008F66963A1 -:041082008E634641F2 -:04108300606318C7C7 -:04108400461106F615 -:041085000CC78963A8 -:041086008963462113 -:04108700851310C7F6 -:04108800F0EFA60CD3 -:04108900A02D9EEF09 -:04108A00010DD80379 -:04108B0020D0061358 -:04108C00F8C811E3AC -:04108D00FFDDC88338 -:04108E004603480DC0 -:04108F009C6302E478 -:04109000E60101086C -:041091000EF7F793CC -:041092004809B7ADA5 -:04109300F70613E366 -:041094000DE90A0553 -:04109500E601BF159C -:041096000FB7F79306 -:041097004805BF99B0 -:0410980085D2B7F551 -:04109900E0CC05138F -:04109A009A8FF0EF4A -:04109B000613B7D5AC -:04109C008063040069 -:04109D00071314C75A -:04109E00866308005D -:04109F00071314E738 -:0410A0009EE30200C9 -:0410A1000793F8E7D2 -:0410A20016232010E1 -:0410A300479900F475 -:0410A40000F4082329 -:0410A5006605A88DA7 -:0410A60014C7846384 -:0410A70002F6646386 -:0410A80040000613EB -:0410A90012C789637E -:0410AA008007871321 -:0410AB0012070763BE -:0410AC002000071306 -:0410AD00F6E795E3EA -:0410AE002020079364 -:0410AF0000F4162310 -:0410B000B7F94795B0 -:0410B10080636711E0 -:0410B200672112E7B9 -:0410B30012E7866357 -:0410B40096E367094F -:0410B5004791F4E784 -:0410B60000F4162309 -:0410B7000780079314 -:0410B80000F4092314 -:0410B9001623A00D4D -:0410BA0077130004A4 -:0410BB0047850FD77F -:0410BC0000F71B63BB -:0410BD00031447834E -:0410BE004789C79502 -:0410BF0000F408230E -:0410C00007A34785B6 -:0410C10050B600F431 -:0410C20054965426C6 -:0410C30049F659068B -:0410C4004AD64A6658 -:0410C5004BB64B4695 -:0410C6004C964C26D2 -:0410C7005DF24D0683 -:0410C800808261655C -:0410C900072347852D -:0410CA00BFD900F496 -:0410CB00062347852C -:0410CC00478300F462 -:0410CD00EF9D03B4DC -:0410CE0007B347E934 -:0410CF0097A602FAE4 -:0410D00000E7D6035C -:0410D10057700793BA -:0410D20002C7E66308 -:0410D300FFF706130A -:0410D4000FF676138A -:0410D500EF634789F5 -:0410D60006A300C7A6 -:0410D700082300F4F6 -:0410D80012E300F42B -:0410D9004783FAF758 -:0410DA00EB9503147B -:0410DB00072347851B -:0410DC00BF5100F40C -:0410DD00FFE707938F -:0410DE00000406A361 -:0410DF000FD7F7939D -:0410E0004795D3FD60 -:0410E100F8F711E328 -:0410E20006B347E921 -:0410E300079302FA73 -:0410E40094B64AF084 -:0410E50000E4D70349 -:0410E600FCE7F7E349 -:0410E70006A3B7ADF8 -:0410E8004789000430 -:0410E9000793B5F5BF -:0410EA001623201099 -:0410EB00479100F435 -:0410EC004789B5C5B6 -:0410ED0000F41623D2 -:0410EE0016E3478D31 -:0410EF004783F4F748 -:0410F000D7CD031441 -:0410F1000793BFF9A9 -:0410F2001623102091 -:0410F300BF2500F421 -:0410F400202007931E -:0410F500478DBFD98B -:0410F6000793BFF1AC -:0410F700B7F12030FD -:0410F800203007930A -:0410F9000793BDE1BB -:0410FA001623204059 -:0410FB00479500F421 -:0410FC0000F40823D1 -:0410FD00B5ED47E125 -:0410FE0020400793F4 -:0410FF0000F41623C0 -:0411000008234799E0 -:0411010047D100F4DE -:041102000000BDE14B -:0411030000000713CE -:0411040000E6166388 -:0411050000000513CE -:0411060000008067FE -:0411070000E507B345 -:0411080000170713B2 -:0411090000E586B3C4 -:04110A000007C78390 -:04110B00FFF6C683A2 -:04110C00FED780E3A7 -:04110D0040D785330F -:04110E0000008067F6 -:04110F0000A5C7B3BD -:041110000037F7931A -:0411110000C50733DB -:0411120000079663D9 -:04111300003007930E -:0411140002C7E263C9 -:041115000005079337 -:041116000AE57C6307 -:041117000005C68386 -:0411180000178793A2 -:0411190000158593A5 -:04111A00FED78FA3CA -:04111B00FEE7E8E320 -:04111C0000008067E8 -:04111D000035769390 -:04111E00000507932E -:04111F0000068E63D5 -:041120000005C6837D -:041121000017879399 -:04112200001585939C -:04112300FED78FA3C1 -:041124000037F69307 -:04112500FE9FF06FCA -:04112600FFC77693F6 -:04112700FE06861327 -:0411280006C7F4639F -:041129000005A38397 -:04112A000045A28357 -:04112B000085AF8309 -:04112C0000C5AF0348 -:04112D000105AE8387 -:04112E000145AE03C6 -:04112F000185A30390 -:0411300001C5A883CA -:04113100024585935B -:041132000077A0237F -:04113300FFC5A80349 -:041134000057A2239B -:0411350001F7A423F7 -:0411360001E7A62304 -:0411370001D7A82311 -:0411380001C7AA231E -:041139000067AC237C -:04113A000117AE23C8 -:04113B00024787934D -:04113C00FF07AE23D8 -:04113D00FADFF06F76 -:04113E000005A603FF -:04113F00004787934B -:04114000004585934E -:04114100FEC7AE2314 -:04114200FED7E8E309 -:04114300F4E7E8E302 -:0411440000008067C0 -:04114500F60101139B -:0411460006912A23C1 -:0411470008F12A235E -:0411480006112E233B -:04114900000177B773 -:04114A0006812C23CB -:04114B0008D126237E -:04114C0008E128236B -:04114D0009012C2345 -:04114E0009112E2332 -:04114F006387A4838B -:041150000205D2635F -:0411510008B0079348 -:0411520000F4A023E2 -:04115300FFF0051391 -:0411540007C120832C -:0411550007812403E7 -:0411560007412483A6 -:041157000A01011375 -:0411580000008067AC -:041159002080079358 -:04115A0000F11A2363 -:04115B0000A12423A8 -:04115C0000A12C239F -:04115D0000000793F4 -:04115E0000058463A1 -:04115F00FFF587937E -:0411600000F128234F -:0411610000F12E2348 -:0411620008C1069327 -:04116300FFF00793FF -:0411640000058413EB -:0411650000048513EA -:04116600008105936C -:0411670000F11B2355 -:0411680000D122236D -:04116900518000EFC2 -:04116A00FFF00793F8 -:04116B0000F55663D2 -:04116C0008B007932D -:04116D0000F4A023C7 -:04116E00F8040CE392 -:04116F000081278351 -:0411700000078023D1 -:04117100F8DFF06F44 -:0411720000050793DA -:041173000017879347 -:04117400FFF7C703B7 -:04117500FE071CE372 -:0411760040A78533D6 -:04117700FFF5051368 -:04117800000080678C -:0411790000050793D3 -:04117A0000060E63FA -:04117B000015859343 -:04117C00FFF5C703B1 -:04117D00001787933D -:04117E00FFF606135F -:04117F00FEE78FA355 -:04118000FE0714E36F -:0411810000C78633EA -:0411820000C79463AB -:041183000000806781 -:041184000017879336 -:04118500FE078FA32F -:04118600FF1FF06FE8 -:0411870000B505B3F7 -:0411880000050793C4 -:0411890000B78663C2 -:04118A000007C70390 -:04118B0000071663E0 -:04118C0040A78533C0 -:04118D000000806777 -:04118E00001787932C -:04118F00FE9FF06F60 -:04119000F80101134E -:0411910006812C2384 -:0411920006112E23F1 -:0411930006912A2374 -:04119400000604133A -:041195000206526399 -:0411960008B0079303 -:0411970000F520231C -:04119800FFF005134C -:0411990007C12083E7 -:04119A0007812403A2 -:04119B000741248361 -:04119C000801011332 -:04119D000000806767 -:04119E0000068613AE -:04119F002080069313 -:0411A00000B1242353 -:0411A10000B12C234A -:0411A20000D11A233B -:0411A30000000593B0 -:0411A40000040463DC -:0411A500FFF40593BB -:0411A600FFF00793BC -:0411A70000B1282348 -:0411A80000B12E2341 -:0411A90000070693A2 -:0411AA000081059328 -:0411AB0000F11B2311 -:0411AC0000050493A3 -:0411AD00408000EF8F -:0411AE00FFF00793B4 -:0411AF0000F556638E -:0411B00008B00793E9 -:0411B10000F4A02383 -:0411B200F8040EE34C -:0411B300008127830D -:0411B400000780238D -:0411B500F91FF06FBF -:0411B600000177B706 -:0411B7000006871394 -:0411B8000006069394 -:0411B9000005861394 -:0411BA000005059394 -:0411BB006387A5039E -:0411BC00F51FF06FBC -:0411BD001005846332 -:0411BE00FFC5A7833F -:0411BF00FF01011318 -:0411C0000081242363 -:0411C10000112623D0 -:0411C2000091222353 -:0411C300FFC58413CD -:0411C4000007D463E9 -:0411C50000F40433FB -:0411C6000005049389 -:0411C70058D000EF0D -:0411C8000001773774 -:0411C9006A87278387 -:0411CA000007061301 -:0411CB000207926322 -:0411CC0000042223D6 -:0411CD006A872423E6 -:0411CE000081240375 -:0411CF0000C12083B8 -:0411D000000485137F -:0411D1000041248332 -:0411D2000101011303 -:0411D3005610006F43 -:0411D40002F4786346 -:0411D5000004268369 -:0411D60000D4073307 -:0411D70000E79A6330 -:0411D8000007A70362 -:0411D9000047A783A1 -:0411DA0000D7073300 -:0411DB0000E42023E9 -:0411DC0000F42223D6 -:0411DD006A862423D7 -:0411DE00FC1FF06F93 -:0411DF00000707936B -:0411E0000047A7031A -:0411E100000704639C -:0411E200FEE47AE3CA -:0411E3000007A683D8 -:0411E40000D7863377 -:0411E5000286186303 -:0411E60000042603D8 -:0411E70000C686B305 -:0411E80000D7A02369 -:0411E90000D7863372 -:0411EA00F8C718E347 -:0411EB0000072603D0 -:0411EC00004727038E -:0411ED0000D606B36F -:0411EE0000D7A02363 -:0411EF0000E7A22350 -:0411F000F79FF06F06 -:0411F10000C478635B -:0411F20000C007939F -:0411F30000F4A02341 -:0411F400F69FF06F03 -:0411F50000042603C9 -:0411F60000C406B378 -:0411F70000D71A63A0 -:0411F8000007268343 -:0411F9000047270381 -:0411FA0000C686B3F2 -:0411FB0000D42023D9 -:0411FC0000E42223C6 -:0411FD000087A223A2 -:0411FE00F41FF06F7B -:0411FF000000806705 -:04120000FE010113D7 -:0412010000912A230B -:04120200003584939C -:04120300FFC4F4939D -:0412040000112E2384 -:0412050000812C2315 -:041206000121282377 -:041207000131262368 -:041208000084849347 -:0412090000C0079387 -:04120A0006F4F8638B -:04120B0000C0049388 -:04120C0006B4E663DB -:04120D0000050913BC -:04120E00471000EF96 -:04120F00000177B7AC -:041210006A87A7033F -:041211006A878693CF -:0412120000070413BA -:0412130006041C634E -:04121400000174372A -:041215006AC4041390 -:041216000004278326 -:0412170000079A63CF -:04121800000005933A -:0412190000090513B0 -:04121A00375000EF5A -:04121B0000A42023E8 -:04121C0000048593B2 -:04121D0000090513AC -:04121E00365000EF57 -:04121F00FFF0099340 -:041220000B351A630D -:0412210000C007936F -:0412220000F920238C -:0412230000090513A6 -:0412240041D000EFC6 -:041225000100006F55 -:04122600F804DCE309 -:0412270000C0079369 -:0412280000F520238A -:0412290000000513A9 -:04122A0001C120835B -:04122B000181240316 -:04122C0001412483D5 -:04122D00010129038F -:04122E0000C129834F -:04122F0002010113A4 -:0412300000008067D3 -:04123100000427830B -:04123200409787B3A7 -:041233000407CE637B -:0412340000B00613ED -:0412350000F67A63E2 -:0412360000F420237D -:0412370000F4043388 -:0412380000942023DB -:041239000100006F41 -:04123A0000442783C2 -:04123B0002871A63A9 -:04123C0000F6A023F5 -:04123D00000905138C -:04123E003B5000EF32 -:04123F0000B40513DF -:0412400000440793CC -:04124100FF8575139D -:0412420040F5073339 -:04124300F8070EE3B7 -:0412440000E404338B -:0412450040A787B384 -:0412460000F420236D -:04124700F8DFF06F6D -:0412480000F7222366 -:04124900FD1FF06F26 -:04124A000004071382 -:04124B000044240334 -:04124C00F1DFF06F6F -:04124D000035041351 -:04124E00FFC4741352 -:04124F00FA8502E337 -:0412500040A405B3FE -:041251000009051378 -:04125200295000EF30 -:04125300F9351AE36C -:04125400F35FF06FE5 -:04125500FE01011382 -:041256000121282327 -:041257000085A90362 -:0412580000812C23C2 -:041259000141242308 -:04125A0001612023EB -:04125B0000112E232D -:04125C0000912A23B0 -:04125D000131262312 -:04125E0001512223F5 -:04125F0000058413EF -:0412600000060B1366 -:0412610000068A13E6 -:041262000D26EE6304 -:0412630000C5D78368 -:041264004807F7132D -:041265000C07066309 -:0412660000042483D9 -:041267000105A58355 -:041268000144270313 -:0412690000050A93DF -:04126A0040B489B350 -:04126B0000300493B8 -:04126C0002E484B361 -:04126D000020071343 -:04126E0002E4C4B31F -:04126F0000168713CB -:041270000137073308 -:0412710000E4F4633E -:0412720000070493DA -:041273004007F793A6 -:041274000C0784637C -:041275000004859359 -:04127600000A8513D2 -:04127700E25FF0EF53 -:041278000005091351 -:0412790004051263F3 -:04127A0000C0079316 -:04127B0000FAA023B2 -:04127C0000C45783D0 -:04127D00FFF0051366 -:04127E000407E793E7 -:04127F0000F416233E -:0412800001C1208305 -:0412810001812403C0 -:04128200014124837F -:041283000101290339 -:0412840000C12983F9 -:0412850000812A03B7 -:0412860000412A8376 -:0412870000012B0334 -:04128800020101134B -:04128900000080677A -:04128A0001042583B3 -:04128B0000098613BD -:04128C00A0DFF0EF00 -:04128D0000C45783BF -:04128E00B7F7F79324 -:04128F000807E793D2 -:0412900000F416232D -:0412910001242823E9 -:0412920000942A2377 -:0412930001390933E1 -:04129400413484B3AA -:0412950001242023ED -:041296000094242379 -:04129700000A09132D -:04129800012A746350 -:04129900000A09132B -:04129A000004250324 -:04129B00000906132D -:04129C00000B0593AB -:04129D001D9000EFB1 -:04129E00008427831E -:04129F000000051333 -:0412A000412787B3A8 -:0412A10000F424230E -:0412A200000427839A -:0412A3000127893363 -:0412A40001242023DE -:0412A500F6DFF06F11 -:0412A60000048613A7 -:0412A700000A8513A1 -:0412A800211000EF22 -:0412A9000005091320 -:0412AA00F8051EE342 -:0412AB000104258392 -:0412AC00000A85139C -:0412AD00C41FF0EF7B -:0412AE00F31FF06FCB -:0412AF0000C5D7831C -:0412B000F401011331 -:0412B1000A912A2351 -:0412B2000B212823C1 -:0412B3000B312623B2 -:0412B4000A112E23CA -:0412B5000A812C235B -:0412B6000B412423A1 -:0412B7000B51222392 -:0412B8000B61202383 -:0412B90009712E2366 -:0412BA0009812C2357 -:0412BB0009912A2348 -:0412BC0009A1282339 -:0412BD0009B126232A -:0412BE000807F79393 -:0412BF00000509938A -:0412C0000005891389 -:0412C100000604938C -:0412C20006078C632C -:0412C3000105A783F7 -:0412C400060798631E -:0412C5000400059389 -:0412C60000D126230A -:0412C700CE5FF0EF17 -:0412C80000A9202336 -:0412C90000A928232D -:0412CA0000C12683B6 -:0412CB00040516639D -:0412CC0000C00793C4 -:0412CD0000F9A02361 -:0412CE00FFF0051315 -:0412CF000BC12083AC -:0412D0000B81240367 -:0412D1000B41248326 -:0412D2000B012903E0 -:0412D3000AC12983A0 -:0412D4000A812A035E -:0412D5000A412A831D -:0412D6000A012B03DB -:0412D70009C12B839B -:0412D80009812C0359 -:0412D90009412C8318 -:0412DA0009012D03D6 -:0412DB0008C12D8396 -:0412DC000C010113ED -:0412DD000000806726 -:0412DE00040007936E -:0412DF0000F92A23C5 -:0412E000020007936E -:0412E10002F10CA367 -:0412E20000000AB747 -:0412E300030007936A -:0412E40002012A23B6 -:0412E50002F10D23E2 -:0412E60000D12E23E2 -:0412E70002500C9312 -:0412E80000017B374F -:0412E90000017BB7CE -:0412EA0000017D374B -:0412EB0000015C376B -:0412EC00000A8A93D7 -:0412ED000004841362 -:0412EE00000447832E -:0412EF00000784630D -:0412F0000D979C6357 -:0412F10040940DB365 -:0412F200020D866300 -:0412F300000D8693D1 -:0412F4000004861359 -:0412F5000009059354 -:0412F6000009851353 -:0412F700D79FF0EF9E -:0412F800FFF0079369 -:0412F90024F506636F -:0412FA000341268303 -:0412FB0001B686B3FF -:0412FC0002D12A23CE -:0412FD00000447831F -:0412FE0022078C63D4 -:0412FF00FFF0079362 -:04130000001404933E -:0413010002012023A2 -:04130200020126239B -:0413030002F12223AE -:04130400020124239B -:04130500060101A339 -:0413060006012C238D -:0413070000100D9332 -:041308000004C58395 -:041309000050061377 -:04130A00D90B0513E3 -:04130B007FC000EFB0 -:04130C000014841332 -:04130D00020127832F -:04130E000605146359 -:04130F000107F713C8 -:041310000007066369 -:0413110002000713BC -:0413120006E101A34C -:041313000087F71345 -:041314000007066365 -:0413150002B0071308 -:0413160006E101A348 -:041317000004C68385 -:0413180002A0071315 -:0413190004E68C63F7 -:04131A0002C1278362 -:04131B000004841333 -:04131C000000069334 -:04131D000090061323 -:04131E0000A0051313 -:04131F00000447037C -:04132000001405931D -:04132100FD070713AA -:0413220008E67C63FA -:0413230004068463D5 -:0413240002F1262389 -:041325000400006F51 -:041326000014041398 -:04132700F1DFF06F93 -:04132800D90B0713C3 -:0413290040E5053363 -:04132A0000AD95334A -:04132B0000A7E7B37D -:04132C0002F1202387 -:04132D000004049321 -:04132E00F69FF06FC7 -:04132F0001C12703CE -:0413300000470693D9 -:041331000007270387 -:0413320000D12E2395 -:041333000407406308 -:0413340002E1262389 -:041335000004470366 -:0413360002E0079337 -:0413370008F716633A -:041338000014470353 -:0413390002A0079374 -:04133A0004F71A6337 -:04133B0001C1278342 -:04133C000024041372 -:04133D0000478713CB -:04133E000007A7837A -:04133F0000E12E2378 -:041340000207CA6373 -:0413410002F1222370 -:041342000600006F32 -:0413430040E007334C -:041344000027E79304 -:0413450002E1262378 -:0413460002F120236D -:04134700FB9FF06FA9 -:0413480002A787B3BE -:0413490000100693F7 -:04134A000005841303 -:04134B0000E787B37D -:04134C00F4DFF06F6B -:04134D00FFF0079313 -:04134E00FCDFF06F61 -:04134F00001404136F -:041350000201222351 -:0413510000000693FF -:0413520000000793FD -:0413530000900613ED -:0413540000A00513DD -:041355000004470346 -:0413560000140593E7 -:04135700FD07071374 -:0413580008E67263CE -:04135900FA0690E31D -:04135A0000044583C3 -:04135B000030061345 -:04135C00D98B851391 -:04135D006B4000EFF2 -:04135E00020502631F -:04135F00D98B87930C -:0413600040F505331C -:0413610004000793EA -:0413620000A797B396 -:04136300020125035B -:04136400001404135A -:0413650000F56533F7 -:0413660002A120239D -:0413670000044583B6 -:041368000060061308 -:04136900D9CD0513C2 -:04136A0000140493D4 -:04136B0002B10C239C -:04136C00678000EFA7 -:04136D0008050A6302 -:04136E00040A986372 -:04136F00020127034D -:0413700001C127830D -:0413710010077713D7 -:041372000207086303 -:041373000047879315 -:0413740000F12E2333 -:041375000341278386 -:04137600014787B3F1 -:0413770002F12A2332 -:04137800DD5FF06FD6 -:0413790002A787B38D -:04137A0000100693C6 -:04137B0000058413D2 -:04137C0000E787B34C -:04137D00F61FF06FF8 -:04137E0000778793DA -:04137F00FF87F7935A -:0413800000878793C8 -:04138100FCDFF06F2E -:0413820001C107138B -:04138300954C0693EC -:041384000009061343 -:0413850002010593C9 -:0413860000098513C2 -:0413870000000097CB -:04138800000000E77A -:04138900FFF00793D7 -:04138A0000050A133D -:04138B00FAF514E378 -:04138C0000C95783BA -:04138D00FFF0051355 -:04138E000407F793C6 -:04138F00D00790E310 -:0413900003412503ED -:04139100CF9FF06F8B -:0413920001C107137B -:04139300954C0693DC -:041394000009061333 -:0413950002010593B9 -:0413960000098513B2 -:041397001B8000EFC8 -:04139800FC5FF06F97 -:04139900FD0101133E -:04139A0001512A23B0 -:04139B000105A7831E -:04139C0000070A93A9 -:04139D000085A7031D -:04139E000281242381 -:04139F000291222372 -:0413A00001312E23C6 -:0413A10001412C23B7 -:0413A20002112623EB -:0413A30003212023DF -:0413A4000161282398 -:0413A5000171262389 -:0413A60000050993A2 -:0413A70000058413A6 -:0413A80000060493A4 -:0413A90000068A139D -:0413AA0000E7D46321 -:0413AB00000707939D -:0413AC0000F4A02386 -:0413AD0004344703BA -:0413AE0000070663CB -:0413AF000017879309 -:0413B00000F4A02382 -:0413B100000427838A -:0413B2000207F793A4 -:0413B3000007886344 -:0413B4000004A78307 -:0413B50000278793F3 -:0413B60000F4A0237C -:0413B7000004290302 -:0413B800006979133C -:0413B90000091E63A6 -:0413BA0001940B137C -:0413BB00FFF00B93A1 -:0413BC0000C42783BF -:0413BD000004A7037E -:0413BE0040E787B3CA -:0413BF0008F9426384 -:0413C000000427837B -:0413C1000434468327 -:0413C2000207F79394 -:0413C30000D036B36D -:0413C4000C0790631F -:0413C50004340613D3 -:0413C600000A059381 -:0413C7000009851381 -:0413C800000A80E7B0 -:0413C900FFF0079397 -:0413CA0006F50863B9 -:0413CB000004278370 -:0413CC0000400613C4 -:0413CD000004A7036E -:0413CE000067F7932A -:0413CF0000C42683AD -:0413D0000000049382 -:0413D10000C7986356 -:0413D20040E684B3BA -:0413D3000004D463DB -:0413D400000004937E -:0413D50000842783E6 -:0413D60001042703E4 -:0413D70000F7566362 -:0413D80040E787B3B0 -:0413D90000F484B3E5 -:0413DA0000000913F3 -:0413DB0001A4041352 -:0413DC00FFF00B1300 -:0413DD0009249063EC -:0413DE0000000513F3 -:0413DF000200006F99 -:0413E0000010069360 -:0413E100000B0613E4 -:0413E200000A059365 -:0413E3000009851365 -:0413E400000A80E794 -:0413E50003751A630F -:0413E600FFF00513FC -:0413E70002C120839C -:0413E8000281240357 -:0413E9000241248316 -:0413EA0002012903D0 -:0413EB0001C1298390 -:0413EC0001812A034E -:0413ED0001412A830D -:0413EE0001012B03CB -:0413EF0000C12B838B -:0413F00003010113E1 -:0413F1000000806711 -:0413F20000190913C2 -:0413F300F25FF06F46 -:0413F40000D40733E7 -:0413F50003000613D8 -:0413F60004C701A384 -:0413F7000454470350 -:0413F80000168793C1 -:0413F90000F407B342 -:0413FA0000268693B0 -:0413FB0004E781A3DF -:0413FC00F25FF06F3D -:0413FD000010069343 -:0413FE0000040613CE -:0413FF00000A059348 -:041400000009851347 -:04140100000A80E776 -:04140200F96508E39D -:0414030000190913B0 -:04140400F65FF06F30 -:04140500FD010113D1 -:041406000281242318 -:041407000291222309 -:041408000321202379 -:0414090001312E235C -:04140A000211262382 -:04140B0001412C234C -:04140C0001512A233D -:04140D00016128232E -:04140E0000068993B8 -:04140F000185C6830A -:0414100006E0079358 -:0414110000060913B5 -:04141200000504933A -:041413000005841339 -:041414000435861302 -:0414150028F68663CC -:0414160006D7EA63A8 -:041417000630079301 -:041418000AF68E63DF -:0414190000D7EE63A7 -:04141A002A068A63B1 -:04141B0005800793AE -:04141C001EF68A63CB -:04141D0004240A9306 -:04141E0004D40123CE -:04141F000B80006FCF -:0414200006400793E8 -:0414210000F68663E8 -:041422000690079396 -:04142300FEF694E35A -:041424000004278316 -:041425000007250394 -:041426000807F6932A -:0414270000450593E4 -:0414280008068E63C1 -:041429000005278310 -:04142A0000B72023C4 -:04142B00000176B78F -:04142C000007D8637A -:04142D0002D00713CF -:04142E0040F007B3D0 -:04142F0004E401A32D -:04143000DA4686937F -:0414310000A00713FD -:041432000D80006FBA -:0414330007300793E4 -:0414340024F68C63AB -:0414350002D7E26395 -:0414360006F0079322 -:0414370006F68E63C4 -:04143800070007930F -:04143900F8F698E346 -:04143A000005A7837F -:04143B000207E7932A -:04143C0000F5A023F4 -:04143D000140006FFB -:04143E0007500793B9 -:04143F0004F68E63BE -:041440000780079387 -:04144100F6F698E340 -:041442000780079385 -:04144300000176B777 -:0414440004F402A307 -:04144500DB86869329 -:041446001580006F9E -:0414470000072783F0 -:0414480004258A935A -:04144900004786933F -:04144A000007A7836D -:04144B0000D7202383 -:04144C0004F58123FF -:04144D0000100793F1 -:04144E002200006F09 -:04144F000407F69305 -:0414500000052783E9 -:0414510000B720239D -:04145200F60682E335 -:041453000107979363 -:041454004107D793E2 -:04145500F59FF06FA0 -:0414560000042583E6 -:0414570000072783E0 -:041458000805F81378 -:0414590000478513B0 -:04145A00000808631B -:04145B0000A72023A3 -:04145C000007A7835B -:04145D000140006FDB -:04145E000405F593F9 -:04145F0000A720239F -:04146000FE0588E31A -:041461000007D78326 -:0414620006F00593F8 -:0414630000017737D6 -:0414640012B68E63CB -:04146500DA470693C9 -:0414660000A00713C8 -:04146700040401A3D5 -:041468000044258394 -:0414690000B4242384 -:04146A000005C8634E -:04146B000004250351 -:04146C00FFB5751340 -:04146D0000A4202394 -:04146E00000796637A -:04146F0000060A93D6 -:04147000020582638C -:0414710000060A93D4 -:0414720002E7F5B3E5 -:04147300FFFA8A935F -:0414740000B685B386 -:041475000005C58326 -:0414760000BA802315 -:0414770002E7D5B300 -:041478000EE7FC631C -:041479000080079355 -:04147A0002F71463FE -:04147B0000042783BF -:04147C000017F793CB -:04147D0000078E6373 -:04147E0000442703FC -:04147F0001042783BA -:0414800000E7C86356 -:0414810003000793CA -:04148200FEFA8FA33C -:04148300FFFA8A934F -:041484004156063394 -:0414850000C4282354 -:0414860000098713BF -:0414870000090693BF -:0414880000C1061386 -:0414890000040593C3 -:04148A0000048513C2 -:04148B00C39FF0EF1C -:04148C00FFF00A1350 -:04148D001345186388 -:04148E00FFF0051353 -:04148F0002C12083F3 -:0414900002812403AE -:04149100024124836D -:041492000201290327 -:0414930001C12983E7 -:0414940001812A03A5 -:0414950001412A8364 -:0414960001012B0322 -:041497000301011339 -:041498000000806769 -:0414990004D582A351 -:04149A00000176B720 -:04149B00DA46869314 -:04149C0000042583A0 -:04149D00000725031C -:04149E000805F81332 -:04149F00000527839A -:0414A00000450513EB -:0414A10002080863D2 -:0414A20000A720235C -:0414A3000015F71326 -:0414A40000070663D4 -:0414A5000205E593C4 -:0414A60000B420234B -:0414A7000100071326 -:0414A800EE079EE3CA -:0414A9000004258393 -:0414AA00FDF5F593C4 -:0414AB0000B4202346 -:0414AC00EEDFF06F10 -:0414AD000405F81327 -:0414AE0000A7202350 -:0414AF00FC0808E34A -:0414B0000107979306 -:0414B1000107D793C5 -:0414B200FC5FF06F7C -:0414B300DA4706937B -:0414B400008007139A -:0414B500EC9FF06F49 -:0414B6000005879313 -:0414B700EEDFF06F05 -:0414B8000005A68302 -:0414B900000727837E -:0414BA000145A583C0 -:0414BB000806F81314 -:0414BC00004785134D -:0414BD0000080A63B6 -:0414BE0000A7202340 -:0414BF000007A783F8 -:0414C00000B7A023AE -:0414C1000180006F37 -:0414C20000A720233C -:0414C3000406F69392 -:0414C4000007A783F3 -:0414C500FE0686E3B6 -:0414C60000B79023B8 -:0414C70000042823D2 -:0414C80000060A937D -:0414C900EF5FF06F72 -:0414CA00000727836D -:0414CB000045A6032F -:0414CC000000059384 -:0414CD0000478693BB -:0414CE0000D7202300 -:0414CF000007AA83E5 -:0414D000000A851376 -:0414D1000E4000EFDA -:0414D20000050663A8 -:0414D3004155053347 -:0414D40000A422232B -:0414D5000044278325 -:0414D60000F42823D3 -:0414D700040401A365 -:0414D800EB9FF06F27 -:0414D9000104268361 -:0414DA00000A86136B -:0414DB00000905936C -:0414DC000004851370 -:0414DD00000980E79B -:0414DE00ED4500E3F5 -:0414DF00000427835B -:0414E0000027F79357 -:0414E1000407946305 -:0414E20000C127839B -:0414E30000C4250319 -:0414E400EAF556E3EC -:0414E5000007851364 -:0414E600EA5FF06F5A -:0414E7000010069358 -:0414E800000A86135D -:0414E900000905935E -:0414EA000004851362 -:0414EB00000980E78D -:0414EC00E96504E3C7 -:0414ED00001A0A13C4 -:0414EE0000C427838C -:0414EF0000C127030E -:0414F00040E787B397 -:0414F100FCFA4CE3D2 -:0414F200FC1FF06F7C -:0414F30000000A13D8 -:0414F40001940A93C2 -:0414F500FFF00B13E6 -:0414F600FE1FF06F76 -:0414F700FF010113DD -:0414F8000081242328 -:0414F9000091222319 -:0414FA000001843732 -:0414FB000005049351 -:0414FC00000585134F -:0414FD000011262391 -:0414FE00B0042023F3 -:0414FF00168000EF64 -:04150000FFF007935E -:0415010000F5186376 -:04150200B004278387 -:0415030000078463F6 -:0415040000F4A0232C -:0415050000C120837E -:041506000081240339 -:0415070000412483F8 -:0415080001010113C9 -:0415090000008067F7 -:04150A000FF5F59351 -:04150B0000C50633DE -:04150C0000C516639D -:04150D0000000513C2 -:04150E0000008067F2 -:04150F000005478309 -:04151000FEB78CE3B3 -:0415110000150513A9 -:04151200FE9FF06FD9 -:0415130004A5FA63CE -:0415140000C586B3D5 -:0415150004D5766320 -:04151600FFF6459304 -:041517000000079336 -:04151800FFF78793BF -:0415190000F59463E2 -:04151A0000008067E6 -:04151B0000F687331C -:04151C000007480379 -:04151D0000C7873349 -:04151E0000E50733AA -:04151F00010700239D -:04152000FE1FF06F4B -:0415210000F5873317 -:0415220000074683F5 -:0415230000F5073395 -:041524000017879392 -:0415250000D70023C8 -:04152600FEF616E3D4 -:0415270000008067D9 -:041528000000079325 -:04152900FF5FF06F01 -:04152A0000008067D6 -:04152B0000008067D5 -:04152C0000059663BD -:04152D00000605931C -:04152E00B48FF06F17 -:04152F00FE010113A5 -:0415300000812C23E7 -:0415310000112E2354 -:0415320000912A23D7 -:041533000121282347 -:041534000131262338 -:041535000006041395 -:041536000206166330 -:04153700A18FF0EFA1 -:041538000000091393 -:0415390001C1208349 -:04153A000181240304 -:04153B00000905138B -:04153C0001412483C2 -:04153D00010129037C -:04153E0000C129833C -:04153F000201011391 -:0415400000008067C0 -:04154100000584938A -:041542000005099304 -:0415430003C000EFF2 -:041544000004891303 -:04154500FC8578E3C6 -:041546000004059305 -:0415470000098513FF -:04154800AE0FF0EF03 -:04154900000509137D -:04154A00FA050EE3AD -:04154B000004859380 -:04154C00000406137E -:04154D00F09FE0EF3C -:04154E00000485937D -:04154F0000098513F7 -:041550009B4FF0EFCE -:04155100FA1FF06F1E -:04155200FFC5A783A7 -:04155300FFC7851336 -:041554000007D86351 -:0415550000A585B3B5 -:041556000005A78362 -:0415570000F5053363 -:0415580000008067A8 -:04155900FF0101137A -:04155A0000812423C5 -:04155B0000017437E0 -:04155C006B04278372 -:04155D000121202325 -:04155E00001126232F -:04155F0000912223B2 -:041560000005091366 -:041561006B04041300 -:0415620004079A637D -:04156300000005136C -:0415640000000593EB -:041565000000061369 -:0415660000000693E8 -:041567000000071366 -:041568000D60089377 -:04156900000000730B -:04156A0000050493E1 -:04156B0002055663BC -:04156C00084000EF44 -:04156D00409004B3F3 -:04156E0000952023A1 -:04156F00FFF0051371 -:0415700000C1208313 -:0415710000812403CE -:04157200004124838D -:041573000001290347 -:04157400010101135D -:04157500000080678B -:0415760000A420238A -:041577000004250344 -:0415780000000593D7 -:041579000000061355 -:04157A0000A905338C -:04157B0000000693D3 -:04157C000000071351 -:04157D0000000793D0 -:04157E000D60089361 -:04157F0000000073F5 -:0415800000050493CB -:0415810000055A63A4 -:04158200409004B3DE -:04158300028000EFF3 -:04158400009520238B -:04158500FFF00493DC -:0415860000042783B3 -:04158700FFF0051359 -:0415880000F909332A -:04158900F9249EE3C0 -:04158A000094202386 -:04158B0000078513BD -:04158C00F91FF06FE4 -:04158D00000177B72B -:04158E006387A503C7 -:04158F000000806771 -:041590000000000057 -:041591000000000056 -:041592000000000055 -:041593000000000054 -:041594000001051E2F -:041595000001052428 -:041596000001052A21 -:04159700000105301A -:04159800000104D278 -:04159900000104F257 -:04159A00000104F256 -:04159B00000104F255 -:04159C00000104F254 -:04159D00000104F253 -:04159E00000104F252 -:04159F00000104F64D -:0415A000000104FC46 -:0415A1000073655915 -:0415A20000006F4E88 -:0415A300494D444822 -:0415A400535F5854E5 -:0415A50056417465D2 -:0415A600666E4949DB -:0415A7006172466FB8 -:0415A800203A656D13 -:0415A9003D4349561F -:0415AA00202C642568 -:0415AB0065707341B3 -:0415AC00522D7463E5 -:0415AD006F6974618D -:0415AE002C73253D38 -:0415AF005554492026 -:0415B0003D3930375A -:0415B100202C732552 -:0415B2003D43544918 -:0415B300202C732550 -:0415B400657869707D -:0415B5007065726C7F -:0415B6000A75253D50 -:0415B7000000000030 -:0415B80041746553C2 -:0415B9006E494956D8 -:0415BA0029286F6607 -:0415BB000000203AD2 -:0415BC0049746553B6 -:0415BD007475706E63 -:0415BE0065646F4DA4 -:0415BF003230252879 -:0415C00030252C584E -:0415C1000A29583269 -:0415C2000000000025 -:0415C3004B4C4350FA -:0415C40076694420E0 -:0415C500646564698C -:0415C6002079622006 -:0415C7006F6D2032F2 -:0415C800000A65644C -:0415C90052494343FD -:0415CA00203635365C -:0415CB0065646F6D77 -:0415CC000000000A11 -:0415CD00636E79537D -:0415CE00626D4520E5 -:0415CF006564646586 -:0415D0006F6D2064B7 -:0415D100000A656443 -:0415D20075706E4979 -:0415D30044442074F8 -:0415D4006F6D2052C5 -:0415D500000A65643F -:0415D60075706E4975 -:0415D7006F6D2074A0 -:0415D80069206564BD -:0415D90047522073E2 -:0415DA000A3432425B -:0415DB00000000000C -:0415DC007074754F63 -:0415DD006D20747594 -:0415DE002065646FB1 -:0415DF0059207369B3 -:0415E00034345655F4 -:0415E10000000A34C8 -:0415E2007074754F5D -:0415E3006D2074758E -:0415E4002065646FAB -:0415E50059207369AD -:0415E60032345655F0 -:0415E70000000A32C4 -:0415E8007074754F57 -:0415E9006D20747588 -:0415EA002065646FA5 -:0415EB0052207369AE -:0415EC00343242470C -:0415ED000000000AF0 -:0415EE002043534300 -:0415EF004752203D02 -:0415F00055593242D5 -:0415F10078252056E3 -:0415F20000000020D5 -:0415F30037555449CB -:0415F4003120393039 -:0415F50033322D362A -:0415F600000020359C -:0415F70037555449C7 -:0415F8003020393036 -:0415F9003535322D25 -:0415FA0000000020CD -:0415FB0036555449C4 -:0415FC003120313039 -:0415FD0033322D3622 -:0415FE000000203594 -:0415FF0036555449C0 -:041600003020313035 -:041601003535322D1C -:0416020000000020C4 -:041603007574655342 -:0416040045464170A6 -:04160500000A292886 -:041606005B67655267 -:041607005832302500 -:04160800203D205D04 -:0416090058323025FE -:04160A000000000AD2 -:04160B0073616C4655 -:04160C006973206876 -:04160D006920657A71 -:04160E007962206E6F -:04160F003A73657451 -:04161000756C2520B0 -:041611006365530AB0 -:0416120020726F745F -:04161300657A697318 -:041614006C25203AE7 -:0416150025282075EF -:041616007020756C5F -:04161700736567612F -:0416180061500A29EA -:04161900732065676E -:04161A003A657A694A -:04161B00756C2520A5 -:04161C000000000AC0 -:04161D00736172453E -:04161E0020676E696A -:04161F007463657318 -:041620002520726FA0 -:0416210000000A7546 -:0416220073616C463E -:041623007265206864 -:041624002065736169 -:041625006F72726509 -:0416260073202C728F -:041627006F74636514 -:041628007525207292 -:041629007465520A88 -:04162A00206C617659 -:04162B00000A642528 -:04162C0073616C4634 -:04162D007277206848 -:04162E002065746956 -:04162F006F727265FF -:0416300070202C7288 -:041631002065676168 -:04163200520A7525BE -:041633006176746503 -:041634006425206C9D -:041635000000000AA7 -:041636000001241A71 -:0416370000012594F5 -:0416380000012598F0 -:0416390000012598EF -:04163A0000012594F2 -:04163B000001259CE9 -:04163C000001241A6B -:04163D0000012594EF -:04163E0000012598EA -:04163F004B4C43507D -:0416400074756F5FEF -:041641006C25203ABA -:041642000A7A487563 -:0416430000000000A3 -:041644003A5354437E -:04164500756C25207B -:041646000000000A96 -:0416470000015C88BA -:0416480000015C98A9 -:0416490000015CA49C -:04164A0000015CB08F -:04164B0000015CBC82 -:04164C0000015CC875 -:04164D0000015CD468 -:04164E0000015CE05B -:04164F0000015CEC4E -:0416500000015CF841 -:0416510000015D0433 -:04165200636E7953F7 -:04165300207075206E -:0416540025206E6976 -:041655002E2E2E64A3 -:041656000000000A86 -:04165700636E7953F2 -:04165800776F642024 -:041659006E69206E28 -:04165A002E642520B5 -:04165B00000A2E2E25 -:04165C006C746F74C7 -:04165D0073656E69DA -:04165E006C25203A9D -:04165F006328207567 -:041660002029727556 -:041661006C25202FA5 -:041662007028207557 -:04166300297665720D -:041664006C63202C67 -:04166500746E636BD1 -:041666006C25203A95 -:04166700632820755F -:04166800202972754E -:041669006C25202F9D -:04166A00702820754F -:04166B002976657205 -:04166C006F74202E49 -:04166D006E696C74C2 -:04166E00745F7365CD -:04166F00203A707637 -:04167000202C752590 -:041671003A4D535645 -:041672000A752520B0 -:041673000000000073 -:041674006F727245DA -:0416750063203A7242 -:04167600646C756FBC -:04167700746F6E20FE -:041678006165722016 -:041679007266206411 -:04167A0054206D6F1C -:04167B003337534866 -:04167C00000A3335F8 -:04167D006F727245D1 -:04167E0063203A7239 -:04167F00646C756FB3 -:04168000746F6E20F5 -:04168100616572200D -:041682007266206408 -:0416830054206D6F13 -:041684003037505655 -:04168500000A3230F5 -:041686006F727245C8 -:0416870063203A7230 -:04168800646C756FAA -:04168900746F6E20EC -:04168A006165722004 -:04168B0072662064FF -:04168C0049206D6F15 -:04168D003136365468 -:04168E0000000A331B -:04168F00314D435046 -:041690002032363896 -:041691006E756F669D -:0416920000000A64E6 -:041693006F727245BB -:0416940069203A721D -:04169500726F636E9F -:0416960074636572A2 -:04169700616C6620FC -:0416980074206873DF -:0416990020657079DF -:04169A0065746564AA -:04169B0064657463AB -:04169C000000000A40 -:04169D0076616E55AF -:04169E00616C6961B1 -:04169F0000656C6214 -:0416A00020232323BD -:0416A100205949443F -:0416A200454449561C -:0416A3004944204F47 -:0416A4004954494715 -:0416A5002052455A30 -:0416A6004353202F5B -:0416A7004F434E411E -:0416A8005245564E03 -:0416A9002052455432 -:0416AA0054494E4908 -:0416AB00204B4F2061 -:0416AC000A232323C7 -:0416AD000000000A2F -:0416AE004353534F00 -:0416AF00776620201A -:0416B0007525202E4E -:0416B100322E252E82 -:0416B200000061755E -:0416B30074696E499F -:0416B40072726520C9 -:0416B5002020726F10 -:0416B60000006425A7 -:0416B70020232323A6 -:0416B80054495753E7 -:0416B9004D20484335 -:0416BA002045444F34 -:0416BB0025204F5443 -:0416BC002323207351 -:0416BD0000000A23FC -:0416BE0020202020A8 -:0416BF0053204F4E17 -:0416C00000434E593C -:0416C1007474657365 -:0416C20020676E69C6 -:0416C3002043544923 -:0416C40025206F74FA -:0416C50000000A64B3 -:0416C600636E795383 -:0416C7000A70752010 -:0416C800000000001E -:0416C900636E795380 -:0416CA00736F6C20AE -:0416CB0000000A749D -:0416CC0065646F4D95 -:0416CD0061686320CD -:0416CE000A65676ED4 -:0416CF000000000017 -:0416D0006F666E498A -:0416D10061686320C9 -:0416D2000A65676ED0 -:0416D3000000000013 -:0416D40044202A2A5A -:0416D50047554245EE -:0416D6004955422010 -:0416D7002A20444C35 -:0416D800000000000E -:0416D9006E694C0AE0 -:0416DA00203A7365DA -:0416DB00252075252C -:0416DC0000000A639D -:0416DD00636F6C4388 -:0416DE007020736B9A -:0416DF006C207265A4 -:0416E0003A656E6990 -:0416E100207525202B -:0416E2005348203A0F -:0416E3002E7525201B -:0416E40075332E2507 -:0416E5007A486B20B4 -:0416E6005356202017 -:0416E7002E75252017 -:0416E80075322E2504 -:0416E9000A7A482011 -:0416EA0000000000FC -:0416EB006977734860 -:0416EC003A68746480 -:0416ED00207525201F -:0416EE007773562098 -:0416EF00687464694E -:0416F0007525203A02 -:0416F100614D202007 -:0416F200766F72633A -:0416F3006F6973693F -:0416F40025203A6E05 -:0416F50000000A7572 -:0416F6002520732513 -:0416F70000632575F2 -:0416F800252E752501 -:0416F9006B75322EAD -:0416FA0025207A48E5 -:0416FB002E252E75F5 -:0416FC007A48753281 -:0416FD0000000000E9 -:0416FE006F72724550 -:0416FF006E203A72AD -:041700007573206F6E -:041701006261746944 -:041702006D20656C85 -:041703002065646F8A -:041704006E756F6629 -:0417050064202C64CC -:04170600756166653E -:041707006E69746C27 -:041708006F74206773 -:041709003034322026 -:04170A0000000A7061 -:04170B0065646F4D55 -:04170C002073252001 -:04170D00656C65732F -:04170E006465746337 -:04170F0068202D2001 -:04171000636E797318 -:041711006469772070 -:04171200203A68749D -:041713007870752550 -:041714000000000AC7 -:041715004B4C4350A6 -:041716003A6E695F5F -:04171700756C2520A8 -:04171800000A7A4801 -:041719006F625F6834 -:04171A00726564721E -:04171B007525203AD6 -:04171C005F68202CB6 -:04171D005F74706F16 -:04171E00726174730D -:04171F0066666F7417 -:0417200025203A73D3 -:0417210000000A7545 -:041722007473655423 -:04172300746170205D -:041724006E72657408 -:0417250000000000C0 -:041726003A315641BD -:0417270042475220C3 -:04172800000000536A -:041729003A315641BA -:04172A00734752208F -:04172B000000004278 -:04172C003A315641B7 -:04172D00625059208D -:04172E0000007250F5 -:04172F003A325641B3 -:04173000625059208A -:0417310000007250F2 -:041732003A325641B0 -:041733007347522086 -:04173400000000426F -:041735003A335641AC -:0417360042475220B4 -:041737000000564810 -:041738003A335641A9 -:0417390042475220B1 -:04173A000000005358 -:04173B003A335641A6 -:04173C00734752207D -:04173D000000004266 -:04173E003A335641A3 -:04173F00625059207B -:0417400000007250E3 -:041741007473614C10 -:041742006573752036 -:04174300000000643E -:0417440000000000A1 -:0417450000000000A0 -:04174600000000019E -:04174700000101019B -:04174800000000019C -:04174900000000009C -:04174A00000001108A -:04174B000044060B45 -:04174C000000010098 -:04174D000001000097 -:04174E008080800C0B -:04174F00081A1A1A40 -:041750000000000A8B -:0417510000012C4225 -:041752000001294A1F -:041753000001295216 -:041754000001289ACE -:041755000001294E18 -:04175600000129560F -:04175700000129461E -:0417580000012982E1 -:041759000001295A08 -:04175A000001295E03 -:04175B0000012962FE -:04175C0000012982DD -:04175D0000012982DC -:04175E0000012982DB -:04175F0000012982DA -:0417600000012982D9 -:0417610000012982D8 -:041762000001298CCD -:0417630000012A1E39 -:0417640000012A2E28 -:0417650000012A480D -:0417660000012A86CE -:0417670000012A6AE9 -:0417680000012A9CB6 -:0417690000012B88C8 -:04176A0000012BAAA5 -:04176B0000012BC08E -:04176C00444F435251 -:04176D0030203A45A9 -:04176E00342E257878 -:04176F00202C786C46 -:04177000000A6425E2 -:04177100444F43425C -:0417720030203A45A4 -:04177300322E257875 -:04177400000A786C83 -:04177500666F7250D9 -:041776002075252E87 -:04177700007339259D -:04177800756C342533 -:04177900632563255C -:04177A006C2520209A -:04177B0000000075F5 -:04177C00656E694CE1 -:04177D00746C756DA6 -:04177E00646F6D2007 -:04177F0000003A65C7 -:0417800073657270AB -:041781002D31207373 -:04178200000000352E -:041783004E5241572A -:041784003A474E4949 -:04178500726F43201C -:041786007470757294 -:041787006D20646508 -:041788002065646F05 -:041789002064692847 -:04178A000A2964259F -:04178B00000000005A -:04178C002078752527 -:04178D0075736E758D -:04178E00726F707096 -:04178F000064657419 -:04179000666F7250BE -:0417910020656C69FA -:0417920064616F6CB3 -:041793000000003A18 -:041794007365727097 -:041795002D30207360 -:041796000000003916 -:0417970000015F20CE -:0417980000015F24C9 -:0417990000015F28C4 -:04179A0000015F2CBF -:04179B0000015E08E3 -:04179C0000015F30B9 -:04179D0000015F34B4 -:04179E0000015F38AF -:04179F0000015E588F -:0417A0000001664896 -:0417A10000015F3CA8 -:0417A20000015F449F -:0417A30000015F489A -:0417A40000015F5091 -:0417A50000015F548C -:0417A60000015F5C83 -:0417A70000015F647A -:0417A80000015F6C71 -:0417A90000015F7468 -:0417AA0000015F8457 -:0417AB0000015F9446 -:0417AC0000015FA435 -:0417AD0000015FB424 -:0417AE0000015FC413 -:0417AF0000015FD402 -:0417B00000015FDCF9 -:0417B10000015FE4F0 -:0417B2003EA93E29E5 -:0417B3003EE93E6964 -:0417B4003E993E1903 -:0417B5003ED93E5982 -:0417B6003EC93E39B1 -:0417B7003E1D3E4D48 -:0417B8003E2D3EED97 -:0417B9003EAD3ECD36 -:0417BA003E653E6DDD -:0417BB001C483E0187 -:0417BC001C501C1889 -:0417BD001CC81CD058 -:0417BE005ED85E583B -:0417BF0000003EB92F -:0417C000736572508B -:0417C10000000073B1 -:0417C200666E6F439D -:0417C300006D7269DA -:0417C4006D73694D8B -:0417C5006863746180 -:0417C6006572202CFC -:0417C70000797274BF -:0417C80000000031EC -:0417C90000000032EA -:0417CA0000000033E8 -:0417CB0000000034E6 -:0417CC0000000036E3 -:0417CD0000000037E1 -:0417CE0000000038DF -:0417CF00554E454DE1 -:0417D0000000000015 -:0417D10000004B4F7A -:0417D2004B43414202 -:0417D3000000000012 -:0417D400000050556C -:0417D5004E574F44D8 -:0417D600000000000F -:0417D7005446454CE3 -:0417D800000000000D -:0417D90048474952E2 -:0417DA0000000054B7 -:0417DB004F464E49DE -:0417DC000000000009 -:0417DD005F44434CD6 -:0417DE004B434142F6 -:0417DF004847494CE2 -:0417E00000000054B1 -:0417E1004E414353DF -:0417E200454E494CDB -:0417E300444F4D5FC3 -:0417E40000000045BC -:0417E5004E414353DB -:0417E600454E494CD7 -:0417E7005059545FA2 -:0417E80000000045B8 -:0417E9004E414353D7 -:0417EA00454E494CD3 -:0417EB00544E495FB0 -:0417EC000000002BCE -:0417ED004E414353D3 -:0417EE00454E494CCF -:0417EF00544E495FAC -:0417F0000000002DC8 -:0417F100454E494CCC -:0417F200544C554DB1 -:0417F300444F4D5FB3 -:0417F40000000045AC -:0417F50053414850C4 -:0417F60000002B457F -:0417F70053414850C2 -:0417F80000002D457B -:0417F900464F5250B5 -:0417FA005F454C49B2 -:0417FB004B544F48B4 -:0417FC00000059454B -:0417FD0000012EAC0D -:0417FE0000012E8A2E -:0417FF0000012E6453 -:0418000000012E7C39 -:0418010000012F5C57 -:0418020000012F5C56 -:0418030000013010A0 -:041804000001303A75 -:041805000001304668 -:041806000001308627 -:041807000001305A52 -:0418080000012F703C -:0418090000012F703B -:04180A0000012FB4F6 -:04180B0000012FE0C9 -:04180C0000012FE0C8 -:04180D0064206425CA -:04180E000000004294 -:04180F00656E6F444F -:0418100000000000D4 -:041811006C69614657 -:041812000000646509 -:041813000000732539 -:04181400252E7525E3 -:041815002075322EDA -:0418160000007375E6 -:041817006C207525A7 -:0418180073656E691D -:0418190000000000CB -:04181A006C206F4E81 -:04181B00006B6E6987 -:04181C00000176CB86 -:04181D0000000002C5 -:04181E0000012D9800 -:04181F00000165203F -:041820000001652C32 -:041821000001653429 -:041822007020752598 -:041823006C6578690F -:04182400000000734D -:04182500000176CD7B -:0418260000000009B5 -:0418270000012C4A46 -:0418280064206425AF -:0418290000006765EF -:04182A0025257525D6 -:04182B0000000000B9 -:04182C006564695630 -:04182D006E69206F51 -:04182E006F72702045 -:04182F003E202063D4 -:0418300000000000B4 -:04183100706D615322 -:04183200676E696C08 -:0418330074706F203E -:041834003E20202E04 -:0418350000000000AF -:04183600636E795311 -:0418370074706F203A -:041838002020202E1E -:041839003E2020200D -:04183A0000000000AA -:04183B007074754F01 -:04183C006F20747530 -:04183D00202E747075 -:04183E003E20202008 -:04183F0000000000A5 -:0418400074736F50FE -:041841006F72702D25 -:0418420020202E63D1 -:041843003E20202003 -:0418440000000000A0 -:04184500706D6F4310 -:0418460062697461FE -:0418470074696C69EB -:041848003E202079A5 -:04184900000000009B -:04184A006964754117 -:04184B00706F206F2B -:04184C006E6F6974DE -:04184D003E202073A6 -:04184E000000000096 -:04184F0074746553F5 -:0418500073676E69E3 -:0418510074706F2020 -:041852003E202020F4 -:041853000000000091 -:04185400616F4C3C38 -:041855007270206429 -:041856006C69666FE4 -:04185700003E2065CA -:041858007661533C26 -:041859007270206524 -:04185A006C69666FE0 -:04185B00003E2065C6 -:04185C007365523C22 -:04185D00732074651B -:04185E0069747465D0 -:04185F003E73676EFF -:041860000000000084 -:041861006B6E694CF5 -:041862006F72702011 -:04186300693E2D6647 -:041864007475706EB9 -:04186500000000007F -:041866006B6E694CF0 -:04186700706E692016 -:041868003E2D747528 -:04186900666F7270C4 -:04186A00000000007A -:04186B0074696E49E5 -:04186C00206C616922 -:04186D0075706E69BB +:040B5B00D6C60613E1 +:040B5C00051345C573 +:040B5D0010EF42C58E +:040B5E0067DD74B02B +:040B5F00000165B775 +:040B600085934645EE +:040B61008513DC45D7 +:040B620010EF46C783 +:040B6300644165F094 +:040B6400C36FE0EF8C +:040B650014B7147D30 +:040B6600A78300025F +:040B6700675D0D04B5 +:040B68005207568357 +:040B69008B638FE12A +:040B6A00071306F671 +:040B6B0057035207D3 +:040B6C0000630027FB +:040B6D00650914F70B +:040B6E0071050513F5 +:040B6F00B42FD0EFE0 +:040B70000793BFD94F +:040B7100BDC90650A4 +:040B7200406482B3A6 +:040B730020000793C4 +:040B74000057F463CF +:040B750020000293C7 +:040B7600041367DD20 +:040B770097132003AD +:040B780085130102DE +:040B790083414187EC +:040B7A005613468147 +:040B7B00182C00949E +:040B7C00C616C81AB7 +:040B7D0045F010EF40 +:040B7E00166387AAC9 +:040B7F0043420E05DA +:040B8000182842B23D +:040B81000013361314 +:040B820000EF859665 +:040B8300832228B0F1 +:040B840067B7BF058B +:040B85008793000151 +:040B8600B799EA47EA +:040B87007CF000EF0F +:040B88005783675DCB +:040B8900650945476E +:040B8A0071050513D9 +:040B8B000027E793C5 +:040B8C0044F71A23ED +:040B8D000EF4A0239F +:040B8E00AC6FD0EF89 +:040B8F00000165B745 +:040B90004645655D14 +:040B9100D7858593EC +:040B920042C5051340 +:040B930070F010EFFF +:040B940067DD440DC8 +:040B9500000164B740 +:040B960046C78513B6 +:040B970085934645B7 +:040B980010EFD8443E +:040B9900E0EF6F908A +:040B9A005632B60F0A +:040B9B004581183444 +:040B9C00E0EF45053C +:040B9D0087AAAE2F46 +:040B9E00E20510E379 +:040B9F0065B7675D72 +:040BA0000513000138 +:040BA100464542C7BC +:040BA200D945859319 +:040BA3006CF010EFF3 +:040BA400D844859319 +:040BA500464564DD80 +:040BA60046C48513A9 +:040BA7006BF010EFF0 +:040BA800B26FE0EF59 +:040BA90055B25642A9 +:040BAA0045011834B5 +:040BAB00A16FE0EF67 +:040BAC0013E387AA1E +:040BAD0010EFDE0562 +:040BAE00675D48B087 +:040BAF00000165B725 +:040BB000859346459E +:040BB1000513DD85C6 +:040BB20010EF42C737 +:040BB30065B751F0E1 +:040BB40046450001B1 +:040BB500DA45859305 +:040BB60046C4851399 +:040BB70067F010EFE4 +:040BB800AE6FE0EF4D +:040BB900440DA00146 +:040BBA00440DBB45E6 +:040BBB000670079326 +:040BBC00440DB365CC +:040BBD000680079314 +:040BBE000713B34521 +:040BBF008A63F360F2 +:040BC000071306E72A +:040BC1009CE3F3704E +:040BC20065B7DAE752 +:040BC3008593000115 +:040BC400BB55CF4509 +:040BC50006600713AC +:040BC60006E7826359 +:040BC70000F74F6381 +:040BC80006400713C9 +:040BC90006E7816357 +:040BCA0006500713B7 +:040BCB00D8E799E3EB +:040BCC00000165B708 +:040BCD00CAC585937D +:040BCE000713B379DD +:040BCF0089630670C0 +:040BD000071304E71C +:040BD1009CE306801B +:040BD20065B7D6E746 +:040BD3008593000105 +:040BD400BB95CE05FA +:040BD500000165B7FF +:040BD600C9C5859375 +:040BD70065B7B3AD9E +:040BD8008593000100 +:040BD900B385D145CA +:040BDA00000165B7FA +:040BDB00D2458593E7 +:040BDC0065B7BB99A5 +:040BDD0085930001FB +:040BDE00B3B1D0459A +:040BDF00000165B7F5 +:040BE000CBC5859369 +:040BE10065B7B389B8 +:040BE20085930001F6 +:040BE300BB25D385D6 +:040BE400000165B7F0 +:040BE500CCC5859363 +:040BE6002083B33D78 +:040BE70024032401BE +:040BE800248323C17E +:040BE900557D238192 +:040BEA00244101138E +:040BEB0086AA8082D4 +:040BEC000001663767 +:040BED000613655D29 +:040BEE0045C55F8614 +:040BEF0046C50513DF +:040BF0005010106F22 +:040BF10046500693D1 +:040BF20002D506B36F +:040BF300064007931E +:040BF400000166375F +:040BF5000613655D21 +:040BF60045C5E98682 +:040BF70046C50513D7 +:040BF80002F6C6B388 +:040BF9004DD0106F5C +:040BFA00663786AA2A +:040BFB00655D000133 +:040BFC00E5460613B1 +:040BFD00051345C5D2 +:040BFE00106F46C569 +:040BFF0046B74C7039 +:040C00008693000FC8 +:040C0100053324068D +:040C0200678902D527 +:040C030096478793F6 +:040C04003E80071314 +:040C0500006336B79B +:040C0600EA068693E1 +:040C0700000166374B +:040C0800E486061365 +:040C090057B345C5D3 +:040C0A00F7B302F545 +:040C0B00472902E78C +:040C0C0002D556B304 +:040C0D000513655D09 +:040C0E00D73346C5CD +:040C0F00106F02E779 +:040C100006934830CF +:040C110006B3467070 +:040C1200079302D56D +:040C130066370640FA +:040C1400655D000119 +:040C15005F0606135D +:040C1600051345C5B8 +:040C1700C6B346C555 +:040C1800106F02F661 +:040C190086AA45F072 +:040C1A000001663738 +:040C1B000613655DFA +:040C1C0045C5E806DC +:040C1D0046C50513B0 +:040C1E004490106F7F +:040C1F002710069301 +:040C200002D506B340 +:040C210006400793EF +:040C22000001663730 +:040C23000613655DF2 +:040C240045C5EA06D2 +:040C250046C50513A8 +:040C260002F6C6B359 +:040C27004250106FB8 +:040C280027100693F8 +:040C29000533050585 +:040C2A00069302D556 +:040C2B0066370640E2 +:040C2C0006130001AA +:040C2D0045C5EA06C9 +:040C2E0002D546B3F2 +:040C2F000513655DE7 +:040C3000106F46C536 +:040C310016933FF0E7 +:040C320086E10185D1 +:040C3300000166371F +:040C340016D1655D13 +:040C3500E2C60613FA +:040C3600051345C598 +:040C3700106F46C52F +:040C380045F13E3014 +:040C390002B50533C8 +:040C3A00859365DD5C +:040C3B00464556C50F +:040C3C00655D95AAB3 +:040C3D0046C5051390 +:040C3E004630106FBD +:040C3F0000251793E2 +:040C400005136559DA +:040C4100953EE745B0 +:040C4200655D410C9F +:040C4300051346450A +:040C4400106F46C522 +:040C450047A94490E7 +:040C460000F50F6343 +:040C470000251793DA +:040C48000513655DCE +:040C4900953EBBC554 +:040C4A00655D410C97 +:040C4B000513464502 +:040C4C00106F46C51A +:040C4D0065B74290B5 +:040C4E008593000189 +:040C4F00B7F5E6050A +:040C5000A70367DDB2 +:040C510067DD5607FE +:040C5200520787932B +:040C5300464546AD1F +:040C54000167D583DC +:040C550006E59263BB +:040C56000FF6F6930C +:040C57000763E11935 +:040C58001131280727 +:040C590064DDC4266C +:040C5A003F54C283BE +:040C5B00645DC622EC +:040C5C0022C4079314 +:040C5D000032959339 +:040C5E00C80697AE7F +:040C5F000007A303E4 +:040C60000047C783FF +:040C61008513465160 +:040C62008733FF567F +:040C6300260302C79B +:040C640075130043C1 +:040C650043950FF5AF +:040C66003F548493E0 +:040C670022C404138C +:040C68004703963A6E +:040C6900E8630046F6 +:040C6A0063D902A3A5 +:040C6B008393050A60 +:040C6C00951EDEC330 +:040C6D0085024108B3 +:040C6E000789068567 +:040C6F00F8C69AE346 +:040C7000BF69468191 +:040C71004783E39939 +:040C720017FD000367 +:040C73000FF7F793ED +:040C7400822395A2A0 +:040C7500470100F53E +:040C7600A091468182 +:040C7700000347032C +:040C780095A20785B5 +:040C790002E7E7B3F4 +:040C7A008763B7EDE8 +:040C7B008793000259 +:040C7C008023FFF2E0 +:040C7D00B7C500F403 +:040C7E0040C24432FA +:040C7F0067DD44A247 +:040C8000560784A3EC +:040C8100D06F0151DE +:040C8200478DFD1F7E +:040C830004F70E6301 +:040C840012E347919F +:040C8500461CFCF716 +:040C8600872A9782A0 +:040C8700C783468554 +:040C8800655D0004A2 +:040C8900078E464547 +:040C8A004783943ECA +:040C8B0040040044DD +:040C8C008433445118 +:040C8D0040DC0287BE +:040C8E0042C5051343 +:040C8F00C036C23A6F +:040C9000438C97A258 +:040C9100317010EFBF +:040C9200459140DC6C +:040C9300C60397A25B +:040C9400EC630047C6 +:040C950065D912C546 +:040C96008593060A32 +:040C9700962EE04570 +:040C9800468242103E +:040C99008602471276 +:040C9A00C3914A1C9C +:040C9B00C7839782F2 +:040C9C0043510004BC +:040C9D000017859324 +:040C9E000733078E83 +:040C9F00431400F406 +:040CA00000474703BF +:040CA100073342D003 +:040CA20096930267BC +:040CA30005330035E0 +:040CA400230300D452 +:040CA500973200057D +:040CA6000463471884 +:040CA700022300E341 +:040CA80097A200050A +:040CA9000047C783B6 +:040CAA0096A2475176 +:040CAB0002E787B322 +:040CAC0000B48023ED +:040CAD00479C97B217 +:040CAE00BF31C29CF4 +:040CAF00ECE347919A +:040CB00067D9F0E729 +:040CB100E1878793BD +:040CB200973E070A58 +:040CB3008782431CD5 +:040CB400433D460C6A +:040CB50000C645032D +:040CB60000D647031A +:040CB7000005C783EA +:040CB80000E6460309 +:040CB90000669D63D1 +:040CBA0000F7786364 +:040CBB00F61317FD18 +:040CBC0080230FF78B +:040CBD00B5C500C5F4 +:040CBE00863AFD6D08 +:040CBF00F863BFDD3A +:040CC000078500C7DD +:040CC1000FF7F7131F +:040CC20000E58023A6 +:040CC300FD6DB5E925 +:040CC400BFDD8732D7 +:040CC50045BD4618CB +:040CC6000007578349 +:040CC70000B69C6374 +:040CC80000C6568389 +:040CC90000F6F563D9 +:040CCA0007C217FD49 +:040CCB00102383C1AE +:040CCC00B55500F723 +:040CCD0000E6568364 +:040CCE00FED7FBE36F +:040CCF00B7F50785E9 +:040CD00045BD465088 +:040CD10047834218FB +:040CD200996300071B +:040CD300C78100B61F +:040CD400F79317FD7E +:040CD50000230FF7F2 +:040CD600BDB500F7B1 +:040CD700004646830A +:040CD80000D7F563E9 +:040CD900F693078502 +:040CDA0000230FF7ED +:040CDB00B5A500D7E4 +:040CDC004B9C47984E +:040CDD004703655D07 +:040CDE004645000780 +:040CDF0046C50513EE +:040CE00097BA070AAE +:040CE10010EF438C41 +:040CE20044321D502B +:040CE30044A240C225 +:040CE400D06F01517B +:040CE5004798E35FEA +:040CE60045034B9CDB +:040CE70097820007E9 +:040CE8004798B7ED85 +:040CE90055034B9CC8 +:040CEA0097820007E6 +:040CEB0067DDBFF909 +:040CEC00460786230E +:040CED00C695BFD910 +:040CEE0066B7C3051D +:040CEF0086930001E7 +:040CF0006637E3C6BA +:040CF100655D00013C +:040CF200E4460613BB +:040CF300051345C5DB +:040CF40010EF46C5F2 +:040CF500BF550EF0E9 +:040CF600000166B7DC +:040CF700E3468693B7 +:040CF80047DCB7CD51 +:040CF9004398D7E95C +:040CFA00BF45479C0F +:040CFB0047BD8082EF +:040CFC0014A7E063F6 +:040CFD00445257B74F +:040CFE00EF410113AE +:040CFF00355787934B +:040D000057B7C03EE3 +:040D01008793004193 +:040D0200C23E441792 +:040D030087936795D6 +:040D0400142320078D +:040D0500379300F12F +:040D0600222300F5AF +:040D0700052310812F +:040D0800041300F1DF +:040D09002423010599 +:040D0A0047B91011C4 +:040D0B00F263042269 +:040D0C0065DD0AA7F0 +:040D0D0004800793C4 +:040D0E00859346295A +:040D0F00051345C5BE +:040D100005A300D166 +:040D1100062300F1C4 +:040D120010EF0001DD +:040D130067DD79E03F +:040D140042B7C78398 +:040D1500061365DD7F +:040D16000C23036047 +:040D170067DD00F1A3 +:040D18004287C783C4 +:040D19005205859367 +:040D1A0001F10513CB +:040D1B0000F10CA334 +:040D1C00C78367DD45 +:040D1D000BA347E7F6 +:040D1E0067DD00F19C +:040D1F004667C783D9 +:040D200000F10D23AE +:040D2100C78367DD40 +:040D22000DA34E7758 +:040D230067DD00F197 +:040D24004587C783B5 +:040D250000F10E23A8 +:040D2600C78367DD3B +:040D27000EA333776D +:040D280067DD00F192 +:040D290042A7C78393 +:040D2A0000F10F23A2 +:040D2B0073C010EF92 +:040D2C000593862283 +:040D2D00850A0550DE +:040D2E00B73FD0EF0C +:040D2F0000A03533B8 +:040D300040A00533A7 +:040D3100108120838A +:040D32001041240345 +:040D330010C10113D7 +:040D3400079380821F +:040D350005A30310FF +:040D360065DD00F186 +:040D3700F9C0079365 +:040D380000F106A31D +:040D3900031006138A +:040D3A008593478DC9 +:040D3B0005134EC589 +:040D3C00072300F198 +:040D3D00062300F198 +:040D3E0010EF0001B1 +:040D3F0065DD6EE020 +:040D40000C0006138A +:040D410056C585937B +:040D420010EF008826 +:040D430086226DE0B7 +:040D44001000059303 +:040D4500D0EF850A5C +:040D4600655DB15FD7 +:040D4700001406137B +:040D48002DC0059322 +:040D490062C5051367 +:040D4A00B57FD0EFB2 +:040D4B00BF59450146 +:040D4C008082557DCF +:040D4D00EE8101131F +:040D4E0010112A2333 +:040D4F0010812823C4 +:040D500010912623B5 +:040D5100FD6347BD3A +:040D520054FD00A7A5 +:040D530011412083A7 +:040D54001101240362 +:040D55002483852648 +:040D5600011310C1B4 +:040D57008082118104 +:040D580017930541A7 +:040D59000070010520 +:040D5A0010000593ED +:040D5B00C03E853ED3 +:040D5C00A9DFD0EF4C +:040D5D0065B7F97904 +:040D5E008593000178 +:040D5F000068634580 +:040D600091EFD0EF50 +:040D6100176384AAE6 +:040D620047831805A6 +:040D63009563014152 +:040D64004703180722 +:040D6500079301519E +:040D66001F630520E2 +:040D6700478316F7B1 +:040D6800C7CD016191 +:040D690093E34705C4 +:040D6A004462FAE7FE +:040D6B0001714703C8 +:040D6C000FF4779376 +:040D6D008FD907A271 +:040D6E000310071354 +:040D6F00F8E798E326 +:040D70000442802198 +:040D71000793804123 +:040D720011E339C090 +:040D7300655DF8F4CE +:040D7400031006134F +:040D750001B1059330 +:040D76004EC505134E +:040D770060C010EF59 +:040D7800635D47026E +:040D79000793468115 +:040D7A00031304005B +:040D7B00071356C341 +:040D7C000613100743 +:040D7D0085331000AA +:040D7E00C436006611 +:040D7F000074C23EFC +:040D80000293C03AE0 +:040D81008E1D09C0FA +:040D820000F685B33F +:040D83000E540B639C +:040D84005D8010EF8F +:040D850046A24792A9 +:040D860000704702B0 +:040D870040F687B3F8 +:040D88001007879336 +:040D89000107969335 +:040D8A00853A82C163 +:040D8B0010000593BC +:040D8C000413C23654 +:040D8D00D0EFF004AF +:040D8E0004429D7FFF +:040D8F008041665DDC +:040D9000470247814E +:040D91000313469270 +:040D9200B75556C635 +:040D93000181478310 +:040D9400017147039F +:040D95008FD907A249 +:040D960004800713BB +:040D9700EEE798E308 +:040D9800007866DD9C +:040D99004539478110 +:040D9A0045C6869331 +:040D9B00460345A91D +:040D9C00666300D7B3 +:040D9D00833300C5D7 +:040D9E00002300D757 +:040D9F00078500C301 +:040DA00096E30705CA +:040DA1004783FEB7CF +:040DA200675D025136 +:040DA30042F70423EC +:040DA4006663472516 +:040DA500675D00F78F +:040DA60042F705A368 +:040DA7004783A029B5 +:040DA8007AE30241A7 +:040DA9004703FEF707 +:040DAA0067DD02718E +:040DAB0083A3655D5C +:040DAC0047034EE7C4 +:040DAD0067DD02817B +:040DAE0003600613C5 +:040DAF0044E78C2366 +:040DB0000291470362 +:040DB100059367DD62 +:040DB2008BA302B15C +:040DB300470332E7D9 +:040DB40067DD02A154 +:040DB50052050513CB +:040DB60042E7852368 +:040DB70002314703BB +:040DB8008F2367DD41 +:040DB90067DD46E7C5 +:040DBA0045C7C7035F +:040DBB008EA367DDBF +:040DBC00470346E7BC +:040DBD0067DD02618B +:040DBE0046E783235E +:040DBF004EC010EF23 +:040DC0000613B5B1B0 +:040DC10010EF09C066 +:040DC20067DD4E207B +:040DC30082A34705BB +:040DC400BD2D4EE70C +:040DC500BD1D448587 +:040DC600BD0D448992 +:040DC700DE410113F5 +:040DC8002C230808C8 +:040DC9002A232011A8 +:040DCA002823208139 +:040DCB00D0EF2091B4 +:040DCC00C02A9F9FFB +:040DCD0040C010EF23 +:040DCE00966347825F +:040DCF0064DD1207C6 +:040DD000000165B702 +:040DD100859346457B +:040DD200851360C560 +:040DD30010EF42C417 +:040DD400645D49A071 +:040DD50046040623A7 +:040DD600A6FFD0EFB5 +:040DD700167D6641DE +:040DD80000021737C7 +:040DD9000D072703D8 +:040DDA00D58366DD7A +:040DDB008F715206BC +:040DDC0002E5816348 +:040DDD0052068693A1 +:040DDE000026D68392 +:040DDF000EE6856334 +:040DE0000513650989 +:040DE100C0EF7105E9 +:040DE20067C1979FAF +:040DE300FFF786137D +:040DE40065B7BFC16F +:040DE500464500017E +:040DE600620585938A +:040DE70042C485136A +:040DE800448010EF44 +:040DE900000165B7E9 +:040DEA008593464562 +:040DEB000513D845CF +:040DEC0010EF46C4FA +:040DED00D0EF5A8069 +:040DEE004481A11F7C +:040DEF000104941354 +:040DF00020040413C4 +:040DF10067DD842511 +:040DF2004681472DC2 +:040DF300004C862208 +:040DF400418785139B +:040DF50027E010EFF4 +:040DF60065B7E5599F +:040DF70085930001DF +:040DF8000048634507 +:040DF900EBBFC0EF9D +:040DFA000485C1317A +:040DFB0097E34741F2 +:040DFC0010EFFCE414 +:040DFD00453D34E05C +:040DFE00D3DFF0EF60 +:040DFF00C78367DD62 +:040E0000675D42B731 +:040E010045C70713C7 +:040E0200C50397BAD3 +:040E030067DD0007A0 +:040E040046A78EA3CC +:040E0500D21FF0EF19 +:040E060021812083A3 +:040E0700214124035E +:040E080024834502F8 +:040E090001132101AF +:040E0A00808221C100 +:040E0B0000C14703D8 +:040E0C004683FF4DCD +:040E0D00071300D1F6 +:040E0E0098E3052040 +:040E0F004683FAE636 +:040E1000470500E1B1 +:040E1100FAD763E3C6 +:040E12009593660549 +:040E130095B2008410 +:040E14003DC00613C4 +:040E150000E684630C +:040E1600055006136A +:040E17008522081414 +:040E18008F5FD0EF29 +:040E190010EFD159AC +:040E1A0057FD2DA0B3 +:040E1B00B76DC03EB1 +:040E1C0001855713E2 +:040E1D0001851793A1 +:040E1E0006B78FD9AB +:040E1F00171300FFA6 +:040E20008F75008545 +:040E210067418FD9BD +:040E2200F0070713BB +:040E23008D79812123 +:040E240080828D5DDE +:040E250017B7C6092C +:040E2600A023000203 +:040E27004701000778 +:040E2800FFC5F69379 +:040E29000002163776 +:040E2A0000E507B325 +:040E2B0002D7646323 +:040E2C00470D898D58 +:040E2D0002E59463E3 +:040E2E000007D68360 +:040E2F00000217376F +:040E300000D71223B2 +:040E31000027C7834C +:040E320000F70223A0 +:040E3300000217B7EB +:040E340080824B88E5 +:040E35000711439CC2 +:040E3600B7F9C25CEA +:040E3700996347096B +:040E3800D70300E5F7 +:040E390017B70007E0 +:040E3A0092230002FD +:040E3B00BFF900E714 +:040E3C009DE34705E6 +:040E3D00C703FCE506 +:040E3E0017B70007DB +:040E3F008223000208 +:040E4000B7E900E727 +:040E4100C222115167 +:040E4200000214375F +:040E43004601C0267E +:040E4400059384AAE4 +:040E4500051304A0ED +:040E4600C4060404D6 +:040E4700C81FC0EF11 +:040E4800460185A634 +:040E49000404051385 +:040E4A00CAFFC0EF2C +:040E4B0004A0059367 +:040E4C000404051382 +:040E4D00C0EF4605A7 +:040E4E000513C67F43 +:040E4F004412040441 +:040E5000448240A2F6 +:040E510001314585A1 +:040E5200C75FC06F47 +:040E5300C422114163 +:040E5400000214374D +:040E5500C02AC226C7 +:040E5600460184AE1F +:040E570004A005935B +:040E58000404051376 +:040E5900C0EFC6061A +:040E5A004782C37F89 +:040E5B000513460134 +:040E5C0085BE040447 +:040E5D00C63FC0EFDD +:040E5E000404051370 +:040E5F0040B2442237 +:040E6000449285A68D +:040E61000141460500 +:040E6200C4FFC06F9A +:040E6300C222115145 +:040E640014334405FA +:040E6500741300A45E +:040E660085A20FF45E +:040E6700C40645195F +:040E6800FADFF0EFCE +:040E6900441285A208 +:040E6A00451D40A240 +:040E6B00F06F0131F2 +:040E6C001151F9FF28 +:040E6D00C222050692 +:040E6E000FF57413F5 +:040E6F00450585A20E +:040E7000F0EFC406D5 +:040E710085A2F8BF9F +:040E720040A2441244 +:040E730001314509FB +:040E7400F7DFF06F45 +:040E750045151151BD +:040E7600F0EFC406CF +:040E77000713F2BFAC +:040E78004781086046 +:040E790004E5136316 +:040E7A0009000593D3 +:040E7B000200051359 +:040E7C00F5DFF0EFBF +:040E7D000513458193 +:040E7E00F0EF02107F +:040E7F004581F53F75 +:040E80000220051334 +:040E8100F49FF0EFFB +:040E82000513458D82 +:040E8300F0EF02305A +:040E84004581F3FFB2 +:040E850002800513CF +:040E8600F35FF0EF37 +:040E870003000593CC +:040E88000710051337 +:040E8900F29FF0EFF5 +:040E8A0040A24785B6 +:040E8B000131853E6E +:040E8C00114180820E +:040E8D0000A101A31C +:040E8E000002153712 +:040E8F004605C4222E +:040E90000513842E94 +:040E910005930405BC +:040E9200C60600315F +:040E9300BD5FC0EF90 +:040E9400C0EF852204 +:040E950040B2EACFAE +:040E960001414422B0 +:040E970011518082F3 +:040E9800645DC222B1 +:040E990045445783F2 +:040E9A00C026C406A4 +:040E9B00F9F7F793D9 +:040E9C0083C107C245 +:040E9D0044F41A23DC +:040E9E00000214B783 +:040E9F000EF4A0238A +:040EA000C0EF455109 +:040EA10045D1E7CF81 +:040EA20003800513B1 +:040EA300FA7FF0EFF3 +:040EA400051345D11C +:040EA500F0EF0390D7 +:040EA60045D1F9DF5A +:040EA700F0EF4551D2 +:040EA80045D1F95FD8 +:040EA9000710051316 +:040EAA00F8BFF0EFAE +:040EAB00051345D115 +:040EAC00F0EF05E07E +:040EAD0045D1F81F14 +:040EAE0006D0051352 +:040EAF00F77FF0EFEA +:040EB000453145D1B2 +:040EB100F6FFF0EF69 +:040EB2003200059372 +:040EB300F0EF450512 +:040EB40045D1F65FCF +:040EB500F0EF4519FC +:040EB6000593F5DFCC +:040EB70045093200B7 +:040EB800F53FF0EF23 +:040EB90045445783D2 +:040EBA00E79340A2D8 +:040EBB001A230407EB +:040EBC00441244F4A4 +:040EBD000EF4A0236C +:040EBE000131448238 +:040EBF0011218082FB +:040EC000645DC82283 +:040EC10045445783CA +:040EC200C626CA0670 +:040EC300F9F7F793B1 +:040EC40083C107C21D +:040EC5001A23C02EFE +:040EC600173744F4A2 +:040EC70020230002E2 +:040EC80084AA0EF7F3 +:040EC900320005935B +:040ECA00F0EF4505FB +:040ECB005783F09FBA +:040ECC00173745444B +:040ECD0045C1000219 +:040ECE000207E7939D +:040ECF0044F41A23AA +:040ED0000EF72023D6 +:040ED10010EF852673 +:040ED20077132380EF +:040ED300EB110FF51B +:040ED400000175B7ED +:040ED5008593464576 +:040ED6008526A44584 +:040ED7001FE010EF19 +:040ED8004781470502 +:040ED90000F486B3E8 +:040EDA000006C50346 +:040EDB00C43A45D1FF +:040EDC00F0EFC23E33 +:040EDD004792EC1F2D +:040EDE00078547221B +:040EDF000FF7F69380 +:040EE000FEE6E2E365 +:040EE10045445783AA +:040EE200000214B73F +:040EE300F79345D16B +:040EE40007C2FDF74D +:040EE5001A2383C188 +:040EE600A02344F40D +:040EE70005130EF4ED +:040EE800F0EF0C001B +:040EE9005783E91F23 +:040EEA004502454434 +:040EEB00E79345C183 +:040EEC001A230207BC +:040EED00A02344F406 +:040EEE0010EF0EF4FF +:040EEF0077931C4099 +:040EF000EB910FF57E +:040EF10075B745028A +:040EF2004645000170 +:040EF300A4458593FA +:040EF40018A010EF43 +:040EF5004481478568 +:040EF6004782C23E2F +:040EF700873345D127 +:040EF8004503009717 +:040EF9000485000765 +:040EFA00E4BFF0EF72 +:040EFB00F713479210 +:040EFC0063E30FF4A9 +:040EFD005783FEF722 +:040EFE0040D2454455 +:040EFF00000217379F +:040F00000407E79368 +:040F010044F41A2377 +:040F02002023444222 +:040F030044B20EF7EF +:040F04008082016185 +:040F0500C2221151A2 +:040F0600000214379A +:040F07004601C026B9 +:040F0800059384AA1F +:040F0900051302C00A +:040F0A00C406040411 +:040F0B00971FC0EF7D +:040F0C00460585A66B +:040F0D0004040513C0 +:040F0E0099FFC0EF98 +:040F0F0002C0059384 +:040F100004040513BD +:040F1100C0EF4605E2 +:040F12000513957FAF +:040F1300441204047C +:040F1400448240A231 +:040F150001314585DC +:040F1600965FC06FB3 +:040F1700C42211419E +:040F18000002143788 +:040F1900C02AC22602 +:040F1A00460184AE5A +:040F1B0002C0059378 +:040F1C0004040513B1 +:040F1D00C0EFC60655 +:040F1E004782927FF5 +:040F1F00051346016F +:040F200085BE040482 +:040F2100953FC0EF49 +:040F220004040513AB +:040F230040B2442272 +:040F2400449285A6C8 +:040F2500014146053B +:040F260093FFC06F06 +:040F27000002153778 +:040F2800460111511C +:040F290005134581E6 +:040F2A00C4060405F0 +:040F2B0092BFC0EFC2 +:040F2C00C0EF4529A4 +:040F2D0045E1C4CF07 +:040F2E00F0EF450596 +:040F2F0045E1FA3F5F +:040F3000F0EF450990 +:040F310045E1F9BFDE +:040F3200F0EF450D8A +:040F33004505F93F38 +:040F3400F45FF0EF87 +:040F3500152140A2A0 +:040F3600001535135A +:040F37008082013182 +:040F3800C22211516F +:040F39004505842ABC +:040F3A00F0EFC4060A +:040F3B00991DF2BF4B +:040F3C008C49040ECA +:040F3D000FF4741326 +:040F3E00450585A23E +:040F3F00F61FF0EFBA +:040F4000450985A238 +:040F4100F59FF0EF39 +:040F4200441285A22E +:040F4300450D40A276 +:040F4400F06F013118 +:040F45001151F4BF93 +:040F4600842AC22215 +:040F4700C406450592 +:040F4800EF5FF0EF78 +:040F4900751347894C +:040F4A0013630D859B +:040F4B00641302F435 +:040F4C0085A2001565 +:040F4D00F0EF450577 +:040F4E0085A2F27F07 +:040F4F00F0EF450971 +:040F500085A2F1FF86 +:040F510040A2441264 +:040F52000131450D17 +:040F5300F11FF06F2B +:040F54008C490416AA +:040F55000FF474130E +:040F560000446413DC +:040F57001151BFD99C +:040F58001437C22266 +:040F5900C0260002AC +:040F5A0084AA46011E +:040F5B0005C0059335 +:040F5C000404051371 +:040F5D00C0EFC40617 +:040F5E00F593827F06 +:040F5F0046050FF440 +:040F6000040405136D +:040F6100853FC0EF19 +:040F620005C005932E +:040F6300040405136A +:040F6400C0EF46058F +:040F6500051380BF31 +:040F66004412040429 +:040F6700448240A2DE +:040F68000131458589 +:040F6900819FC06F35 +:040F6A00C42211414B +:040F6B000002143735 +:040F6C00C02AC226AF +:040F6D00460184AE07 +:040F6E0005C0059322 +:040F6F00040405135E +:040F7000C0EFC60602 +:040F71004782FDAF07 +:040F7200051346011C +:040F7300F5930404EA +:040F7400C0EF0FF7C4 +:040F75000513805F81 +:040F76004422040409 +:040F770085A640B259 +:040F78004605449254 +:040F7900C06F014103 +:040F7A001151FF0F03 +:040F7B000220051338 +:040F7C00C222C406C3 +:040F7D00F0EFC026AB +:040F7E007413F69F53 +:040F7F0045CD0FF558 +:040F8000F0EF4559F0 +:040F81006489FA7F06 +:040F8200710485135E +:040F8300AF2FC0EFDD +:040F8400455D458DF5 +:040F8500F95FF0EF31 +:040F8600710485135A +:040F8700AE2FC0EFDA +:040F88000804659361 +:040F8900022005132A +:040F8A00F81FF0EF6D +:040F8B003E8005138C +:040F8C00ACEFC0EF17 +:040F8D0007F475935D +:040F8E0040A2441227 +:040F8F000513448280 +:040F90000131022009 +:040F9100F65FF06FA8 +:040F9200C222115115 +:040F930005136409D5 +:040F9400C40671041A +:040F9500AAAFC0EF50 +:040F9600455945C5AF +:040F9700F4DFF0EFA4 +:040F980071040513C8 +:040F9900A9AFC0EF4D +:040F9A004589455DE3 +:040F9B00F3DFF0EFA1 +:040F9C0071040513C4 +:040F9D0040A2441218 +:040F9E00C06F0131EE +:040F9F001151A84FF5 +:040FA000842EC222B7 +:040FA100454985AA8F +:040FA200F0EFC406A2 +:040FA30085A2F1FF33 +:040FA40040A2441211 +:040FA5000131454D84 +:040FA600F11FF06FD8 +:040FA700051385AAFF +:040FA800F06F03D013 +:040FA90085AAF07FA6 +:040FAA00F06F45455A +:040FAB001151EFFFF2 +:040FAC00C222C40693 +:040FAD000065478311 +:040FAE00456D842ADF +:040FAF0000479593CF +:040FB000F5938DDD4B +:040FB100F0EF0FF559 +:040FB2004583EE3F46 +:040FB3004571006420 +:040FB400ED9FF0EFCE +:040FB500003445833C +:040FB600F0EF4529EA +:040FB7004583ECFF83 +:040FB8004525004487 +:040FB900EC5FF0EF0A +:040FBA000054458317 +:040FBB00F0EF4521ED +:040FBC004583EBBFBF +:040FBD0045350004B2 +:040FBE00EB1FF0EF46 +:040FBF000014458352 +:040FC000F0EF4531D8 +:040FC1004583EA7FFB +:040FC20044120024B1 +:040FC300452D40A2D6 +:040FC400F06F013198 +:040FC5001141E97F6E +:040FC600842AC42293 +:040FC700C2264511E8 +:040FC80084AEC03201 +:040FC900F0EFC60679 +:040FCA004602E39F59 +:040FCB000F85759386 +:040FCC000793CE4D6C +:040FCD00EA637FF064 +:040FCE0045110A8738 +:040FCF000015E59391 +:040FD000E69FF0EFB9 +:040FD10000141513E0 +:040FD20001051413EE +:040FD3005593804171 +:040FD400F59300444D +:040FD50045050FF5CA +:040FD600E51FF0EF34 +:040FD700004415932A +:040FD8000F05F59379 +:040FD900F0EF4509E7 +:040FDA000737E43FB2 +:040FDB000713019C5B +:040FDC005733CC07B4 +:040FDD0056B702976A +:040FDE0086930225CF +:040FDF0047810FF641 +:040FE000028707334A +:040FE10002E6F063D1 +:040FE200042C26B7FE +:040FE300D7F6869324 +:040FE400F9634785E1 +:040FE500F7B700E674 +:040FE6008793080BDA +:040FE700B7B3FBF7AA +:040FE800078900E78E +:040FE9000713675D26 +:040FEA00973E3DC72A +:040FEB0000074503B3 +:040FEC000280071365 +:040FED0002E50533E1 +:040FEE000014571381 +:040FEF004533953AB7 +:040FF000471D028512 +:040FF1000FF5751370 +:040FF2000FF57593EF +:040FF30000A773637D +:040FF4004422459DB1 +:040FF500449240B230 +:040FF600079A058EC3 +:040FF700F5938DDD04 +:040FF800450D0F850F +:040FF900F06F014153 +:040FFA004511DC3F82 +:040FFB00DBDFF0EF59 +:040FFC001151BFB917 +:040FFD00842AC2225E +:040FFE00C406456977 +:040FFF00D63FF0EFFA +:041000007593478518 +:041001001A630FA5BA +:04100200E59300F47E +:04100300441200256E +:04100400456940A258 +:04100500F06F013156 +:04100600E593D93F56 +:04100700BFC500A5BC +:0410080000A5558367 +:04100900C22211519D +:04100A00842A81A112 +:04100B0004B0051315 +:04100C00F0EFC40637 +:04100D004583D77FC1 +:04100E00051300A422 +:04100F00F0EF04A05A +:041010005583D6BF6F +:04101100051300C4FF +:0410120081A104D0E4 +:04101300D5DFF0EF46 +:0410140000C445834C +:0410150004C00513FB +:04101600D51FF0EF03 +:0410170000E4558319 +:0410180004F00513C8 +:04101900F0EF81A1D2 +:04101A004583D43FF7 +:04101B00051300E4D5 +:04101C00F0EF04E00D +:04101D005583D37FA5 +:04101E000513004472 +:04101F0081A1051096 +:04102000D29FF0EF7C +:0410210000444583BF +:0410220005000513AD +:04102300D1DFF0EF3A +:04102400006455838C +:04102500053005137A +:04102600F0EF81A1C5 +:041027004583D0FF2E +:041028000513006448 +:04102900F0EF0520BF +:04102A005583D03FDB +:04102B000513008425 +:04102C0081A1055049 +:04102D00CF5FF0EFB2 +:04102E000084458372 +:04102F000540051360 +:04103000CE9FF0EF70 +:0410310001045583DE +:04103200057005132D +:04103300F0EF81A1B8 +:041034004583CDBF64 +:04103500051301049A +:04103600F0EF056072 +:041037005583CCFF12 +:041038000513012477 +:0410390081A10590FC +:04103A00CC1FF0EFE8 +:04103B0001244583C4 +:04103C000580051313 +:04103D00CB5FF0EFA6 +:04103E000144558391 +:04103F0005B00513E0 +:04104000F0EF81A1AB +:041041004583CA7F9A +:04104200441201440F +:04104300051340A2AF +:04104400013105A0D1 +:04104500C95FF06F20 +:04104600C222115160 +:041047000513842ADF +:04104800C40603F0E7 +:04104900C3BFF0EF42 +:04104A000F05759386 +:04104B0044128DC1FD +:04104C00051340A2A6 +:04104D00013103F07A +:04104E00C71FF06F59 +:04104F00C222115157 +:041050004569842A40 +:04105100F0EFC406F2 +:041052001593C19F92 +:0410530044120064DF +:0410540003F5751318 +:041055008DC940A25F +:041056000FF5F5930A +:0410570001314569B5 +:04105800C49FF06FD2 +:0410590065DD11310F +:04105A008593461D17 +:04105B00850AA7C596 +:04105C0000EFC806D3 +:04105D00F0EF277019 +:04105E004501C75F22 +:04105F00E77FF0EF48 +:0410600005134585AA +:04106100F0EF035059 +:041062006559C23FCB +:0410630063C5051349 +:04106400E91FF0EFA1 +:04106500F0EF450162 +:041066004519FA7FAF +:04106700D01FF0EFB7 +:041068000440051328 +:04106900D03FF0EF95 +:04106A004505458172 +:04106B00CD3FF0EF96 +:04106C00F0EF850A12 +:04106D0040C2CFDFCF +:04106E00808201512A +:04106F00C422114145 +:041070004511842A78 +:04107100C02EC606C1 +:04107200B97FF0EF63 +:04107300043345827B +:04107400759302B4BA +:0410750045110075AC +:0410760001F4779377 +:041077008DDD078E76 +:04107800BC9FF0EF3A +:041079004054551377 +:04107A00442240B21A +:04107B000FF57513E5 +:04107C00808201412C +:04107D00C222115129 +:04107E004541842A3A +:04107F00F0EFC406C4 +:041080001593B61FEF +:0410810044120034E1 +:0410820040A2891DE2 +:04108300F5938DC98B +:0410840045410FF5DE +:04108500F06F0131D6 +:04108600C139B93F74 +:04108700C02611511D +:0410880002600513EA +:04108900059384AE99 +:04108A00C222080076 +:04108B008432C406E1 +:04108C00B79FF0EF2B +:04108D0005934785FB +:04108E0089630094DE +:04108F0047A100F481 +:0410900005A405931B +:0410910000F4846380 +:04109200018405933D +:0410930040A2441221 +:04109400F59344820A +:0410950005130FF53B +:041096000131031011 +:04109700B4DFF06F63 +:041098000513458176 +:04109900BFDD026055 +:04109A00C822112136 +:04109B00CA06C62695 +:04109C00C232C02E6E +:04109D004785C43689 +:04109E0084BA842A62 +:04109F0000F50E63E7 +:0410A0000D6347A1F4 +:0410A100059304F5BA +:0410A200F59300675B +:0410A30045150FF5EB +:0410A400B19FF0EF19 +:0410A500A80945C190 +:0410A6000027059387 +:0410A7000FF5F593B9 +:0410A800F0EF45150B +:0410A9004599B07F36 +:0410AA00F0EF451905 +:0410AB0085A2AFFF6C +:0410AC00450586264A +:0410AD00F67FF0EFEB +:0410AE0045B147C140 +:0410AF0000F40363E3 +:0410B000051345A13E +:0410B100F0EF02203A +:0410B2004442AE3FC7 +:0410B30045924622FA +:0410B40040D24502DF +:0410B500016144B2DF +:0410B600C3FFF06F15 +:0410B7000327059373 +:0410B8000FF5F593A8 +:0410B900F0EF4515FA +:0410BA000593AC3FAF +:0410BB00BF6D020003 +:0410BC0087931151B4 +:0410BD00C222FFE567 +:0410BE00C406C0267E +:0410BF000FF7F7939D +:0410C00084AA4705B2 +:0410C1006963842EAD +:0410C200470906F7DD +:0410C30013634781EB +:0410C400478900E573 +:0410C5000024971359 +:0410C60000449593BA +:0410C700079A8DD91E +:0410C8008DDD8DC568 +:0410C9000FF5F59397 +:0410CA00F0EF456599 +:0410CB004541A7FFF5 +:0410CC00A2FFF0EFA0 +:0410CD007593478D43 +:0410CE0014630F8513 +:0410CF00E59300F4B1 +:0410D0004541005541 +:0410D100A65FF0EF37 +:0410D2009D6347894A +:0410D300478502F457 +:0410D4000287EA6342 +:0410D500052005935A +:0410D60000F40463BB +:0410D7000530059348 +:0410D800F0EF4539B7 +:0410D9000513A47FD8 +:0410DA00B0EF3E80B5 +:0410DB004551D95F43 +:0410DC009EFFF0EF94 +:0410DD00A03D45816C +:0410DE00FD494789F8 +:0410DF0000B037B373 +:0410E000BF49078578 +:0410E10005B00593BE +:0410E200F0EF4539AD +:0410E3000513A1FF51 +:0410E400B0EF3E80AB +:0410E5004551D6DFBC +:0410E6009C7FF0EF0C +:0410E70045C1478D2B +:0410E800FCF41AE317 +:0410E900F0EF45617E +:0410EA004412A03FCD +:0410EB00448240A259 +:0410EC000513458122 +:0410ED00013103606A +:0410EE009F1FF06FE1 +:0410EF00C4221141C5 +:0410F0004551842AB8 +:0410F100C606C02E41 +:0410F200997FF0EF03 +:0410F300779347099F +:0410F40045820FF52D +:0410F50002E4156399 +:0410F6009C634705AB +:0410F700751300E588 +:0410F80005130905CE +:0410F9003513F705AF +:0410FA0040B20015EB +:0410FB000141442249 +:0410FC00E591808278 +:0410FD0008857513DA +:0410FE00F78505135A +:0410FF00D513B7ED61 +:041100008905001746 +:041101000113B7DD42 +:041102004791FB8195 +:0411030067DDCA3E9C +:0411040048078713FE +:04110500C0A6C2A21C +:041106004583C42E2B +:041107004621020774 +:041108000307428314 +:0411090000B61633E3 +:04110A000217458300 +:04110B0000866613E1 +:04110C000613CC32C8 +:04110D001633100085 +:04110E00458300B65F +:04110F00CE320227B3 +:04111000163366111B +:04111100458300B65C +:04111200D03202379E +:041113000004063797 +:0411140000B61633D8 +:041115000613D232B9 +:04111600D43201319D +:041117000613665DF8 +:04111800D6324A562B +:041119000613665DF6 +:04111A00D8324A6617 +:04111B000613665DF4 +:04111C00DA324A7603 +:04111D000613665DF2 +:04111E00DC324A86EF +:04111F000613665DF0 +:04112000675D4A9627 +:041121000613DE32A1 +:04112200071356C792 +:04112300C03A56C7B1 +:0411240007136759ED +:04112500C22A66C7AD +:04112600000109A318 +:041127008793430166 +:04112800C63A480774 +:041129000183151316 +:04112A000210071395 +:04112B001463856163 +:04112C00557D00E30A +:04112D004703A0C90B +:04112E0044150176ED +:04112F00016645838D +:041130000EE46863FE +:04113100139344329E +:04113200941E0027E0 +:0411330084024000F2 +:041134000800041398 +:041135006409CE2259 +:041136008DF5D02241 +:041137000080C9F17A +:04113800A38393A258 +:041139004E0CFE83D7 +:04113A000003C38368 +:04113B0093A2038AEE +:04113C00FD43A38349 +:04113D000075F3B393 +:04113E000A038C63B1 +:04113F00C5934422EE +:041140008985FFF5A9 +:041141000A85966322 +:0411420001065583CA +:0411430005F9441254 +:041144000A85E063D5 +:041145008823458531 +:04114600972300B734 +:0411470089230007F1 +:04114800AA230007CF +:041149008593007713 +:04114A008263800339 +:04114B00658522058F +:04114C008005859302 +:04114D000E75E063D8 +:04114E000400059301 +:04114F001EB38263E6 +:041150000875EF63CC +:04115100886345A1C9 +:04115200ED6314B382 +:041153004591067547 +:0411540006B39063EB +:0411550000079623D6 +:041156000FD7771325 +:041157001C6346854A +:04115800C68300D773 +:041159008563033770 +:04115A004709120629 +:04115B0000E78823FE +:04115C0087A3470519 +:04115D00441600E74D +:04115E0001134486AF +:04115F008082048105 +:041160001000041364 +:041161006411CE2225 +:041162005483BF8172 +:04116300041301066A +:0411640094E320D020 +:041165004483F48447 +:04116600440DFFB67F +:0411670000849D6300 +:041168000002956389 +:041169000EF5F593F7 +:04116A004409BF0D68 +:04116B00F28297E392 +:04116C000671030500 +:04116D009563BDC504 +:04116E00F5930002F3 +:04116F00BF310FB5C8 +:04117000B7ED44058E +:041171008863474107 +:04117200071314E368 +:0411730091E3020002 +:041174000713FEE37C +:04117500962330107D +:04117600471100E736 +:041177000593A2B981 +:041178008763100079 +:04117900EC6314B35C +:04117A0007130075E2 +:04117B0091E30800F4 +:04117C000713FCE376 +:04117D009623201085 +:04117E00471500E72A +:04117F000713A23D73 +:041180008163200067 +:04118100071314E359 +:0411820093E34000B3 +:041183000713FAE371 +:04118400A221202064 +:041185008C6365C151 +:04118600E66314B355 +:0411870065890275FF +:0411880012B38A63B1 +:041189000075E863A2 +:04118A0093E367057F +:04118B000713F8E36B +:04118C00B7D1202097 +:04118D008A6365917B +:04118E00672112B310 +:04118F00F6E39AE306 +:0411900020300713F1 +:041191000737A8D99B +:041192008863000866 +:04119300606312E3A0 +:0411940007370277A0 +:041195008F63000262 +:04119600073710E324 +:0411970099E30004D4 +:041198004711F4E324 +:0411990000E79623B2 +:04119A0007800713B0 +:04119B000737A8E585 +:04119C008E6300104E +:04119D00073710E31D +:04119E009BE30020AF +:04119F000713F2E35D +:0411A0009623204032 +:0411A100471900E703 +:0411A20000E78823B7 +:0411A300A8D947512F +:0411A40000E78723B6 +:0411A5004685BDF1CD +:0411A60000D78623C5 +:0411A70003D7C68321 +:0411A80046F1E2A981 +:0411A90002D306B3B4 +:0411AA0096B24602B1 +:0411AB0000E6D60381 +:0411AC0057700693DF +:0411AD0002C6E7632C +:0411AE00FFF706132E +:0411AF000FF67613AE +:0411B000E063468929 +:0411B100460D02C61F +:0411B20000C786A349 +:0411B30000D78823B6 +:0411B400EAD713E380 +:0411B5000337C70332 +:0411B6004705EB1DE1 +:0411B70000E78723A3 +:0411B8000693BD5984 +:0411B90086A3FFE723 +:0411BA00F6930007A1 +:0411BB00D2FD0FD67C +:0411BC0012E346955F +:0411BD004771E8D7B7 +:0411BE0002E3033312 +:0411BF00933A470216 +:0411C00000E356836F +:0411C1004AF00713D6 +:0411C200FCD776E3FD +:0411C30086A3B5AD9D +:0411C40047090007D0 +:0411C5000713A8194B +:0411C600962320103C +:0411C700BFD500E7A9 +:0411C80030100713C9 +:0411C90000E7962382 +:0411CA008823471916 +:0411CB00B5A100E7E3 +:0411CC009623468997 +:0411CD00468D00D774 +:0411CE00E2D71FE362 +:0411CF000337C70318 +:0411D000BFC1DF4973 +:0411D10010200713D0 +:0411D20000E7962379 +:0411D3000713B52D1C +:0411D400B5512020D1 +:0411D50020200713BC +:0411D60000E7962375 +:0411D7008823471D05 +:0411D800473500E7B0 +:0411D90000E789237F +:0411DA00468DB53950 +:0411DB000713B7D966 +:0411DC00B595203075 +:0411DD0020300713A4 +:0411DE000713BDBD79 +:0411DF0096232040F3 +:0411E000470D00E7D0 +:0411E10000E7882378 +:0411E200028007136D +:0411E3000713BFE14E +:0411E40096232040EE +:0411E500471500E7C3 +:0411E60000E7882373 +:0411E700B7D94761CC +:0411E8001793111137 +:0411E900CA22018590 +:0411EA00CC06C82641 +:0411EB00842A87E1EA +:0411EC00DD6384AE8D +:0411ED004581000731 +:0411EE00077005136E +:0411EF0001A337D54C +:0411F000478500A18E +:0411F10006A7EE63FC +:0411F20007F4741377 +:0411F30045912E955F +:0411F400268145010A +:0411F500D7932E81DD +:0411F60002A30184CB +:0411F700D79300F199 +:0411F80003230104C8 +:0411F900D79300F197 +:0411FA0003A30084C7 +:0411FB00022300F1DA +:0411FC000423008147 +:0411FD000713009143 +:0411FE00079304004F +:0411FF000963095027 +:04120000071300E4EC +:041201004785048099 +:0412020000E414638D +:0412030008700793D5 +:0412040000484599C0 +:0412050000F104A34D +:04120600451524ED79 +:04120700842A2EB156 +:041208000513458500 +:0412090024F5003197 +:04120A000031078325 +:04120B000007D6639F +:04120C0047852E8D57 +:04120D00FEF506E301 +:04120E002641E01184 +:04120F000031450362 +:04121000445240E222 +:04121100017144C261 +:0412120001138082C2 +:04121300C02AFD816F +:0412140005134581F8 +:04121500D206049069 +:04121600CE26D022EE +:04121700F45FF0EFA1 +:041218004501C901C2 +:041219005402509299 +:04121A00011344F286 +:04121B00808202814A +:04121C004515842AC6 +:04121D0084AA261168 +:04121E0005134585EA +:04121F002C510071DD +:04122000007147030F +:041221000FF0079330 +:0412220000F7166358 +:0412230047852E19B4 +:04122400FEF504E3EC +:041225002615E09119 +:041226000071470309 +:041227000FE007933A +:04122800FCF711E3DB +:04122900002845C98B +:04122A00478224AD26 +:04122B000047C7832E +:04122C000027F7138D +:04122D004503CF1591 +:04122E00478300E111 +:04122F00470300F180 +:04123000890D012102 +:041231008D5D0522A8 +:0412320001014783EC +:041233004403050A61 +:04123400839900D1C9 +:0412350047838D5D01 +:04123600831D011102 +:041237000786883D61 +:041238008FD98B9926 +:041239000505943ED5 +:04123A0015331465EF +:04123B00BF9D0085CE +:04123C00CB898B913E +:04123D000101450363 +:04123E0001114783D0 +:04123F008D5D05229A +:04124000B7CD47C51A +:04124100450147819B +:041242000113BFF1E4 +:0412430065D9FDC1AB +:041244004629CC2645 +:04124500859384AA5F +:041246000068814576 +:04124700CE22D006DD +:04124800478D24E1C9 +:0412490022C1C03EC0 +:04124A0024A92C2186 +:04124B00006845A949 +:04124C0045812AC9E5 +:04124D000004A023D6 +:04124E000400051380 +:04124F00E65FF0EF77 +:04125000842A478520 +:041251000EF51B6318 +:041252001AA0059346 +:0412530004800513FB +:04125400E51FF0EFB3 +:041255001763C22A2F +:041256004591088531 +:041257002A550028EC +:0412580000A14703A7 +:041259001A6347923B +:04125A0047030CF743 +:04125B00079300B144 +:04125C0014630AA06D +:04125D0005130CF772 +:04125E002AFD3E80A7 +:04125F004785241D7E +:0412600000F5196319 +:04126100400005B78D +:041262000E900513D2 +:04126300E15FF0EF68 +:041264002C01F575EF +:041265000663478550 +:04126600440102F548 +:0412670047822C3955 +:04126800F79317FDE4 +:04126900C03E0FF77D +:04126A00DC35CBD9CB +:04126B00822347850E +:04126C00C09C00849E +:04126D00F0EF8526F3 +:04126E00157DE95FA2 +:04126F002A69C4889C +:041270004581A041D3 +:0412710007A00513BA +:04127200DD9FF0EF1D +:041273004591F57933 +:0412740022810028AB +:04127500008147832A +:04127600F793443175 +:04127700FFDD04078C +:04127800BF6D4411F1 +:041279000513458193 +:04127A00F0EF0E90F3 +:04127B000793DB7F7B +:04127C006563041092 +:04127D00440900A47C +:04127E000E90079334 +:04127F000FA00513A4 +:041280002A9DC23EA3 +:0412810047852A79FA +:0412820000F51763F9 +:04128300458145124A +:04128400D91FF0EF8F +:041285002271F96574 +:041286004401E1112D +:0412870045812A79FA +:0412880007B0051393 +:04128900D7DFF0EFCC +:04128A004401C11149 +:04128B0020000593A7 +:04128C000500051341 +:04128D00D6DFF0EFC9 +:04128E004401D13D09 +:04128F00F43DB78DE6 +:04129000351320CD25 +:041291005082001473 +:0412920044E244727C +:041293000241011300 +:04129400451C8082F3 +:04129500C822112139 +:04129600C626CA0698 +:04129700C03AC22E69 +:04129800E163440DBD +:04129900CF1902C7A0 +:04129A000045478341 +:04129B008BA184B6E9 +:04129C000626E391AE +:04129D00051385B2FE +:04129E00F0EF051058 +:04129F00C909D27F28 +:0412A0002045440998 +:0412A10040D2852290 +:0412A20044B24442CC +:0412A30080820161E3 +:0412A40006400513E8 +:0412A500458520D586 +:0412A60000B105137B +:0412A7004703289D34 +:0412A800079300B1F7 +:0412A90016630FF0C9 +:0412AA0028E500F73C +:0412AB0004E347858C +:0412AC002221FEF508 +:0412AD0000B1470342 +:0412AE000FE00793B3 +:0412AF00FCF712E353 +:0412B000041347825A +:0412B1008C05202068 +:0412B20004428C1D49 +:0412B300C481804131 +:0412B400450185A6C5 +:0412B5004582283D09 +:0412B6002825451290 +:0412B700450185A2C6 +:0412B8004401280DB8 +:0412B9002637BF799C +:0412BA0005B700066E +:0412BB001537019C46 +:0412BC000613000213 +:0412BD008593A80667 +:0412BE000513CC0543 +:0412BF00B06F020505 +:0412C000862EA75F70 +:0412C100153785AAAE +:0412C200051300020E +:0412C300B06F020501 +:0412C400862EB13F82 +:0412C500153785AAAA +:0412C600051300020A +:0412C700B06F0205FD +:0412C8008082AD7FF4 +:0412C9005783675D83 +:0412CA00F79345470A +:0412CB0007C2F7F768 +:0412CC001A2383C19D +:0412CD00173744F794 +:0412CE0020230002D7 +:0412CF0080820EF714 +:0412D0005783675D7C +:0412D100E793454713 +:0412D2001A230807CC +:0412D300173744F78E +:0412D40020230002D1 +:0412D50080820EF70E +:0412D600002936377E +:0412D700019C05B7BA +:0412D80000021537C4 +:0412D9002E060613C4 +:0412DA00CC05859327 +:0412DB0002050513F0 +:0412DC00A03FB06F10 +:0412DD00F73FF06F78 +:0412DE00278367619A +:0412DF00E38590878C +:0412E0008793679DEC +:0412E10005339787B3 +:0412E200115102F5AF +:0412E3002423C406F6 +:0412E400B0EF90A730 +:0412E50040A2D90F3B +:0412E600013145018C +:0412E70045058082B7 +:0412E800115180829E +:0412E900B0EFC40698 +:0412EA0067E1DA0FCF +:0412EB009087A783BE +:0412EC00353340A2B4 +:0412ED00013100F5D6 +:0412EE0067E18082B2 +:0412EF009007A4239D +:0412F00047018082B0 +:0412F10000E614639C +:0412F20080824501B0 +:0412F30000E507B358 +:0412F40086B30705B1 +:0412F500C78300E5C6 +:0412F600C6830007A4 +:0412F70083E3FFF698 +:0412F8008533FED765 +:0412F900808240D7D8 +:0412FA0000A5C7B3D1 +:0412FB0007338B8D9D +:0412FC00E78100C5C1 +:0412FD00E963478DCD +:0412FE0087AA02C7F2 +:0412FF000CE5716326 +:041300000005C6839B +:0413010005850785D2 +:04130200FED78FA3E0 +:04130300FEE7EAE334 +:04130400C68380829A +:041305000785000553 +:041306008FA3058527 +:04130700EAE3FED740 +:041308004402FEE7B6 +:0413090080820111CC +:04130A0000357693A1 +:04130B00CA9187AA52 +:04130C000005C6838F +:04130D0005850785C6 +:04130E00FED78FA3D4 +:04130F000037F6931A +:041310007693B7FD1C +:041311008613FFC779 +:04131200F563FE067B +:04131300117106C787 +:0413140049C0C022EA +:041315000005A30329 +:041316000085A38328 +:041317004D80CBC07A +:041318000067A023A7 +:041319000045A303E5 +:04131A004DC0CF8073 +:04131B000067A223A2 +:04131C0000C5A283E3 +:04131D000105A30320 +:04131E00024585936C +:04131F00A403CFC094 +:04132000A423FFC53E +:04132100A623007788 +:04132200A8230057A5 +:041323008793006745 +:04132400AE230247AB +:04132500EEE3FE876E +:04132600F2E3FAC72D +:041327004190F8D722 +:041328000591079193 +:04132900FEC7AE232A +:04132A004190BFCD62 +:04132B000591079190 +:04132C00FEC7AE2327 +:04132D00FED7EBE319 +:04132E00F4E7E4E319 +:04132F0080828082B6 +:04133000F7C10113ED +:04133100C13ED6A63D +:0413320067DDDA8613 +:04133300DCB6D8A2AA +:04133400A483DEBAF6 +:04133500DC633887B6 +:041336000793000514 +:04133700C09C08B09E +:0413380050D6557DB9 +:0413390054B654460C +:04133A000841011352 +:04133B000793808212 +:04133C0018232080D2 +:04133D00C22A00F1CF +:04133E004781CA2AEF +:04133F008793C19936 +:04134000C63EFFF5B1 +:0413410018B4CC3ED2 +:04134200842E57FDA1 +:04134300004C8526AF +:0413440000F1192378 +:041345002601C03687 +:04134600556357FD97 +:04134700079300F513 +:04134800C09C08B08D +:041349004792DC5D8E +:04134A0000078023F5 +:04134B00C605BF5DB7 +:04134C004701167DC2 +:04134D0000E507B3FD +:04134E0000E586B37D +:04134F000007C78349 +:041350000006C6834A +:0413510000D79663C8 +:0413520000C7046369 +:04135300F3FD07059A +:0413540040D78533C6 +:04135500450180824C +:0413560087AA808260 +:041357000585CA0935 +:04135800FFF5C703D3 +:04135900167D078571 +:04135A00FEE78FA378 +:04135B00963EFB655A +:04135C0000C79363D0 +:04135D0007858082FE +:04135E00FE078FA354 +:04135F0095AABFD5B7 +:04136000856387AA70 +:04136100C70300B707 +:04136200E701000798 +:0413630040A78533E7 +:0413640007858082F7 +:04136500C5D5B7FD36 +:04136600FFC5A78395 +:04136700C22211513C +:04136800C026C406D1 +:04136900FFC5841325 +:04136A000007D36342 +:04136B0084AA943E7E +:04136C0015F000EF89 +:04136D002783675D0E +:04136E00863A3F87F5 +:04136F002223EF81C5 +:041370002C23000426 +:0413710044123E875D +:04137200852640A2EA +:04137300013144827E +:041374001410006FE2 +:0413750002F47063AB +:0413760007334014E5 +:04137700966300D4A5 +:04137800439800E7AF +:04137900973643DC84 +:04137A00C05CC0187B +:04137B003E862C235B +:04137C0087BABFD994 +:04137D00C31943D875 +:04137E00FEE47DE329 +:04137F0086334394DA +:041380001F6300D710 +:041381004010008692 +:04138200C39496B2C8 +:0413830000D78633D6 +:04138400FAC71BE3A6 +:041385004358431076 +:04138600C39496B2C4 +:04138700B765C3D8AB +:0413880000C47563C5 +:04138900C09C47B10C +:04138A004010BF79D7 +:04138B0000C406B3E1 +:04138C0000D716630D +:04138D00435843146A +:04138E00C01496B23F +:04138F00C3C0C058BF +:041390008082B75947 +:04139100C22611411E +:04139200003584930B +:04139300C60698F101 +:0413940004A1C422CA +:04139500FB6347B1FE +:0413960044B104F466 +:0413970004B4EA634D +:0413980000EFC02A78 +:04139900675D0AD0B2 +:04139A003F872683E0 +:04139B00061347826C +:04139C0084363F87CD +:04139D00645DE43176 +:04139E003FC4041331 +:04139F00EB01401806 +:0413A0004581853EC0 +:0413A10000EFC03E5B +:0413A20047827F807F +:0413A300853EC008BB +:0413A400C03E85A61C +:0413A5007EA000EF37 +:0413A6004782577DA6 +:0413A70006E51563DF +:0413A800C39847316E +:0413A90000EF853E8E +:0413AA00A02906B0C0 +:0413AB00FA04D8E385 +:0413AC00C11C47B168 +:0413AD0040B2450104 +:0413AE0044924422FF +:0413AF0080820141F6 +:0413B0008F0540184D +:0413B10002074E637E +:0413B200F66345ADEC +:0413B300C01800E579 +:0413B400C004943AA3 +:0413B5004058A029D3 +:0413B60002869263B6 +:0413B700853EC21895 +:0413B800031000EF2F +:0413B90000B4051364 +:0413BA0000440713D1 +:0413BB0007B399617A +:0413BC00D3F140E544 +:0413BD008F09943EC2 +:0413BE00BF75C0181F +:0413BF00B7C5C2D814 +:0413C000404086A281 +:0413C1000413BF85CD +:0413C20098710035E9 +:0413C300FC8503E3BF +:0413C40040A405B389 +:0413C500C03E853E63 +:0413C600577D279D8B +:0413C7001AE347825C +:0413C800BFBDFAE5C6 +:0413C9000085A303F5 +:0413CA00C82611110F +:0413CB00CA22CC0660 +:0413CC0084AEC232F7 +:0413CD000866E36368 +:0413CE0000C5D6037D +:0413CF004806771342 +:0413D00082AACB3DE5 +:0413D100470D48C8B4 +:0413D200073340801D +:0413D300498C02A798 +:0413D40007B345090D +:0413D500C03E40B422 +:0413D60002A74433F3 +:0413D7000016851364 +:0413D8007363953E68 +:0413D900842A00A4BE +:0413DA004006761340 +:0413DB00C63DC6360F +:0413DC0085A285164B +:0413DD00F0EFC41653 +:0413DE0042A2ECFF3C +:0413DF00473146B29A +:0413E0004602CD2DC7 +:0413E100C636488C38 +:0413E200F0EFC42A3A +:0413E300D603C5FF69 +:0413E400432200C4DC +:0413E500761346B283 +:0413E6006613B7F6DD +:0413E700962308063B +:0413E800478200C474 +:0413E9000064A823D1 +:0413EA00933EC8C0A6 +:0413EB00A0238C1D92 +:0413EC00C480006455 +:0413ED00F3638336ED +:0413EE0083360066DC +:0413EF00408845925B +:0413F000C01A861A7F +:0413F10044982701F4 +:0413F200450143026C +:0413F3004067073315 +:0413F4004098C498C1 +:0413F500A023933A64 +:0413F600A80D0064DA +:0413F70086228516AF +:0413F8002F05C416E3 +:0413F90042A2832A5F +:0413FA00FD4546B2B5 +:0413FB008516488C7F +:0413FC00F0EFC01638 +:0413FD004282DA5FEF +:0413FE00A0234731B0 +:0413FF00D70300E22E +:04140000557D00C452 +:041401000407671362 +:0414020000E4962349 +:04140300445240E22D +:04140400017144C26C +:04140500D703808207 +:04140600011300C509 +:04140700C122F78186 +:041408000793DEA6C2 +:04140900C30601F124 +:04140A00C02EC22A04 +:04140B000807771344 +:04140C00F41384B29F +:04140D00CB1DFF876D +:04140E00EB0D499801 +:04140F00040005933D +:04141000F0EFC636FD +:041411004782E03FEF +:04141200C38846B293 +:04141300E919CB8880 +:0414140047B1471283 +:04141500C31C557D22 +:04141600440A409AAA +:04141700011354F673 +:041418008082088145 +:0414190007134782EC +:04141A00CBD8040027 +:04141B0002000713B1 +:04141C0000E40CA339 +:04141D0003000713AE +:04141E0000042A2379 +:04141F0000E40D23B5 +:041420008726CA361B +:04142100025006135C +:0414220000074683F6 +:041423009863C2996F +:0414240003330AC6BE +:041425000263409787 +:0414260045820203F6 +:04142700869A45124A +:04142800C83A862612 +:04142900F0EFC61A00 +:04142A0056FDE7FF85 +:04142B001CD5026367 +:04142C0043324854AB +:04142D00969A474202 +:04142E004683C854D5 +:04142F0089630007C6 +:0414300004931A0601 +:04143100577D0017CC +:04143200000420236F +:041433000004262368 +:041434002423C05855 +:0414350001A300040B +:041436002C2304045B +:04143700C583040461 +:0414380077B700047E +:041439004615000153 +:04143A00A40785136B +:04143B0087132B7D6B +:04143C004014001444 +:04143D00F613E53984 +:04143E00C6090106D4 +:04143F00020006138E +:0414400004C401A33C +:041441000086F61318 +:041442000613C609BE +:0414430001A302B04F +:04144400C58304C494 +:041445000613000486 +:04144600816302A01C +:04144700445404C540 +:04144800458187262D +:0414490042A945254A +:04144A00000746034E +:04144B000017031370 +:04144C00FD06061380 +:04144D0006C57963F4 +:04144E00C454C99524 +:04144F000705A805E0 +:0414500077B7B7A112 +:0414510086130001FD +:041452000633A407B2 +:04145300450540C546 +:0414540000C5153387 +:04145500C0148EC968 +:04145600B75184BA4C +:041457000593465261 +:0414580042100046F8 +:041459004963CA2EEB +:04145A00C450020672 +:04145B00000746033D +:04145C0002E0069311 +:04145D0006D611633B +:04145E00001746032A +:04145F0002A006934E +:0414600002D61B6332 +:04146100070946D25F +:0414620000468613A7 +:04146300CA324294B3 +:041464000206C16358 +:04146500A081C0544E +:0414660040C0063349 +:041467000026E693E2 +:04146800C014C45098 +:0414690086B3B7E1AE +:04146A00458502565C +:04146B0096B2871A94 +:04146C0056FDBFA5C5 +:04146D000705B7C5F3 +:04146E000004222331 +:04146F0046814581EC +:0414700042A9452523 +:041471000007460327 +:041472000017031349 +:04147300FD06061359 +:0414740006C57763CF +:041475004583F1E1D9 +:0414760074B7000740 +:04147700460D00011D +:04147800A4848513B0 +:0414790021D1C63A7D +:04147A00CD01473227 +:04147B00A48484932E +:04147C0006938D0541 +:04147D0096B304001E +:04147E00400800A67C +:04147F008D5507057B +:041480004583C008D8 +:0414810075370007B4 +:041482004619000106 +:04148300A4C50513E4 +:0414840000170493B6 +:0414850000B40C2380 +:04148600C52D2949FE +:041487000000073723 +:04148800000707133F +:041489004014E70D17 +:04148A00F69347523C +:04148B00CE911006E8 +:04148C00CA3A071140 +:04148D0047A24858D2 +:04148E00C858973E65 +:04148F0086B3B599D2 +:041490004585025636 +:0414910096B2871A6E +:041492000721BFB5BA +:041493004602B7DD79 +:0414940056B74512F0 +:0414950008580001F2 +:04149600F246869301 +:04149700009785A293 +:0414980000E7000069 +:04149900C42A000061 +:04149A00577D47A291 +:04149B00FCE794E3F3 +:04149C00557D4782B1 +:04149D0000C7D703AA +:04149E0004077713B5 +:04149F00DC071EE365 +:0414A000BBD9484824 +:0414A10045124602A8 +:0414A200000156B738 +:0414A30086930858CC +:0414A40085A2F246E5 +:0414A500BFC12A0594 +:0414A600CA26110140 +:0414A70084B6833252 +:0414A800499445908E +:0414A900CE06CC227D +:0414AA0087AE842A5B +:0414AB0000C6D36341 +:0414AC00202386B2C1 +:0414AD00C60300D39F +:0414AE00C601043738 +:0414AF00202306856B +:0414B000439400D38E +:0414B1000206F693A6 +:0414B2002683C69136 +:0414B30006890003A3 +:0414B40000D320231E +:0414B5000007A28307 +:0414B6000197839384 +:0414B7000062F2934A +:0414B8000602836342 +:0414B900C683439013 +:0414BA00761304376A +:0414BB0036B302063C +:0414BC00EA4100D031 +:0414BD000437861357 +:0414BE00852285A658 +:0414BF00C23EC41A4B +:0414C0009702C03A95 +:0414C100086356FD69 +:0414C200479206D572 +:0414C300451143226A +:0414C4002603439424 +:0414C50047CC00030D +:0414C60043018A99BB +:0414C70097634702DE +:0414C800833300A6C4 +:0414C900536340C564 +:0414CA0043010003D7 +:0414CB004B90479467 +:0414CC0000D654638F +:0414CD0093368E9133 +:0414CE0007E9428167 +:0414CF00065312634B +:0414D000A81D45010D +:0414D10047D4028575 +:0414D20000032603EA +:0414D300DBE38E9138 +:0414D4004685F8D27F +:0414D50085A6861E44 +:0414D600C81A852289 +:0414D700C416C63E33 +:0414D800C03AC21E36 +:0414D90056FD970223 +:0414DA0043924702F0 +:0414DB0047B242A230 +:0414DC0019E343428B +:0414DD00557DFCD568 +:0414DE00446240F232 +:0414DF00610544D28D +:0414E00085B38082CE +:0414E100051300D718 +:0414E20081A30300DF +:0414E300C58304A514 +:0414E4008613045710 +:0414E500963E001619 +:0414E60001A30689CF +:0414E700BF9904B6EF +:0414E800863E468571 +:0414E900852285A62D +:0414EA00C41AC61644 +:0414EB00C03AC23E03 +:0414EC0056FD970210 +:0414ED00FCD501E346 +:0414EE00432242B2A1 +:0414EF000285479299 +:0414F000BFAD470243 +:0414F100CC221101F7 +:0414F200C232842E50 +:0414F3000184460327 +:0414F400CE06CA2630 +:0414F500C02A84B6CF +:0414F60006E0069373 +:0414F70004358593A0 +:0414F8001ED6086391 +:0414F90006C6E463DC +:0414FA00063006931F +:0414FB000AD60463A6 +:0414FC0000C6ED63D6 +:0414FD00200604635E +:0414FE0005800693CC +:0414FF0016D60C638E +:0415000004240313A9 +:0415010004C40123FA +:041502000693A8792B +:041503000663064035 +:04150400069300D674 +:0415050015E3069054 +:041506004014FED6B9 +:0415070000072303B3 +:041508000806F613C8 +:041509000043051383 +:04150A002683C24929 +:04150B00C30800030E +:04150C00000176372D +:04150D000006D86399 +:04150E0002D00713ED +:04150F0040D006B30F +:0415100004E401A34B +:04151100A5460613D2 +:04151200A07D472948 +:041513000730069304 +:041514001AD60A6376 +:0415150000C6EF63BA +:0415160006F0069342 +:0415170006D6006391 +:04151800070006932F +:04151900F8D61EE3FF +:04151A00E693401400 +:04151B00C0140206F0 +:04151C000693A80981 +:04151D00036307500D +:04151E00069304D656 +:04151F0011E307804D +:041520000693F8D660 +:041521007637078092 +:0415220002A300011F +:04152300061304D4D3 +:04152400A0FDA686FA +:041525000313431455 +:041526008613042400 +:04152700C3100046A7 +:0415280001234298C1 +:04152900470504E48A +:04152A00F613AABD4D +:04152B002683040609 +:04152C00C3080003ED +:04152D0006C2DE35DF +:04152E00BF9D86C116 +:04152F004314400819 +:0415300008057293A5 +:0415310000468313DA +:0415320000028663CA +:04153300006720230A +:04153400A801429434 +:041535000405751321 +:041536000067202307 +:04153700D683D97509 +:041538000513000691 +:04153900773706F00A +:04153A000D6300013C +:04153B0006130CA6E1 +:04153C004729A5474F +:04153D00040401A3FE +:04153E00C408404855 +:04153F0000054863F8 +:04154000000423037D +:04154100FFB373136E +:0415420000642023FE +:04154300832EE29978 +:04154400832ECD1114 +:0415450002E6F53392 +:041546009532137D4A +:041547000005450353 +:0415480000A30023D9 +:0415490002E6D533AE +:04154A000AE6F26358 +:04154B001E6346A134 +:04154C00401800D76C +:04154D00CB118B052E +:04154E0048184054A5 +:04154F0000D7476317 +:04155000030007137A +:04155100FEE30FA303 +:0415520085B3137DCD +:04155300C80C40651B +:041554004502469274 +:0415550008108726CD +:04155600C41A85A28C +:04155700D3DFF0EFFF +:041558004322577D56 +:041559000CE5156325 +:04155A0040F2557D89 +:04155B0044D24462D0 +:04155C008082610523 +:04155D0004C402A31D +:04155E0000017637DB +:04155F00A546061384 +:041560002303400819 +:04156100729300077A +:0415620026830805CF +:04156300031100036D +:04156400020281639B +:0415650000672023D8 +:0415660000157713E2 +:041567006513C70140 +:04156800C0080205B0 +:04156900F6B9474147 +:04156A0075134008AD +:04156B00C008FDF5C2 +:04156C007293B7912E +:04156D00202304052E +:04156E008FE30067A0 +:04156F0006C2FC02B2 +:04157000BFD982C19C +:04157100A547061371 +:04157200B72D472129 +:04157300B79986AAF4 +:0415740043144010CC +:0415750072934848DD +:0415760083130806CD +:041577008763004640 +:04157800202300022A +:04157900429800672D +:04157A00A809C308F1 +:04157B0000672023C2 +:04157C0004067613D8 +:04157D00DA6D429849 +:04157E0000A710238F +:04157F000004282319 +:04158000B7B9832E46 +:041581004581431449 +:041582000046861386 +:04158300A303C310EB +:0415840040500006CD +:04158500C41A851AE5 +:04158600432228498B +:041587000533C50162 +:04158800C0484065B2 +:04158900C8184058E6 +:04158A00040401A3B1 +:04158B004814B71534 +:04158C00450245923D +:04158D009482861AA4 +:04158E0007E3577D9B +:04158F004018F2E529 +:04159000EB158B09C3 +:041591004448474241 +:04159200F2E551E34A +:04159300BF31853AA5 +:041594004502459235 +:04159500861A4685E7 +:04159600C41AC63A73 +:0415970056FD9482E7 +:04159800F0D504E3A3 +:041599004322473270 +:04159A0044540705A9 +:04159B008E914642A5 +:04159C00FED740E353 +:04159D004701BFC182 +:04159E00019403139E +:04159F001151B7FD32 +:0415A000C026C2227D +:0415A10084AA646153 +:0415A200C406852EC8 +:0415A3009004262367 +:0415A40057FD20FDD2 +:0415A50000F51663D4 +:0415A60090C4278343 +:0415A700C09CC39190 +:0415A800441240A207 +:0415A9000131448246 +:0415AA00F5938082B3 +:0415AB00962A0FF578 +:0415AC0000C51463FF +:0415AD0080824501F2 +:0415AE00000547836A +:0415AF00FEB78DE313 +:0415B000B7FD050579 +:0415B10004A5F36337 +:0415B20000C586B337 +:0415B30002D57F637B +:0415B400FFF6459366 +:0415B50017FD478156 +:0415B60000F5936346 +:0415B7008733808274 +:0415B800430300F6F3 +:0415B900873300076D +:0415BA00972A00C7A5 +:0415BB0000670023A2 +:0415BC008733B7DDDD +:0415BD00468300F56C +:0415BE0007330007E8 +:0415BF00078500F5A7 +:0415C00000D700232D +:0415C100FEF617E338 +:0415C200478180825B +:0415C3008082BFE57E +:0415C400E5818082BB +:0415C500F06F85B28C +:0415C6001131F2EFFE +:0415C700C622C8066A +:0415C800EA11C4263A +:0415C900E72FF0EF29 +:0415CA0040C2478153 +:0415CB0044A24432C0 +:0415CC000151853E06 +:0415CD00842E808266 +:0415CE0084AAC032F9 +:0415CF004602203D73 +:0415D00074E387A297 +:0415D10085B2FEC51C +:0415D200C232852676 +:0415D300EF8FF0EFB7 +:0415D400DD6187AAA4 +:0415D50085A2461293 +:0415D600F0EFC02A48 +:0415D70085A2C8EF32 +:0415D800F0EF852685 +:0415D9004782E34F13 +:0415DA00A783B7C963 +:0415DB008513FFC5B0 +:0415DC00D563FFC70D +:0415DD0095AA0007C4 +:0415DE00953E419C59 +:0415DF0011418082B4 +:0415E000645DC42260 +:0415E1004004278318 +:0415E200C226C60651 +:0415E3000413832A40 +:0415E400EB8540044F +:0415E50045814501F6 +:0415E60046814601F3 +:0415E7000293470123 +:0415E80000730D601F +:0415E90084AA0000D0 +:0415EA0000055C6339 +:0415EB0004B328819C +:0415EC00C104409066 +:0415ED0040B2557D36 +:0415EE0044924422BD +:0415EF0080820141B4 +:0415F0004008C008E7 +:0415F10046014581E9 +:0415F2004681951A7F +:0415F30047814701E4 +:0415F4000D600293F1 +:0415F500000000737F +:0415F600596384AA07 +:0415F700C01A000511 +:0415F8004302283151 +:0415F900409004B367 +:0415FA0054FDC104D7 +:0415FB00557D401CBE +:0415FC0092E3933EA5 +:0415FD00C004FC64C6 +:0415FE00BF75853EF2 +:0415FF00A50367DDFC +:041600008082388725 +:0416010000000000E5 +:0416020000000000E4 +:0416030000000000E3 +:0416040000000000E2 +:04160500FFFFFFFFE5 +:04160600FFFFFFFFE4 +:041607000000FFFFE1 +:041608000001052CAC +:0416090000010532A5 +:04160A00000105389E +:04160B000001053E97 +:04160C00000104E0F5 +:04160D0000010500D3 +:04160E0000010500D2 +:04160F0000010500D1 +:0416100000010500D0 +:0416110000010500CF +:0416120000010500CE +:0416130000010504C9 +:041614000001050AC2 +:041615000001231E8F +:04161600000123EEBE +:04161700000123EEBD +:0416180000012400A9 +:0416190000012400A8 +:04161A000001240A9D +:04161B000001240E98 +:04161C000001241C89 +:04161D000001241C88 +:04161E00000124346F +:04161F00000125722F +:041620000001257828 +:041621000001257827 +:04162200000125722C +:041623000001257C21 +:041624000001243469 +:041625000001257229 +:041626000001257822 +:0416270000015970F5 +:0416280000015980E4 +:041629000001598CD7 +:04162A0000015998CA +:04162B00000159A4BD +:04162C00000159B0B0 +:04162D00000159BCA3 +:04162E00000159C896 +:04162F00000159D489 +:04163000000159E07C +:04163100000159EC6F +:0416320074204B4F86 +:041633006E69206F4D +:0416340000007469D5 +:04163500736C61462B +:041636007274206545 +:041637006567676913 +:04163800000000723C +:04163900656D69541E +:04163A000074756F54 +:04163B00252E7525BE +:04163C006D75322E68 +:04163D000000007336 +:04163E00252E7525BB +:04163F006D75322E65 +:0416400075252F736A +:04164100322E252EF2 +:0416420000736D754F +:041643004353534F6B +:041644007766202085 +:041645007525202EB9 +:04164600322E252EED +:0416470000006175C9 +:0416480074696E490A +:041649007272652034 +:04164A002020726F7B +:04164B000000642512 +:04164C00202020201A +:04164D0053204F4E89 +:04164E0000434E59AE +:04164F0034313032D0 +:041650003130322DD6 +:041651006D202038B0 +:0416520073717261DD +:041653000000000093 +:0416540025207325B5 +:041655000063257594 +:04165600252E7525A3 +:041657006B75322E4F +:0416580025207A4887 +:041659002E252E7597 +:04165A007A48753223 +:04165B00000000008B +:04165C0074736554EA +:04165D007461702024 +:04165E006E726574CF +:04165F000000000087 +:041660003A31564184 +:04166100424752208A +:041662000000005331 +:041663003A31564181 +:041664007347522056 +:04166500000000423F +:041666003A3156417E +:041667006250592054 +:0416680000007250BC +:041669003A3256417A +:04166A006250592051 +:04166B0000007250B9 +:04166C003A32564177 +:04166D00734752204D +:04166E000000004236 +:04166F003A33564173 +:04167000424752207B +:0416710000005648D7 +:041672003A33564170 +:041673004247522078 +:04167400000000531F +:041675003A3356416D +:041676007347522044 +:04167700000000422D +:041678003A3356416A +:041679006250592042 +:04167A0000007250AA +:04167B007473614CD7 +:04167C0065737520FD +:04167D000000006405 +:04167E000000000068 +:04167F000000000067 +:041680000000000165 +:041681000001010162 +:041682000000000163 +:041683000000000063 +:041684000000011051 +:041685000044060B0C +:04168600000001005F +:04168700000100005E +:041688008080800CD2 +:04168900081A1A1A07 +:04168A000000000A52 +:04168B000001283002 +:04168C00000128C66B +:04168D00000128CE62 +:04168E00000128BE71 +:04168F00000128CA64 +:04169000000128D25B +:04169100000128C26A +:04169200000129002A +:04169300000128D654 +:04169400000128DA4F +:04169500000128DE4A +:041696000001290026 +:041697000001290025 +:041698000001290024 +:041699000001290023 +:04169A000001290022 +:04169B0000012BB46B +:04169C000001290A16 +:04169D000001299C83 +:04169E00000129AC72 +:04169F00000129C657 +:0416A00000012A0417 +:0416A100000129E833 +:0416A20000012A1AFF +:0416A30000012AFA1E +:0416A40000012B1CFA +:0416A50000012B32E3 +:0416A600666F7250A9 +:0416A7002075252E57 +:0416A800007339256D +:0416A900756C342503 +:0416AA00632563252C +:0416AB006C2520206A +:0416AC0000000075C5 +:0416AD00656E694CB1 +:0416AE00746C756D76 +:0416AF00646F6D20D7 +:0416B00000003A6597 +:0416B100736572707B +:0416B2002D31207343 +:0416B30000000035FE +:0416B4002078752500 +:0416B50075736E7566 +:0416B600726F70706F +:0416B70000646574F2 +:0416B800666F725097 +:0416B90020656C69D3 +:0416BA0064616F6C8C +:0416BB000000003AF1 +:0416BC007365727070 +:0416BD002D30207339 +:0416BE0000000039EF +:0416BF0000015BC00B +:0416C00000015BC406 +:0416C10000015BC801 +:0416C20000015BCCFC +:0416C30000015ACCFC +:0416C40000015BD0F6 +:0416C50000015BD4F1 +:0416C60000015BD8EC +:0416C70000015AF8CC +:0416C800000164F8C1 +:0416C90000015BDCE5 +:0416CA0000015BE4DC +:0416CB0000015BE8D7 +:0416CC0000015BF0CE +:0416CD0000015BF4C9 +:0416CE0000015BFCC0 +:0416CF0000015C04B6 +:0416D00000015C0CAD +:0416D10000015C14A4 +:0416D20000015C2493 +:0416D30000015C3482 +:0416D40000015C4471 +:0416D50000015C5460 +:0416D60000015C644F +:0416D70000015C743E +:0416D80000015C7C35 +:0416D90000015C842C +:0416DA003EA93E29BE +:0416DB003EE93E693D +:0416DC003E993E19DC +:0416DD003ED93E595B +:0416DE003EC93E398A +:0416DF003E1D3E4D21 +:0416E0003E2D3EED70 +:0416E1003EAD3ECD0F +:0416E2003E653E6DB6 +:0416E3001C483E0160 +:0416E4001C501C1862 +:0416E5001CC81CD031 +:0416E6005ED85E5814 +:0416E70000003EB908 +:0416E8007365725064 +:0416E900000000738A +:0416EA00666E6F4376 +:0416EB00006D7269B3 +:0416EC006D73694D64 +:0416ED006863746159 +:0416EE006572202CD5 +:0416EF000079727498 +:0416F00000000031C5 +:0416F10000000032C3 +:0416F20000000033C1 +:0416F30000000034BF +:0416F40000000036BC +:0416F50000000037BA +:0416F60000000038B8 +:0416F700554E454DBA +:0416F80000000000EE +:0416F90000004B4F53 +:0416FA004B434142DB +:0416FB0000000000EB +:0416FC000000505545 +:0416FD004E574F44B1 +:0416FE0000000000E8 +:0416FF005446454CBC +:0417000000000000E5 +:0417010048474952BA +:04170200000000548F +:041703004F464E49B6 +:0417040000000000E1 +:041705005F44434CAE +:041706004B434142CE +:041707004847494CBA +:041708000000005489 +:041709004E414353B7 +:04170A00454E494CB3 +:04170B00444F4D5F9B +:04170C000000004594 +:04170D004E414353B3 +:04170E00454E494CAF +:04170F005059545F7A +:041710000000004590 +:041711004E414353AF +:04171200454E494CAB +:04171300544E495F88 +:041714000000002BA6 +:041715004E414353AB +:04171600454E494CA7 +:04171700544E495F84 +:041718000000002DA0 +:04171900454E494CA4 +:04171A00544C554D89 +:04171B00444F4D5F8B +:04171C000000004584 +:04171D00534148509C +:04171E0000002B4557 +:04171F00534148509A +:0417200000002D4553 +:04172100464F52508D +:041722005F454C498A +:041723004B544F488C +:041724000000594523 +:041725006F72724528 +:04172600000000724D +:0417270053206F4E8E +:041728006163204495 +:041729006420647262 +:04172A00002E7465B4 +:04172B0061766E492C +:04172C002064696C60 +:04172D006461656826 +:04172E0000007265E0 +:04172F0061766E4928 +:041730002064696C5C +:041731002072646856 +:0417320000435243DB +:0417330061766E4924 +:041734002064696C58 +:041735006174616416 +:0417360043524320B7 +:0417370000000000AE +:041738006164705523 +:041739006320657450 +:04173A0065636E6114 +:04173B0064656C6C09 +:04173C0000000000A9 +:04173D0073616C4622 +:04173E006572206848 +:04173F00652064615C +:0417400000007272C1 +:0417410073616C461E +:041742007265206844 +:041743002065736149 +:041744000072726558 +:0417450073616C461A +:04174600727720682E +:04174700206574693C +:041748000072726554 +:0417490073616C4616 +:04174A006576206838 +:04174B002066697239 +:04174C006C696166FD +:04174D000000000098 +:04174E0061766E4909 +:04174F002064696C3D +:0417500067616D69F7 +:04175100000000652F +:041752004353534F5B +:041753000000000092 +:04175400696C615605 +:0417550069746164EE +:041756006420676E36 +:041757000061746158 +:041758006220752571 +:0417590073657479C7 +:04175A00000000008B +:04175B00252E75259D +:04175C002575322E8F +:04175D00007325737D +:04175E0061647055FD +:04175F00676E6974D4 +:0417600000574620C8 +:0417610061656C70E2 +:041762007720657314 +:041763002E74696116 +:0417640000002E2E25 +:0417650069726556EA +:041766006E697966C9 +:041767006C66206725 +:041768000068736141 +:0417690061656C70DA +:04176A007220657311 +:04176B0061747365CD +:04176C000000747293 +:04176D0072746552DB +:04176E00676E6979C0 +:04176F00647075200D +:04177000006574613B +:0417710061647055EA +:04177200203F65743B +:041773002C593D317F +:041774004E3D322094 +:041775000000000070 +:041776006D726946E1 +:0417770065726177BF +:041778006470752004 +:0417790064657461CE +:04177A00000000006B +:04177B000001320A2D +:04177C00000131EA4D +:04177D00000131C472 +:04177E00000131DC59 +:04177F00000132BC77 +:04178000000132BC76 +:0417810000013370C0 +:041782000001339699 +:04178300000133A28C +:04178400000133E24B +:04178500000133B676 +:04178600000132D05C +:04178700000132D05B +:041788000001331415 +:0417890000013340E8 +:04178A0000013340E7 +:04178B00642064254D +:04178C000000004217 +:04178D00656E6F44D2 +:04178E000000000057 +:04178F006C696146DA +:04179000000064658C +:0417910000007325BC +:04179200252E752566 +:041793002075322E5D +:041794000000737569 +:041795006C2075252A +:0417960073656E69A0 +:04179700000000004E +:041798006C206F4E04 +:04179900006B6E690A +:04179A0000017427AF +:04179B000000000248 +:04179C00000130FC1C +:04179D00000163B034 +:04179E00000163BC27 +:04179F00000163C41E +:0417A000702075251B +:0417A1006C65786992 +:0417A20000000073D0 +:0417A30000017429A4 +:0417A4000000000E33 +:0417A50000012FAE62 +:0417A6006420642532 +:0417A7000000676572 +:0417A8002525752559 +:0417A900000000003C +:0417AA0065646956B3 +:0417AB006E69206FD4 +:0417AC006F727020C8 +:0417AD003E20206357 +:0417AE000000000037 +:0417AF00706D6153A5 +:0417B000676E696C8B +:0417B10074706F20C1 +:0417B2003E20202E87 +:0417B3000000000032 +:0417B400636E795394 +:0417B50074706F20BD +:0417B6002020202EA1 +:0417B7003E20202090 +:0417B800000000002D +:0417B9007074754F84 +:0417BA006F207475B3 +:0417BB00202E7470F8 +:0417BC003E2020208B +:0417BD000000000028 +:0417BE0074736F5081 +:0417BF006F72702DA8 +:0417C00020202E6354 +:0417C1003E20202086 +:0417C2000000000023 +:0417C300706D6F4393 +:0417C4006269746181 +:0417C50074696C696E +:0417C6003E20207928 +:0417C700000000001E +:0417C800696475419A +:0417C900706F206FAE +:0417CA006E6F697461 +:0417CB003E20207329 +:0417CC000000000019 +:0417CD007474655378 +:0417CE0073676E6966 +:0417CF0074706F20A3 +:0417D0003E20202077 +:0417D1000000000014 +:0417D200616F4C3CBB +:0417D30072702064AC +:0417D4006C69666F67 +:0417D500003E20654D +:0417D6007661533CA9 +:0417D70072702065A7 +:0417D8006C69666F63 +:0417D900003E206549 +:0417DA007365523CA5 +:0417DB00732074659E +:0417DC006974746553 +:0417DD003E73676E82 +:0417DE000000000007 +:0417DF006B6E694C78 +:0417E0006F72702094 +:0417E100693E2D66CA +:0417E2007475706E3C +:0417E3000000000002 +:0417E4006B6E694C73 +:0417E500706E692099 +:0417E6003E2D7475AB +:0417E700666F727047 +:0417E80000000000FD +:0417E90074696E4968 +:0417EA00206C6169A5 +:0417EB0075706E693E +:0417EC000000007485 +:0417ED006F7475415F +:0417EE006574656455 +:0417EF006920746396 +:0417F0007475706E2E +:0417F10000000000F4 +:0417F2006F7475415A +:0417F300315641200A +:0417F400472F592002 +:0417F500000000737D +:0417F6006F74754156 +:0417F7003256412005 +:0417F800472F5920FE +:0417F9000000007379 +:0417FA006F74754152 +:0417FB003356412000 +:0417FC00472F5920FA +:0417FD000000007375 +:0417FE002044434CF4 +:0417FF0074204C42C4 +:041800006F656D693A +:0418010000007475FA +:04180200706D493C80 +:041803002074726F6C +:041804007474657320 +:041805003E20202E33 +:0418060000000000DE +:041807002E77463CB6 +:041808006470752073 +:041809002065746181 +:04180A003E2020203C +:04180B0000000000D9 +:04180C006E776F4440 +:04180D006D61732D69 +:04180E006E696C7023 +:04180F00000000676E +:041810007061775339 +:0418110066656C207C +:0418120069722F7454 +:04181300007468678E +:041814002D6572507C +:0418150020434441E7 +:041816006E6961672F +:0418170000000000CD +:041818006C6C754639 +:0418190020585420DF +:04181A007574657309 +:04181B000000007059 +:04181C0020335641DE +:04181D0065746E6917 +:04181E0063616C7224 +:04181F007869666519 +:0418200000000000C4 +:041821006E6163533E +:04182200656E696C1A +:04182300000000734E +:041824006E6163533B +:04182500656E696C17 +:041826007274732045 +:041827000000002E8F +:04182800202E6C53AF +:041829007262796806 +:04182A00732064695A +:04182B00002E7274A5 +:04182C006E61635333 +:04182D00656E696C0F +:04182E0074656D2050 +:04182F0000646F687A +:041830006E6163532F +:04183100656E696C0B +:041832007079742035 +:04183300000000654C +:041834006E6163532B +:04183500656E696C07 +:04183600696C612058 +:041837002E6D6E673D +:0418380000000000AC +:0418390069726F4819 +:04183A00746E6F7ADF +:04183B006D206C614F +:04183C00006B736169 +:04183D007472655606 +:04183E006C6163690D +:04183F0073616D2044 +:041840000000006B39 +:041841006B73614D17 +:041842006972622045 +:041843006E746867F0 +:041844000073736555 +:04184500657665520D +:041846002065737234 +:041847000046504CBB +:041848005949443C7A +:0418490074616C203A +:04184A006574202E73 +:04184B00003E747374 +:04184C007030343292 +:04184D003838322FC6 +:04184E007270207024 +:04184F000000636FC3 +:041850007034383385 +:041851006F72702022 +:04185200000000632F +:04185300693038348C +:041854003637352FBF +:041855007270206924 +:041856000000636FBC +:041857007030383481 +:041858003637352FBB +:041859007270207019 +:04185A000000636FB8 +:04185B006930363981 +:04185C003830312FC0 +:04185D00702069305E +:04185E0000636F7242 +:04185F00656E694CFD +:041860006D2078324D +:041861000065646F4B +:04186200656E694CFA +:041863006D20783349 +:041864000065646F48 +:04186500656E694CF7 +:041866006D20783445 +:041867000065646F45 +:04186800656E694CF4 +:041869006D20783541 +:04186A000065646F42 +:04186B00656E694CF1 +:04186C006620783545 +:04186D00616D726FC8 :04186E000000007402 -:04186F002044434C82 -:0418700074204C4252 -:041871006F656D69C9 -:041872000000747589 -:041873006E776F44D9 -:041874006D61732D02 -:041875006E696C70BC -:041876000000006707 -:0418770070617753D2 -:0418780066656C2015 -:0418790069722F74ED -:04187A000074686727 -:04187B002D65725015 -:04187C002043444180 -:04187D006E696167C8 -:04187E000000000066 -:04187F006C6C7546D2 -:041880002058542078 -:0418810075746573A2 -:0418820000000070F2 -:041883002033564177 -:0418840065746E69B0 -:0418850063616C72BD -:0418860078696665B2 -:04188700000000005D -:041888006E616353D7 -:04188900656E696CB3 -:04188A0000000073E7 -:04188B006E616353D4 -:04188C00656E696CB0 -:04188D0072747320DE -:04188E000000002E28 -:04188F00202E6C5348 -:04189000726279689F -:0418910073206469F3 -:04189200002E72743E -:041893006E616353CC -:04189400656E696CA8 -:0418950074656D20E9 -:0418960000646F6813 -:041897006E616353C8 -:04189800656E696CA4 -:0418990070797420CE -:04189A0000000065E5 -:04189B006E616353C4 -:04189C00656E696CA0 -:04189D00696C6120F1 -:04189E002E6D6E67D6 -:04189F000000000045 -:0418A00069726F48B2 -:0418A100746E6F7A78 -:0418A2006D206C61E8 -:0418A300006B736102 -:0418A400747265569F -:0418A5006C616369A6 -:0418A60073616D20DD -:0418A7000000006BD2 -:0418A8006B73614DB0 -:0418A90069726220DE -:0418AA006E74686789 -:0418AB0000737365EE -:0418AC0065766552A6 -:0418AD0020657372CD -:0418AE000046504C54 -:0418AF005949443C13 -:0418B00074616C20D3 -:0418B1006574202E0C -:0418B200003E74730D -:0418B300703034322B -:0418B4003838322F5F -:0418B50072702070BD -:0418B6000000636F5C -:0418B700703438331E -:0418B8006F727020BB -:0418B90000000063C8 -:0418BA006930383425 -:0418BB003637352F58 -:0418BC0072702069BD -:0418BD000000636F55 -:0418BE00703038341A -:0418BF003637352F54 -:0418C00072702070B2 -:0418C1000000636F51 -:0418C200693036391A -:0418C3003830312F59 -:0418C40070206930F7 -:0418C50000636F72DB -:0418C600656E694C96 -:0418C7006D207832E6 -:0418C8000065646FE4 -:0418C900656E694C93 -:0418CA006D207833E2 -:0418CB000065646FE1 -:0418CC00656E694C90 -:0418CD006D207834DE -:0418CE000065646FDE -:0418CF00656E694C8D -:0418D0006D207835DA -:0418D1000065646FDB -:0418D200656E694C8A -:0418D30066207835DE -:0418D400616D726F61 -:0418D500000000749B -:0418D60078363532F9 -:0418D7002030343257 -:0418D8006570736163 -:0418D9000000746334 -:0418DA006D205854D1 -:0418DB000065646FD1 -:0418DC00494D4448E6 -:0418DD004354492007 -:0418DE000000000006 -:0418DF006C616E4189 -:0418E0007320676F9B -:0418E10020636E7999 -:0418E2000046504C20 -:0418E3006C616E4185 -:0418E4007320676F97 -:0418E50020636E7995 -:0418E60000687456CC -:0418E7006E7973485B -:0418E8006F74206396 -:0418E9006172656C57 -:0418EA000065636EC4 -:0418EB006E79735649 -:0418EC006874206399 -:0418ED006873657245 -:0418EE0000646C6FB7 -:0418EF004C502D48E4 -:0418F0007250204CC6 -:0418F1006F432D65AF -:0418F20000747361AA -:0418F3004C502D48E0 -:0418F4006F50204CC5 -:0418F500432D747398 -:0418F6007473616F37 -:0418F70000000000ED -:0418F800706D61535B -:0418F900676E696C41 -:0418FA006168702091 -:0418FB000000657311 -:0418FC0070303834DC -:0418FD00206E6920D0 -:0418FE00706D617335 -:0418FF000072656CA2 -:041900006F6C6C415B -:0419010056542077A1 -:0419020050482050D9 -:0419030078324C4C9E -:0419040000000000DF -:041905006F6C6C4156 -:041906007075207761 -:04190700706D61732B -:041908007832656C60 -:0419090000000000DA -:04190A007664413C82 -:04190B006974202EAD -:04190C00676E696D2C -:04190D003E20202038 -:04190E0000000000D5 -:04190F00656469564C -:04191000504C206FA8 -:04191100000000468C -:041912005062505976 -:041913006E69207267 -:041914006C6F432091 -:0419150000617053AA -:0419160072502F528A -:0419170066666F2071 -:04191800007465737F -:0419190020592F47DB -:04191A007366666F1B -:04191B0000007465EF -:04191C0062502F42A4 -:04191D0066666F206B -:04191E000074657379 -:04191F0072502F5281 -:041920006961672072 -:041921000000006E54 -:0419220020592F47D2 -:041923006E69616721 -:0419240000000000BF -:0419250062502F429B -:04192600696167206C -:041927000000006E4E -:041928002D65725067 -:0419290020434441D2 -:04192A006E6961473A -:04192B0000000000B8 -:04192C0073202E48AE -:04192D006C706D610C -:04192E007461726509 -:04192F00000000654F -:0419300073202E48AA -:041931006C636E79FC -:0419320000006E65DE -:0419330062202E48B8 -:04193400706B636110 -:041935006863726F02 -:0419360000000000AD -:0419370061202E48B5 -:0419380076697463F5 -:041939000000006545 -:04193A0073202E5692 -:04193B006C636E79F2 -:04193C0000006E65D4 -:04193D0062202E56A0 -:04193E00706B636106 -:04193F006863726FF8 -:0419400000000000A3 -:0419410061202E569D -:0419420076697463EB -:04194300000000653B -:041944000066664F84 -:0419450000007333F8 -:0419460000733031C9 -:0419470000733033C6 -:041948002D706F543B -:041949007466656CEF -:04194A000000000099 -:04194B00746E65430E -:04194C0000007265C0 -:04194D0074746F42FD -:04194E00722D6D6F1A -:04194F0074686769E8 -:041950000000000093 -:041951002066664F57 -:041952002073662870 -:041953003639203DC4 -:04195400297A486B39 -:04195500000000008E -:0419560020207832A3 -:04195700207366286B -:041958003834203DC2 -:04195900297A486B34 -:04195A000000000089 -:04195B0000706F5455 -:04195C0074746F42EE -:04195D0000006D6FAA -:04195E0069726F48F3 -:04195F00746E6F7AB9 -:0419600000006C61B6 -:0419610074726556E1 -:041962006C616369E8 -:041963000000000080 -:0419640065746C41F9 -:0419650074616E72C9 -:0419660000676E693F -:04196700746C754DDA -:04196800696C7069CD -:0419690069746163D9 -:04196A0000006E6F9C -:04196B0074627553DA -:04196C0074636172CD -:04196D00006E6F6930 -:04196E006F747541DC -:04196F000000000074 -:04197000756E614DE2 -:0419710000006C61A5 -:04197200494D44484F -:041973000000000070 -:04197400004956448C -:0419750000373A38C5 -:0419760073736150D6 -:0419770075726874A9 -:04197800000000006B -:04197900656E694CE2 -:04197A002820783277 -:04197B0029626F620C -:04197C000000000067 -:04197D00656E694CDE -:04197E0000007832BB -:04197F00656E694CDC -:041980002820783370 -:041981006563616CCD -:0419820000002964D4 -:04198300656E694CD8 -:04198400282078346B -:0419850029626F6202 -:04198600000000005D -:04198700656E694CD4 -:0419880000007833B0 -:04198900656E694CD2 -:04198A0000007834AD -:04198B00656E694CD0 -:04198C0000007835AA -:04198D00303239318A -:04198E003830317844 -:04198F000000003024 -:04199000303036318C -:041991003032317847 -:041992000000003021 -:041993003032393184 -:041994003032317844 -:04199500000000301E -:04199600656E6547CE -:0419970020636972EE -:0419980000333A34AA -:04199900783032333D -:04199A002030343293 -:04199B006974706F8C -:04199C0000002E6DAC -:04199D007836353231 -:04199E00203034328F -:04199F006974706F88 -:0419A00000002E6DA8 -:0419A100656E6547C3 -:0419A20020636972E3 -:0419A300393A363166 -:0419A400000000003F -:0419A5004D352E325C -:0419A60028207A4833 -:0419A7002978616DCD -:0419A800000000003B -:0419A900484D303144 -:0419AA006D28207A0A -:0419AB000029646546 -:0419AC00484D33333C -:0419AD006D28207A07 -:0419AE0000296E6935 -:0419AF002056544426 -:0419B0007030383427 -:0419B1000000000032 -:0419B2004153455602 -:0419B3003034362076 -:0419B400303834781B -:0419B5000030364088 -:0419B6002E636552E5 -:0419B7003130362075 -:0419B800000000002B -:0419B9002E636552E2 -:0419BA003930372069 -:0419BB000000000028 -:0419BC00484D353924 -:0419BD004828207A1C -:0419BE002056544417 -:0419BF000029494969 -:0419C000484D353326 -:0419C1004828207A18 -:0419C2002056544413 -:0419C30000002949AE -:0419C400484D363123 -:0419C5004528207A17 -:0419C6002956544406 -:0419C700000000001C -:0419C8007A484D39D3 -:0419C900445328203B -:0419CA000029565446 -:0419CB0000006E4F5B -:0419CC006D20642501 -:0419CD0000000056C0 -:0419CE002020202095 -:0419CF00000075257A -:0419D000000176CAD2 -:0419D1000000001CF6 -:0419D20000012D7E65 -:0419D30073616C468A -:0419D40065722068B0 -:0419D50065206461C4 -:0419D600726F727248 -:0419D7000000000A02 -:0419D80044525355CD -:0419D9000041544134 -:0419DA0075206F4EB7 -:0419DB00647265735A -:0419DC0020617461B1 -:0419DD006E756F664E -:0419DE006E6F2064A4 -:0419DF00746E65209D -:0419E00025207972D3 -:0419E10000000A7583 -:0419E2006174614487 -:0419E3007265762093 -:0419E4006E6F697346 -:0419E5002E75252016 -:0419E60064207525DF -:0419E7002073656F95 -:0419E80020746F6E8A -:0419E9006374616D55 -:0419EA007766206894 -:0419EB000000000AEE -:0419EC0064204352DE -:0419ED0020617461A0 -:0419EE006461657259 -:0419EF007525282012 -:0419F0007479622084 -:0419F1000A297365E7 -:0419F20000000000F1 -:0419F300666F725059 -:0419F40020656C6995 -:0419F50064207525D0 -:0419F6002061746197 -:0419F7006461657250 -:0419F8007525282009 -:0419F900747962207B -:0419FA000A297365DE -:0419FB0000000000E8 -:0419FC006E6B6E554B -:0419FD00206E776F72 -:0419FE007265737526 -:0419FF00617461644A -:041A0000746E65207B -:041A0100000A7972EC -:041A020061766E6932 -:041A03002064696C86 -:041A040072746E6525 -:041A050000000A795A -:041A060074696E4948 -:041A0700666E6F6335 -:041A08006420676986 -:041A09002061746183 -:041A0A007469727712 -:041A0B00206E657470 -:041A0C0020752528F4 -:041A0D006574796221 -:041A0E00000A29732E -:041A0F00666F72503C -:041A100020656C6978 -:041A110064207525B3 -:041A1200206174617A -:041A13007469727709 -:041A1400206E657467 -:041A150020752528EB -:041A16006574796218 -:041A1700000A297325 -:041A180020534854BB -:041A19002046504CC7 -:041A1A00756C617610 -:041A1B00657320656A -:041A1C006F7420744F -:041A1D0025783020D8 -:041A1E0000000A7842 -:041A1F0020534854B4 -:041A200072756F73F9 -:041A21007320656366 -:041A22007420746553 -:041A23007525206F96 -:041A24000000000AB4 -:041A2500000168D480 -:041A2600000168E073 -:041A2700000168E46E -:041A2800000168EC65 -:041A2900000166D87A -:041A2A000000200098 -:041A2B0020002CE586 -:041A2C00E926F4FDB6 -:041A2D0038BC2000A1 +:04186F007836353260 +:0418700020303432BE +:0418710065707361CA +:04187200000074639B +:041873006D20585438 +:041874000065646F38 +:04187500494D44484D +:04187600435449206E +:04187700000000006D +:041878006C616E41F0 +:041879007320676F02 +:04187A0020636E7900 +:04187B000046504C87 +:04187C006C616E41EC +:04187D007320676FFE +:04187E0020636E79FC +:04187F000068745633 +:041880006E797348C2 +:041881006F742063FD +:041882006172656CBE +:041883000065636E2B +:041884006E797356B0 +:041885006874206300 +:0418860068736572AC +:0418870000646C6F1E +:041888004C502D484B +:041889007250204C2D +:04188A006F432D6516 +:04188B000074736111 +:04188C004C502D4847 +:04188D006F50204C2C +:04188E00432D7473FF +:04188F007473616F9E +:041890000000000054 +:04189100706D6153C2 +:04189200676E696CA8 +:0418930061687020F8 +:041894000000657378 +:041895007030383443 +:04189600206E692037 +:04189700706D61739C +:041898000072656C09 +:041899006F6C6C41C3 +:04189A005654207709 +:04189B005048205041 +:04189C0078324C4C06 +:04189D000000000047 +:04189E006F6C6C41BE +:04189F0070752077C9 +:0418A000706D617393 +:0418A1007832656CC8 +:0418A2000000000042 +:0418A3007664413CEA +:0418A4006974202E15 +:0418A500676E696D94 +:0418A6003E202020A0 +:0418A700000000003D +:0418A80065646956B4 +:0418A900504C206F10 +:0418AA0000000046F4 +:0418AB0050625059DE +:0418AC006E692072CF +:0418AD006C6F4320F9 +:0418AE000061705312 +:0418AF0072502F52F2 +:0418B00066666F20D9 +:0418B10000746573E7 +:0418B20020592F4743 +:0418B3007366666F83 +:0418B4000000746557 +:0418B50062502F420C +:0418B60066666F20D3 +:0418B70000746573E1 +:0418B80072502F52E9 +:0418B90069616720DA +:0418BA000000006EBC +:0418BB0020592F473A +:0418BC006E69616789 +:0418BD000000000027 +:0418BE0062502F4203 +:0418BF0069616720D4 +:0418C0000000006EB6 +:0418C1002D657250CF +:0418C200204344413A +:0418C3006E696147A2 +:0418C4000000000020 +:0418C50073202E4816 +:0418C6006C706D6174 +:0418C7007461726571 +:0418C80000000065B7 +:0418C90073202E4812 +:0418CA006C636E7964 +:0418CB0000006E6546 +:0418CC0062202E4820 +:0418CD00706B636178 +:0418CE006863726F6A +:0418CF000000000015 +:0418D00061202E481D +:0418D100766974635D +:0418D20000000065AD +:0418D30073202E56FA +:0418D4006C636E795A +:0418D50000006E653C +:0418D60062202E5608 +:0418D700706B63616E +:0418D8006863726F60 +:0418D900000000000B +:0418DA0061202E5605 +:0418DB007669746353 +:0418DC0000000065A3 +:0418DD000066664FEC +:0418DE00727275436A +:0418DF0020746E659E +:0418E00075706E6948 +:0418E100000000748F +:0418E200206C6C41C9 +:0418E30075706E6945 +:0418E4000000737419 +:0418E50042734752B1 +:0418E60000000000FE +:0418E70050625059A2 +:0418E800000000728A +:0418E9000000733355 +:0418EA000073303126 +:0418EB000073303323 +:0418EC002D706F5498 +:0418ED007466656C4C +:0418EE0000000000F6 +:0418EF00746E65436B +:0418F000000072651D +:0418F10074746F425A +:0418F200722D6D6F77 +:0418F3007468676945 +:0418F40000000000F0 +:0418F5002066664FB4 +:0418F60020736628CD +:0418F7003639203D21 +:0418F800297A486B96 +:0418F90000000000EB +:0418FA002020783200 +:0418FB0020736628C8 +:0418FC003834203D1F +:0418FD00297A486B91 +:0418FE0000000000E6 +:0418FF0000706F54B2 +:0419000074746F424A +:0419010000006D6F06 +:0419020069726F484F +:04190300746E6F7A15 +:0419040000006C6112 +:04190500747265563D +:041906006C61636944 +:0419070000000000DC +:0419080065746C4155 +:0419090074616E7225 +:04190A0000676E699B +:04190B00746C754D36 +:04190C00696C706929 +:04190D006974616335 +:04190E0000006E6FF8 +:04190F007462755336 +:041910007463617229 +:04191100006E6F698C +:041912006F74754138 +:0419130000000000D0 +:04191400756E614D3E +:0419150000006C6101 +:04191600494D4448AB +:0419170000000000CC +:0419180000495644E8 +:0419190000373A3821 +:04191A007373615032 +:04191B007572687405 +:04191C0000000000C7 +:04191D00656E694C3E +:04191E0028207832D3 +:04191F0029626F6268 +:0419200000000000C3 +:04192100656E694C3A +:041922000000783217 +:04192300656E694C38 +:0419240032207832C3 +:0419250033783034AF +:041926000000303657 +:04192700656E694C34 +:0419280032207833BE +:0419290033783034AB +:04192A000000303653 +:04192B00656E694C30 +:04192C0028207833C4 +:04192D006563616C21 +:04192E000000296428 +:04192F00656E694C2C +:0419300028207834BF +:0419310029626F6256 +:0419320000000000B1 +:04193300656E694C28 +:041934000000783304 +:04193500656E694C26 +:041936000000783401 +:04193700656E694C24 +:0419380000007835FE +:0419390030323931DE +:04193A003830317898 +:04193B000000003078 +:04193C0030303631E0 +:04193D00303231789B +:04193E000000003075 +:04193F0030323931D8 +:041940003032317898 +:041941000000003072 +:04194200656E654722 +:041943002063697242 +:0419440000333A34FE +:04194500783231358E +:0419460020303432E7 +:041947006974706FE0 +:0419480000002E6D00 +:04194900783032338D +:04194A0020303432E3 +:04194B006974706FDC +:04194C0000002E6DFC +:04194D007836353281 +:04194E0020303432DF +:04194F006974706FD8 +:0419500000002E6DF8 +:04195100656E654713 +:041952002063697233 +:04195300393A3631B6 +:04195400000000008F +:041955004D352E32AC +:0419560028207A4883 +:041957002978616D1D +:04195800000000008B +:04195900484D303194 +:04195A006D28207A5A +:04195B000029646596 +:04195C00484D33338C +:04195D006D28207A57 +:04195E0000296E6985 +:04195F002056544476 +:041960007030383477 +:041961000000000082 +:041962004153455652 +:0419630030343620C6 +:04196400303834786B +:0419650000303640D8 +:041966002E63655235 +:0419670031303620C5 +:04196800000000007B +:041969002E63655232 +:04196A0039303720B9 +:04196B000000000078 +:04196C00484D353974 +:04196D004828207A6C +:04196E002056544467 +:04196F0000294949B9 +:04197000484D353376 +:041971004828207A68 +:041972002056544463 +:0419730000002949FE +:04197400484D363173 +:041975004528207A67 +:041976002956544456 +:04197700000000006C +:041978007A484D3923 +:04197900445328208B +:04197A000029565496 +:04197B0000006E4FAB +:04197C006D20642551 +:04197D000000005610 +:04197E0020202020E5 +:04197F0000007525CA +:0419800000017426C8 +:041981000000002042 +:04198200000130E24E +:041983006F706D49CB +:04198400203F74721A +:041985002C593D316B +:041986004E3D322080 +:04198700000000005C +:0419880064616F4CDB +:0419890020676E69FC +:04198A007474657399 +:04198B0073676E69A7 +:04198C000000000057 +:04198D004452535518 +:04198E00004154417F +:04198F000001659856 +:041990000000200033 +:0419910020002CE521 +:04199200E926F4FD51 +:0419930038BC20003C +:04199400000000004F +:04199500000165A444 +:04199600000020002D +:041997002000323EBC +:04199800F113FA0449 +:041999003B6120008E +:04199A000000000049 +:04199B00000144DA29 +:04199C00000144DA28 +:04199D00000144D031 +:04199E00000145807F +:04199F000001458A74 +:0419A000000144DA24 +:0419A100303036317B +:0419A2003034327833 +:0419A30006400000FA +:0419A40007FE00F04A +:0419A5000FCA01065E +:0419A6000112039691 +:0419A7000004000236 +:0419A8003038323170 +:0419A900303432782C +:0419AA000500000034 +:0419AB00061800F02A +:0419AC000FAA010677 +:0419AD0001120348D8 +:0419AE0000004102F2 +:0419AF00783036391D +:0419B000003034329D +:0419B10003C000006F +:0419B200049200F0AB +:0419B3000F8001069A +:0419B40001120336E3 +:0419B500000002022A +:0419B600783231351D +:0419B7000030343296 +:0419B8000200000029 +:0419B90002AA00F08E +:0419BA000E4D0106C7 +:0419BB0001120332E0 +:0419BC00000884108B +:0419BD007830323319 +:0419BE00003034328F +:0419BF0001400000E3 +:0419C00001AA00F088 +:0419C1000E310106DC +:0419C2000112031FEC +:0419C30000110820E7 +:0419C400783635320A +:0419C5000030343288 +:0419C600010000001C +:0419C700015500F0D6 +:0419C8000E270106DF +:0419C90001120319EB +:0419CA0000221040A7 +:0419CB007030343212 +:0419CC000000000017 +:0419CD0002D0000044 +:0419CE00035A00F0C8 +:0419CF000F390106C5 +:0419D0000112033EBF +:0419D1000000000E04 +:0419D200303036314A +:0419D3003034327802 +:0419D4000640004C7D +:0419D50007FE00F019 +:0419D60029CA0138E1 +:0419D7000112039660 +:0419D8000004000205 +:0419D900303832313F +:0419DA0038383278EF +:0419DB000500000003 +:0419DC0006180120C8 +:0419DD000FAA013814 +:0419DE0001120348A7 +:0419DF0000004102C1 +:0419E00078303639EC +:0419E1000038383260 +:0419E20003C000003E +:0419E3000492012049 +:0419E4000F80013837 +:0419E50001120336B2 +:0419E60000000202F9 +:0419E70078323135EC +:0419E8004C30343219 +:0419E90002000042B6 +:0419EA0002AA00F05D +:0419EB00294D013849 +:0419EC0001120332AF +:0419ED00000884105A +:0419EE0078303233E8 +:0419EF004C30343212 +:0419F0000140004270 +:0419F10001AA00F057 +:0419F200293101385E +:0419F3000112031FBB +:0419F40000110820B6 +:0419F50078363532D9 +:0419F6004C3034320B +:0419F70001000042A9 +:0419F800015500F0A5 +:0419F9002927013861 +:0419FA0001120319BA +:0419FB000022104076 +:0419FC0070383832D5 +:0419FD0000000000E6 +:0419FE0002D0000013 +:0419FF000360012060 +:041A00001345013851 +:041A01000112033F8C +:041A02000000000ED2 +:041A030078303834CB +:041A04000030363345 +:041A050001E00000FC +:041A06000258016819 +:041A07000A3F01771A +:041A080002040326AB +:041A09000000000ECB +:041A0A0078303432CA +:041A0B00003036333E +:041A0C0001000000D5 +:041A0D00012C01683F +:041A0E000A1801773A +:041A0F0002040312B8 +:041A10000000208032 +:041A110070343833C2 +:041A120000000000D0 +:041A130001F00000DE +:041A140002800180CB +:041A15001D3201A7D6 +:041A16000204033E85 +:041A17000000000EBD +:041A180078303436B8 +:041A19000030303435 +:041A1A000280000046 +:041A1B000320019013 +:041A1C00243001C1B0 +:041A1D000210026051 +:041A1E000000000CB8 +:041A1F0078303436B1 +:041A20000034383323 +:041A2100028000003F +:041A2200032001801C +:041A23003F3001EC63 +:041A2400021002604A +:041A25000000000EAF +:041A260069303834B7 +:041A270000000000BB +:041A280002D00000E8 +:041A2900035A00F06C +:041A2A000F39020D61 +:041A2B000312033E61 +:041A2C000000410F66 +:041A2D0070303834A9 :041A2E0000000000B4 -:041A2F00000166E468 -:041A30000000200092 -:041A31002000323E21 -:041A3200F113FA04AE -:041A33003B612000F3 -:041A340000000000AE -:041A350072746C5506 -:041A36006F6C206150 -:041A37000000007734 -:041A380000776F4C78 -:041A39006964654D2A -:041A3A0000006D75C6 -:041A3B006867694827 -:041A3C0000000000A6 -:041A3D007361685019 -:041A3E006573206547 -:041A3F007463656CFB -:041A40003A6E6F6922 -:041A41002F752520B8 -:041A420028207525BE -:041A43004147504681 -:041A440025202C2904 -:041A450032332F7594 -:041A460056542820AA -:041A4700000A295018 -:041A48002050565480 -:041A49002046504C97 -:041A4A00756C6176E0 -:041A4B00657320653A -:041A4C006F7420741F -:041A4D0025783020A8 -:041A4E0000000A7812 -:041A4F0020474F538A -:041A50006C6F6874DB -:041A51006573206435 -:041A52006F74207419 -:041A530025783020A2 -:041A540000000A780C -:041A5500636E7953F0 -:041A560046504C208A -:041A57006C61762028 -:041A5800732065751D -:041A5900742074651C -:041A5A007830206F51 -:041A5B00000A7825E0 -:041A5C0069726F48F4 -:041A5D00746E6F7ABA -:041A5E0073206C6124 -:041A5F006C706D61D9 -:041A600074617265D6 -:041A61006573206524 -:041A62006F74207409 -:041A63000A752520BB -:041A6400000000007E -:041A650069747345E8 -:041A66006574616DD5 -:041A67004350206464 -:041A6800485F4B4C3C -:041A69003A4C4C5057 -:041A6A00756C252052 -:041A6B00332E252EC3 -:041A6C004D20756C28 -:041A6D00000A7A48A9 -:041A6E00204F43566C -:041A6F00676E6172CB -:041A700025203A658E -:041A710050430A7361 -:041A720025203A43AE -:041A730000000A75F0 -:041A74006E797343D1 -:041A7500000000630A -:041A76006E797348CA -:041A77000000006308 -:041A78000067656E30 -:041A790000736F7017 -:041A7A00642073254C -:041A7B0063657465C6 -:041A7C002C646574FD -:041A7D00207325208D -:041A7E00616C6F70B8 -:041A7F00797469729B -:041A80000000000A58 -:041A81006E797356B1 -:041A82006564206314 -:041A830074636574AF -:041A8400202C646549 -:041A85007020732535 -:041A860072616C6FAE -:041A87000A797469FB -:041A8800000000005A -:041A890020474F5350 -:041A8A0065746564B6 -:041A8B0064657463B7 -:041A8C000000000A4C -:041A8D0020474F534C -:041A8E0020746F6EE3 -:041A8F0065746564B1 -:041A900064657463B2 -:041A91000000000A47 -:041A9200000141B25C -:041A9300000141B25B -:041A9400000141B25A -:041A9500000141B05B -:041A960000014228E1 -:041A9700000141B257 -:041A98004E52415712 -:041A99003A474E4931 -:041A9A00766E6920DB -:041A9B0064696C61AD -:041A9C0072617420DF -:041A9D005F746567A6 -:041A9E00000A6D6C61 -:041A9F003633353174 -:041AA0003034327834 -:041AA100060000003B -:041AA20007FE00F04B -:041AA3000FEA01063F -:041AA4000112039692 -:041AA50032312002B8 -:041AA600327830382A -:041AA70000003034D7 -:041AA80000F0050045 -:041AA9000106061814 -:041AAA0003480FAA34 -:041AAB0004420112DE -:041AAC00783036391F -:041AAD00003034329F -:041AAE0003C0000071 -:041AAF00049200F0AD -:041AB0000F8001069C -:041AB10001120336E5 -:041AB2003233008249 -:041AB3003432783021 -:041AB40000000030FE -:041AB50000F00140FC -:041AB600010601AA7A -:041AB700031F0E31CA -:041AB80049100112BE -:041AB9007836353214 -:041ABA000030343292 -:041ABB000100000026 -:041ABC00015500F0E0 -:041ABD000E270106E9 -:041ABE0001120319F5 -:041ABF00343292200B -:041AC0000000703082 +:041A2F0002D00000E1 +:041A3000035A01E074 +:041A31001E3C020D48 +:041A32000414063E54 +:041A33000000000CA3 +:041A3400783034369C +:041A35000030383411 +:041A3600028000002A +:041A3700032001E0A7 +:041A38002130020D4A +:041A3900041402602F +:041A3A000000000C9C +:041A3B007830343695 +:041A3C00003231350E +:041A3D000280000023 +:041A3E00032002007F +:041A3F001C3002381D +:041A40000414026028 +:041A41000000000C95 +:041A42006936373595 +:041A4300000000009F +:041A440002D00000CC +:041A45000360012019 +:041A460013450271D1 +:041A47000312033F44 +:041A48000000410F4A +:041A49007036373587 +:041A4A000000000098 +:041A4B0002D00000C5 +:041A4C0003600240F1 +:041A4D0027440271B7 +:041A4E000404054047 +:041A4F000000000C87 +:041A50007830303882 +:041A510000303036FB +:041A5200032000006D +:041A53000420025811 +:041A540017580274A9 +:041A550000100480F9 +:041A56000000000488 +:041A57007030323782 +:041A5800000000008A +:041A59000500000084 +:041A5A00067202D03E +:041A5B0014DC02EEA7 +:041A5C000018052841 +:041A5D000000000481 +:041A5E0034323031BD +:041A5F003836377866 +:041A6000040000007E +:041A61000540030039 +:041A62001DA003269A +:041A630000100688E1 +:041A6400000000047A +:041A650030383231B2 +:041A66003230317871 +:041A67000500003442 +:041A680006980400D8 +:041A690026F8042A2D +:041A6A0000100370F5 +:041A6B000000000473 +:041A6C007830343664 +:041A6D00693036396D +:041A6E0002800000F2 +:041A6F00032001E06F +:041A70002130041A03 +:041A710005140260F6 +:041A72000000000D63 +:041A730030383031A6 +:041A74000000006905 +:041A750007800000E6 +:041A76000898021CAE +:041A7700109404655E +:041A78000518052C1C +:041A79000000000D5C +:041A7A00303830319F +:041A7B0000000070F7 +:041A7C0007800000DF +:041A7D000898043889 +:041A7E002494046543 +:041A7F000018052C1A +:041A8000000000045E +:041A8100303036319A +:041A82003032317855 +:041A830006400030E9 +:041A8400087004B032 +:041A85002EFF04E24A +:041A8600001003F158 +:041A87000000000457 +:041A88007665642FEC +:041A89006370652FF2 +:041A8A006F635F71B6 +:041A8B006F72746E94 +:041A8C0072656C6CA7 +:041A8D00615F305F06 +:041A8E006D5F6C76A6 +:041A8F0000006D6581 +:041A90002B302D23A7 +:041A91000000002031 +:041A9200004C6C6830 +:041A930045676665D8 +:041A940000004746C1 +:041A95003332313087 +:041A96003736353476 +:041A97004241393857 +:041A98004645444338 +:041A99000000000049 +:041A9A003332313082 +:041A9B003736353471 +:041A9C006261393812 +:041A9D0066656463B3 +:041A9E000000000044 +:041A9F001A808080A9 +:041AA00000081A1A06 +:041AA1000000000041 +:041AA2000000000040 +:041AA30000016A20B4 +:041AA400000000003E +:041AA500000000003D +:041AA6000001035CDC +:041AA7000001041C1A +:041AA800000101CE6A +:041AA900000101EA4D +:041AAA0000010236FF +:041AAB0000800000B7 +:041AAC0000800000B6 +:041AAD000000000035 +:041AAE000000000034 +:041AAF000000000033 +:041AB0000000000032 +:041AB1000000000031 +:041AB2000000000030 +:041AB300000000002F +:041AB400000000002E +:041AB500000000002D +:041AB600000000002C +:041AB700000000002B +:041AB800000000002A +:041AB9000000000029 +:041ABA000000000028 +:041ABB000000000027 +:041ABC000000000026 +:041ABD000000000025 +:041ABE000000000024 +:041ABF000000000023 +:041AC0000000000022 :041AC1000000000021 -:041AC20000F002D05E -:041AC3000106035ABB -:041AC400033E0F3995 -:041AC500000E0112FC -:041AC600363335314D -:041AC700303432780D -:041AC8000600004CC8 -:041AC90007FE00F024 -:041ACA0029EA0138CC -:041ACB00011203966B -:041ACC003231200291 -:041ACD003278303803 -:041ACE0000003838A4 -:041ACF0001200500ED -:041AD00001380618BB -:041AD10003480FAA0D -:041AD20004420112B7 -:041AD30078303639F8 -:041AD400003838326C -:041AD50003C000004A -:041AD6000492012055 -:041AD7000F80013843 -:041AD80001120336BE -:041AD9003233008222 -:041ADA0034327830FA -:041ADB0000424C3049 -:041ADC0000F00140D5 -:041ADD00013801AA21 -:041ADE00031F293188 -:041ADF004910011297 -:041AE00078363532ED -:041AE1004C3034321F -:041AE20001000042BD -:041AE300015500F0B9 -:041AE4002927013875 -:041AE50001120319CE -:041AE60038329220E0 -:041AE7000000703853 -:041AE80000000000FA -:041AE900012002D006 -:041AEA00013803605C -:041AEB00033F13455D -:041AEC00000E0112D5 -:041AED0070343833E6 -:041AEE0000000000F4 -:041AEF0001F0000002 -:041AF00002800180EF -:041AF1001D3201A7FA -:041AF2000204033EA9 -:041AF3003436000E77 -:041AF40030347830E2 -:041AF50000000030BD -:041AF60001900280D9 -:041AF70001C1032006 -:041AF8000260243034 -:041AF900000C0210CB -:041AFA0078303436D6 -:041AFB000034383348 -:041AFC000280000064 -:041AFD000320018041 -:041AFE003F3001EC88 -:041AFF00021002606F -:041B00003834000E67 -:041B01000000693047 -:041B020000000000DF -:041B030000F002D01C -:041B0400020D035A71 -:041B0500033E0F3953 -:041B0600044F031273 -:041B070070303834CE -:041B080000000000D9 -:041B090002D0000006 -:041B0A00035A01E099 -:041B0B001E3C020D6D -:041B0C000414063E79 -:041B0D003436000C5E -:041B0E0038347830BF -:041B0F0000000030A2 -:041B100001E002806E -:041B1100020D03209E -:041B1200026021301C -:041B1300000C0414AA -:041B140078303436BB -:041B15000032313534 -:041B16000280000049 -:041B170003200200A5 -:041B18001C30023843 -:041B1900041402604E -:041B1A003735000C4F -:041B1B000000693627 -:041B1C0000000000C5 -:041B1D00012002D0D1 -:041B1E0002710360ED -:041B1F00033F134528 -:041B2000044F031259 -:041B210070363735AE -:041B220000000000BF -:041B230002D00000EC -:041B24000360024018 -:041B250027440271DE -:041B2600040405406E -:041B27003038000C46 -:041B280030367830AB -:041B29000000003088 -:041B2A00025803203A -:041B2B00027404201C -:041B2C0004801758C2 -:041B2D0000040010A0 -:041B2E0070303237AA -:041B2F0000000000B2 -:041B300005000000AC -:041B3100067202D066 -:041B320014DC02EECF -:041B33000018052869 -:041B34003031000448 -:041B35003778343297 -:041B3600000038363D -:041B370003000400A3 -:041B3800032605403B -:041B390006881DA05D -:041B3A000004001093 -:041B3B0030383231DB -:041B3C00323031789A -:041B3D00050000346B -:041B3E000698040001 -:041B3F0026F8042A56 -:041B4000001003701E -:041B41003436000432 -:041B42003639783088 -:041B43000000693005 -:041B440001E002803A -:041B4500041A03205B -:041B460002602130E8 -:041B4700000D051474 -:041B480030383031D0 -:041B4900000000692F -:041B4A000780000010 -:041B4B000898021CD8 -:041B4C001094046588 -:041B4D000518052C46 -:041B4E003031000D25 -:041B4F0000703038BA -:041B50000000000091 -:041B510004380780CD -:041B52000465089886 -:041B5300052C2494A5 -:041B54000004001871 -:041B550030303631C5 -:041B56003032317880 -:041B57000640003014 -:041B5800087004B05D -:041B59002EFF04E275 -:041B5A00001003F183 -:041B5B000000000482 -:041B5C007665642F17 -:041B5D006370652F1D -:041B5E006F635F71E1 -:041B5F006F72746EBF -:041B600072656C6CD2 -:041B6100615F305F31 -:041B62006D5F6C76D1 -:041B630000006D65AC -:041B64002B302D23D2 -:041B6500000000205C -:041B6600004C6C685B -:041B67004567666503 -:041B680000004746EC -:041B690033323130B2 -:041B6A0037363534A1 -:041B6B004241393882 -:041B6C004645444363 +:041AC2000000000020 +:041AC300000000001F +:041AC400000000001E +:041AC500000000001D +:041AC600000000001C +:041AC700000000001B +:041AC800000000001A +:041AC9000000000019 +:041ACA000000000018 +:041ACB000000000017 +:041ACC000000000016 +:041ACD000000000015 +:041ACE00000101749E +:041ACF000080000093 +:041AD0000100000011 +:041AD100000201000E +:041AD2000080000090 +:041AD300000000010E +:041AD400000000808E +:041AD500000100000C +:041AD600000001000B +:041AD700000000000B +:041AD800020E0409ED +:041AD9003D0E00C8F6 +:041ADA003F6E0383D5 +:041ADB003ED03DAC10 +:041ADC000000038380 +:041ADD00026404B2E9 +:041ADE003C9300E94C +:041ADF003F56041654 +:041AE0003E9F3D499F +:041AE10000000416E7 +:041AE200017804E59E +:041AE3003CCE008174 +:041AE4003FAE03838B +:041AE5003F333D4905 +:041AE6000000038376 +:041AE70001B405B889 +:041AE8003C490093E2 +:041AE9003F9F041601 +:041AEA003F103CD994 +:041AEB0000000416DD +:041AEC00000163741E +:041AED000001637819 +:041AEE000001638808 +:041AEF000001597029 +:041AF0000001598018 +:041AF1000001598C0B +:041AF20000015998FE +:041AF300000159A4F1 +:041AF400000159B0E4 +:041AF500000159BCD7 +:041AF600000159C8CA +:041AF700000159D4BD +:041AF800000159E0B0 +:041AF900000159ECA3 +:041AFA00000165087A +:041AFB00000165146D +:041AFC00000165245C +:041AFD00000165344B +:041AFE00000165443A +:041AFF000001650875 +:041B00000001651467 +:041B01000001652456 +:041B02000001653445 +:041B0300000164E495 +:041B0400000164F088 +:041B0500000164FC7B +:041B06000001637403 +:041B0700000163A4D2 +:041B0800000163A8CD +:041B0900000163ACC8 +:041B0A00000163145F +:041B0B0000000002D4 +:041B0C0000017468F8 +:041B0D000AF0012CAD +:041B0E0000011E169E +:041B0F00000163244A +:041B100000000002CF +:041B1100000174E873 +:041B120000FF000AC6 +:041B130000011E1699 +:041B14000001633039 +:041B150000000002CA +:041B16000001742432 +:041B170000FF0001CA +:041B180000011E1694 +:041B19000001634024 +:041B1A0000000002C5 +:041B1B000001745AF7 +:041B1C00078000C876 +:041B1D0000011E168F +:041B1E000001634C13 +:041B1F0000000002C0 +:041B200000017452FA +:041B210000070001B8 +:041B220000011E168A +:041B23000001635802 +:041B240000000002BB +:041B2500000174E265 +:041B2600003F00017B +:041B270000011E1685 +:041B280000016368ED +:041B290000000002B6 +:041B2A0000017456EC +:041B2B0004B000C83A +:041B2C0000011E1680 +:041B2D000001603023 +:041B2E0000000000B3 +:041B2F00000175122A +:041B300000010001AF +:041B310000017340FC +:041B3200000160400E +:041B330000000000AE +:041B34000001751324 +:041B350000010001AA +:041B360000017348EF +:041B370000016050F9 +:041B380000000001A8 +:041B3900000175141E +:041B3A00001800008F +:041B3B00000130C6AF +:041B3C0000016060E4 +:041B3D0000000000A4 +:041B3E000001750F1E +:041B3F0000010001A0 +:041B400000017348E5 +:041B410000016070CF +:041B4200000000009F +:041B43000001751018 +:041B4400000100019B +:041B450000017348E0 +:041B460000015EA894 +:041B47000000000397 +:041B4800000173D451 +:041B49000000000098 +:041B4A000000000097 +:041B4B0000015EBC7B +:041B4C000000000392 +:041B4D00000173BC64 +:041B4E000000000093 +:041B4F000000000092 +:041B500000015ED062 +:041B5100000000038D +:041B5200000173CC4F +:041B5300000000008E +:041B5400000000008D +:041B550000015EE449 +:041B56000000000388 +:041B5700000173AC6A +:041B58000000000089 +:041B59000000000088 +:041B5A0000015EF830 +:041B5B000000000383 +:041B5C00000173B45D +:041B5D000000000084 +:041B5E000000000083 +:041B5F0000015F0C16 +:041B6000000000037E +:041B61000001739C70 +:041B6200000000007F +:041B6300000000007E +:041B640000015F20FD +:041B65000000000379 +:041B66000001739473 +:041B6700000000007A +:041B68000000000079 +:041B690000015F34E4 +:041B6A000000000374 +:041B6B00000173C43E +:041B6C000000000075 :041B6D000000000074 -:041B6E0033323130AD -:041B6F00373635349C -:041B7000626139383D -:041B710066656463DE -:041B7200000000006F -:041B73001A808080D4 -:041B740000081A1A31 -:041B7500000000006C -:041B7600000000006B -:041B770000016D708C -:041B78000000000069 +:041B6E0000016130E1 +:041B6F000000000072 +:041B7000000174F804 +:041B7100000400016B +:041B720000017244B8 +:041B730000016140CC +:041B7400000000006D +:041B7500000174F9FE +:041B76000003000167 +:041B7700000172589F +:041B78000001614CBB :041B79000000000068 -:041B7A000001036003 -:041B7B00000104144D -:041B7C00000101D88B -:041B7D00000101F46E -:041B7E000001024020 -:041B7F0000800000E2 -:041B800000800000E1 -:041B81000000000060 -:041B8200000000005F +:041B7A00000174FAF8 +:041B7B000003000162 +:041B7C00000172688A +:041B7D000001615CA6 +:041B7E000000000063 +:041B7F00000174FBF2 +:041B8000000100015F +:041B81000001735894 +:041B82000001616C91 :041B8300000000005E -:041B8400000000005D -:041B8500000000005C -:041B8600000000005B -:041B8700000000005A +:041B8400000174FCEC +:041B8500000100015A +:041B86000001735097 +:041B87000001617C7C :041B88000000000059 -:041B89000000000058 -:041B8A000000000057 -:041B8B000000000056 -:041B8C000000000055 +:041B8900000174F3F0 +:041B8A000003000153 +:041B8B0000016BE802 +:041B8C00000161886B :041B8D000000000054 -:041B8E000000000053 -:041B8F000000000052 -:041B90000000000051 -:041B91000000000050 +:041B8E00000174F4EA +:041B8F00000400014D +:041B900000016BF8ED +:041B9100000161945A :041B9200000000004F -:041B9300000000004E -:041B9400000000004D -:041B9500000000004C -:041B9600000000004B +:041B9300000174F5E4 +:041B94000003000149 +:041B950000016BE8F8 +:041B9600000161A049 :041B9700000000004A -:041B98000000000049 -:041B99000000000048 -:041B9A000000000047 -:041B9B000000000046 +:041B9800000174F6DE +:041B99000003000144 +:041B9A0000016BE8F3 +:041B9B00000161AC38 :041B9C000000000045 -:041B9D000000000044 -:041B9E000000000043 -:041B9F000000000042 -:041BA0000000000041 +:041B9D00000174F7D8 +:041B9E000002000140 +:041B9F0000016C0CC9 +:041BA000000161BC23 :041BA1000000000040 -:041BA2000001017EBF -:041BA30000800000BE -:041BA400010000003C -:041BA5000002010039 -:041BA60000800000BB -:041BA7000000000139 -:041BA80000000080B9 -:041BA9000001000037 -:041BAA000000010036 +:041BA200000174FDCD +:041BA300000100013C +:041BA4000001733891 +:041BA500000161CC0E +:041BA600000000003B +:041BA70000017501C3 +:041BA8000001000137 +:041BA900000173784C +:041BAA00000161D401 :041BAB000000000036 -:041BAC00020E040918 -:041BAD003D0E00C821 -:041BAE003F6E038300 -:041BAF003ED03DAC3B -:041BB00000000383AB -:041BB100026404B214 -:041BB2003C9300E977 -:041BB3003F5604167F -:041BB4003E9F3D49CA -:041BB5000000041612 -:041BB600017804E5C9 -:041BB7003CCE00819F -:041BB8003FAE0383B6 -:041BB9003F333D4930 -:041BBA0000000383A1 -:041BBB0001B405B8B4 -:041BBC003C4900930D -:041BBD003F9F04162C -:041BBE003F103CD9BF -:041BBF000000041608 -:041BC00000015C883C -:041BC10000015C982B -:041BC20000015CA41E -:041BC30000015CB011 -:041BC40000015CBC04 -:041BC50000015CC8F7 -:041BC60000015CD4EA -:041BC70000015CE0DD -:041BC80000015CECD0 -:041BC90000015CF8C3 -:041BCA0000015D04B5 -:041BCB000001665857 -:041BCC00000166644A -:041BCD000001667439 -:041BCE000001668428 -:041BCF000001665853 -:041BD0000001666446 -:041BD1000001667435 -:041BD2000001663474 -:041BD3000001664067 -:041BD4000001664C5A -:041BD5000001651096 -:041BD6000001651491 -:041BD700000165188C -:041BD8000001651C87 -:041BD900000164B0F3 -:041BDA000000000205 -:041BDB000001770C82 -:041BDC0008FC012CD4 -:041BDD000001204E95 -:041BDE00000164C0DE -:041BDF000000000200 -:041BE0000001778801 -:041BE10000FF000AF7 -:041BE2000001204E90 -:041BE300000164CCCD -:041BE40000000002FB -:041BE500000176C8BD -:041BE60000FF0001FB -:041BE7000001204E8B -:041BE800000164DCB8 -:041BE90000000002F6 -:041BEA00000176FC84 -:041BEB00078000C8A7 -:041BEC000001204E86 -:041BED00000164E8A7 -:041BEE0000000002F1 -:041BEF00000176F685 -:041BF00000070001E9 -:041BF1000001204E81 -:041BF200000164F496 -:041BF30000000002EC -:041BF40000017782F3 -:041BF500003F0001AC -:041BF6000001204E7C -:041BF7000001650480 -:041BF80000000002E7 -:041BF900000176FA77 -:041BFA0004B000C86B -:041BFB000001204E77 -:041BFC00000161CCB7 -:041BFD0000000000E4 -:041BFE00000177B2B9 -:041BFF0000010001E0 -:041C0000000175F872 -:041C0100000161DCA1 -:041C020000000000DE -:041C0300000177B3B2 -:041C040000010001DA -:041C05000001760064 -:041C0600000161EC8C -:041C070000000001D8 -:041C0800000177B4AC -:041C090000180000BF -:041C0A0000012D6246 -:041C0B00000161FC77 +:041BAC0000017502BD +:041BAD000001000132 +:041BAE000001734877 +:041BAF00000160844D +:041BB0000000000031 +:041BB100000174ECCF +:041BB200000200012C +:041BB3000001728437 +:041BB400000160903C +:041BB500000000012B +:041BB600000174F0C6 +:041BB700000F00001B +:041BB800000130A058 +:041BB900000160A027 +:041BBA000000000126 +:041BBB00000174EEC3 +:041BBC00001C000009 +:041BBD000001307C77 +:041BBE00000160B012 +:041BBF000000000022 +:041BC000000174EFBD +:041BC100000100011E +:041BC200000173703B +:041BC300000160C0FD +:041BC400000000001D +:041BC500000174EDBA +:041BC6000002000118 +:041BC7000001729017 +:041BC800000160D0E8 +:041BC9000000000018 +:041BCA00000174F1B1 +:041BCB000001000114 +:041BCC000001736839 +:041BCD00000160E4CF +:041BCE000000000112 +:041BCF00000174FE9F +:041BD00000FF000012 +:041BD1000001306679 +:041BD200000160F4BA +:041BD300000000010D +:041BD400000174FF99 +:041BD500003F0000CD +:041BD6000001306674 +:041BD70000016104A4 +:041BD8000000000108 +:041BD9000001750092 +:041BDA00000F0000F8 +:041BDB0000012FAE28 +:041BDC00000161148F +:041BDD000000000103 +:041BDE00000175117C +:041BDF00001F0000E3 +:041BE00000012FAE23 +:041BE100000161207E +:041BE20000000004FB +:041BE30000011FF8E6 +:041BE40000015E6836 +:041BE50000000000FC +:041BE6000001624454 +:041BE70000000001F9 +:041BE800000175047F +:041BE900001F0001D8 +:041BEA0000012FC403 +:041BEB00000162543F +:041BEC0000000000F5 +:041BED00000175037B +:041BEE0000020001F0 +:041BEF000001727807 +:041BF000000162642A +:041BF10000000000F0 +:041BF2000001750574 +:041BF30000010001EC +:041BF4000001734831 +:041BF5000001627811 +:041BF60000000000EB +:041BF700000175066E +:041BF80000010001E7 +:041BF900000173482C +:041BFA000001628CF8 +:041BFB0000000003E3 +:041BFC000001738CE5 +:041BFD00000166007D +:041BFE0000011DB411 +:041BFF0000015F483A +:041C000000000004DC +:041C010000011D06BB +:041C020000015E8CF3 +:041C030000000000DD +:041C040000015F5824 +:041C050000000004D7 +:041C060000011D6656 +:041C070000015E8CEE +:041C080000000000D8 +:041C090000015F680F +:041C0A0000000004D2 +:041C0B000001260CA2 :041C0C0000000000D4 -:041C0D00000177AFAC -:041C0E0000010001D0 -:041C0F00000176005A -:041C10000001620C61 -:041C110000000000CF -:041C1200000177B0A6 -:041C130000010001CB -:041C14000001760055 -:041C1500000160B0BA -:041C160000000003C7 -:041C170000017684CE -:041C180000000000C8 +:041C0D0000000000D3 +:041C0E0000015F7CF6 +:041C0F0000000001D0 +:041C10000001751C3E +:041C1100000A0101C3 +:041C12000001311686 +:041C130000015F90DD +:041C140000000000CC +:041C15000001747ED8 +:041C160000010001C8 +:041C1700000173480D +:041C180000015FA4C4 :041C190000000000C7 -:041C1A00000160C4A1 -:041C1B0000000003C2 -:041C1C000001766CE1 -:041C1D0000000000C3 +:041C1A000001742829 +:041C1B00000A0001BA +:041C1C0000016BBC9C +:041C1D0000015FB4AF :041C1E0000000000C2 -:041C1F00000160D888 -:041C200000000003BD -:041C21000001767CCC -:041C220000000000BE +:041C1F00000174E765 +:041C200000020001BD +:041C210000016BB0A3 +:041C220000015FC896 :041C230000000000BD -:041C2400000160EC6F -:041C250000000003B8 -:041C26000001765CE7 -:041C270000000000B9 +:041C240000017458EF +:041C250000010001B9 +:041C260000017360E6 +:041C270000015FD881 :041C280000000000B8 -:041C29000001610055 -:041C2A0000000003B3 -:041C2B0000017664DA -:041C2C0000000000B4 +:041C2900000173370C +:041C2A0000010001B4 +:041C2B0000017360E1 +:041C2C0000015FE86C :041C2D0000000000B3 -:041C2E00000161143C -:041C2F0000000003AE -:041C30000001764CED -:041C310000000000AF +:041C2E000001742A13 +:041C2F0000010001AF +:041C300000017360DC +:041C310000015FF857 :041C320000000000AE -:041C33000001612823 -:041C340000000003A9 -:041C350000017644F0 -:041C360000000000AA -:041C370000000000A9 -:041C38000001613C0A -:041C390000000003A4 -:041C3A0000017674BB -:041C3B0000000000A5 -:041C3C0000000000A4 -:041C3D00000162CC74 +:041C330000017466D2 +:041C340000030001A8 +:041C350000016C1826 +:041C36000001600841 +:041C370000000004A5 +:041C38000001371C54 +:041C390000000000A7 +:041C3A0000000000A6 +:041C3B000001601C28 +:041C3C0000000004A0 +:041C3D0000012BC8AF :041C3E0000000000A2 -:041C3F000001779891 -:041C4000000400019B -:041C41000001750821 -:041C4200000162DC5F -:041C4300000000009D -:041C4400000177998B -:041C45000001000199 -:041C46000001761013 -:041C4700000162E84E -:041C48000000000098 -:041C49000001779A85 -:041C4A000003000192 -:041C4B000001751C03 -:041C4C00000162F839 -:041C4D000000000093 -:041C4E000001779B7F -:041C4F00000100018F -:041C50000001761009 -:041C51000001630823 -:041C5200000000008E -:041C53000001779C79 -:041C5400000100018A -:041C5500000176080C -:041C5600000163180E -:041C57000000000089 -:041C5800000177937D -:041C59000002000184 -:041C5A0000016F2CEA -:041C5B0000016324FD -:041C5C000000000084 -:041C5D000001779477 -:041C5E00000300017E -:041C5F0000016F38D9 -:041C600000016330EC -:041C6100000000007F -:041C62000001779571 -:041C6300000200017A -:041C640000016F2CE0 -:041C65000001633CDB -:041C6600000000007A -:041C6700000177966B -:041C68000002000175 -:041C690000016F2CDB -:041C6A0000016348CA -:041C6B000000000075 -:041C6C000001779765 -:041C6D000002000170 -:041C6E0000016F48BA -:041C6F0000016358B5 -:041C70000000000070 -:041C71000001779D5A -:041C7200000100016C -:041C7300000175F007 -:041C740000016368A0 -:041C7500000000006B -:041C7600000177A151 -:041C77000001000167 -:041C780000017628C9 -:041C79000001637093 -:041C7A000000000066 -:041C7B00000177A24B -:041C7C000001000162 -:041C7D0000017600EC -:041C7E0000016220DF -:041C7F000000000061 -:041C80000001778C5C -:041C8100000200015C -:041C820000017538B0 -:041C83000001622CCE -:041C8400000000015B -:041C85000001779053 -:041C8600000F00004B -:041C870000012D3CEF -:041C88000001623CB9 -:041C89000000000156 -:041C8A000001778E50 -:041C8B00001C000039 -:041C8C0000012D180E -:041C8D000001624CA4 +:041C3F0000000000A1 +:041C4000000161E05E +:041C4100000000009F +:041C42000001750B1D +:041C43000003000199 +:041C44000001729C8D +:041C4500000161F049 +:041C46000000000199 +:041C4700000175081B +:041C4800001F000079 +:041C49000001304224 +:041C4A000001620033 +:041C4B000000000194 +:041C4C000001750915 +:041C4D0000FF000094 +:041C4E0000012FFE64 +:041C4F00000162101E +:041C5000000000018F +:041C51000001750A0F +:041C520000C80A00BC +:041C530000012FFE5F +:041C54000001622009 +:041C5500000000018A +:041C56000001750D07 +:041C57000005000084 +:041C580000012FE870 +:041C590000016230F4 +:041C5A000000000185 +:041C5B000001750E01 +:041C5C00000500007F +:041C5D0000012FE86B +:041C5E00000162A07F +:041C5F000000000081 +:041C60000001750CFE +:041C61000005000179 +:041C6200000172AC5F +:041C6300000162AC6E +:041C6400000000007C +:041C650000017507FE +:041C66000001000178 +:041C67000001738085 +:041C6800000162BC59 +:041C69000000000176 +:041C6A0000017515EB +:041C6B0000FF000076 +:041C6C0000012FAE96 +:041C6D00000162C848 +:041C6E000000000171 +:041C6F0000017516E5 +:041C700000FF000071 +:041C710000012FAE91 +:041C7200000162D437 +:041C7300000000016C +:041C740000017517DF +:041C750000FF00006C +:041C760000012FAE8C +:041C7700000162E026 +:041C78000000000167 +:041C790000017518D9 +:041C7A0000FF000067 +:041C7B0000012FAE87 +:041C7C00000162EC15 +:041C7D000000000162 +:041C7E0000017519D3 +:041C7F0000FF000062 +:041C800000012FAE82 +:041C8100000162F804 +:041C8200000000015D +:041C83000001751ACD +:041C840000FF00005D +:041C850000012FAE7D +:041C860000016304F2 +:041C87000000000158 +:041C88000001751BC7 +:041C8900000F000048 +:041C8A0000012FAE78 +:041C8B00000173A43D +:041C8C000000000054 +:041C8D000000000053 :041C8E000000000052 -:041C8F000001778F4A -:041C9000000100014E -:041C910000017620B8 -:041C92000001625C8F -:041C9300000000004D -:041C94000001778D47 -:041C95000002000148 -:041C96000001754490 -:041C97000001626C7A -:041C98000000000048 -:041C9900000177913E -:041C9A000001000144 -:041C9B0000017618B6 -:041C9C000001628061 -:041C9D000000000142 -:041C9E000001779E2C -:041C9F0000FF000042 -:041CA00000012D0210 -:041CA100000162904C -:041CA200000000013D -:041CA3000001779F26 -:041CA400003F0000FD -:041CA50000012D020B -:041CA600000162A037 -:041CA7000000000138 -:041CA800000177A020 -:041CA900000F000028 -:041CAA0000012C4ABF -:041CAB00000162B022 -:041CAC000000000133 -:041CAD00000177B10A -:041CAE00001F000013 -:041CAF0000012C4ABA -:041CB000000162BC11 -:041CB100000000042B -:041CB20000012260AB -:041CB300000160705C +:041C8F000000000051 +:041C90000000000050 +:041C91000001646882 +:041C92000001648465 +:041C9300000164CC1C +:041C9400000164D413 +:041C9500000164DC0A +:041C9600000164687D +:041C97000001648460 +:041C98000001648C57 +:041C99000001649C46 +:041C9A000001646879 +:041C9B00000164746C +:041C9C00000164AC33 +:041C9D00000164BC22 +:041C9E000001644895 +:041C9F000001657C5F +:041CA0000001658852 +:041CA1000001637467 +:041CA2000001644891 +:041CA3000001645088 +:041CA40000016408CF +:041CA50000016414C2 +:041CA60000016420B5 +:041CA700000165547F +:041CA800000165646E +:041CA9000001657061 +:041CAA00000163745E +:041CAB000001644888 +:041CAC00000163745C +:041CAD00000165B01D +:041CAE00000165C00C +:041CAF00000165D0FB +:041CB000000165E0EA +:041CB100000000002F +:041CB200000000002E +:041CB300000000002D :041CB400000000002C -:041CB500000163E0E7 -:041CB6000000000129 -:041CB700000177A40D -:041CB800001F000108 -:041CB90000012C609A -:041CBA00000163F0D2 +:041CB500000000002B +:041CB600000000002A +:041CB7000000000029 +:041CB8000000000028 +:041CB9000000000027 +:041CBA000000000026 :041CBB000000000025 -:041CBC00000177A309 -:041CBD000002000120 -:041CBE000001752C80 -:041CBF0000016400BC +:041CBC000000000024 +:041CBD000000000023 +:041CBE000000000022 +:041CBF000000000021 :041CC0000000000020 -:041CC100000177A502 -:041CC200000100011C -:041CC30000017600A6 -:041CC40000016414A3 +:041CC100000000001F +:041CC200000000001E +:041CC300000000001D +:041CC400000000001C :041CC500000000001B -:041CC600000177A6FC -:041CC7000001000117 -:041CC80000017600A1 -:041CC900000164288A -:041CCA000000000313 -:041CCB000001763C62 -:041CCC00000167406C -:041CCD0000011FEC07 -:041CCE000001615060 -:041CCF00000000040D -:041CD00000011F3EB2 -:041CD100000160941A -:041CD200000000000E -:041CD300000161604B -:041CD4000000000408 -:041CD50000011F9E4D -:041CD6000001609415 -:041CD7000000000009 -:041CD8000001617036 -:041CD9000000000403 -:041CDA00000126429D -:041CDB000000000005 -:041CDC000000000004 -:041CDD00000161841D -:041CDE000000000101 -:041CDF00000177BCCD -:041CE000000A0101F4 -:041CE10000012DB21F -:041CE2000001619804 -:041CE30000000000FD -:041CE4000001772262 -:041CE50000010001F9 -:041CE6000001760083 -:041CE700000161ACEB -:041CE80000000000F8 -:041CE900000176CCB4 -:041CEA00000A0001EB -:041CEB0000016F0085 -:041CEC00000161BCD6 -:041CED0000000000F3 -:041CEE000001770A70 -:041CEF0000030001ED -:041CF00000016F542C -:041CF1000001637C0F -:041CF20000000000EE -:041CF300000177ABCA -:041CF40000030001E8 -:041CF5000001755025 -:041CF6000001638CFA -:041CF70000000001E8 -:041CF800000177A8C8 -:041CF900001F0000C8 -:041CFA0000012CDEDB -:041CFB000001639CE5 -:041CFC0000000001E3 -:041CFD00000177A9C2 -:041CFE0000FF0000E3 -:041CFF0000012C9A1A -:041D0000000163ACCF -:041D010000000001DD -:041D0200000177AABB -:041D030000C80A000A -:041D040000012C9A14 -:041D0500000163BCBA -:041D060000000001D8 -:041D0700000177ADB3 -:041D080000050000D2 -:041D090000012C8425 -:041D0A00000163CCA5 -:041D0B0000000001D3 -:041D0C00000177AEAD -:041D0D0000050000CD -:041D0E0000012C8420 -:041D0F000001643C2F -:041D100000000000CF -:041D1100000177ACAA -:041D120000050001C7 -:041D130000017560F6 -:041D1400000164481E -:041D150000000000CA -:041D1600000177A7AA -:041D170000010001C6 -:041D18000001763020 -:041D19000001645809 -:041D1A0000000001C4 -:041D1B00000177B597 -:041D1C0000FF0000C4 -:041D1D0000012C4A4B -:041D1E0000016464F8 -:041D1F0000000001BF -:041D2000000177B691 -:041D210000FF0000BF -:041D220000012C4A46 -:041D230000016470E7 -:041D240000000001BA -:041D2500000177B78B -:041D260000FF0000BA -:041D270000012C4A41 -:041D28000001647CD6 -:041D290000000001B5 -:041D2A00000177B885 -:041D2B0000FF0000B5 -:041D2C0000012C4A3C -:041D2D0000016488C5 -:041D2E0000000001B0 -:041D2F00000177B97F -:041D300000FF0000B0 -:041D310000012C4A37 -:041D320000016494B4 -:041D330000000001AB -:041D3400000177BA79 -:041D350000FF0000AB -:041D360000012C4A32 -:041D3700000164A0A3 -:041D380000000001A6 -:041D3900000177BB73 -:041D3A00000F000096 -:041D3B0000012C4A2D -:041D3C0000017654D8 -:041D3D0000000000A2 -:041D3E0000000000A1 -:041D3F0000000000A0 -:041D4000000000009F -:041D4100000000009E -:041D4200000165D85F -:041D4300000165F442 -:041D44000001661C18 -:041D4500000166240F -:041D46000001662C06 -:041D4700000165D85A -:041D4800000165E44D -:041D4900000165FC34 -:041D4A000001660C22 -:041D4B00000165B876 -:041D4C00000166BC70 -:041D4D00000166C863 -:041D4E00000165101B -:041D4F00000165B872 -:041D5000000165C069 -:041D510000016578B0 -:041D520000016584A3 -:041D53000001659096 -:041D54000001669490 -:041D5500000166A47F -:041D5600000166B072 -:041D57000001651012 -:041D5800000165B869 -:041D59000001651010 -:041D5A00000166F02E -:041D5B00000167001C -:041D5C00000167100B -:041D5D0000016720FA -:041D5E000000000081 -:041D5F000000000080 -:041D6000000000007F -:041D6100000000007E -:041D6200000000007D -:041D6300000000007C -:041D6400000000007B -:041D6500000000007A -:041D66000000000079 -:041D67000000000078 -:041D68000000000077 -:041D69000000000076 -:041D6A000000000075 -:041D6B000000000074 -:041D6C000000000073 -:041D6D000000000072 -:041D6E000000000071 -:041D6F000000000070 -:041D7000000000006F -:041D7100000000006E -:041D7200000000006D -:041D7300000000006C -:041D7400000000006B -:041D7500000000006A -:041D76000002002047 -:041D770000015634DD -:041D7800000175E011 -:041D7900000175E010 -:041D7A0000108010C5 -:041D7B0000008000E4 -:041D7C00000166609C -:041D7D00000165D428 -:041D7E0000016544B7 -:041D7F0000016558A2 -:041D800000016510E9 -:041D81000001672CCA -:041D8200000165D81F -:041D8300000165E412 -:041D8400000165D81D -:041D8500000165F400 -:041D86000001656C87 -:041D87000001657082 -:041D88000001659C55 -:041D8900000165AC44 -:041D8A00000165C827 -:041D8B00000165D01E -:041D8C00000166D814 -:041D8D00000166E407 -:041D8E000001757863 -:041D8F000000000749 -:041D900000016F647B -:041D9100000000034B -:041D920000016FF0ED -:041D9300000000024A -:041D94000001702CAE -:041D95000000000842 -:041D96000001705484 -:041D97000000000D3B -:041D9800000170F4E2 -:041D99000000000B3B -:041D9A00000171F8DB -:041D9B00000000053F -:041D9C00000172D4FC -:041D9D00000000073B -:041D9E000001733895 -:041D9F00000000063A -:041DA000000173C407 -:041DA1000000000935 -:041DA2000001743C8C -:041DA300C896554B3E +:041CC600000000001A +:041CC7000000000019 +:041CC8000000000018 +:041CC900000157FCC3 +:041CCA00000173287A +:041CCB000001732879 +:041CCC000010801074 +:041CCD000100800092 +:041CCE00000165109C +:041CCF000001646448 +:041CD000000163D4D8 +:041CD100000163E8C3 +:041CD2000001637436 +:041CD300000165ECBB +:041CD400000164683F +:041CD5000001647432 +:041CD600000164683D +:041CD7000001648420 +:041CD8000001639410 +:041CD9000001639C07 +:041CDA00000163FCA6 +:041CDB0000016400A0 +:041CDC000001642C73 +:041CDD000001643C62 +:041CDE000001645845 +:041CDF00000164603C +:041CE0000001659802 +:041CE100000165A4F5 +:041CE200000172C4C7 +:041CE30000000007F6 +:041CE40000016C2867 +:041CE50000000003F8 +:041CE60000016CB4D9 +:041CE70000000002F7 +:041CE80000016CF09B +:041CE90000000008EF +:041CEA0000016D1870 +:041CEB000000000DE8 +:041CEC0000016DB8CE +:041CED000000000BE8 +:041CEE0000016EBCC7 +:041CEF0000000005EC +:041CF00000016F98E8 +:041CF1000000000DE2 +:041CF20000016FFC82 +:041CF30000000006E7 +:041CF400000171007A +:041CF50000000009E2 +:041CF6000001717800 +:041CF700C896554BEB :00000001FF diff --git a/software/sys_controller_bsp/Makefile b/software/sys_controller_bsp/Makefile index 89072e0..e13195f 100644 --- a/software/sys_controller_bsp/Makefile +++ b/software/sys_controller_bsp/Makefile @@ -112,10 +112,10 @@ SOPC_FILE := ../../sys.sopcinfo #------------------------------------------------------------------------------- # Archiver command. Creates library files. -AR = riscv64-unknown-elf-ar +AR = riscv32-unknown-elf-ar # Assembler command. Note that CC is used for .S files. -AS = riscv64-unknown-elf-gcc +AS = riscv32-unknown-elf-gcc # Custom flags only passed to the archiver. This content of this variable is # directly passed to the archiver rather than the more standard "ARFLAGS". The @@ -139,10 +139,10 @@ BSP_CFLAGS_OPTIMIZATION = -Os BSP_CFLAGS_WARNINGS = -Wall # C compiler command. -CC = riscv64-unknown-elf-gcc -xc +CC = riscv32-unknown-elf-gcc -xc # C++ compiler command. -CXX = riscv64-unknown-elf-gcc -xc++ +CXX = riscv32-unknown-elf-gcc -xc++ # Command used to remove files during 'clean' target. RM = rm -f diff --git a/software/sys_controller_bsp/libhal_bsp.a b/software/sys_controller_bsp/libhal_bsp.a index f9f47158f36ec33b47d2b5c287cc3bcc7eb0ecbc..9f11e3b13a4dad663e6991ea8d13314ca229c520 100644 GIT binary patch delta 4535 zcmbuCdvH|M9mjw7-hJgo_K_#7KsI5Q#3bZJUZv}jY_iybO)><~;s{<4a0CY>Qd?n@ zY&Jm!#T+Hb;DcBltSw^hNIHy~WJyAxDWXzQTdXxe&_PDDfvGcz{r&dtO-|bBUpqOEU&EK8OJ97Mt}kxz`~aSO7j2~Ed{u35kLiU zm3?|$Is`zqejC7@s{vMH8?CP04M26>{{LMYdIA2kiVeL=tZ-1T508kYrKYd}!vY~n z88e&|BW7QePl#es(R1Q!Er$N)j!w^~K6_tgeZEo>-5^DR-iq@ri~@intN`;N3QSOI zafF<`CHV8Yu^ReqIvubU9c)dIw?7M=9;>(6o3G@|_{sm>3Co%Bk@Ajpxg^H`OqieKnW5OHDgJt-IKayd-`yqH#KEEkYG`T9=Vw?DR;l8X;fVk->MC zq{}ZVE@kG7`TpfNU3`0*OqJd>o^V0FL0NJpo@Ly7SG3R;w5GS4NH6^dK$kxt^eO?1O(jed+HbCv`u(>r*;9 zP$}m-;MHo|*v)wP+6}Pj^^&b&EWW%~yZ!Y9(T82@8}ygD@utR+=SOd}`&ug1-lYK@ z%=;jyQ={3HOeZsEdHq?mmcm5<8=k!p1t35&atfzsAG{Z~Ih~FC z1gG%@tb<*gu@KqA=`!SgPP>uYIbDf-l+#O)|Hl3iJ&Sp6HHp`N{p{9X`)P#ML^X=I zP(?V#`NW!kk@JZ)AGZp~*5d(7E>^Q4j8K869HYv(wLrE>qre|lcaexzFKon9 z4y`>bTdkuqKCN3Y3~Fn3e5Gs~gB{Bq z!7h)(yI5d(#dXTg1;;1fb?i9rzdJSzW=$^p=Bh4FR;zjo>Kr@it!Pn73lC0qa>S6t zzFmm>kCIkgIpx+Tv!>DoX?*wUHe%@4#@Fc)s`8Z&O5fymxFmLP5$$DfRXnMz`f18k ze|VSOw`o_FcP_Nn)^T%xi3`RW7KAHizS(*E~72)_GKHU-F3KzV#jM1 zOzR=+vhkW1l%(bDg4WBC`sxJ!chUCt%myi)nO4k=l7Lx_g8Z?l+cQ`qNgbJ2~vw}+3FiV6!^d`70T2p1+lfEb8|{69-BeJpPO z9YNgwoxQkMm#;4}M!r(4Vf|yjIH9*by47*c@Uip5tUy6aX1%<`Aj$?w3=Rfdm9g^H z8>0N<7zA8WT-;NIttUP5#>*gA8(}Fq7Y}Jq+H6*g`@r2B+`!sgjqXslO9a+tw7C02 z)rU5GSaGlKp-R-Ed$1&Ef@Ytba6=5Z0x{x>*V;Qf_MX5Y#p<87wHk$kKY#qm7v6u| zXAf5a&tTM$rtvpfDH%MAoexA5`S!> zzT2WpyeU+b^<>sJH`;{0al={18Ru|8XxXVOoRhl;C09$#vA~hG+>XN5%Mft($H+U* zo8;eoY?55MII&kbcS)37{ju`Ji{KZk-aS0>lr#}==;8#QBG!16@4f@MWhE50t@P{* zI)de=XAijtlO|Vv*(E7m7gy&CRd!YsN+X^wpZvWHvjbvvd-cq=eZj7Mj-b1B_R!2h z_hg%>Zwe}#u|-gKpu^qU=~Du-=Rn8XJ(>Rc=9-7RU*eZ@F@8B;Us-$V_R|4ZFh+6> z#Ju-hVc;0NzEb}7l1bhnQhX-{imr+2o^4By*EX@LvR^6^PNC_MyJQY1;maIbDl7 zi_If|RGS_HsM6}mY6DC%NP??hd|=>X~) zPVYkf7^nB3&f+wFas04cLN{Clpclr$>4T`voIZrwt_ug_L4eorIGfXNpgzvU(+B)8 zr{6~XTTUORPv}+7=)r*9obE^c1*cD;zRc+}sLyfwBh;U9`V-WYS?4Ze%5 zePEV<6p6|jtXBMO^rsd2`o^PPgJ;SW=z2El$B=1ZkY0+KKF_o$NI!sQtx)@iQGbVZ z7@4l`L4FS(#sO73;59T>;n*mxqJR);txyMlVYN$aEN}BILLR$gvr8-}VcR#SiG>T< zfz7VkLdacOTtbIpJd~E=FyH<{R))&x-iOnjej9Emz~Cb!_6nF8^n!w8ZLj zj=x0KlB_G7PYIV6{{zPp9Gh@%vLhLtotPdemJDQbB%{kC-x^6Pi%{f5GP-gKvZ@W9 zAhxM|m>MLge3Xm7NF2$FX%ov%Qe&=iF%#q`tG*5=JUd0@6cL}cIU?+MLE~KFR5hpw zIVTcBJCb>(>MtRt|0S@jL)JQdgnW8)uoJixN#4gqU zBgY|R^L*7GBFnT>|Je($Ag1&fLdekEP$07k&sEJt;=7=kB zeL9?U+7g|_hPRo8RqVnxmr%p>4K8uFj*|Jfmoc11ODS3&Yf7J`uQ369&6*n0^;dC0 z`PkNI8+)fAVKSk_%M_%+wdLiMI97HU#_K&z nzU$TUiIaezVss^+5p39E)xcDnpmBg*x1=+-u|iq5{fhK&`$upa delta 4505 zcmbuCe^^u38OP7fO>Tbh!-Rl9ixVOeNC5+p5S&tiKolsW6m(eI3b)iBt6EmEt5t)9 zAk0pj~t{7lgAN4ro%-@I?)py zahogyY5nlokE`|9cRc75zu)aLkhNDJM{O z+%$NP=D1%dqvOlD67l7hCjNfhGoDr_fst-VG8^0`>empEMf@9D;+EE>vO}qJ z^6YkMOilN$f|X*s<2p`iK63IRNxM+~NzF&|&MY|n=#cQ4U$zo2sq)|M^?BmJJe6EMXVz4!F8O-K#Fsr>z+>L%Av1!Vv;S~Z z+%;bl@HCh3`?W;2iW4J=Swr}yqs_5Wd>4^!ZRAG(bZM^oj-sS<8;N=3)&%j|*Wjt) z%`GDn!jFY5asH@T)1tAA^j_M!_;$e4I$Iu=FIAISA8XW#~2yHd2 zIAVtSDMrTw!{-w&9Jv>$SdrjA8TfHVrvi5~Ivw~LqcefuVYHo)8ghU!T=2t5C$?2XcQ#coWF#Eo{aVvrD z$evd6-EWRFSx&vK-9v2c`LJ*s$~0wEa|5?65r&k#I67Z6kC1h>7XNTjV~M7L8l5%L zqtgy4;ox9YXl(m`k1Y(@g32g?(%~Xohx`;AAI8~T+%6?Jb}Hjw;5wa$v4(Tb9ycb? z2G_I{c5pe?2;)N+aiwnQpzD`x2wXc8qusXRO_FO?!uV+M^vU~`hV-H&wqa2*K6_j7 zYpk)d6piajAJZ}`dAky0ZpH(P*$3{gM$b>Hr?K5bM*3;>F6rh&-Q3-vnn?H6WyiAb zgaL5dVm_T-sY}-D2u;v(;#r+!n)ev{j2G(h8NaQ+#XjToLNs!Zyv7FY!wqQss^KW( zsh*x&;KcBohMSUodIT|$oDX=bCK8T@9zPrnY5fq%?`fJ2kvw#M(YmI!OZzl21np0&DsKb*l!q|P4Pwf>GQR&-<)h5kD^5 zw_|V5*7so=k(w>$4lTE{qHEKx54$``{mbjVds?KxN#PsR62I2bQOZkRe-rOmJ&(J( z`GFT5g221mUf*(j?2%rRx~n&%uC2B1gJ+v9A1yc`oJ~7Ze!6O?=G45Cj|LkDZ0)(5 zGU_G;eb)8}-Z~KBeEvYsf%YRm7013L;y0Ix&!&#rP$6BYgDDO~ir4QDt~5|>sqL;+ z)ep~YEI(6TToI_SRC-HcK9_jIm@h*e#Z^jryIZ=wgNW_ZImZjr-9vtha+;B)H+b-I z=)2tF(>AWAt9+v*JjbPVus-#p4uj2o@@FTv`wb1D7kF!a{osQzO+QR?FyhEdj?Q<< zTl2-yzX{@VR|K~g(i&%bpu_36bc}y%Z+G~c@~6UYx1_fdmR;n^sDElJEWF9A)2V2u zuEflnjpCe+P~x+}1Nj8k*6MgMNO(^)(Fl^ZSLM)Z`KwzT&%|@*w@4cotB(1mkTG(j zx6t3#+I$Rm;jiaE@*!Qe0;c_8(EW^F1o{g`HxWXQFEe@58QV(mc@yHUTsX1{z{TiiL02;x z4<09@eV~^yx)XEwJy_HO}w4X!?5Y~KRBAAS+|kAm7l-ULGtOb}lb9R@%v1qV1sbLN<-u}V!dmnU)# zTDIJ(a^%sK%TrXj`Luhv^&uz8uFSVXgiaaEI{dUAz_z-e5i8l z=w6Tql`B-Cw=0+S@o{wWitE(4GQqn9W)y?@8x&+EYgz%X5fc{3ioF}{^8Xxytk^$6 zdw3jV#l8sM{+@8gg{;`00KQisKL|Y-h0<^lJ759{1zEBG13QH6$clX!IA(_IKg`pc zD+69ks+45w3d7fcl{{*gvEO7^4QrtE)iG=YR{EwR!&!+X6F4iJn>o>L3}=poAdKN` zt{fX;OAE7ZU zlA%H2T;xRA3B!)@9+?}F@h<|D)xgR?t!T$D1IjCmeIIbvB-wrd?cbC69fdJ-?gwEE zHv}2R@MD}TcbEa3l`J!UB$+8P&q21xT!lPE=7qqwW}~i{~UBC)wfu=RWt|cPFmk$6X diff --git a/software/sys_controller_bsp/public.mk b/software/sys_controller_bsp/public.mk index 34bc070..b57a1a2 100644 --- a/software/sys_controller_bsp/public.mk +++ b/software/sys_controller_bsp/public.mk @@ -259,7 +259,7 @@ ALT_CPPFLAGS += -DSMALL_C_LIB # or common. none # setting hal.make.cflags_mgpopt is -mgpopt=global #ALT_CFLAGS += -mgpopt=global -ALT_CFLAGS += -march=rv32imc -mabi=ilp32 +ALT_CFLAGS += -march=rv32emc -mabi=ilp32e # Enable BSP generation to query if SOPC system is big endian. If true ignores # export of 'ALT_CFLAGS += -meb' to public.mk if big endian system. none diff --git a/sys.qsys b/sys.qsys index 673ccbe..c4dcdce 100644 --- a/sys.qsys +++ b/sys.qsys @@ -725,7 +725,7 @@ - + diff --git a/sys.sopcinfo b/sys.sopcinfo index f55862c..a219d82 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1539199706 + 1540839221 false true false @@ -12922,7 +12922,7 @@ the requested settings for a module instance. --> boolean - false + true false true true From 3e618cae2c8ece53c6e53cfba6fe7e4d25346f8b Mon Sep 17 00:00:00 2001 From: marqs Date: Sat, 3 Nov 2018 18:22:57 +0200 Subject: [PATCH 18/21] decrease minimum V.active limit --- software/sys_controller/tvp7002/video_modes.h | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/software/sys_controller/tvp7002/video_modes.h b/software/sys_controller/tvp7002/video_modes.h index bec8e7d..487bb11 100644 --- a/software/sys_controller/tvp7002/video_modes.h +++ b/software/sys_controller/tvp7002/video_modes.h @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2017 Markus Hiienkari +// Copyright (C) 2015-2018 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -35,7 +35,7 @@ #define V_SYNCLEN_MAX 7 #define V_BPORCH_MIN 1 #define V_BPORCH_MAX 63 -#define V_ACTIVE_MIN 200 +#define V_ACTIVE_MIN 160 #define V_ACTIVE_MAX 1200 typedef enum { From 97247d1f33d65704c9a81dd1375e2424e533db2f Mon Sep 17 00:00:00 2001 From: marqs Date: Sat, 3 Nov 2018 18:24:39 +0200 Subject: [PATCH 19/21] unite audio & non-audio avconfig struct --- software/sys_controller/ossc/avconfig.h | 2 -- 1 file changed, 2 deletions(-) diff --git a/software/sys_controller/ossc/avconfig.h b/software/sys_controller/ossc/avconfig.h index d5296ef..2fd5afc 100644 --- a/software/sys_controller/ossc/avconfig.h +++ b/software/sys_controller/ossc/avconfig.h @@ -105,11 +105,9 @@ typedef struct { alt_u8 full_tx_setup; alt_u8 vga_ilace_fix; alt_u8 reverse_lpf; -#ifdef ENABLE_AUDIO alt_u8 audio_dw_sampl; alt_u8 audio_swap_lr; alt_u8 audio_gain; -#endif color_setup_t col; avinput_t link_av; } __attribute__((packed)) avconfig_t; From 978ac04a437f916bd5d3b26b4007fc0cee07ef5c Mon Sep 17 00:00:00 2001 From: marqs Date: Sat, 3 Nov 2018 18:28:30 +0200 Subject: [PATCH 20/21] add name to profile struct --- ossc.qsf | 2 +- .../mem_init/sys_onchip_memory2_0.hex | 11391 ++++++++-------- software/sys_controller/ossc/av_controller.c | 8 +- software/sys_controller/ossc/menu.c | 4 +- software/sys_controller/ossc/userdata.c | 36 +- software/sys_controller/ossc/userdata.h | 5 +- 6 files changed, 5756 insertions(+), 5690 deletions(-) diff --git a/ossc.qsf b/ossc.qsf index 0ce1aba..bdb144a 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -224,7 +224,7 @@ set_global_assignment -name ENABLE_SIGNALTAP OFF set_global_assignment -name USE_SIGNALTAP_FILE output_files/ossc_la.stp set_global_assignment -name FITTER_EFFORT "AUTO FIT" -set_global_assignment -name SEED 16 +set_global_assignment -name SEED 12 diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 1e15479..6d19bf9 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -45,9 +45,9 @@ :04002C000000911728 :04002D00F5010113C5 :04002E000000771740 -:04002F0032870713FA +:04002F003CC70713B0 :0400300000008797AE -:040031008507879325 +:0400310090478793DA :0400320000F7576319 :04003300000720237F :04003400DDE30711F0 @@ -56,41 +56,41 @@ :04003700C4064501B5 :04003800200520057A :04003900A60367DDD6 -:04003A0067DD3E0739 -:04003B003E47A58314 +:04003A0067DD4847EF +:04003B004887A583CA :04003C0040A267DD9A -:04003D003E87A50352 +:04003D0048C7A50308 :04003E00206F0131FD -:04003F00A3A10AE08F +:04003F00A3A10B402E :0400400067DD808276 :04004100000207377B -:040042003EE7A823CA +:0400420048E7AA23BE :0400430007B7655D39 :04004400675D019C57 :04004500CC078793CA -:04004600A8450513B1 -:040047003EF7262337 +:04004600B285051367 +:0400470048F728232B :04004800675DAE49F9 -:040049003EC7270384 +:040049004907270339 :04004A00A78367DD44 -:04004B00557D3F0799 +:04004B00557D49474F :04004C004721CB116C :04004D006741C3D86C :04004E00C798177DBB :04004F004711C7D8B6 :040050004501C3D8CB :0400510067DD808265 -:040052003EC7A7837B +:040052004907A78330 :04005300CF91557D77 :04005400A70367DDBA -:0400550028233F0716 +:0400550028234947CC :040056004B1C000738 :0400570007C24B4849 :0400580083C1054219 :0400590045138D5D61 :04005A008082FFF5AC :04005B00A50367DDB5 -:04005C0080823EC799 +:04005C00808249074E :04005D002703C93973 :04005E0011510C052B :04005F0000859793EE @@ -174,7 +174,7 @@ :0400AD00953E8626D0 :0400AE00CC3ACE1A60 :0400AF00C836CA166F -:0400B000129040EF7B +:0400B0001B3040EFD2 :0400B10007B3101071 :0400B200C03E0096B6 :0400B3008793678543 @@ -273,7 +273,7 @@ :04011000C222853A48 :040111008436C40666 :0401120040EFC026D4 -:04011300872A79E0DE +:04011300872A0290A5 :0401140025B76605A0 :04011500652100025E :040116000613468105 @@ -308,7 +308,7 @@ :04013300E963FEB7C7 :0401340066D902F690 :040135008693078A1C -:0401360097B68206F0 +:0401360097B68AC628 :040137008782439CDC :040138002000079309 :040139000D05268307 @@ -341,7 +341,7 @@ :04015400D918DD5C7D :0401550002052A2352 :04015600C134DD10C3 -:0401570032858593D5 +:040157003CC585938B :0401580040A222019E :04015900853E478117 :04015A00808201316D @@ -408,7 +408,7 @@ :04019700BFD5078544 :04019800451CC11928 :0401990067DDEF919E -:04019A003247A783BE +:04019A003C87A78374 :04019B001151C395A6 :04019C009782C4067C :04019D0047D940A25C @@ -419,7 +419,7 @@ :0401A200C188C3C885 :0401A3008082450110 :0401A4000513656179 -:0401A50047D990C5E1 +:0401A50047D99C0595 :0401A6005529C11CFA :0401A70067338082B8 :0401A80053FD00B54E @@ -595,7 +595,7 @@ :04025200BF5901315E :04025300C222115161 :040254000413645DCE -:040255004583404459 +:0402550045834A840F :040256004515002426 :040257003749C40659 :0402580000244783B4 @@ -671,9 +671,9 @@ :04029E00359D0C502E :04029F0064DD4702D1 :0402A0008693479268 -:0402A100C698404477 +:0402A100C6984A842D :0402A20084934705F5 -:0402A3004409404486 +:0402A30044094A843C :0402A4008363462208 :0402A500442900E701 :0402A6008223470563 @@ -854,12 +854,12 @@ :040355008432C036F8 :040356003659CA0644 :04035700675D468216 -:040358004047061301 +:040358004A870613B7 :0403590097938A8567 :04035A0046830016C0 :04035B009AF500C649 :04035C0007938EDD98 -:04035D0006234047EC +:04035D0006234A87A2 :04035E00C03E00D6C7 :04035F00C2998A892C :040360003F494505C7 @@ -900,11 +900,11 @@ :0403830047C104F476 :04038400906365DD40 :04038500460D16F417 -:040386003305859323 +:040386003D458593D9 :040387000730051323 :0403880065DD34F902 :0403890085934649C9 -:04038A00A83DB8854D +:04038A00A83DC2C503 :04038B000405E593ED :04038C00E593BFA591 :04038D00BF8D080513 @@ -915,11 +915,11 @@ :040392007413FA04E2 :04039300B7750FF437 :04039400460D65DDD0 -:0403950033458593D4 +:040395003D8585938A :040396000730051314 :04039700A93FF0EF9B :04039800464965DD90 -:04039900B9C58593CA +:04039900C40585937F :04039A0007600513E0 :04039B00A83FF0EF98 :04039C000513448978 @@ -981,17 +981,17 @@ :0403D400450544B2E5 :0403D50080820161C0 :0403D600460D65DD8E -:0403D7003345859392 +:0403D7003D85859348 :0403D80007300513D2 :0403D90098BFF0EFEA :0403DA00464965DD4E -:0403DB00B74585930A +:0403DB00C1858593C0 :0403DC00460DBDE528 -:0403DD0033058593CC +:0403DD003D45859382 :0403DE0007300513CC :0403DF00973FF0EF65 :0403E000464965DD48 -:0403E100B605859345 +:0403E100C0458593FB :0403E2004401B5C558 :0403E300B5D54481C7 :0403E400051345E1D7 @@ -1016,9 +1016,9 @@ :0403F7000590051355 :0403F800F06F013170 :0403F90067DD8FFF2E -:0403FA00A8478793F6 -:0403FB002A23675DED -:0403FC00A70340F71C +:0403FA00B2878793AC +:0403FB002C23675DEB +:0403FC00A7034AF712 :0403FD0005130C8751 :0403FE00CB01F380BC :0403FF000D47A703FC @@ -1027,7 +1027,7 @@ :0404020080824501AE :0404030086AE67DD7D :04040400A50385AA1D -:040405001151414709 +:0404050011514B87BF :04040600F0EFC40649 :04040700C119C02F28 :04040800F370051375 @@ -1039,7 +1039,7 @@ :04040E00872E86AA05 :04040F0064DD062280 :04041000A503C385F8 -:04041100759341445A +:0404110075934B8410 :0404120005A2F0044B :040413009EAFF0EFB9 :040414000513C119F2 @@ -1047,7 +1047,7 @@ :0404160044B2444266 :04041700808201617D :04041800A503C22A4C -:04041900C42E414468 +:04041900C42E4B841E :04041A00C03285B2B5 :04041B0097EFF0EF78 :04041C0046924602BC @@ -1092,19 +1092,19 @@ :04044300B61346A204 :0404440085BA001461 :04044500C236853600 -:0404460077C020EF6C +:04044600007020EF33 :040447008493872AE9 :0404480046921004C4 :040449001141B77D29 :04044A00645DC42207 :04044B000513C02AAB -:04044C00C60641841B -:04044D007D6030EFAF +:04044C00C6064BC4D1 +:04044D00061030EF76 :04044E00E9094582F1 :04044F00200007136F :04045000460146819A -:0404510041840513CA -:0404520010B030EFC7 +:040451004BC4051380 +:04045200195030EF1E :04045300442240B24D :040454008082014160 :04045500C822112187 @@ -1120,9 +1120,9 @@ :04045F0067DD010351 :04046000468183410D :040461008513862257 -:04046200C41E4187EC +:04046200C41E4BC7A2 :0404630030EFC21A9A -:0404640043120C50E3 +:04046400431214F03B :04046500C90143A2E4 :0404660040A005337A :04046700444240D2F9 @@ -1137,29 +1137,29 @@ :04047000406484B3AD :0404710065DDB74549 :040472008593655DAC -:04047300051346C562 -:04047400206F42C5EE -:0404750065DD12D05F +:040473000513510517 +:04047400206F4D05A3 +:0404750065DD1B70B6 :040476008593655DA8 -:0404770005134D0517 -:04047800206F4405A8 -:04047900115111D03C +:0404770005135745CD +:04047800206F4E455E +:0404790011511A7093 :04047A00C406C222D0 :04047B00F0EF842AF0 :04047C0045819B3FDC :04047D00F0EF450156 :04047E00E435A9BFF9 :04047F000713675D9B -:04048000434848079E +:040480004348524754 :04048100019C07B71C :04048200CC07879389 :0404830002A7D7B342 :0404840000A70603C4 :04048500450345F1F5 :0404860066DD010727 -:0404870056C686933C +:0404870062068693F0 :040488000413645D98 -:0404890006334EC424 +:0404890006335904D9 :04048A00458302B6EE :04048B0087B30264CD :04048C0096B202A77B @@ -1190,14 +1190,14 @@ :0404A500AB3FF0EF8A :0404A600645DE49D10 :0404A700879367DDF3 -:0404A80004134EC724 -:0404A900C6834804BA +:0404A80004135907D9 +:0404A900C683524470 :0404AA00470301679C :0404AB00460100F412 :0404AC004501458140 :0404AD00D08FF0EF0D :0404AE00879367DDEC -:0404AF00C7834EC7EA +:0404AF00C78359079F :0404B00007A3016736 :0404B100852602F4A6 :0404B20044123F3978 @@ -1207,21 +1207,21 @@ :0404B600C406115116 :0404B700ED2DC22243 :0404B800C78367DDB2 -:0404B900472145177B +:0404B90047214F5731 :0404BA0004E78C6364 :0404BB0089634741C9 :0404BC00471100E7FD :0404BD0004E79E634F -:0404BE00621020EFB9 +:0404BE006AB020EF11 :0404BF00A0A14505AE :0404C0000713675D5A -:0404C1004350480755 +:0404C100435052470B :0404C200019C07B7DB :0404C300CC07879348 :0404C40002C7D7B3E1 :0404C50000A7060383 :0404C600675D45F138 -:0404C70056C70713FA +:0404C70062070713AE :0404C800063386AAC7 :0404C900973202B6AE :0404CA0000E75703ED @@ -1230,19 +1230,19 @@ :0404CD0037F70713E3 :0404CE0000F763636D :0404CF00853646BD6B -:0404D0005D9020EF2C +:0404D000663020EF83 :0404D1004412450D7F :0404D200013140A212 -:0404D3001950206F2D -:0404D4005C9020EF29 +:0404D30021F0206F85 +:0404D400653020EF80 :0404D500BFC5450159 :0404D600871367DD44 -:0404D70046834EC743 +:0404D70046835907F8 :0404D80047090207C7 -:0404D9004EC7841373 +:0404D9005907841328 :0404DA008363453DB6 :0404DB00450100E6F1 -:0404DC005A9020EF23 +:0404DC00633020EF7A :0404DD00020447834B :0404DE00450D470978 :0404DF00FCF775E3CE @@ -1256,20 +1256,20 @@ :0404E7000C07268355 :0404E8000006D463D3 :0404E900FBFD17FD03 -:0404EA00014030EFAE +:0404EA0009E030EF06 :0404EB00879362DDB4 -:0404EC00C783480278 +:0404EC00C78352422E :0404ED00842A00B7A6 -:0404EE0048028493A9 +:0404EE00524284935F :0404EF001737C7995B :0404F000278300025C :0404F10083C10C07B0 :0404F20005138B8DD6 :0404F300C63E03708E -:0404F40018F020EFED +:0404F400219020EF44 :0404F5000513C02A01 :0404F60020EF038070 -:0404F70045821850D2 +:0404F700458220F02A :0404F80016936605EC :0404F9000613008561 :0404FA008EF1F00689 @@ -1278,10 +1278,10 @@ :0404FD000513C22AF7 :0404FE00C43603906D :0404FF0000C484A30E -:0405000015F020EFE3 +:040500001E9020EF3A :040501000513C02AF4 :0405020020EF03A043 -:040503001637155042 +:0405030016371DF09A :0405040047B20002F8 :040505000C062603B7 :04050600438245895E @@ -1304,8 +1304,8 @@ :0405170047850015FF :0405180000B4C30365 :04051900675D665D57 -:04051A003F4606133F -:04051B004EC70713AD +:04051A0049860613F5 +:04051B005907071362 :04051C001A031A6341 :04051D003C04026335 :04051E003C058063B5 @@ -1315,7 +1315,7 @@ :040522004605000684 :0405230000C485A3E8 :04052400A6034411D5 -:040525001313480262 +:040525001313524218 :0405260065050085E2 :04052700F0050513C3 :0405280000A3733386 @@ -1328,7 +1328,7 @@ :04052F0000661663E9 :040530000084C6037A :0405310036F60D632A -:040532004C0586A34B +:04053200560588A33F :0405330000C7458335 :040534000254C603A4 :0405350008C59A63F8 @@ -1378,7 +1378,7 @@ :0405610045F100A4BC :0405620002B60633A4 :04056300859365DD3A -:04056400962E56C5B4 +:04056400962E620568 :0405650001065583B3 :0405660020D0061388 :0405670000C59963CF @@ -1386,12 +1386,12 @@ :0405690000B4736304 :04056A0074134609B7 :04056B00665D0FF6C4 -:04056C004E5646039E +:04056C005896460354 :04056D008603CE2112 :04056E0045F100A4AF :04056F00063363DD0F :04057000839302B6B9 -:04057100C50356C3A5 +:04057100C503620359 :04057200C08301043D :0405730015930324B5 :0405740081C101033D @@ -1404,12 +1404,12 @@ :04057B008A05820566 :04057C00C21AC43E9D :04057D0020EFC03675 -:04057E00675D121093 +:04057E00675D1AB0EB :04057F00431246825B :0405800062DD47A24F -:040581004EC7071347 +:0405810059070713FC :040582004405E0113B -:0405830048D2A02397 +:0405830052D2A2238B :040584000064A2234A :0405850000F48423D7 :040586000585A02D1A @@ -1423,7 +1423,7 @@ :04058E00000485A33D :04058F009AE3441196 :0405900067DDE4053A -:040591004EC7C60388 +:040591005907C6033D :040592000194C68387 :0405930006D61E6307 :040594000017460303 @@ -1461,65 +1461,65 @@ :0405B4000314C683E3 :0405B50000A68D63AC :0405B6000104C583F4 -:0405B7002E1020EFF3 +:0405B70036B020EF4B :0405B80088A367DDD0 :0405B900871300A400 -:0405BA00E0114EC737 +:0405BA00E0115907EC :0405BB0045034405AB :0405BC00C68301C72A :0405BD0087630354F9 :0405BE0020EF00A684 -:0405BF0067DD2FB015 -:0405C0004EC7871388 +:0405BF0067DD38506C +:0405C000590787133D :0405C10001D7450316 :0405C2000364C68385 :0405C30000A68763A4 -:0405C40078C020EFEC +:0405C400017020EFB3 :0405C500871367DD54 -:0405C60045034EC7D4 +:0405C6004503590789 :0405C700C68301E7FF :0405C80087630374CE :0405C90020EF00A679 -:0405CA0067DD780071 -:0405CB004EC787137D +:0405CA0067DD00B039 +:0405CB005907871332 :0405CC0002174503CA :0405CD0003A4C6833A :0405CE000227458338 :0405CF0000A6966389 :0405D00003B4C68327 :0405D10000B6876386 -:0405D200736020EF43 +:0405D2007C0020EF9A :0405D300871367DD46 -:0405D40045034EC7C6 +:0405D400450359077B :0405D500C68301B721 :0405D6008E630344E9 :0405D70046E100A653 :0405D80002D5053310 :0405D900869366D9C6 -:0405DA00953663C629 -:0405DB000B5020EFB2 +:0405DA0095366E06DE +:0405DB0013F020EF0A :0405DC00871367DD3D -:0405DD0045034EC7BD +:0405DD004503590772 :0405DE00C6830207C7 :0405DF008763039497 :0405E000F0EF00A692 :0405E10067DDB57F9E -:0405E2004EC7871366 +:0405E200590787131B :0405E30001F74503D4 :0405E4000384C68343 :0405E50000A6846385 -:0405E6001A5020EF98 +:0405E60022F020EFF0 :0405E70065DD66DD8B -:0405E80051568513D0 +:0405E8005B96851386 :0405E9008593461D93 -:0405EA0030EF4C257D -:0405EB0067DD418007 +:0405EA0030EF566533 +:0405EB0067DD4A205E :0405EC00871366DD2E -:0405ED00C9014EC72B -:0405EE0051568513CA -:0405EF006F2020EF6A +:0405ED00C9015907E0 +:0405EE005B96851380 +:0405EF0077C020EFC2 :0405F000871367DD29 -:0405F10046034EC7A8 +:0405F100460359075D :0405F200C683026753 :0405F300186303F492 :0405F400460300D6E4 @@ -1528,33 +1528,33 @@ :0405F700450300D6E2 :0405F800F0EF0157C8 :0405F90067DDA05FBB -:0405FA004EC787134E +:0405FA005907871303 :0405FB00C68366DD70 -:0405FC00CE814E66F8 +:0405FC00CE8158A6AE :0405FD000287450329 :0405FE000414C70317 :0405FF0000A70763E7 :040600000562155129 :0406010020EF856100 -:0406020067DD1AC0D6 -:040603004EC78593C6 +:0406020067DD23602D +:04060300590785937B :0406040001948513C5 :0406050003100613C5 -:040606003D0030EF94 +:0406060045A030EFEC :0406070040E2852226 :0406080067DD445214 -:040609004E0782A373 +:04060900580784A367 :04060A00017144C274 :04060B00137D808259 :04060C000066002361 :04060D00B5214401CE :04060E0000060023BF :04060F00C603BFE57A -:0406100045054CD57B +:040610004505571530 :04061100C8A604E390 :040612007613060550 -:0406130086A30FF6B5 -:040614001DE34CC5D1 +:0406130088A30FF6B3 +:040614001DE356C5C7 :040615004589C6A6A7 :040616007363862262 :04061700460900B4DC @@ -1562,14 +1562,14 @@ :040619001101B1A575 :04061A00CE22675D28 :04061B000693CC2650 -:04061C008503480703 +:04061C0085035247B9 :04061D0047F100A6FB :04061E0007B3635D5E :04061F00061302F5C7 -:04062000041356C3A6 -:04062100C58356C374 +:04062000041362035A +:04062100C583620328 :0406220007130106B3 -:0406230097B248073B +:0406230097B25247F1 :0406240000C7D60332 :040625000147C28344 :04062600C603C03215 @@ -1612,7 +1612,7 @@ :04064B00479163412F :04064C00FA661BE34C :04064D004383635D23 -:04064E0043214513EC +:04064E0043214F53A2 :04064F00006396634B :0406500002C202865A :040651000102D2933D @@ -1731,13 +1731,13 @@ :0406C2001111BF4D06 :0406C300CA2267DD03 :0406C400645D470525 -:0406C5004CE786A3D5 -:0406C600480407934A +:0406C50056E788A3C9 +:0406C6005244079300 :0406C7000047A283C3 :0406C800CC06C8266E -:0406C900480404934A +:0406C9005244049300 :0406CA001A0282632B -:0406CB0048042703B5 +:0406CB00524427036B :0406CC0018070E639A :0406CD00A0EEC7B71D :0406CE00B007879357 @@ -1750,65 +1750,65 @@ :0406D5000257D2B343 :0406D60003B0051355 :0406D700C21EC61663 -:0406D8001FE020EF10 +:0406D800288020EF67 :0406D9000513C42A17 :0406DA0020EF03C04A -:0406DB00C7831F4072 +:0406DB00C78327E0CA :0406DC00C6030184CC :0406DD0043920084C0 :0406DE000027971347 :0406DF00879367D9BD -:0406E00097BA89C775 +:0406E00097BA9287AC :0406E1002703439414 -:0406E200079348042E +:0406E20007935244E4 :0406E30042B2070018 :0406E4000793E2197D :0406E50066370690DE :0406E600655D00014D -:0406E700950606135B +:0406E7009DC6061393 :0406E800051345C5EC -:0406E900C2164405EC +:0406E900C2164E45A2 :0406EA0030EFC61E09 -:0406EB0042921160C6 +:0406EB0042921A001D :0406EC00079343B27B :0406ED00069306402A :0406EE00655D3E8088 :0406EF0002F2D73309 :0406F000051345C5E4 -:0406F100F6334D058A +:0406F100F633574540 :0406F200C23A02D333 :0406F30002F2F2B36A :0406F400472987BA51 :0406F50002E657338F :0406F6000001663762 :0406F7000613C01610 -:0406F800D6B395865A +:0406F800D6B39E4691 :0406F90030EF02D309 -:0406FA0067DD0DA00B -:0406FB005697C783C4 +:0406FA0067DD164062 +:0406FB0060D7C7837A :0406FC00F0EFE3999F :0406FD0067DDDE4F88 -:0406FE004E47C6831A +:0406FE005887C683D0 :0406FF00C583461257 :040700002503008449 -:0407010020EF480499 -:0407020067DD00109F +:0407010020EF52444F +:0407020067DD08B0F7 :0407030086BE577DDA :040704000CE5056398 :0407050000A48523A4 :0407060000A48703C1 :04070700467167DDF3 -:0407080042E783231E +:040708004CE7852312 :0407090002C70733E9 :04070A00879367DD8D -:04070B00C50356C705 -:04070C00C6834E460C +:04070B00C5036207B9 +:04070C00C6835886C2 :04070D0040D00104D3 :04070E000324C2837B :04070F00C70397BACB :040710008D79016777 -:0407110008A3675D75 -:04071200D58344A7A0 +:040711000AA3675D73 +:04071200D5834EA796 :04071300472200E792 :0407140002D585B3D2 :040715000FF7771350 @@ -1820,12 +1820,12 @@ :04071B004F940002F5 :04071C008A858285C3 :04071D000FF7771348 -:04071E005F0020EF69 +:04071E0067A020EFC1 :04071F000394C50377 :04072000E58FF0EF82 :040721000104C58387 :040722000314C503F4 -:04072300530020EF70 +:040723005BA020EFC8 :0407240000A488A302 :04072500BD3FF0EFF5 :0407260002E4C7839F @@ -1857,5561 +1857,5602 @@ :04074000017144C23D :040741001151808250 :0407420064DDC0268C -:040743004294C50314 -:04074400C406C22203 -:04074500021010EF9F -:04074600E121842AFF -:040747004294C6838F -:04074800472967DDF9 -:0407490046D78EA35E -:04074A00C78367DD1D -:04074B00856351C7AA -:04074C00675D00E7FE -:04074D0042F705A3C7 -:04074E00C70367DD99 -:04074F00478147E7B0 -:0407500067DDC70199 -:0407510042B7C78361 -:040752000713675DC5 -:0407530097BA45C745 -:040754008023453D7C -:0407550010EF00D7CA -:04075600852269800F -:04075700441240A266 -:0407580001314482A5 -:040759001151808238 -:04075A0064DDC02674 -:04075B004294C503FC -:04075C00C406C222EB -:04075D0067A010EF92 -:04075E00E51D842AE7 -:04075F004294C68377 -:040760008EA367DD20 -:0407610067DD46D733 -:0407620047E7C7039B -:04076300C701478102 -:04076400C78367DD03 -:04076500675D4987FC -:0407660045C7071369 -:04076700453D97BABB -:0407680000D7802313 -:0407690064A010EF89 -:04076A0040A2852202 -:04076B00448244126E -:04076C008082013155 -:04076D00C70367DD7A -:04076E0046F14267A7 -:04076F00862367DD99 -:0407700007334CE718 -:0407710067DD02D767 -:0407720056C787934C -:04077300D68397BAD8 -:04077400675D00E7D6 -:0407750046D714232C -:040776000147C683EE -:040777001423675D83 -:04077800C6834ED70F -:04077900675D012790 -:04077A0042D712232D -:04077B0000A7D6837A -:04077C001D23675D75 -:04077D00C68344D714 -:04077E00675D01575B -:04077F0044D719231F -:040780000137C683F4 -:040781001123675D7C -:04078200D7034ED774 -:0407830067DD00C767 -:0407840044E79B2388 -:0407850067DD80822A -:04078600C603115144 -:0407870067DD4CC717 -:04078800D483C2262E -:0407890067DD46875B -:04078A00D403C422AE -:04078B0067DD4E8751 -:04078C004247C3839A -:04078D00D28367DDCF -:04078E0067DD45A737 -:04078F004527D30324 -:04079000C58367DDD9 -:04079100675D4E272B -:04079200D78367DDC5 -:04079300071345679C -:0407940086AA4807E2 -:0407950000B7450361 -:0407960067DDC03E1D -:0407970056C7879327 -:040798000703C93159 -:04079900176300A73B -:04079A00477104C7D8 -:04079B0002E6073338 -:04079C005503973E2C -:04079D001B6300E7F3 -:04079E004503029578 -:04079F001763014794 -:0407A0004503028586 -:0407A10013630127B6 -:0407A2005503027584 -:0407A3001F6300A729 -:0407A40045030055B4 -:0407A5001B6301577A -:0407A60045030065A2 -:0407A700176301379C -:0407A800570300B53E -:0407A900450200C73E -:0407AA0000A706633B -:0407AB004505675D3C -:0407AC004EA702A3AF -:0407AD000633477157 -:0407AE00655D02E69D -:0407AF0046C5051323 -:0407B00089A397B2D0 -:0407B1008A2300B7E0 -:0407B2008923008710 -:0407B3008AA300779E -:0407B4004702006791 -:0407B5009723442220 -:0407B60044920097D2 -:0407B700005795232F -:0407B80000E796239D -:0407B900000166379E -:0407BA005FC60613FD -:0407BB00013145C5FE -:0407BC005D10206F3D -:0407BD00C40611510C -:0407BE0047C5C22247 -:0407BF0000021437E9 -:0407C0000EF42023F0 -:0407C1000E042023DF -:0407C2000A042823DA -:0407C3002823650979 -:0407C400051308040D -:0407C500E0EF7105EB -:0407C60007139E8FE8 -:0407C70067DD1D10BD -:0407C80044E79A2345 -:0407C90000031537DD -:0407CA001D10079364 -:0407CB000EF42023E5 -:0407CC00D405051338 -:0407CD009CAFE0EF0E -:0407CE0000062637C4 -:0407CF00019C05B7CD -:0407D0000404051305 -:0407D100A80606135D -:0407D200CC0585933A -:0407D300E26FE0EF02 -:0407D40030F010EF02 -:0407D500549010EF3D -:0407D600C941547948 -:0407D70010EF4501D9 -:0407D8000793601013 -:0407D90054750FF054 -:0407DA0008F50163BA -:0407DB001F8020EF6C -:0407DC00E0EF4509FC -:0407DD0047CD95FF70 -:0407DE0018635471D7 -:0407DF00E0EF06F54C -:0407E00010EF9CFF7B -:0407E100C5092530F1 -:0407E200470567DD83 -:0407E3004EE7832337 -:0407E400856FF0EF3E -:0407E500ED39842A3C -:0407E60065D92D950F -:0407E7000613655D33 -:0407E80085930310E2 -:0407E90005139F85D0 -:0407EA0020EF49951E -:0407EB0065D943F099 -:0407EC000613655D2E -:0407ED00859303608D -:0407EE000513B685B4 -:0407EF0020EF5205A0 -:0407F000453D42B091 -:0407F100570010EFAE -:0407F200C50367DDF7 -:0407F30010EF47D7E5 -:0407F40017B756607D -:0407F500A7830002D4 -:0407F60097130D0741 -:0407F700436300E771 -:0407F800259D000734 -:0407F900F0EF4501D7 -:0407FA008522A88F1D -:0407FB00441240A2C2 -:0407FC0080820131C5 -:0407FD00BFD5547D93 -:0407FE00C78367DD69 -:0407FF00110142772B -:040800000785CC227A -:040801000FF7F79363 -:0408020007C207B270 -:04080300C63E83C1A9 -:040804005783645D55 -:0408050047324544ED -:04080600CA26CE062A -:0408070067218FD9FD -:040808001A238FD947 -:04080900173744F465 -:04080A00663700024B -:04080B0064DD0001A7 -:04080C000EF72023A0 -:04080D008C860613BC -:04080E00851345C544 -:04080F0020EF46C4CC -:04081000F0EF48308D -:040811006741984F54 -:040812004785177D82 -:040813001737C83A91 -:040814002683000235 -:0408150046420D0743 -:04081600C2368EF167 -:04081700C2D5E3DD86 -:04081800869366DD80 -:04081900D6035206AA -:04081A00459201669C -:04081B004544578376 -:04081C000EB61E6393 -:04081D0016FD76F559 -:04081E001A238FF515 -:04081F00202344F45A -:0408200086230EF726 -:04082100F0EF4604AA -:040822002537940FD3 -:0408230005130006B3 -:04082400E0EFA80554 -:04082500578386CFA0 -:0408260047324544CC -:0408270005136691BE -:040828008FD93E80A6 -:040829001A238FD52A -:04082A00173744F444 -:04082B002023000284 -:04082C0020EF0EF7B4 -:04082D0020EF2C701C -:04082E0047852ED0FC -:04082F0000F5186355 -:04083000000217B7F4 -:04083100C43E5BBCAA -:04083200FE07D7E303 -:040833002EF020EF94 -:0408340000815703E5 -:040835006637EF151E -:0408360006130001A4 -:0408370045C58D46E0 -:0408380046C485131A -:040839003DD020EF9F -:04083A008DEFF0EF5F -:04083B0045445703D6 -:04083C0017FD77F13C -:04083D001A238FF9F2 -:04083E00173744F430 -:04083F002023000270 -:0408400065090EF741 -:040841007105051325 -:04084200FF7FD0EF75 -:04084300B7814792A0 -:04084400176347C22D -:04084500663700F71B -:040846000613000194 -:04084700B7C18E4661 -:04084800658547A2D9 -:0408490083C115FD55 -:04084A00064006134B -:04084B0056B38FED24 -:04084C00773302C735 -:04084D009C6302C7DF -:04084E00663700B752 -:04084F00061300018B -:0408500045C58EC646 -:0408510046C4851301 -:04085200379020EFCC -:04085300F5B3BF71C9 -:04085400851302C73F -:04085500D7B346C40B -:04085600663702C738 -:04085700C02E0001AE -:040858008F8606136E -:0408590020EF45C582 -:04085A00BFBD35B039 -:04085B000186D683B9 -:04085C009DE34612C0 -:04085D0007D2F6C602 -:04085E001A2383D105 -:04085F0040F244F42B -:0408600020234462AB -:0408610044D20EF778 -:0408620061054501E6 -:04086300115180822D -:04086400F0EFC406E7 -:0408650010EF979F5A -:0408660067DD4B30CF -:04086700C50340A2E3 -:0408680001315017F3 -:040869008CAFF06FF1 -:04086A00FD41011338 -:04086B00D222D406BB -:04086C00D0EFD026D3 -:04086D00842AFBBF1F -:04086E00D3DFF0EFF5 -:04086F0064DD86AA14 -:04087000C663655D99 -:0408710066371206CE -:040872000713000167 -:040873004681052095 -:0408740090C6061311 -:04087500051345C55D -:0408760020EF440526 -:0408770065B72E70C3 -:0408780046450001F0 -:0408790093C585930B -:04087A004D04851391 -:04087B001FD020EF7B -:04087C00FE7FE0EF2C -:04087D000007A53794 -:04087E001205051347 -:04087F00F03FD0EF87 -:04088000F23FD0EF84 -:0408810000A4551367 -:0408820012C0079306 -:0408830002F507B3C0 -:04088400C602645DE7 -:04088500C002C402E7 -:040886000413C20293 -:04088700CC3E480417 -:04088800C83E479986 -:04088900000217B79B -:04088A000D07A7832C -:04088B00655D665DE4 -:04088C0001079713B6 -:04088D00C69383414A -:04088E002023FFF72D -:04088F0083E156E6C5 -:040890000003073723 -:04089100F5938F75D7 -:0408920066DD0FF719 -:0408930056E6A22360 -:0408940056B504232E -:0408950086368532EC -:04089600CF8166DDCB -:04089700FFE587935F -:040898000FF7F793CC -:040899007663430D32 -:04089A00C78300F31D -:04089B00946355C647 -:04089C00202300B75E -:04089D0067DD5605B8 -:04089E0054B68E239B -:04089F005587A68350 -:0408A00054E7AC234A -:0408A10066DDEAD155 -:0408A2004E76C78344 -:0408A300C3F164DD5C -:0408A4000184478301 -:0408A500675DCFDDDF -:0408A60000B44783D0 -:0408A7005697460317 -:0408A800EBC58FD13C -:0408A900EA3FD0EF63 -:0408AA00646347E25A -:0408AB0047320AF5D1 -:0408AC000630079378 -:0408AD0008E7EF6306 -:0408AE00C68366DDBA -:0408AF0047854E76B5 -:0408B000876346050F -:0408B10047C200F644 -:0408B200FFA7861303 -:0408B30000C0363318 -:0408B40001844783F1 -:0408B50017FD46A144 -:0408B6000FF7F793AE -:0408B70004F6E963F7 -:0408B800078A66D96C -:0408B9008546869357 -:0408BA00439C97B60E -:0408BB006637878293 -:0408BC00061300011E -:0408BD0045C5920695 -:0408BE0044050513D5 -:0408BF001C5020EFBA -:0408C000000165B717 -:0408C1008593464590 -:0408C2008513EA456B -:0408C30020EF4D04D1 -:0408C400E0EF24D06D -:0408C500A001EC5F43 -:0408C600560622238D -:0408C70067DDB7AD85 -:0408C8004587C78316 -:0408C90000F037B351 -:0408CA0085A3078972 -:0408CB0047B242F4FA -:0408CC000785474213 -:0408CD000FF7F79397 -:0408CE004799C63E42 -:0408CF0000F70763C4 -:0408D0000017079373 -:0408D1000FF7F79393 -:0408D200D0EFC83E5D -:0408D3004785DD9FD9 -:0408D4002915C43EE0 -:0408D500C78367DD91 -:0408D600CA2A56973D -:0408D7004501C7818F -:0408D8005E1000EFBF -:0408D90042B4C783DB -:0408DA0001844683CC -:0408DB0000F684633C -:0408DC00E711475287 -:0408DD00CB0D4722D6 -:0408DE0000B4468399 -:0408DF0066DDC69577 -:0408E00047E6C7031D -:0408E100C30DC43A45 -:0408E200869366DDB6 -:0408E30097B645C6B9 -:0408E4000007C50341 -:0408E500C68367DD82 -:0408E600C40247D72A -:0408E70000A686637E -:0408E80046A78EA3EE -:0408E900190010EFF3 -:0408EA0042B4C6034B -:0408EB0001844783BA -:0408EC0012C7836349 -:0408ED00473D67DD3F -:0408EE004EE782232C -:0408EF0085BE470D6E -:0408F00006C7736361 -:0408F100F4634795D0 -:0408F200478906C765 -:0408F3004509470567 -:0408F4000793C23E66 -:0408F500F793FFF680 -:0408F60046A10FF711 -:0408F70004F6ED63B3 -:0408F800078A66D92C -:0408F90087868693D5 -:0408FA00439C97B6CE -:0408FB004785878224 -:0408FC0067DDFE0DA9 -:0408FD003377C78303 -:0408FE000017B79395 -:0408FF00B735079171 -:04090000D605479938 -:0409010042B4C783B2 -:04090200479DB70D49 -:0409030067DDBF39B4 -:0409040042A7C783BC -:0409050000F037B314 -:04090600BF0107A185 -:0409070016E3479913 -:040908004785F00629 -:04090900470DB719C6 -:04090A00C2024505DB -:04090B004709B75D84 -:04090C00BFE54501FD -:04090D004783C0025A -:04090E000C2303941F -:04090F0005A300C478 -:040910004685000414 -:04091100F663458DB7 -:04091200459500F611 -:04091300F5938D9D2E -:04091400CE3A0FF5D3 -:040915000C3010EFA3 -:04091600193010EF95 -:04091700F44FE0EFCA -:04091800C78367DD4D -:0409190047724E676C -:04091A00853AC781D2 -:04091B00520010EF87 -:04091C0045124582B9 -:04091D0067D010EFA0 -:04091E000184478386 -:04091F004645655D87 -:040920000027971302 -:04092100879367D978 -:0409220097BA89C730 -:040923000513438CE9 -:040924002223440541 -:0409250020EF0004BB -:0409260065B70C5055 -:04092700655D000109 -:040928008593464528 -:04092900051393051A -:04092A0020EF4D0568 -:04092B0067DD0B1069 -:04092C005697C78390 -:04092D00E0EFE3997B -:04092E0047D2D21FBB -:04092F0067DDCF8928 -:040930004287C70330 -:04093100156347A95A -:04093200453D00F748 -:04093300723000EF2F -:04093400C53FD0EFFC -:0409350067DDC602B2 -:040936004EC787130E -:04093700015746839B -:0409380002E447038B -:040939004EC787938B -:04093A0002E68863E6 -:04093B0046814701A9 -:04093C0045814601AA -:04093D00E0EF4501A1 -:04093E0064DDAC6F59 -:04093F004EC4879388 -:040940000157C50393 -:04094100D6BFE0EF4E -:040942004EC4879385 -:040943000157C7038E -:040944000004222366 -:0409450002E407239E -:040946000157C7038B -:04094700C683E70D6F -:0409480047030167F9 -:04094900006302F451 -:04094A00470302D786 -:04094B00460100F46D -:04094C00450145819B -:04094D00A88FE0EFA0 -:04094E00879367DD47 -:04094F00C7834EC745 -:0409500007A3016791 -:04095100478302F4E2 -:04095200CFB101849C -:040953004512458282 -:04095400E3BFE0EF2E -:040955000563478966 -:0409560047910AF5C6 -:0409570002F505633D -:04095800126347855A -:04095900478304F5D7 -:04095A00CF9500B481 -:04095B00AFAFF0EF5B -:04095C004789A81D02 -:04095D00B5C1C03E22 -:04095E00BFED478D15 -:04095F00822347C1E7 -:0409600047854EF584 -:040961004783BFC544 -:04096200C39D00B47D -:040963005783675DF2 -:04096400E793454789 -:040965001A2300272A -:04096600173744F704 -:040967002023000247 -:04096800F0EF0EF7A7 -:040969000513BEDFD5 -:04096A00D0EF12C0F8 -:04096B00B99DB55F1E -:04096C00000422233E -:04096D00037010EF14 -:04096E000184478336 -:04096F004645655D37 -:0409700000279713B2 -:04097100879367D928 -:0409720097BA89C7E0 -:040973000513438C99 -:0409740020EF440527 -:0409750065B778806A -:04097600655D0001BA -:0409770085934645D9 -:0409780005139305CB -:0409790020EF4D0519 -:04097A0067DD77407E -:04097B005697C78341 -:04097C00E0EFE3992C -:04097D00D0EFBE5F9A -:04097E00C802B2DF1A -:04097F00B765C60290 -:0409800000B44783F5 -:04098100F0EFD3CDF3 -:04098200BF71D04F22 -:04098300655D65D970 -:0409840006131151F4 -:040985008593031043 -:0409860005139F8531 -:04098700C4064EC58F -:040988005C8020EF80 -:04098900655D65D96A -:04098A0039C0061357 -:04098B0068458593A3 -:04098C0056C5051334 -:04098D005B4020EFBC -:04098E0067DD40A23F -:04098F0082A34705F3 -:0409900045014EE7E8 -:04099100808201312E -:04099200111167597F -:04099300AFC7079350 -:04099400CC06C8269F -:04099500C002CA22B0 -:04099600C43E448196 -:0409970065B7645D7F -:0409980046450001CF -:04099900BA05859383 -:04099A0042C405133B -:04099B006EE020EFFB -:04099C00971347A2C4 -:04099D00655D002470 -:04099E00430C973E31 -:04099F0005134645B1 -:0409A00020EF46C539 -:0409A100E0EF6D8096 -:0409A2004681B41FB7 -:0409A3001737C2221E -:0409A40025830002A5 -:0409A50067C10D0712 -:0409A600F63317FD10 -:0409A700645D00F596 -:0409A80056C42023EE -:0409A9000D0727030C -:0409AA00000305370A -:0409AB00471367DDAA -:0409AC008F69FFF759 -:0409AD0056E7A22344 -:0409AE00CA15C63E62 -:0409AF000863478210 -:0409B000675D02F687 -:0409B1000014951386 -:0409B20052070713CE -:0409B300EABD972AD8 -:0409B4001023479233 -:0409B50065B700B76B -:0409B60046450001B1 -:0409B700BA858593E5 -:0409B80042C785139A -:0409B900676020EF64 -:0409BA00ADFFE0EFBE -:0409BB00675D4685A9 -:0409BC00260347B215 -:0409BD00A3035587B4 -:0409BE0087BA564757 -:0409BF006741E605A1 -:0409C00002E31263D9 -:0409C10065D9E0BD57 -:0409C2000613655D56 -:0409C30085930360B5 -:0409C4000513B685DC -:0409C500C01A5205FD -:0409C6004D0020EFD1 -:0409C7004689430218 -:0409C80067DD44EDB6 -:0409C90056042703A6 -:0409CA005467AC239F -:0409CB00C03AC63632 -:0409CC008F634709E5 -:0409CD00650902E6D0 -:0409CE007105051397 -:0409CF009C3FD0EF8A -:0409D000B7B146B2C3 -:0409D10000075703C1 -:0409D20002E346896D -:0409D3004792FAE667 -:0409D400000165B702 -:0409D500859346457B -:0409D6008513BB05C5 -:0409D70020EF42C704 -:0409D800E0EF5FC02D -:0409D9004681A65F4E -:0409DA0014F9B759FC -:0409DB00BF5D46892D -:0409DC0047690485DE -:0409DD00EE9754E35A -:0409DE0040E244525D -:0409DF00453D44C28C -:0409E000006F017132 -:0409E100011346D0E8 -:0409E2000793FC413A -:0409E300CA3E00B157 -:0409E400879367DDB1 -:0409E500CC3E4F872E +:040743004CD4C503CA +:04074400C222458107 +:0407450010EFC406E7 +:04074600842A07F00A +:04074700C683E12163 +:0407480067DD4CD449 +:0407490080A3472919 +:04074A0067DD52D73E +:04074B005C07C783FD +:04074C0000E78563DA +:04074D0007A3675D3A +:04074E0067DD4CF720 +:04074F005227C70363 +:04075000C701478115 +:04075100C78367DD16 +:04075200675D4CF79C +:040753005007071331 +:04075400453D97BACE +:0407550000D7802326 +:040756006D6010EFD3 +:0407570040A2852215 +:040758004482441281 +:040759008082013168 +:04075A00C026115153 +:04075B00C50364DD91 +:04075C00C2224CD495 +:04075D0010EFC406CF +:04075E00842A6B80FE +:04075F00C683E51D4B +:0407600067DD4CD431 +:0407610052D780A348 +:04076200C70367DD85 +:040763004781522751 +:0407640067DDC70185 +:0407650053C7C7832C +:040766000713675DB1 +:0407670097BA5007E6 +:040768008023453D68 +:0407690010EF00D7B6 +:04076A0085226880FC +:04076B00441240A252 +:04076C000131448291 +:04076D0067DD808242 +:04076E004CA7C703CA +:04076F0067DD46F10B +:0407700056E788239D +:0407710002D7073371 +:04077200879367DD25 +:0407730097BA6207C8 +:0407740000E7D68341 +:040775001623675D83 +:04077600C68350D70F +:04077700675D014772 +:0407780058D7162315 +:040779000127C6830B +:04077A001423675D80 +:04077B00D6834CD7FE +:04077C00675D00A70E +:04077D004ED71F2311 +:04077E000157C683D6 +:04077F001B23675D74 +:04078000C6834ED707 +:04078100675D013778 +:0407820058D713230E +:0407830000C7D703D1 +:040784009D2367DD6D +:0407850080824EE739 +:04078600115167DDC9 +:040787005707C60347 +:04078800C22667DD41 +:0407890050C7D483FE +:04078A00C42267DD41 +:04078B0058C7D40374 +:04078C00C38367DDDF +:04078D0067DD4C8751 +:04078E004FE7D283DC +:04078F00D30367DD4C +:0407900067DD4F676B +:040791005867C5835D +:0407920067DD675D5B +:040793004FA7D78312 +:0407940052470713AE +:04079500450386AAE8 +:04079600C03E00B7AA +:04079700879367DD00 +:04079800C9316207FA +:0407990000A70703AB +:04079A0004C7176316 +:04079B000733477168 +:04079C00973E02E69C +:04079D0000E7550319 +:04079E0002951B6342 +:04079F0001474503C6 +:0407A0000285176354 +:0407A10001274503E4 +:0407A2000275136366 +:0407A30000A7550353 +:0407A40000551F637A +:0407A50001574503B0 +:0407A60000651B636C +:0407A70001374503CE +:0407A80000B517631E +:0407A90000C757032B +:0407AA00066345029B +:0407AB00675D00A7DF +:0407AC0004A3450558 +:0407AD00477158A791 +:0407AE0002E6063326 +:0407AF000513655D6C +:0407B00097B25105A6 +:0407B10000B789A361 +:0407B20000878A230F +:0407B300007789231F +:0407B40000678AA3AD +:0407B5004422470291 +:0407B60000979723EE +:0407B70095234492B0 +:0407B800962300572D +:0407B900663700E7B8 +:0407BA000613000121 +:0407BB0045C5694681 +:0407BC00206F013178 +:0407BD0011516590E1 +:0407BE00C222C40689 +:0407BF00143747C5DF +:0407C00020230002F0 +:0407C10020230EF4EF +:0407C20028230E04D6 +:0407C30065090A04B6 +:0407C40008042823DA +:0407C50071050513A2 +:0407C6009E6FE0EF53 +:0407C7001D100713E7 +:0407C8009C2367DD2A +:0407C90015374EE7AB +:0407CA00079300038E +:0407CB0020231D10BA +:0407CC0005130EF40F +:0407CD00E0EFD40580 +:0407CE0026379C8F9F +:0407CF0005B7000664 +:0407D0000513019C70 +:0407D1000613040403 +:0407D2008593A8065D +:0407D300E0EFCC0582 +:0407D40010EFE24FF1 +:0407D50010EF397078 +:0407D60054795D10E5 +:0407D7004501C951BE +:0407D800689010EF26 +:0407D9000FF0079383 +:0407DA0003635475EC +:0407DB0020EF08F50E +:0407DC0045092800A3 +:0407DD0095DFE0EFD5 +:0407DE00547147CD3E +:0407DF0006F51A639E +:0407E0009CDFE0EFCB +:0407E1002DB010EF38 +:0407E20067DDC50901 +:0407E300852347051E +:0407E400F0EF58E7F3 +:0407E500842A854F8E +:0407E6002DADE12D27 +:0407E700655D65D90E +:0407E80003100613E1 +:0407E900A845859307 +:0407EA0053D50513CB +:0407EB004C7020EF3F +:0407EC00655D65D909 +:0407ED00036006138C +:0407EE00BF458593EB +:0407EF005C4505134D +:0407F0004B3020EF7B +:0407F100453D4581BC +:0407F2005CC010EFE8 +:0407F300C50367DDF6 +:0407F40045815217D2 +:0407F5005C0010EFA5 +:0407F600000217B72F +:0407F7000D07A783C0 +:0407F80000E797136C +:0407F900000743634F +:0407FA00450125A5EB +:0407FB00A82FF0EF44 +:0407FC0040A2852270 +:0407FD000131441270 +:0407FE00547D808224 +:0407FF0067DDBFD51E +:040800004CB7C783A7 +:04080100CC221101F3 +:04080200F7930785DC +:0408030007B20FF732 +:0408040083C107C2E3 +:04080500645DC63E2A +:040806004F84578341 +:04080700CE064732A0 +:040808008FD9CA2694 +:040809008FD96721FB +:04080A004EF41C2369 +:04080B000002173799 +:04080C00000166374A +:04080D00202364DD63 +:04080E0006130EF7C8 +:04080F0045C5954600 +:0408100051048513F7 +:04081100507020EF14 +:0408120097EFF0EF7D +:04081300177D6741A5 +:04081400C83A478512 +:04081500000217378F +:040816000D07268321 +:040817008EF14642D6 +:04081800E3DDC23624 +:0408190066DDC2D501 +:04081A005C4686931F +:04081B000166D60399 +:04081C005783459227 +:04081D001E634F8483 +:04081E0076F50EB6A7 +:04081F008FF516FD3E +:040820004EF41C2353 +:040821000EF720238B +:0408220050048823D3 +:0408230093AFF0EFB0 +:04082400000625376E +:04082500A80505130A +:04082600866FE0EF0A +:040827004F84578320 +:04082800669147325C +:040829003E800513F5 +:04082A008FD58FD9FE +:04082B004EF41C2348 +:04082C000002173778 +:04082D000EF720237F +:04082E0034B020EFD3 +:04082F00371020EF6F +:04083000186347857D +:0408310017B700F500 +:040832005BBC0002A9 +:04083300D7E3C43E05 +:0408340020EFFE07AC +:0408350057033730FE +:04083600EF15008139 +:04083700000166371F +:040838009606061307 +:04083900851345C519 +:04083A0020EF510456 +:04083B00F0EF461084 +:04083C0057038D8F42 +:04083D0077F14F847C +:04083E008FF917FD1A +:04083F004EF41C2334 +:040840000002173764 +:040841000EF720236B +:04084200051365092C +:04084300D0EF71057C +:040844004792FF1FB9 +:0408450047C2B7816E +:0408460000F717633D +:04084700000166370F +:0408480097060613F6 +:0408490047A2B7C14A +:04084A0015FD6585AE +:04084B00061383C14C +:04084C008FED0640E6 +:04084D0002C756B3D5 +:04084E0002C7773333 +:04084F0000B79C63EF +:040850000001663706 +:04085100978606136D +:04085200851345C500 +:0408530020EF51043D +:04085400BF713FD061 +:0408550002C7F5B32E +:0408560051048513B1 +:0408570002C7D7B34A +:0408580000016637FE +:040859000613C02E94 +:04085A0045C59846B2 +:04085B003DF020EF5D +:04085C00D683BFBDC3 +:04085D0046120186B8 +:04085E00F6C69DE35A +:04085F0083D107D268 +:040860004EF41C2313 +:04086100446240F2BB +:040862000EF720234A +:04086300450144D235 +:040864008082610528 +:04086500C406115163 +:04086600973FF0EFD9 +:04086700537010EFCB +:0408680040A267DD66 +:040869005A57C50312 +:04086A00F06F0131F9 +:04086B0001138C4F9A +:04086C00D406FD4170 +:04086D00D026D2229D +:04086E00FB5FD0EF6D +:04086F00F0EF842AF8 +:0408700086AAD39FE2 +:04087100655D64DD80 +:040872001206C66341 +:0408730000016637E3 +:040874000520071341 +:04087500061346819F +:0408760045C5998655 +:040877004E450513D2 +:0408780036B020EF87 +:04087900000165B75E +:04087A0085934645D7 +:04087B0085139C85C0 +:04087C0020EF5744CE +:04087D00E0EF281070 +:04087E00A537FE1F7D +:04087F000513000756 +:04088000D0EF12059E +:04088100D0EFEFDFE6 +:040882005513F1DF3A +:04088300079300A433 +:0408840007B312C0E4 +:04088500645D02F5B7 +:04088600C402C602E0 +:04088700C202C002E7 +:0408880052440413BF +:040889004799CC3E81 +:04088A0017B7C83E96 +:04088B00A78300023D +:04088C00665D0D0791 +:04088D009713655DFB +:04088E00834101079A +:04088F00FFF7C69316 +:0408900060E62223D9 +:04089100073783E1C1 +:040892008F7500035B +:040893000FF7F593D3 +:04089400A42366DD56 +:04089500062360E6F0 +:04089600853260B592 +:0408970066DD86365E +:040898008793CF81F2 +:04089900F793FFE5ED +:04089A00430D0FF704 +:04089B0000F376638D +:04089C006006C783A8 +:04089D0000B79463A9 +:04089E0060052223AC +:04089F00802367DD6E +:0408A000A68360B615 +:0408A100AE235FC75C +:0408A200EAD15EE752 +:0408A300C78366DDC4 +:0408A40064DD58B601 +:0408A5004783C3F1D1 +:0408A600CFDD01841D +:0408A7004783675DBF +:0408A800460300B44F +:0408A9008FD160D7B4 +:0408AA00D0EFEBC5DB +:0408AB0047E2E9DF58 +:0408AC000AF5646382 +:0408AD000793473234 +:0408AE00EF630630BE +:0408AF0066DD08E713 +:0408B00058B6C683ED +:0408B100460547852C +:0408B20000F6876362 +:0408B300861347C29F +:0408B4003633FFA731 +:0408B500478300C0B5 +:0408B60046A10184D2 +:0408B700F79317FD9F +:0408B800E9630FF7EA +:0408B90066D904F602 +:0408BA008693078A90 +:0408BB0097B68E0658 +:0408BC008782439C50 +:0408BD000001663799 +:0408BE009AC60613BD +:0408BF00051345C513 +:0408C00020EF4E4592 +:0408C10065B7249063 +:0408C20046450001A6 +:0408C300F3C5859361 +:0408C40057448513FD +:0408C5002D1020EFE3 +:0408C600EBFFE0EF75 +:0408C7002423A00145 +:0408C800B7AD600662 +:0408C900C78367DD9D +:0408CA0037B34FC72A +:0408CB00078900F0A9 +:0408CC004CF487A3BE +:0408CD00474247B2A5 +:0408CE00F793078510 +:0408CF00C63E0FF71B +:0408D00007634799DA +:0408D100079300F792 +:0408D200F793001781 +:0408D300C83E0FF715 +:0408D400DD3FD0EF45 +:0408D500C43E478551 +:0408D60067DD291D94 +:0408D70060D7C7839C +:0408D800C781CA2AE0 +:0408D90000EF4501E6 +:0408DA00C78361B0BF +:0408DB0046834CF410 +:0408DC0084630184AC +:0408DD00475200F688 +:0408DE004722E711B5 +:0408DF004683CB156C +:0408E000C69D00B4FD +:0408E100C70366DD06 +:0408E200C43A52269C +:0408E30066DDC315F6 +:0408E40050068693A1 +:0408E500C50397B6FA +:0408E60067DD0007C3 +:0408E7005217C6835B +:0408E8008763C4025C +:0408E900458100A69F +:0408EA0052A780A3EE +:0408EB001E8010EF6C +:0408EC004CF4C603FF +:0408ED0001844783B8 +:0408EE0012C7836347 +:0408EF00473D67DD3D +:0408F00058E784231E +:0408F10085BE470D6C +:0408F20006C773635F +:0408F300F4634795CE +:0408F400478906C763 +:0408F5004509470565 +:0408F6000793C23E64 +:0408F700F793FFF67E +:0408F80046A10FF70F +:0408F90004F6ED63B1 +:0408FA00078A66D92A +:0408FB00904686930A +:0408FC00439C97B6CC +:0408FD004785878222 +:0408FE0067DDFE05AF +:0408FF003DB7C783B7 +:040900000017B79392 +:04090100B72D079176 +:04090200D21D479922 +:040903004CF4C78366 +:04090400479DB7054F +:0409050067DDBF31BA +:040906004CE7C78370 +:0409070000F037B312 +:04090800B73907A153 +:0409090015E3479912 +:04090A004785F00627 +:04090B00470DB711CC +:04090C00C2024505D9 +:04090D004709B75D82 +:04090E00BFE54501FB +:04090F004783C00258 +:040910000C2303941D +:0409110005A300C476 +:040912004685000412 +:04091300F663458DB5 +:04091400459500F60F +:04091500F5938D9D2C +:04091600CE3A0FF5D1 +:04091700145010EF79 +:04091800215010EF6B +:04091900F3CFE0EF49 +:04091A00C78367DD4B +:04091B00477258A720 +:04091C00853AC781D0 +:04091D005A2010EF5D +:04091E0045124582B7 +:04091F006FF010EF76 +:040920000184478384 +:040921004645655D85 +:040922000027971300 +:04092300879367D976 +:0409240097BA928765 +:040925000513438CE7 +:0409260022234E45F5 +:0409270020EF0004B9 +:0409280065B714702B +:04092900655D000107 +:04092A008593464526 +:04092B0005139BC550 +:04092C0020EF57451C +:04092D0067DD13303F +:04092E0060D7C78344 +:04092F00E0EFE39979 +:0409300047D2D19F3A +:0409310067DDCF8926 +:040932004CC7C703E4 +:04093300156347A958 +:04093400453D00F746 +:0409350075B000EFAA +:04093600C4BFD0EF7B +:0409370067DDC602B0 +:0409380059078713C1 +:040939000157468399 +:04093A0002E4470389 +:04093B00590787933E +:04093C0002E68863E4 +:04093D0046814701A7 +:04093E0045814601A8 +:04093F00E0EF45019F +:0409400064DDABEFD8 +:04094100590487933B +:040942000157C50391 +:04094300D63FE0EFCC +:040944005904879338 +:040945000157C7038C +:040946000004222364 +:0409470002E407239C +:040948000157C70389 +:04094900C683E70D6D +:04094A0047030167F7 +:04094B00006302F44F +:04094C00470302D784 +:04094D00460100F46B +:04094E004501458199 +:04094F00A80FE0EF1E +:04095000879367DD45 +:04095100C7835907F8 +:0409520007A301678F +:04095300478302F4E0 +:04095400CFB101849A +:040955004512458280 +:04095600E33FE0EFAC +:040957000563478964 +:0409580047910AF5C4 +:0409590002F505633B +:04095A001263478558 +:04095B00478304F5D5 +:04095C00CF9500B47F +:04095D00AF2FF0EFD9 +:04095E004789A81D00 +:04095F00B5C1C03E20 +:04096000BFED478D13 +:04096100842347C1E3 +:04096200478558F578 +:040963004783BFC542 +:04096400C39D00B47B +:040965005783675DF0 +:04096600E7934F873D +:040967001C23002726 +:0409680017374EF7F8 +:040969002023000245 +:04096A00F0EF0EF7A5 +:04096B000513BEBFF3 +:04096C00D0EF12C0F6 +:04096D00B995B4DFA5 +:04096E00000422233C +:04096F000B9010EFEA +:040970000184478334 +:040971004645655D35 +:0409720000279713B0 +:04097300879367D926 +:0409740097BA928715 +:040975000513438C97 +:0409760020EF4E45DB +:0409770065B700B0B0 +:04097800655D0001B8 +:0409790085934645D7 +:04097A0005139BC501 +:04097B0020EF5745CD +:04097C0067DD7F6054 +:04097D0060D7C783F5 +:04097E00E0EFE3992A +:04097F00D0EFBDDF19 +:04098000C802B25F98 +:04098100B765C6028E +:0409820000B44783F3 +:04098300F0EFD3CDF1 +:04098400BF71CFCFA1 +:04098500655D65D96E +:0409860006131151F2 +:040987008593031041 +:040988000513A84566 +:04098900C406590542 +:04098A0064A020EF56 +:04098B00655D65D968 +:04098C0039C0061355 +:04098D007285859357 +:04098E0062050513E6 +:04098F00636020EF92 +:0409900067DD40A23D +:0409910084A34705EF +:04099200450158E7DC +:04099300808201312C +:04099400111167597D +:04099500B887079385 +:04099600CC06C8269D +:04099700C002CA22AE +:04099800C43E448194 +:0409990065B7645D7D +:04099A0046450001CD +:04099B00C2C58593B9 +:04099C004D040513EE +:04099D00770020EFD0 +:04099E00971347A2C2 +:04099F00655D00246E +:0409A000430C973E2F +:0409A10005134645AF +:0409A20020EF5105EC +:0409A300E0EF75A06C +:0409A4004681B39F36 +:0409A5001737C2221C +:0409A60025830002A3 +:0409A70067C10D0710 +:0409A800F63317FD0E +:0409A900645D00F594 +:0409AA0060C42223E0 +:0409AB000D0727030A +:0409AC000003053708 +:0409AD00471367DDA8 +:0409AE008F69FFF757 +:0409AF0060E7A42336 +:0409B000CA15C63E60 +:0409B100086347820E +:0409B200675D02F685 +:0409B3000014951384 +:0409B4005C47071382 +:0409B500EABD972AD6 +:0409B6001023479231 +:0409B70065B700B769 +:0409B80046450001AF +:0409B900C34585931A +:0409BA004D0785134D +:0409BB006F8020EF3A +:0409BC00AD7FE0EF3C +:0409BD00675D4685A7 +:0409BE00260347B213 +:0409BF00A3035FC768 +:0409C00087BA60870B +:0409C1006741E6059F +:0409C20002E31263D7 +:0409C30065D9E0BD55 +:0409C4000613655D54 +:0409C50085930360B3 +:0409C6000513BF4511 +:0409C700C01A5C45B1 +:0409C800552020EFA7 +:0409C9004689430216 +:0409CA0067DD44EDB4 +:0409CB00604427035A +:0409CC005E67AE2391 +:0409CD00C03AC63630 +:0409CE008F634709E3 +:0409CF00650902E6CE +:0409D0007105051395 +:0409D1009BBFD0EF09 +:0409D200B7B146B2C1 +:0409D30000075703BF +:0409D40002E346896B +:0409D5004792FAE665 +:0409D600000165B700 +:0409D7008593464579 +:0409D8008513C3C5FB +:0409D90020EF4D07B7 +:0409DA00E0EF67E003 +:0409DB004681A5DFCD +:0409DC0014F9B759FA +:0409DD00BF5D46892B +:0409DE0047690485DC +:0409DF00EE9754E358 +:0409E00040E244525B +:0409E100453D44C28A +:0409E200006F017130 +:0409E30001134A5062 +:0409E4000793FC4138 +:0409E500CA3E00B155 :0409E600879367DDAF -:0409E700CE3E4F971A +:0409E700CC3E59C7E2 :0409E800879367DDAD -:0409E900D03E4FA706 +:0409E900CE3E59D7CE :0409EA00879367DDAB -:0409EB00D23E4FB7F2 -:0409EC0067DDD43EB1 -:0409ED004FC78793D6 -:0409EE0027B7D63E13 -:0409EF0087930F03D8 -:0409F000C63EF017F8 -:0409F1003030079308 -:0409F2001823DA22CA -:0409F300645D00F14E -:0409F4000923478DFF -:0409F500079300F173 -:0409F600C783480467 -:0409F700D826018776 -:0409F80005A3DC0671 -:0409F900472500018D -:0409FA004804041396 -:0409FB008663448546 -:0409FC00849300E7F9 -:0409FD00F493001758 -:0409FE0067DD0FF4AE -:0409FF00A603675D87 -:040A0000071356077B -:040A010047815207D0 -:040A0200568345E9E9 -:040A03001C63000769 -:040A0400476900D668 -:040A05000EF766631F -:040A0600078A67599B -:040A0700A2C7071368 -:040A0800439C97BABA -:040A090007098782D0 -:040A0A0038B78E6308 -:040A0B00BFF10785AB -:040A0C0066DD4785D7 -:040A0D004546D70380 -:040A0E001007471373 -:040A0F0044E69A23FC -:040A10002703675DF4 -:040A1100169356479B -:040A1200D36300F7B3 -:040A130087A60006AC -:040A140000E716934E -:040A15000006DE6396 -:040A16004683675D4F -:040A170045854EC7FC -:040A1800E56346014B -:040A1900068500D579 -:040A1A000FF6F613CA -:040A1B004EC7062399 -:040A1C004501472920 -:040A1D0000E7866305 -:040A1E0005A3675D68 -:040A1F00450542F750 -:040A2000D70367DDB4 -:040A210066DD454702 -:040A22005696C6839B -:040A230007429B4D9E -:040A24009A2383414D -:040A2500EA8144E737 -:040A2600C68366DD40 -:040A2700068A46668F -:040A28009A238F5529 -:040A2900D70344E7C4 -:040A2A0050E245470A -:040A2B0017B7545253 -:040A2C00A023000201 -:040A2D0054C20EE7BA -:040A2E0003C10113EC -:040A2F0047898082F1 -:040A3000478DBF8DA2 -:040A31004791B7BD75 -:040A32004795B7AD80 -:040A33004799B79D8B -:040A3400479DB78D96 -:040A350047A1BFB95D -:040A360047A5BFA968 -:040A3700675DBF999F -:040A38005697478303 -:040A39000017B69359 -:040A3A0056D704A3E4 -:040A3B004683675D2A -:040A3C00675D47D7D4 -:040A3D0042D704A3F5 -:040A3E004505E791F2 -:040A3F00045000EF70 -:040A4000BF0547A9FE -:040A41008D3FE0EF16 -:040A42000683BFE583 -:040A4300477100A453 -:040A4400000217B7DE -:040A450002E686B38C -:040A46000713675DCE -:040A4700A78356C764 -:040A480066370C07FA -:040A4900655D0001E6 -:040A4A00A986061360 -:040A4B00051345C585 -:040A4C00C23E42C59F -:040A4D0066DD973695 -:040A4E0047D6C6833E -:040A4F00384020EF1C -:040A500000B44703A4 -:040A51004792CB29D4 -:040A5200061366051C -:040A53008E7D80060E -:040A54007FF7F6939F -:040A550000C0373373 -:040A560096B30685C8 -:040A570096BA00E665 -:040A58000700071379 -:040A59000713C219A4 -:040A5A0083C10690BE -:040A5B000037F61357 -:040A5C0002A007935A -:040A5D000793E21900 -:040A5E001637020045 -:040A5F00523000020F -:040A600045C5655DC6 -:040A61006637C03202 -:040A62000613000176 -:040A63000513AA4687 -:040A640020EF46C574 -:040A6500E0EF32E0AC -:040A6600B79D831F96 -:040A67005783675DED -:040A6800C7934547A4 -:040A69001A23010744 -:040A6A00BF9944F7F5 -:040A6B00C70367DD79 -:040A6C0046054EC726 -:040A6D0065634681F6 -:040A6E00070500E692 -:040A6F000FF7769374 -:040A70004ED78623B4 -:040A710067DDBF3549 -:040A72004EC78713D1 -:040A7300001747031E -:040A74008793460519 -:040A750046814EC7A1 -:040A760000E66563CE -:040A77007693070566 -:040A780080A30FF751 -:040A7900BF2900D7BA -:040A7A000793675D1A -:040A7B00C7834EC718 -:040A7C000713004715 -:040A7D00C7814EC718 -:040A7E00F79317FDD6 -:040A7F0002230FF748 -:040A8000BDFD00F7C1 -:040A81000713675D93 -:040A820047834EC791 -:040A830046B9004729 -:040A840000F6F36322 -:040A8500078547B9E1 -:040A860065B7B7DDBC -:040A8700655D0001A8 -:040A880085934645C7 -:040A89000513AB4561 -:040A8A0020EF42C552 -:040A8B00635D330074 -:040A8C00000165B749 -:040A8D0046C3051344 -:040A8E0085934645C1 -:040A8F0020EFAC4563 -:040A9000E0EF31C0A2 -:040A9100675DF84F56 -:040A92000713635D86 -:040A930017B756C774 -:040A9400A6030002B3 -:040A950067DD0D0705 -:040A960052078793E9 -:040A97008241064250 -:040A980045ED468161 -:040A99000007D5037A -:040A9A0000C506632A -:040A9B00078906853C -:040A9C00FEB69AE325 -:040A9D0000A4078327 -:040A9E0087B3467163 -:040A9F00461502C72F -:040AA000C78397BAB7 -:040AA1006463017712 -:040AA200461102F601 -:040AA30004D64A63C8 -:040AA400973E181849 -:040AA500FDC747033F -:040AA60040D75733AB -:040AA700CF118B05DB -:040AA8001818078A89 -:040AA900A78397BACE -:040AAA008023FE4760 -:040AAB00E0EF00D7A1 -:040AAC0067DDF28F81 -:040AAD00560784A3C1 -:040AAE006637B5A151 -:040AAF0006850001B7 -:040AB000AD06061376 -:040AB100051345C51F -:040AB20020EF46C328 -:040AB300E0EF1F60F1 -:040AB400A537EF8FE4 -:040AB500051300071E -:040AB600D0EF120566 -:040AB700BFC1E24F8A -:040AB80086E347B1D9 -:040AB9006509FCF6D9 -:040ABA0071050513AA -:040ABB00E12FD0EF68 -:040ABC00871367DD58 -:040ABD00635D56C758 -:040ABE0067DDBF9998 -:040ABF004EC7871384 -:040AC0000187470360 -:040AC1008793467958 -:040AC20046814EC754 -:040AC30000E6656381 -:040AC4007693070519 -:040AC5008C230FF778 -:040AC600B3DD00D7C5 -:040AC700871367DD4D -:040AC80047034EC7CB -:040AC9008793018787 -:040ACA0046FD4EC7D0 -:040ACB00177DD76D4F -:040ACC0065B7B7CD86 -:040ACD00655D000162 -:040ACE008593464581 -:040ACF000513AE0558 -:040AD00020EF42C50C -:040AD10065B7218064 -:040AD200655D00015D -:040AD300859346457C -:040AD4000513AF0552 -:040AD50020EF46C503 -:040AD6006441204017 -:040AD700E6AFE0EFB7 -:040AD80017B7147DBB -:040AD900A6830002EE -:040ADA0067DD0D07C0 -:040ADB005207871324 -:040ADC0047818EE1DF -:040ADD005583466D8A -:040ADE008C6300071E -:040ADF00078500D5B2 -:040AE0009AE3070985 -:040AE1006509FEC7DE -:040AE2007105051382 -:040AE300D72FD0EF4A -:040AE4004725BFC91A -:040AE50000F74C6367 -:040AE6000785472910 -:040AE70002E7E7B388 -:040AE80004A3675D9F -:040AE900F0EF42F7F1 -:040AEA00B711960F9B -:040AEB009CE3473110 -:040AEC00BDF5FCE771 -:040AED00C70367DDF7 -:040AEE0047A9569727 -:040AEF00C6071BE338 -:040AF000B98587A697 -:040AF100B9AD47A9AB -:040AF200DBC1011350 -:040AF300202318287C -:040AF4002E23241178 -:040AF5002C2322810B -:040AF600E0EF22917A -:040AF700C22AD4CF6C -:040AF800761010EF75 -:040AF900440D4792CF -:040AFA004611EBC5F1 -:040AFB000828182C83 -:040AFC0016A020EF31 -:040AFD00000165B7D8 -:040AFE008593461185 -:040AFF000828D4856A -:040B000012E020EFF0 -:040B01000640079310 -:040B02005783E941EB -:040B0300059303C192 -:040B0400462103E1A2 -:040B050001E10513F2 -:040B060000F11E23B9 -:040B070013E020EFE8 -:040B080004615783AA -:040B0900020102A340 -:040B0A0000F11A23B9 -:040B0B000481578387 -:040B0C0000F11B23B6 -:040B0D0000EF45525E -:040B0E00578343B016 -:040B0F00D42A04A13F -:040B100000F11A23B3 -:040B110004C1578341 -:040B120000F11B23B0 -:040B130000EF455258 -:040B14005783423091 -:040B1500D62A04E1F7 -:040B160000F11A23AD -:040B170005015783FA -:040B180000F11B23AA -:040B190000EF455252 -:040B1A00D82A40B0E5 -:040B1B00234125034A -:040B1C0000EFCA2AF2 -:040B1D0055A23FF0AE -:040B1E000793DA2A35 -:040B1F0087131E20FA -:040B2000E063FE652B -:040B2100460514E78A -:040B220000EF1828A0 -:040B230057D240B0B5 -:040B240006F50B6364 -:040B250006600793CC -:040B260010EFC23ECC -:040B270047926A7017 -:040B28008963470591 -:040B290047632AE70D -:040B2A00071326F790 -:040B2B008863F35098 -:040B2C0044632AE70D -:040B2D00071324F78F -:040B2E008763F340A6 -:040B2F0065B72AE795 -:040B300085930001A8 -:040B3100C23EC945B2 -:040B3200851367DDE3 -:040B3300464546C726 -:040B340008A020EF06 -:040B3500CF2FE0EFEF -:040B3600000F453730 -:040B37002405051379 -:040B3800C1EFD0EF4A -:040B3900DA634792A2 -:040B3A0058632A07CB -:040B3B0066372A806F -:040B3C00655D0001F2 -:040B3D00DB4606137A -:040B3E00051345C591 -:040B3F0010EF42C5AC -:040B4000147D7C3074 -:040B4100645DA2B994 -:040B42000001663711 -:040B4300D5060613BA -:040B4400051345C58B -:040B450010EF42C4A7 -:040B460056B27AB079 -:040B4700663767DDC9 -:040B48008513000110 -:040B4900061346C782 -:040B4A0045C5D606C1 -:040B4B00795010EFDE -:040B4C00C96FE0EF9E -:040B4D0054B257C285 -:040B4E00C23E43015F -:040B4F00C422450176 -:040B5000089364633F -:040B510092634792D2 -:040B520047831AA714 -:040B5300468301E1F3 -:040B5400470301C191 -:040B5500CFD501D126 -:040B5600000167B77C -:040B5700C6078793B3 -:040B58000613452219 -:040B5900C03201E1C4 -:040B5A0000016637F9 -:040B5B00D6C60613E1 -:040B5C00051345C573 -:040B5D0010EF42C58E -:040B5E0067DD74B02B -:040B5F00000165B775 -:040B600085934645EE -:040B61008513DC45D7 -:040B620010EF46C783 -:040B6300644165F094 -:040B6400C36FE0EF8C -:040B650014B7147D30 -:040B6600A78300025F -:040B6700675D0D04B5 -:040B68005207568357 -:040B69008B638FE12A -:040B6A00071306F671 -:040B6B0057035207D3 -:040B6C0000630027FB -:040B6D00650914F70B -:040B6E0071050513F5 -:040B6F00B42FD0EFE0 -:040B70000793BFD94F -:040B7100BDC90650A4 -:040B7200406482B3A6 -:040B730020000793C4 -:040B74000057F463CF -:040B750020000293C7 -:040B7600041367DD20 -:040B770097132003AD -:040B780085130102DE -:040B790083414187EC -:040B7A005613468147 -:040B7B00182C00949E -:040B7C00C616C81AB7 -:040B7D0045F010EF40 -:040B7E00166387AAC9 -:040B7F0043420E05DA -:040B8000182842B23D -:040B81000013361314 -:040B820000EF859665 -:040B8300832228B0F1 -:040B840067B7BF058B -:040B85008793000151 -:040B8600B799EA47EA -:040B87007CF000EF0F -:040B88005783675DCB -:040B8900650945476E -:040B8A0071050513D9 -:040B8B000027E793C5 -:040B8C0044F71A23ED -:040B8D000EF4A0239F -:040B8E00AC6FD0EF89 -:040B8F00000165B745 -:040B90004645655D14 -:040B9100D7858593EC -:040B920042C5051340 -:040B930070F010EFFF -:040B940067DD440DC8 -:040B9500000164B740 -:040B960046C78513B6 -:040B970085934645B7 -:040B980010EFD8443E -:040B9900E0EF6F908A -:040B9A005632B60F0A -:040B9B004581183444 -:040B9C00E0EF45053C -:040B9D0087AAAE2F46 -:040B9E00E20510E379 -:040B9F0065B7675D72 -:040BA0000513000138 -:040BA100464542C7BC -:040BA200D945859319 -:040BA3006CF010EFF3 -:040BA400D844859319 -:040BA500464564DD80 -:040BA60046C48513A9 -:040BA7006BF010EFF0 -:040BA800B26FE0EF59 -:040BA90055B25642A9 -:040BAA0045011834B5 -:040BAB00A16FE0EF67 -:040BAC0013E387AA1E -:040BAD0010EFDE0562 -:040BAE00675D48B087 -:040BAF00000165B725 -:040BB000859346459E -:040BB1000513DD85C6 -:040BB20010EF42C737 -:040BB30065B751F0E1 -:040BB40046450001B1 -:040BB500DA45859305 -:040BB60046C4851399 -:040BB70067F010EFE4 -:040BB800AE6FE0EF4D -:040BB900440DA00146 -:040BBA00440DBB45E6 -:040BBB000670079326 -:040BBC00440DB365CC -:040BBD000680079314 -:040BBE000713B34521 -:040BBF008A63F360F2 -:040BC000071306E72A -:040BC1009CE3F3704E -:040BC20065B7DAE752 -:040BC3008593000115 -:040BC400BB55CF4509 -:040BC50006600713AC -:040BC60006E7826359 -:040BC70000F74F6381 -:040BC80006400713C9 -:040BC90006E7816357 -:040BCA0006500713B7 -:040BCB00D8E799E3EB -:040BCC00000165B708 -:040BCD00CAC585937D -:040BCE000713B379DD -:040BCF0089630670C0 -:040BD000071304E71C -:040BD1009CE306801B -:040BD20065B7D6E746 -:040BD3008593000105 -:040BD400BB95CE05FA -:040BD500000165B7FF -:040BD600C9C5859375 -:040BD70065B7B3AD9E -:040BD8008593000100 -:040BD900B385D145CA -:040BDA00000165B7FA -:040BDB00D2458593E7 -:040BDC0065B7BB99A5 -:040BDD0085930001FB -:040BDE00B3B1D0459A -:040BDF00000165B7F5 -:040BE000CBC5859369 -:040BE10065B7B389B8 -:040BE20085930001F6 -:040BE300BB25D385D6 -:040BE400000165B7F0 -:040BE500CCC5859363 -:040BE6002083B33D78 -:040BE70024032401BE -:040BE800248323C17E -:040BE900557D238192 -:040BEA00244101138E -:040BEB0086AA8082D4 -:040BEC000001663767 -:040BED000613655D29 -:040BEE0045C55F8614 -:040BEF0046C50513DF -:040BF0005010106F22 -:040BF10046500693D1 -:040BF20002D506B36F -:040BF300064007931E -:040BF400000166375F -:040BF5000613655D21 -:040BF60045C5E98682 -:040BF70046C50513D7 -:040BF80002F6C6B388 -:040BF9004DD0106F5C -:040BFA00663786AA2A -:040BFB00655D000133 -:040BFC00E5460613B1 -:040BFD00051345C5D2 -:040BFE00106F46C569 -:040BFF0046B74C7039 -:040C00008693000FC8 -:040C0100053324068D -:040C0200678902D527 -:040C030096478793F6 -:040C04003E80071314 -:040C0500006336B79B -:040C0600EA068693E1 -:040C0700000166374B -:040C0800E486061365 -:040C090057B345C5D3 -:040C0A00F7B302F545 -:040C0B00472902E78C -:040C0C0002D556B304 -:040C0D000513655D09 -:040C0E00D73346C5CD -:040C0F00106F02E779 -:040C100006934830CF -:040C110006B3467070 -:040C1200079302D56D -:040C130066370640FA -:040C1400655D000119 -:040C15005F0606135D -:040C1600051345C5B8 -:040C1700C6B346C555 -:040C1800106F02F661 -:040C190086AA45F072 -:040C1A000001663738 -:040C1B000613655DFA -:040C1C0045C5E806DC -:040C1D0046C50513B0 -:040C1E004490106F7F -:040C1F002710069301 -:040C200002D506B340 -:040C210006400793EF -:040C22000001663730 -:040C23000613655DF2 -:040C240045C5EA06D2 -:040C250046C50513A8 -:040C260002F6C6B359 -:040C27004250106FB8 -:040C280027100693F8 -:040C29000533050585 -:040C2A00069302D556 -:040C2B0066370640E2 -:040C2C0006130001AA -:040C2D0045C5EA06C9 -:040C2E0002D546B3F2 -:040C2F000513655DE7 -:040C3000106F46C536 -:040C310016933FF0E7 -:040C320086E10185D1 -:040C3300000166371F -:040C340016D1655D13 -:040C3500E2C60613FA -:040C3600051345C598 -:040C3700106F46C52F -:040C380045F13E3014 -:040C390002B50533C8 -:040C3A00859365DD5C -:040C3B00464556C50F -:040C3C00655D95AAB3 -:040C3D0046C5051390 -:040C3E004630106FBD -:040C3F0000251793E2 -:040C400005136559DA -:040C4100953EE745B0 -:040C4200655D410C9F -:040C4300051346450A -:040C4400106F46C522 -:040C450047A94490E7 -:040C460000F50F6343 -:040C470000251793DA -:040C48000513655DCE -:040C4900953EBBC554 -:040C4A00655D410C97 -:040C4B000513464502 -:040C4C00106F46C51A -:040C4D0065B74290B5 -:040C4E008593000189 -:040C4F00B7F5E6050A -:040C5000A70367DDB2 -:040C510067DD5607FE -:040C5200520787932B -:040C5300464546AD1F -:040C54000167D583DC -:040C550006E59263BB -:040C56000FF6F6930C -:040C57000763E11935 -:040C58001131280727 -:040C590064DDC4266C -:040C5A003F54C283BE -:040C5B00645DC622EC -:040C5C0022C4079314 -:040C5D000032959339 -:040C5E00C80697AE7F -:040C5F000007A303E4 -:040C60000047C783FF -:040C61008513465160 -:040C62008733FF567F -:040C6300260302C79B -:040C640075130043C1 -:040C650043950FF5AF -:040C66003F548493E0 -:040C670022C404138C -:040C68004703963A6E -:040C6900E8630046F6 -:040C6A0063D902A3A5 -:040C6B008393050A60 -:040C6C00951EDEC330 -:040C6D0085024108B3 -:040C6E000789068567 -:040C6F00F8C69AE346 -:040C7000BF69468191 -:040C71004783E39939 -:040C720017FD000367 -:040C73000FF7F793ED -:040C7400822395A2A0 -:040C7500470100F53E -:040C7600A091468182 -:040C7700000347032C -:040C780095A20785B5 -:040C790002E7E7B3F4 -:040C7A008763B7EDE8 -:040C7B008793000259 -:040C7C008023FFF2E0 -:040C7D00B7C500F403 -:040C7E0040C24432FA -:040C7F0067DD44A247 -:040C8000560784A3EC -:040C8100D06F0151DE -:040C8200478DFD1F7E -:040C830004F70E6301 -:040C840012E347919F -:040C8500461CFCF716 -:040C8600872A9782A0 -:040C8700C783468554 -:040C8800655D0004A2 -:040C8900078E464547 -:040C8A004783943ECA -:040C8B0040040044DD -:040C8C008433445118 -:040C8D0040DC0287BE -:040C8E0042C5051343 -:040C8F00C036C23A6F -:040C9000438C97A258 -:040C9100317010EFBF -:040C9200459140DC6C -:040C9300C60397A25B -:040C9400EC630047C6 -:040C950065D912C546 -:040C96008593060A32 -:040C9700962EE04570 -:040C9800468242103E -:040C99008602471276 -:040C9A00C3914A1C9C -:040C9B00C7839782F2 -:040C9C0043510004BC -:040C9D000017859324 -:040C9E000733078E83 -:040C9F00431400F406 -:040CA00000474703BF -:040CA100073342D003 -:040CA20096930267BC -:040CA30005330035E0 -:040CA400230300D452 -:040CA500973200057D -:040CA6000463471884 -:040CA700022300E341 -:040CA80097A200050A -:040CA9000047C783B6 -:040CAA0096A2475176 -:040CAB0002E787B322 -:040CAC0000B48023ED -:040CAD00479C97B217 -:040CAE00BF31C29CF4 -:040CAF00ECE347919A -:040CB00067D9F0E729 -:040CB100E1878793BD -:040CB200973E070A58 -:040CB3008782431CD5 -:040CB400433D460C6A -:040CB50000C645032D -:040CB60000D647031A -:040CB7000005C783EA -:040CB80000E6460309 -:040CB90000669D63D1 -:040CBA0000F7786364 -:040CBB00F61317FD18 -:040CBC0080230FF78B -:040CBD00B5C500C5F4 -:040CBE00863AFD6D08 -:040CBF00F863BFDD3A -:040CC000078500C7DD -:040CC1000FF7F7131F -:040CC20000E58023A6 -:040CC300FD6DB5E925 -:040CC400BFDD8732D7 -:040CC50045BD4618CB -:040CC6000007578349 -:040CC70000B69C6374 -:040CC80000C6568389 -:040CC90000F6F563D9 -:040CCA0007C217FD49 -:040CCB00102383C1AE -:040CCC00B55500F723 -:040CCD0000E6568364 -:040CCE00FED7FBE36F -:040CCF00B7F50785E9 -:040CD00045BD465088 -:040CD10047834218FB -:040CD200996300071B -:040CD300C78100B61F -:040CD400F79317FD7E -:040CD50000230FF7F2 -:040CD600BDB500F7B1 -:040CD700004646830A -:040CD80000D7F563E9 -:040CD900F693078502 -:040CDA0000230FF7ED -:040CDB00B5A500D7E4 -:040CDC004B9C47984E -:040CDD004703655D07 -:040CDE004645000780 -:040CDF0046C50513EE -:040CE00097BA070AAE -:040CE10010EF438C41 -:040CE20044321D502B -:040CE30044A240C225 -:040CE400D06F01517B -:040CE5004798E35FEA -:040CE60045034B9CDB -:040CE70097820007E9 -:040CE8004798B7ED85 -:040CE90055034B9CC8 -:040CEA0097820007E6 -:040CEB0067DDBFF909 -:040CEC00460786230E -:040CED00C695BFD910 -:040CEE0066B7C3051D -:040CEF0086930001E7 -:040CF0006637E3C6BA -:040CF100655D00013C -:040CF200E4460613BB -:040CF300051345C5DB -:040CF40010EF46C5F2 -:040CF500BF550EF0E9 -:040CF600000166B7DC -:040CF700E3468693B7 -:040CF80047DCB7CD51 -:040CF9004398D7E95C -:040CFA00BF45479C0F -:040CFB0047BD8082EF -:040CFC0014A7E063F6 -:040CFD00445257B74F -:040CFE00EF410113AE -:040CFF00355787934B -:040D000057B7C03EE3 -:040D01008793004193 -:040D0200C23E441792 -:040D030087936795D6 -:040D0400142320078D -:040D0500379300F12F -:040D0600222300F5AF -:040D0700052310812F -:040D0800041300F1DF -:040D09002423010599 -:040D0A0047B91011C4 -:040D0B00F263042269 -:040D0C0065DD0AA7F0 -:040D0D0004800793C4 -:040D0E00859346295A -:040D0F00051345C5BE -:040D100005A300D166 -:040D1100062300F1C4 -:040D120010EF0001DD -:040D130067DD79E03F -:040D140042B7C78398 -:040D1500061365DD7F -:040D16000C23036047 -:040D170067DD00F1A3 -:040D18004287C783C4 -:040D19005205859367 -:040D1A0001F10513CB -:040D1B0000F10CA334 -:040D1C00C78367DD45 -:040D1D000BA347E7F6 -:040D1E0067DD00F19C -:040D1F004667C783D9 -:040D200000F10D23AE -:040D2100C78367DD40 -:040D22000DA34E7758 -:040D230067DD00F197 -:040D24004587C783B5 -:040D250000F10E23A8 -:040D2600C78367DD3B -:040D27000EA333776D +:0409EB00D03E59E7BA +:0409EC00879367DDA9 +:0409ED00D23E59F7A6 +:0409EE0067DDD43EAF +:0409EF005A07879389 +:0409F00027B7D63E11 +:0409F10087930F03D6 +:0409F200C63EF017F6 +:0409F3003030079306 +:0409F4001823DA22C8 +:0409F500645D00F14C +:0409F6000923478DFD +:0409F700079300F171 +:0409F800C78352441B +:0409F900D826018774 +:0409FA0005A3DC066F +:0409FB00472500018B +:0409FC00524404134A +:0409FD008663448544 +:0409FE00849300E7F7 +:0409FF00F493001756 +:040A000067DD0FF4AB +:040A0100A603675D84 +:040A0200071360472F +:040A030047815C4784 +:040A0400568345E9E7 +:040A05001C63000767 +:040A0600476900D666 +:040A07000EF766631D +:040A0800078A675999 +:040A0900AB8707139D +:040A0A00439C97BAB8 +:040A0B0007098782CE +:040A0C0038B78E6306 +:040A0D00BFF10785A9 +:040A0E0066DD4785D5 +:040A0F004F86D70334 +:040A10001007471371 +:040A11004EE69C23EE +:040A12002703675DF2 +:040A1300169360874F +:040A1400D36300F7B1 +:040A150087A60006AA +:040A160000E716934C +:040A17000006DE6394 +:040A18004683675D4D +:040A190045855907AF +:040A1A00E563460149 +:040A1B00068500D577 +:040A1C000FF6F613C8 +:040A1D0058C708238B +:040A1E00450147291E +:040A1F0000E7866303 +:040A200007A3675D64 +:040A210045054CF744 +:040A2200D70367DDB2 +:040A230066DD4F87B6 +:040A240060D6C6834F +:040A250007429B4D9C +:040A26009C23834149 +:040A2700EA814EE72B +:040A2800C68366DD3E +:040A2900068A50A643 +:040A2A009C238F5525 +:040A2B00D7034EE7B8 +:040A2C0050E24F87BE +:040A2D0017B7545251 +:040A2E00A0230002FF +:040A2F0054C20EE7B8 +:040A300003C10113EA +:040A310047898082EF +:040A3200478DBF8DA0 +:040A33004791B7BD73 +:040A34004795B7AD7E +:040A35004799B79D89 +:040A3600479DB78D94 +:040A370047A1BFB95B +:040A380047A5BFA966 +:040A3900675DBF999D +:040A3A0060D74783B7 +:040A3B000017B69357 +:040A3C0060D706A3D6 +:040A3D004683675D28 +:040A3E00675D521787 +:040A3F004CD706A3E7 +:040A40004505E791F0 +:040A410007D000EFEB +:040A4200BF0547A9FC +:040A43008CBFE0EF95 +:040A44000683BFE581 +:040A4500477100A451 +:040A4600000217B7DC +:040A470002E686B38A +:040A48000713675DCC +:040A4900A783620716 +:040A4A0066370C07F8 +:040A4B00655D0001E4 +:040A4C00B246061395 +:040A4D00051345C583 +:040A4E00C23E4D0552 +:040A4F0066DD973693 +:040A50005216C683F1 +:040A5100406020EFF2 +:040A520000B44703A2 +:040A53004792CB29D2 +:040A5400061366051A +:040A55008E7D80060C +:040A56007FF7F6939D +:040A570000C0373371 +:040A580096B30685C6 +:040A590096BA00E663 +:040A5A000700071377 +:040A5B000713C219A2 +:040A5C0083C10690BC +:040A5D000037F61355 +:040A5E0002A0079358 +:040A5F000793E219FE +:040A60001637020043 +:040A6100523000020D +:040A620045C5655DC4 +:040A63006637C03200 +:040A64000613000174 +:040A65000513B306BC +:040A660020EF510527 +:040A6700E0EF3B0081 +:040A6800B79D829F15 +:040A69005783675DEB +:040A6A00C7934F8758 +:040A6B001C23010740 +:040A6C00BF994EF7E9 +:040A6D00C70367DD77 +:040A6E0046055907D9 +:040A6F0065634681F4 +:040A7000070500E690 +:040A71000FF7769372 +:040A720058D78823A6 +:040A730067DDBF3547 +:040A74005907871384 +:040A7500001747031C +:040A76008793460517 +:040A77004681590754 +:040A780000E66563CC +:040A79007693070564 +:040A7A0080A30FF74F +:040A7B00BF2900D7B8 +:040A7C000793675D18 +:040A7D00C7835907CB +:040A7E000713004713 +:040A7F00C7815907CB +:040A8000F79317FDD4 +:040A810002230FF746 +:040A8200BDFD00F7BF +:040A83000713675D91 +:040A84004783590744 +:040A850046B9004727 +:040A860000F6F36320 +:040A8700078547B9DF +:040A880065B7B7DDBA +:040A8900655D0001A6 +:040A8A0085934645C5 +:040A8B000513B40596 +:040A8C0020EF4D0505 +:040A8D00635D3B204A +:040A8E00000165B747 +:040A8F0051030513F7 +:040A900085934645BF +:040A910020EFB50598 +:040A9200E0EF39E078 +:040A9300675DF7CFD5 +:040A94000713635D84 +:040A950017B7620726 +:040A9600A6030002B1 +:040A970067DD0D0703 +:040A98005C4787939D +:040A9900824106424E +:040A9A0045ED46815F +:040A9B000007D50378 +:040A9C0000C5066328 +:040A9D00078906853A +:040A9E00FEB69AE323 +:040A9F0000A4078325 +:040AA00087B3467161 +:040AA100461502C72D +:040AA200C78397BAB5 +:040AA3006463017710 +:040AA400461102F6FF +:040AA50004D64A63C6 +:040AA600973E181847 +:040AA700FDC747033D +:040AA80040D75733A9 +:040AA900CF118B05D9 +:040AAA001818078A87 +:040AAB00A78397BACC +:040AAC008023FE475E +:040AAD00E0EF00D79F +:040AAE0067DDF20FFF +:040AAF00600786A3B3 +:040AB0006637B5A14F +:040AB10006850001B5 +:040AB200B5C60613AC +:040AB300051345C51D +:040AB40020EF5103DB +:040AB500E0EF2780C7 +:040AB600A537EF0F62 +:040AB700051300071C +:040AB800D0EF120564 +:040AB900BFC1E1CF09 +:040ABA0086E347B1D7 +:040ABB006509FCF6D7 +:040ABC0071050513A8 +:040ABD00E0AFD0EFE7 +:040ABE00871367DD56 +:040ABF00635D62070A +:040AC00067DDBF9996 +:040AC1005907871337 +:040AC200018747035E +:040AC3008793467956 +:040AC4004681590707 +:040AC50000E665637F +:040AC6007693070517 +:040AC7008C230FF776 +:040AC800B3DD00D7C3 +:040AC900871367DD4B +:040ACA00470359077E +:040ACB008793018785 +:040ACC0046FD590783 +:040ACD00177DD76D4D +:040ACE0065B7B7CD84 +:040ACF00655D000160 +:040AD000859346457F +:040AD1000513B6C58E +:040AD20020EF4D05BF +:040AD30065B729A03A +:040AD400655D00015B +:040AD500859346457A +:040AD6000513B7C588 +:040AD70020EF5105B6 +:040AD80064412860ED +:040AD900E62FE0EF35 +:040ADA0017B7147DB9 +:040ADB00A6830002EC +:040ADC0067DD0D07BE +:040ADD005C478713D8 +:040ADE0047818EE1DD +:040ADF005583466D88 +:040AE0008C6300071C +:040AE100078500D5B0 +:040AE2009AE3070983 +:040AE3006509FEC7DC +:040AE4007105051380 +:040AE500D6AFD0EFC9 +:040AE6004725BFC918 +:040AE70000F74C6365 +:040AE800078547290E +:040AE90002E7E7B386 +:040AEA0006A3675D9B +:040AEB00F0EF4CF7E5 +:040AEC00B711958F1A +:040AED009CE347310E +:040AEE00BDF5FCE76F +:040AEF00C70367DDF5 +:040AF00047A960D7DB +:040AF100C6071BE336 +:040AF200B98587A695 +:040AF300B9AD47A9A9 +:040AF400DBC101134E +:040AF500202318287A +:040AF6002E23241176 +:040AF7002C23228109 +:040AF800E0EF229178 +:040AF900C22AD44FEA +:040AFA007E3010EF4B +:040AFB00440D4792CD +:040AFC004611EBC5EF +:040AFD000828182C81 +:040AFE001EC020EF07 +:040AFF00000165B7D6 +:040B00008593461182 +:040B01000828DD459E +:040B02001B0020EFC5 +:040B0300064007930E +:040B04005783E941E9 +:040B0500059303C190 +:040B0600462103E1A0 +:040B070001E10513F0 +:040B080000F11E23B7 +:040B09001C0020EFBD +:040B0A0004615783A8 +:040B0B00020102A33E +:040B0C0000F11A23B7 +:040B0D000481578385 +:040B0E0000F11B23B4 +:040B0F0000EF45525C +:040B100057834BD0EC +:040B1100D42A04A13D +:040B120000F11A23B1 +:040B130004C157833F +:040B140000F11B23AE +:040B150000EF455256 +:040B160057834A5067 +:040B1700D62A04E1F5 +:040B180000F11A23AB +:040B190005015783F8 +:040B1A0000F11B23A8 +:040B1B0000EF455250 +:040B1C00D82A48D0BB +:040B1D002341250348 +:040B1E0000EFCA2AF0 +:040B1F0055A2481083 +:040B20000793DA2A33 +:040B210087131E20F8 +:040B2200E063FE6529 +:040B2300460514E788 +:040B240000EF18289E +:040B250057D248D08B +:040B260006F50B6362 +:040B270006600793CA +:040B280010EFC23ECA +:040B290047927290ED +:040B2A00896347058F +:040B2B0047632AE70B +:040B2C00071326F78E +:040B2D008863F35096 +:040B2E0044632AE70B +:040B2F00071324F78D +:040B30008763F340A4 +:040B310065B72AE793 +:040B320085930001A6 +:040B3300C23ED205E7 +:040B3400851367DDE1 +:040B350046455107D9 +:040B360010C020EFDC +:040B3700CEAFE0EF6E +:040B3800000F45372E +:040B39002405051377 +:040B3A00C16FD0EFC8 +:040B3B00DA634792A0 +:040B3C0058632A07C9 +:040B3D0066372A806D +:040B3E00655D0001F0 +:040B3F00E4060613AF +:040B4000051345C58F +:040B410020EF4D054F +:040B4200147D0440DA +:040B4300645DA2B992 +:040B4400000166370F +:040B4500DDC60613F0 +:040B4600051345C589 +:040B470020EF4D044A +:040B480056B202C0DF +:040B4900663767DDC7 +:040B4A00851300010E +:040B4B000613510735 +:040B4C0045C5DEC6F7 +:040B4D00016020EF34 +:040B4E00C8EFE0EF1D +:040B4F0054B257C283 +:040B5000C23E43015D +:040B5100C422450174 +:040B5200089364633D +:040B530092634792D0 +:040B540047831AA712 +:040B5500468301E1F1 +:040B5600470301C18F +:040B5700CFD501D124 +:040B5800000167B77A +:040B5900CEC78793E9 +:040B5A000613452217 +:040B5B00C03201E1C2 +:040B5C0000016637F7 +:040B5D00DF86061316 +:040B5E00051345C571 +:040B5F0010EF4D0541 +:040B600067DD7CD001 +:040B6100000165B773 +:040B620085934645EC +:040B63008513E5050C +:040B640010EF510736 +:040B650064416E1069 +:040B6600C2EFE0EF0B +:040B670014B7147D2E +:040B6800A78300025D +:040B6900675D0D04B3 +:040B6A005C4756830B +:040B6B008B638FE128 +:040B6C00071306F66F +:040B6D0057035C4787 +:040B6E0000630027F9 +:040B6F00650914F709 +:040B700071050513F3 +:040B7100B3AFD0EF5F +:040B72000793BFD94D +:040B7300BDC90650A2 +:040B7400406482B3A4 +:040B750020000793C2 +:040B76000057F463CD +:040B770020000293C5 +:040B7800041367DD1E +:040B790097132003AB +:040B7A0085130102DC +:040B7B0083414BC7A0 +:040B7C005613468145 +:040B7D00182C00949C +:040B7E00C616C81AB5 +:040B7F004E1010EF15 +:040B8000166387AAC7 +:040B810043420E05D8 +:040B8200182842B23B +:040B83000013361312 +:040B840000EF859663 +:040B8500832230D0C7 +:040B860067B7BF0589 +:040B8700879300014F +:040B8800B799F3C75F +:040B8900050010EF64 +:040B8A005783675DC9 +:040B8B0065094F8722 +:040B8C0071050513D7 +:040B8D000027E793C3 +:040B8E004EF71C23DF +:040B8F000EF4A0239D +:040B9000ABEFD0EF08 +:040B9100000165B743 +:040B92004645655D12 +:040B9300E045859321 +:040B94004D050513F3 +:040B9500791010EFD4 +:040B960067DD440DC6 +:040B9700000164B73E +:040B98005107851369 +:040B990085934645B5 +:040B9A0010EFE10473 +:040B9B00E0EF77B060 +:040B9C005632B58F89 +:040B9D004581183442 +:040B9E00E0EF45053A +:040B9F0087AAADAFC5 +:040BA000E20510E377 +:040BA10065B7675D70 +:040BA2000513000136 +:040BA30046454D076F +:040BA400E20585934E +:040BA500751010EFC8 +:040BA600E10485934E +:040BA700464564DD7E +:040BA800510485135C +:040BA900741010EFC5 +:040BAA00B1EFE0EFD8 +:040BAB0055B25642A7 +:040BAC0045011834B3 +:040BAD00A0EFE0EFE6 +:040BAE0013E387AA1C +:040BAF0010EFDE0560 +:040BB000675D50D05D +:040BB100000165B723 +:040BB200859346459C +:040BB3000513E645FB +:040BB40010EF4D07EA +:040BB50065B75A10B6 +:040BB60046450001AF +:040BB700E30585933A +:040BB800510485134C +:040BB900701010EFB9 +:040BBA00ADEFE0EFCC +:040BBB00440DA00144 +:040BBC00440DBB45E4 +:040BBD000670079324 +:040BBE00440DB365CA +:040BBF000680079312 +:040BC0000713B3451F +:040BC1008A63F360F0 +:040BC200071306E728 +:040BC3009CE3F3704C +:040BC40065B7DAE750 +:040BC5008593000113 +:040BC600BB55D8053E +:040BC70006600713AA +:040BC80006E7826357 +:040BC90000F74F637F +:040BCA0006400713C7 +:040BCB0006E7816355 +:040BCC0006500713B5 +:040BCD00D8E799E3E9 +:040BCE00000165B706 +:040BCF00D3858593B2 +:040BD0000713B379DB +:040BD10089630670BE +:040BD200071304E71A +:040BD3009CE3068019 +:040BD40065B7D6E744 +:040BD5008593000103 +:040BD600BB95D6C530 +:040BD700000165B7FD +:040BD800D2858593AA +:040BD90065B7B3AD9C +:040BDA0085930001FE +:040BDB00B385DA05FF +:040BDC00000165B7F8 +:040BDD00DB0585931C +:040BDE0065B7BB99A3 +:040BDF0085930001F9 +:040BE000B3B1D905CF +:040BE100000165B7F3 +:040BE200D48585939E +:040BE30065B7B389B6 +:040BE40085930001F4 +:040BE500BB25DC450B +:040BE600000165B7EE +:040BE700D585859398 +:040BE8002083B33D76 +:040BE90024032401BC +:040BEA00248323C17C +:040BEB00557D238190 +:040BEC00244101138C +:040BED0086AA8082D2 +:040BEE000001663765 +:040BEF000613655D27 +:040BF00045C5690688 +:040BF1005105051392 +:040BF2005830106FF8 +:040BF30046500693CF +:040BF40002D506B36D +:040BF500064007931C +:040BF600000166375D +:040BF7000613655D1F +:040BF80045C5F306F6 +:040BF900510505138A +:040BFA0002F6C6B386 +:040BFB0055F0106F32 +:040BFC00663786AA28 +:040BFD00655D000131 +:040BFE00EDC6061327 +:040BFF00051345C5D0 +:040C0000106F51051B +:040C010046B754900E +:040C02008693000FC6 +:040C0300053324068B +:040C0400678902D525 +:040C050096478793F4 +:040C06003E80071312 +:040C0700006336B799 +:040C0800EA068693DF +:040C09000001663749 +:040C0A00ED060613DA +:040C0B0057B345C5D1 +:040C0C00F7B302F543 +:040C0D00472902E78A +:040C0E0002D556B302 +:040C0F000513655D07 +:040C1000D733510580 +:040C1100106F02E777 +:040C120006935050A5 +:040C130006B346706E +:040C1400079302D56B +:040C150066370640F8 +:040C1600655D000117 +:040C170068860613D2 +:040C1800051345C5B6 +:040C1900C6B3510508 +:040C1A00106F02F65F +:040C1B0086AA4E1047 +:040C1C000001663736 +:040C1D000613655DF8 +:040C1E0045C5F08652 +:040C1F005105051363 +:040C20004CB0106F55 +:040C210027100693FF +:040C220002D506B33E +:040C230006400793ED +:040C2400000166372E +:040C25000613655DF0 +:040C260045C5F38647 +:040C2700510505135B +:040C280002F6C6B357 +:040C29004A70106F8E +:040C2A0027100693F6 +:040C2B000533050583 +:040C2C00069302D554 +:040C2D0066370640E0 +:040C2E0006130001A8 +:040C2F0045C5F3863E +:040C300002D546B3F0 +:040C31000513655DE5 +:040C3200106F5105E9 +:040C330016934810BC +:040C340086E10185CF +:040C3500000166371D +:040C360016D1655D11 +:040C3700EB8606132F +:040C3800051345C596 +:040C3900106F5105E2 +:040C3A0045F14650EA +:040C3B0002B50533C6 +:040C3C00859365DD5A +:040C3D0046456205C1 +:040C3E00655D95AAB1 +:040C3F005105051343 +:040C40004E50106F93 +:040C410000251793E0 +:040C420005136559D8 +:040C4300953EEFC526 +:040C4400655D410C9D +:040C45000513464508 +:040C4600106F5105D5 +:040C470047A94CB0BD +:040C480000F50F6341 +:040C490000251793D8 +:040C4A000513655DCC +:040C4B00953EC60507 +:040C4C00655D410C95 +:040C4D000513464500 +:040C4E00106F5105CD +:040C4F0065B74AB08B +:040C50008593000187 +:040C5100B7F5EE8580 +:040C52004585114182 +:040C5300C02AC606E7 +:040C5400675D219126 +:040C55006107478369 +:040C560007134682B8 +:040C5700E7896107C1 +:040C580000016737F9 +:040C5900F207071384 +:040C5A00663740B207 +:040C5B00655D0001D2 +:040C5C00F286061303 +:040C5D00051345C571 +:040C5E0001415105FA +:040C5F003CF0106FE6 +:040C6000A70367DDA2 +:040C610067DD6047A4 +:040C62005C478793D1 +:040C6300464546AD0F +:040C64000167D583CC +:040C650006E59263AB +:040C66000FF6F693FC +:040C67000763E11925 +:040C68001131280717 +:040C690064DDC4265C +:040C6A004994C28364 +:040C6B00645DC622DC +:040C6C002D040793B9 +:040C6D000032959329 +:040C6E00C80697AE6F +:040C6F000007A303D4 +:040C70000047C783EF +:040C71008513465150 +:040C72008733FF566F +:040C7300260302C78B +:040C740075130043B1 +:040C750043950FF59F +:040C76004994849386 +:040C77002D04041331 +:040C78004703963A5E +:040C7900E8630046E6 +:040C7A0063D902A395 +:040C7B008393050A50 +:040C7C00951EE78357 +:040C7D0085024108A3 +:040C7E000789068557 +:040C7F00F8C69AE336 +:040C8000BF69468181 +:040C81004783E39929 +:040C820017FD000357 +:040C83000FF7F793DD +:040C8400822395A290 +:040C8500470100F52E +:040C8600A091468172 +:040C8700000347031C +:040C880095A20785A5 +:040C890002E7E7B3E4 +:040C8A008763B7EDD8 +:040C8B008793000249 +:040C8C008023FFF2D0 +:040C8D00B7C500F4F3 +:040C8E0040C24432EA +:040C8F0067DD44A237 +:040C9000600786A3D0 +:040C9100D06F0151CE +:040C9200478DF91F72 +:040C930004F70E63F1 +:040C940012E347918F +:040C9500461CFCF706 +:040C9600872A978290 +:040C9700C783468544 +:040C9800655D000492 +:040C9900078E464537 +:040C9A004783943EBA +:040C9B0040040044CD +:040C9C008433445108 +:040C9D0040DC0287AE +:040C9E004D050513E8 +:040C9F00C036C23A5F +:040CA000438C97A248 +:040CA100361010EF0A +:040CA200459140DC5C +:040CA300C60397A24B +:040CA400EC630047B6 +:040CA50065D912C536 +:040CA6008593060A22 +:040CA700962EE90597 +:040CA800468242102E +:040CA9008602471266 +:040CAA00C3914A1C8C +:040CAB00C7839782E2 +:040CAC0043510004AC +:040CAD000017859314 +:040CAE000733078E73 +:040CAF00431400F4F6 +:040CB00000474703AF +:040CB100073342D0F3 +:040CB20096930267AC +:040CB30005330035D0 +:040CB400230300D442 +:040CB500973200056D +:040CB6000463471874 +:040CB700022300E331 +:040CB80097A20005FA +:040CB9000047C783A6 +:040CBA0096A2475166 +:040CBB0002E787B312 +:040CBC0000B48023DD +:040CBD00479C97B207 +:040CBE00BF31C29CE4 +:040CBF00ECE347918A +:040CC00067D9F0E719 +:040CC100EA478793E4 +:040CC200973E070A48 +:040CC3008782431CC5 +:040CC400433D460C5A +:040CC50000C645031D +:040CC60000D647030A +:040CC7000005C783DA +:040CC80000E64603F9 +:040CC90000669D63C1 +:040CCA0000F7786354 +:040CCB00F61317FD08 +:040CCC0080230FF77B +:040CCD00B5C500C5E4 +:040CCE00863AFD6DF8 +:040CCF00F863BFDD2A +:040CD000078500C7CD +:040CD1000FF7F7130F +:040CD20000E5802396 +:040CD300FD6DB5E915 +:040CD400BFDD8732C7 +:040CD50045BD4618BB +:040CD6000007578339 +:040CD70000B69C6364 +:040CD80000C6568379 +:040CD90000F6F563C9 +:040CDA0007C217FD39 +:040CDB00102383C19E +:040CDC00B55500F713 +:040CDD0000E6568354 +:040CDE00FED7FBE35F +:040CDF00B7F50785D9 +:040CE00045BD465078 +:040CE10047834218EB +:040CE200996300070B +:040CE300C78100B60F +:040CE400F79317FD6E +:040CE50000230FF7E2 +:040CE600BDB500F7A1 +:040CE70000464683FA +:040CE80000D7F563D9 +:040CE900F6930785F2 +:040CEA0000230FF7DD +:040CEB00B5A500D7D4 +:040CEC004B9C47983E +:040CED004703655DF7 +:040CEE004645000770 +:040CEF005105051393 +:040CF00097BA070A9E +:040CF10010EF438C31 +:040CF200443221F077 +:040CF30044A240C215 +:040CF400D06F01516B +:040CF5004798DF5FDE +:040CF60045034B9CCB +:040CF70097820007D9 +:040CF8004798B7ED75 +:040CF90055034B9CB8 +:040CFA0097820007D6 +:040CFB0067DDBFF9F9 +:040CFC0050078823F2 +:040CFD00C695BFD900 +:040CFE0066B7C3050D +:040CFF0086930001D7 +:040D00006637EC86E0 +:040D0100655D00012B +:040D0200F2C606131C +:040D0300051345C5CA +:040D040010EF510596 +:040D0500BF55139033 +:040D0600000166B7CB +:040D0700EC068693DD +:040D080047DCB7CD40 +:040D09004398D7E94B +:040D0A00BF45479CFE +:040D0B0047BD8082DE +:040D0C0016A7E063E3 +:040D0D00445257B73E +:040D0E00EF4101139D +:040D0F00355787933A +:040D100057B7C03ED3 +:040D11008793004183 +:040D1200C23E441782 +:040D130087936795C6 +:040D1400142320077D +:040D1500379300F11F +:040D1600222300F59F +:040D1700052310811F +:040D1800041300F1CF +:040D19002423010589 +:040D1A002023101171 +:040D1B0047B9109133 +:040D1C00F463042256 +:040D1D0065DD0AA7DF +:040D1E0004800793B3 +:040D1F008593462949 +:040D20000513500562 +:040D210005A300D155 +:040D2200062300F1B3 +:040D230010EF0001CC +:040D240067DD7E40C9 +:040D25004CF7C7833D +:040D2600061365DD6E +:040D27000C23036036 :040D280067DD00F192 -:040D290042A7C78393 -:040D2A0000F10F23A2 -:040D2B0073C010EF92 -:040D2C000593862283 -:040D2D00850A0550DE -:040D2E00B73FD0EF0C -:040D2F0000A03533B8 -:040D300040A00533A7 -:040D3100108120838A -:040D32001041240345 -:040D330010C10113D7 -:040D3400079380821F -:040D350005A30310FF -:040D360065DD00F186 -:040D3700F9C0079365 -:040D380000F106A31D -:040D3900031006138A -:040D3A008593478DC9 -:040D3B0005134EC589 -:040D3C00072300F198 -:040D3D00062300F198 -:040D3E0010EF0001B1 -:040D3F0065DD6EE020 -:040D40000C0006138A -:040D410056C585937B -:040D420010EF008826 -:040D430086226DE0B7 -:040D44001000059303 -:040D4500D0EF850A5C -:040D4600655DB15FD7 -:040D4700001406137B -:040D48002DC0059322 -:040D490062C5051367 -:040D4A00B57FD0EFB2 -:040D4B00BF59450146 -:040D4C008082557DCF -:040D4D00EE8101131F -:040D4E0010112A2333 -:040D4F0010812823C4 -:040D500010912623B5 -:040D5100FD6347BD3A -:040D520054FD00A7A5 -:040D530011412083A7 -:040D54001101240362 -:040D55002483852648 -:040D5600011310C1B4 -:040D57008082118104 -:040D580017930541A7 -:040D59000070010520 -:040D5A0010000593ED -:040D5B00C03E853ED3 -:040D5C00A9DFD0EF4C -:040D5D0065B7F97904 -:040D5E008593000178 -:040D5F000068634580 -:040D600091EFD0EF50 -:040D6100176384AAE6 -:040D620047831805A6 -:040D63009563014152 -:040D64004703180722 -:040D6500079301519E -:040D66001F630520E2 -:040D6700478316F7B1 -:040D6800C7CD016191 -:040D690093E34705C4 -:040D6A004462FAE7FE -:040D6B0001714703C8 -:040D6C000FF4779376 -:040D6D008FD907A271 -:040D6E000310071354 -:040D6F00F8E798E326 -:040D70000442802198 -:040D71000793804123 -:040D720011E339C090 -:040D7300655DF8F4CE -:040D7400031006134F -:040D750001B1059330 -:040D76004EC505134E -:040D770060C010EF59 -:040D7800635D47026E -:040D79000793468115 -:040D7A00031304005B -:040D7B00071356C341 -:040D7C000613100743 -:040D7D0085331000AA -:040D7E00C436006611 -:040D7F000074C23EFC -:040D80000293C03AE0 -:040D81008E1D09C0FA -:040D820000F685B33F -:040D83000E540B639C -:040D84005D8010EF8F -:040D850046A24792A9 -:040D860000704702B0 -:040D870040F687B3F8 -:040D88001007879336 -:040D89000107969335 -:040D8A00853A82C163 -:040D8B0010000593BC -:040D8C000413C23654 -:040D8D00D0EFF004AF -:040D8E0004429D7FFF -:040D8F008041665DDC -:040D9000470247814E -:040D91000313469270 -:040D9200B75556C635 -:040D93000181478310 -:040D9400017147039F -:040D95008FD907A249 -:040D960004800713BB -:040D9700EEE798E308 -:040D9800007866DD9C -:040D99004539478110 -:040D9A0045C6869331 -:040D9B00460345A91D -:040D9C00666300D7B3 -:040D9D00833300C5D7 -:040D9E00002300D757 -:040D9F00078500C301 -:040DA00096E30705CA -:040DA1004783FEB7CF -:040DA200675D025136 -:040DA30042F70423EC -:040DA4006663472516 -:040DA500675D00F78F -:040DA60042F705A368 -:040DA7004783A029B5 -:040DA8007AE30241A7 -:040DA9004703FEF707 -:040DAA0067DD02718E -:040DAB0083A3655D5C -:040DAC0047034EE7C4 -:040DAD0067DD02817B -:040DAE0003600613C5 -:040DAF0044E78C2366 -:040DB0000291470362 -:040DB100059367DD62 -:040DB2008BA302B15C -:040DB300470332E7D9 -:040DB40067DD02A154 -:040DB50052050513CB -:040DB60042E7852368 -:040DB70002314703BB -:040DB8008F2367DD41 -:040DB90067DD46E7C5 -:040DBA0045C7C7035F -:040DBB008EA367DDBF -:040DBC00470346E7BC -:040DBD0067DD02618B -:040DBE0046E783235E -:040DBF004EC010EF23 -:040DC0000613B5B1B0 -:040DC10010EF09C066 -:040DC20067DD4E207B -:040DC30082A34705BB -:040DC400BD2D4EE70C -:040DC500BD1D448587 -:040DC600BD0D448992 -:040DC700DE410113F5 -:040DC8002C230808C8 -:040DC9002A232011A8 -:040DCA002823208139 -:040DCB00D0EF2091B4 -:040DCC00C02A9F9FFB -:040DCD0040C010EF23 -:040DCE00966347825F -:040DCF0064DD1207C6 -:040DD000000165B702 -:040DD100859346457B -:040DD200851360C560 -:040DD30010EF42C417 -:040DD400645D49A071 -:040DD50046040623A7 -:040DD600A6FFD0EFB5 -:040DD700167D6641DE -:040DD80000021737C7 -:040DD9000D072703D8 -:040DDA00D58366DD7A -:040DDB008F715206BC -:040DDC0002E5816348 -:040DDD0052068693A1 -:040DDE000026D68392 -:040DDF000EE6856334 -:040DE0000513650989 -:040DE100C0EF7105E9 -:040DE20067C1979FAF -:040DE300FFF786137D -:040DE40065B7BFC16F -:040DE500464500017E -:040DE600620585938A -:040DE70042C485136A -:040DE800448010EF44 -:040DE900000165B7E9 -:040DEA008593464562 -:040DEB000513D845CF -:040DEC0010EF46C4FA -:040DED00D0EF5A8069 -:040DEE004481A11F7C -:040DEF000104941354 -:040DF00020040413C4 -:040DF10067DD842511 -:040DF2004681472DC2 -:040DF300004C862208 -:040DF400418785139B -:040DF50027E010EFF4 -:040DF60065B7E5599F -:040DF70085930001DF -:040DF8000048634507 -:040DF900EBBFC0EF9D -:040DFA000485C1317A -:040DFB0097E34741F2 -:040DFC0010EFFCE414 -:040DFD00453D34E05C -:040DFE00D3DFF0EF60 -:040DFF00C78367DD62 -:040E0000675D42B731 -:040E010045C70713C7 -:040E0200C50397BAD3 -:040E030067DD0007A0 -:040E040046A78EA3CC -:040E0500D21FF0EF19 -:040E060021812083A3 -:040E0700214124035E -:040E080024834502F8 -:040E090001132101AF -:040E0A00808221C100 -:040E0B0000C14703D8 -:040E0C004683FF4DCD -:040E0D00071300D1F6 -:040E0E0098E3052040 -:040E0F004683FAE636 -:040E1000470500E1B1 -:040E1100FAD763E3C6 -:040E12009593660549 -:040E130095B2008410 -:040E14003DC00613C4 -:040E150000E684630C -:040E1600055006136A -:040E17008522081414 -:040E18008F5FD0EF29 -:040E190010EFD159AC -:040E1A0057FD2DA0B3 -:040E1B00B76DC03EB1 -:040E1C0001855713E2 -:040E1D0001851793A1 -:040E1E0006B78FD9AB -:040E1F00171300FFA6 -:040E20008F75008545 -:040E210067418FD9BD -:040E2200F0070713BB -:040E23008D79812123 -:040E240080828D5DDE -:040E250017B7C6092C -:040E2600A023000203 -:040E27004701000778 -:040E2800FFC5F69379 -:040E29000002163776 -:040E2A0000E507B325 -:040E2B0002D7646323 -:040E2C00470D898D58 -:040E2D0002E59463E3 -:040E2E000007D68360 -:040E2F00000217376F -:040E300000D71223B2 -:040E31000027C7834C -:040E320000F70223A0 -:040E3300000217B7EB -:040E340080824B88E5 -:040E35000711439CC2 -:040E3600B7F9C25CEA -:040E3700996347096B -:040E3800D70300E5F7 -:040E390017B70007E0 -:040E3A0092230002FD -:040E3B00BFF900E714 -:040E3C009DE34705E6 -:040E3D00C703FCE506 -:040E3E0017B70007DB -:040E3F008223000208 -:040E4000B7E900E727 -:040E4100C222115167 -:040E4200000214375F -:040E43004601C0267E -:040E4400059384AAE4 -:040E4500051304A0ED -:040E4600C4060404D6 -:040E4700C81FC0EF11 -:040E4800460185A634 -:040E49000404051385 -:040E4A00CAFFC0EF2C -:040E4B0004A0059367 -:040E4C000404051382 -:040E4D00C0EF4605A7 -:040E4E000513C67F43 -:040E4F004412040441 -:040E5000448240A2F6 -:040E510001314585A1 -:040E5200C75FC06F47 -:040E5300C422114163 -:040E5400000214374D -:040E5500C02AC226C7 -:040E5600460184AE1F -:040E570004A005935B -:040E58000404051376 -:040E5900C0EFC6061A -:040E5A004782C37F89 -:040E5B000513460134 -:040E5C0085BE040447 -:040E5D00C63FC0EFDD -:040E5E000404051370 -:040E5F0040B2442237 -:040E6000449285A68D -:040E61000141460500 -:040E6200C4FFC06F9A -:040E6300C222115145 -:040E640014334405FA -:040E6500741300A45E -:040E660085A20FF45E -:040E6700C40645195F -:040E6800FADFF0EFCE -:040E6900441285A208 -:040E6A00451D40A240 -:040E6B00F06F0131F2 -:040E6C001151F9FF28 -:040E6D00C222050692 -:040E6E000FF57413F5 -:040E6F00450585A20E -:040E7000F0EFC406D5 -:040E710085A2F8BF9F +:040D29004CC7C78369 +:040D2A005C4585930C +:040D2B0001F10513BA +:040D2C0000F10CA323 +:040D2D00C78367DD34 +:040D2E000BA352279A +:040D2F0067DD00F18B +:040D300050A7C7837E +:040D310000F10D239D +:040D3200C78367DD2F +:040D33000DA358B7FD +:040D340067DD00F186 +:040D35004FC7C7835A +:040D360000F10E2397 +:040D3700C78367DD2A +:040D38000EA33DB712 +:040D390067DD00F181 +:040D3A004CE7C78338 +:040D3B0000F10F2391 +:040D3C00782010EF1C +:040D3D000593862272 +:040D3E00850A0550CD +:040D3F00B2FFD0EF40 +:040D400000A03533A7 +:040D410040A0053396 +:040D42001081208379 +:040D43001041240334 +:040D440010012483F3 +:040D450010C10113C5 +:040D460007B78082E9 +:040D47008793039CEF +:040D480064DD03174C +:040D4900C783CC3E52 +:040D4A00EB916104C4 +:040D4B000001663706 +:040D4C006D86061397 +:040D4D00851345B510 +:040D4E0010EF61043D +:040D4F004635011014 +:040D50006104859322 +:040D510000B10513D5 +:040D520009D010EFC5 +:040D5300061365DD41 +:040D54008593031070 +:040D550008685905CC +:040D560071A010EF89 +:040D5700061365DD3D +:040D580085930B3044 +:040D59000513620517 +:040D5A0010EF04D1C1 +:040D5B0086227080FC +:040D5C0010000593EB +:040D5D00D0EF850A44 +:040D5E00655DAB5FC5 +:040D5F000014061363 +:040D60002E90059339 +:040D61006D350513D4 +:040D6200AF7FD0EFA0 +:040D6300BFAD4501DA +:040D64008082557DB7 +:040D6500EE81011307 +:040D6600109126239F +:040D67002A2364DDFA +:040D6800282310111B +:040D6900882310814A +:040D6A0047BD60041D +:040D6B0000A7FD637D +:040D6C00208357FD8C +:040D6D002403114109 +:040D6E0024831101C8 +:040D6F00853E10C1EC +:040D700011810113D9 +:040D71000541808236 +:040D720001051793CD +:040D73000070C22E1C +:040D740010000593D3 +:040D7500C03E853EB9 +:040D7600A35FD0EFB8 +:040D770065B7F971F2 +:040D7800859300015E +:040D790000686D059C +:040D7A008B6FD0EFBC +:040D7B001A6387AAC6 +:040D7C00470318050C +:040D7D0018630141B5 +:040D7E004683180789 +:040D7F000713015104 +:040D80009263052055 +:040D8100470318E626 +:040D8200C7450161FF +:040D830012E34685AC +:040D84005683FAD7C1 +:040D8500071302410D +:040D86009CE30310D7 +:040D87005403F8E633 +:040D880007130261EA +:040D890016E339C074 +:040D8A00C42AF8E49B +:040D8B000593463551 +:040D8C008513017159 +:040D8D0010EF6104FE +:040D8E0047127AE0AE +:040D8F00FB3547A247 +:040D90000613655D84 +:040D9100102C03100F +:040D920059050513E7 +:040D9300626010EF9B +:040D9400470147824A +:040D950004D00493EF +:040D9600869366DDFD +:040D970087936206D6 +:040D98000613100727 +:040D9900053310000E +:040D9A00C23A00D782 +:040D9B000078C03EDE +:040D9C0009C0031374 +:040D9D0005B38E0507 +:040D9E000B6300974C +:040D9F0010EF0E64DF +:040DA00047125F4057 +:040DA100041347826E +:040DA20004B3F004A2 +:040DA300849340975E +:040DA400971310048D +:040DA5008341010481 +:040DA6000070853E16 +:040DA70010000593A0 +:040DA8000442C23A05 +:040DA900969FD0EF52 +:040DAA0044818041BF +:040DAB004712478222 +:040DAC004703B765DD +:040DAD0046830181F7 +:040DAE0007220171A6 +:040DAF0006938F55C3 +:040DB00018E30480C0 +:040DB1004712EED720 +:040DB200EE0715E350 +:040DB300007866DD81 +:040DB40045394781F5 +:040DB50050068693CB +:040DB600460345A902 +:040DB700666300D798 +:040DB800833300C5BC +:040DB900002300D73C +:040DBA00078500C3E6 +:040DBB0096E30705AF +:040DBC004783FEB7B4 +:040DBD00675D02511B +:040DBE004CF70623C5 +:040DBF0066634725FB +:040DC000675D00F774 +:040DC1004CF707A341 +:040DC2004783A0299A +:040DC3007AE302418C +:040DC4004703FEF7EC +:040DC50067DD027173 +:040DC60085A3655D3F +:040DC700470358E79F +:040DC80067DD028160 +:040DC90003600613AA +:040DCA004EE78E233F +:040DCB000291470347 +:040DCC00059367DD47 +:040DCD008DA302B13F +:040DCE0047033CE7B4 +:040DCF0067DD02A139 +:040DD0005C45051366 +:040DD1004CE7872341 +:040DD20002314703A0 +:040DD300812367DD34 +:040DD40067DD52E79E +:040DD5005007C703F9 +:040DD60080A367DDB2 +:040DD700470352E795 +:040DD80067DD026170 +:040DD90050E7852337 +:040DDA0050A010EF26 +:040DDB00B591478106 +:040DDC0009C0061331 +:040DDD004FE010EFE4 +:040DDE00470567DD81 +:040DDF0058E784A3AA +:040DE0004785B7F597 +:040DE1004789B53D4C +:040DE2000113B52D17 +:040DE3000868DD813E +:040DE4002211222393 +:040DE5002281202324 +:040DE60020912E2307 +:040DE70098BFD0EFF2 +:040DE80010EFC02A1E +:040DE900478242807B +:040DEA0014079463F3 +:040DEB0065B7645D27 +:040DEC004645000177 +:040DED006BC58593BA +:040DEE004D04051398 +:040DEF0010EF64DDC0 +:040DF00088234B40C9 +:040DF100D0EF5004EB +:040DF200C626A01F52 +:040DF300C42264C1F1 +:040DF40017B714FD1C +:040DF500A7830002CE +:040DF600675D0D0721 +:040DF7005C4756837C +:040DF8008E638FE592 +:040DF900071300F6E6 +:040DFA0057035C47F8 +:040DFB000163002769 +:040DFC00650910F77E +:040DFD007105051364 +:040DFE00907FC0EF33 +:040DFF004481BFD993 +:040E0000171344017F +:040E010007130104CE +:040E020057932007DB +:040E0300C23E409714 +:040E040067DD863EE2 +:040E05004681472DAE +:040E06008513080C3C +:040E070010EF4BC7D6 +:040E0800E5792BE07D +:040E0900000165B7C8 +:040E0A006D0585935A +:040E0B00C0EF080824 +:040E0C00ED1DE71FD2 +:040E0D000181470315 +:040E0E004683EF0523 +:040E0F000713019133 +:040E100097630520BF +:040E1100468302E62C +:040E1200470501A1EE +:040E130002D762633D +:040E140015936605C7 +:040E150095B200840E +:040E16003E900613F1 +:040E170000E684630A +:040E18000550061368 +:040E19000874451202 +:040E1A008EDFD0EFA8 +:040E1B000485E15118 +:040E1C004741040541 +:040E1D00F8E417E3FB +:040E1E00352010EF7C +:040E1F00453D458187 +:040E2000D15FF0EFBF +:040E2100C78367DD3F +:040E2200675D4CF7C5 +:040E2300500707135A +:040E2400C50397BAB1 +:040E250045810007FC +:040E260080A367DD61 +:040E2700F0EF52A7EF +:040E280047A2CF7F8F +:040E29000001663727 +:040E2A00061386A67F +:040E2B0045C56A4609 +:040E2C004D078513D6 +:040E2D00496010EF19 +:040E2E0065B747B2AB +:040E2F004645000133 +:040E30006B05859336 +:040E310051078513CD +:040E320051C010EFAC +:040E33008FBFD0EFAE +:040E3400000F45372F +:040E35002405051378 +:040E3600827FC0EF08 +:040E370022412083B1 +:040E3800220124036C +:040E390024834502C7 +:040E3A00011321C1BE +:040E3B00808222810E +:040E3C002DA010EFE6 +:040E3D00C03E57FD5F +:040E3E005713B7D5BA +:040E3F00179301857F +:040E40008FD90185C0 +:040E410000FF06B7F1 +:040E420000851713FD +:040E43008FD98F753F +:040E440007136741E8 +:040E45008121F00710 +:040E46008D5D8D79B8 +:040E4700C6098082D6 +:040E4800000217B7D6 +:040E49000007A023DB +:040E4A00F6934701D3 +:040E4B001637FFC592 +:040E4C0007B30002E6 +:040E4D00646300E5F5 +:040E4E00898D02D7B1 +:040E4F009463470D54 +:040E5000D68302E55E +:040E51001737000748 +:040E52001223000265 +:040E5300C78300D77A +:040E5400022300274E +:040E550017B700F7D4 +:040E56004B880002C3 +:040E5700439C8082B6 +:040E5800C25C071160 +:040E59004709B7F995 +:040E5A0000E59963B3 +:040E5B000007D703B2 +:040E5C00000217B7C2 +:040E5D0000E79223F5 +:040E5E004705BFF98C +:040E5F00FCE59DE32E +:040E60000007C703BD +:040E6100000217B7BD +:040E620000E7822300 +:040E63001151B7E989 +:040E64001437C2225B +:040E6500C0260002A1 +:040E660084AA460113 +:040E670004A005934B +:040E68000404051366 +:040E6900C0EFC4060C +:040E6A0085A6BF7F1B +:040E6B000513460124 +:040E6C00C0EF0404CB +:040E6D000593C25FC8 +:040E6E00051304A0C4 +:040E6F00460504042C +:040E7000BDDFC0EF33 +:040E7100040405135D :040E720040A2441244 -:040E730001314509FB -:040E7400F7DFF06F45 -:040E750045151151BD -:040E7600F0EFC406CF -:040E77000713F2BFAC -:040E78004781086046 -:040E790004E5136316 -:040E7A0009000593D3 -:040E7B000200051359 -:040E7C00F5DFF0EFBF -:040E7D000513458193 -:040E7E00F0EF02107F -:040E7F004581F53F75 -:040E80000220051334 -:040E8100F49FF0EFFB -:040E82000513458D82 -:040E8300F0EF02305A -:040E84004581F3FFB2 -:040E850002800513CF -:040E8600F35FF0EF37 -:040E870003000593CC -:040E88000710051337 -:040E8900F29FF0EFF5 -:040E8A0040A24785B6 -:040E8B000131853E6E -:040E8C00114180820E -:040E8D0000A101A31C -:040E8E000002153712 -:040E8F004605C4222E -:040E90000513842E94 -:040E910005930405BC -:040E9200C60600315F -:040E9300BD5FC0EF90 -:040E9400C0EF852204 -:040E950040B2EACFAE -:040E960001414422B0 -:040E970011518082F3 -:040E9800645DC222B1 -:040E990045445783F2 -:040E9A00C026C406A4 -:040E9B00F9F7F793D9 -:040E9C0083C107C245 -:040E9D0044F41A23DC -:040E9E00000214B783 -:040E9F000EF4A0238A -:040EA000C0EF455109 -:040EA10045D1E7CF81 -:040EA20003800513B1 -:040EA300FA7FF0EFF3 -:040EA400051345D11C -:040EA500F0EF0390D7 -:040EA60045D1F9DF5A -:040EA700F0EF4551D2 -:040EA80045D1F95FD8 -:040EA9000710051316 -:040EAA00F8BFF0EFAE -:040EAB00051345D115 -:040EAC00F0EF05E07E -:040EAD0045D1F81F14 -:040EAE0006D0051352 -:040EAF00F77FF0EFEA -:040EB000453145D1B2 -:040EB100F6FFF0EF69 -:040EB2003200059372 -:040EB300F0EF450512 -:040EB40045D1F65FCF -:040EB500F0EF4519FC -:040EB6000593F5DFCC -:040EB70045093200B7 -:040EB800F53FF0EF23 -:040EB90045445783D2 -:040EBA00E79340A2D8 -:040EBB001A230407EB -:040EBC00441244F4A4 -:040EBD000EF4A0236C -:040EBE000131448238 -:040EBF0011218082FB -:040EC000645DC82283 -:040EC10045445783CA -:040EC200C626CA0670 -:040EC300F9F7F793B1 -:040EC40083C107C21D -:040EC5001A23C02EFE -:040EC600173744F4A2 -:040EC70020230002E2 -:040EC80084AA0EF7F3 -:040EC900320005935B -:040ECA00F0EF4505FB -:040ECB005783F09FBA -:040ECC00173745444B -:040ECD0045C1000219 -:040ECE000207E7939D -:040ECF0044F41A23AA -:040ED0000EF72023D6 -:040ED10010EF852673 -:040ED20077132380EF -:040ED300EB110FF51B -:040ED400000175B7ED -:040ED5008593464576 -:040ED6008526A44584 -:040ED7001FE010EF19 -:040ED8004781470502 -:040ED90000F486B3E8 -:040EDA000006C50346 -:040EDB00C43A45D1FF -:040EDC00F0EFC23E33 -:040EDD004792EC1F2D -:040EDE00078547221B -:040EDF000FF7F69380 -:040EE000FEE6E2E365 -:040EE10045445783AA -:040EE200000214B73F -:040EE300F79345D16B -:040EE40007C2FDF74D -:040EE5001A2383C188 -:040EE600A02344F40D -:040EE70005130EF4ED -:040EE800F0EF0C001B -:040EE9005783E91F23 -:040EEA004502454434 -:040EEB00E79345C183 -:040EEC001A230207BC -:040EED00A02344F406 -:040EEE0010EF0EF4FF -:040EEF0077931C4099 -:040EF000EB910FF57E -:040EF10075B745028A -:040EF2004645000170 -:040EF300A4458593FA -:040EF40018A010EF43 -:040EF5004481478568 -:040EF6004782C23E2F -:040EF700873345D127 -:040EF8004503009717 -:040EF9000485000765 -:040EFA00E4BFF0EF72 -:040EFB00F713479210 -:040EFC0063E30FF4A9 -:040EFD005783FEF722 -:040EFE0040D2454455 -:040EFF00000217379F -:040F00000407E79368 -:040F010044F41A2377 -:040F02002023444222 -:040F030044B20EF7EF -:040F04008082016185 -:040F0500C2221151A2 -:040F0600000214379A -:040F07004601C026B9 -:040F0800059384AA1F -:040F0900051302C00A -:040F0A00C406040411 -:040F0B00971FC0EF7D -:040F0C00460585A66B -:040F0D0004040513C0 -:040F0E0099FFC0EF98 -:040F0F0002C0059384 -:040F100004040513BD -:040F1100C0EF4605E2 -:040F12000513957FAF -:040F1300441204047C -:040F1400448240A231 -:040F150001314585DC -:040F1600965FC06FB3 -:040F1700C42211419E -:040F18000002143788 -:040F1900C02AC22602 -:040F1A00460184AE5A -:040F1B0002C0059378 -:040F1C0004040513B1 -:040F1D00C0EFC60655 -:040F1E004782927FF5 -:040F1F00051346016F -:040F200085BE040482 -:040F2100953FC0EF49 -:040F220004040513AB -:040F230040B2442272 -:040F2400449285A6C8 -:040F2500014146053B -:040F260093FFC06F06 -:040F27000002153778 -:040F2800460111511C -:040F290005134581E6 -:040F2A00C4060405F0 -:040F2B0092BFC0EFC2 -:040F2C00C0EF4529A4 -:040F2D0045E1C4CF07 -:040F2E00F0EF450596 -:040F2F0045E1FA3F5F -:040F3000F0EF450990 -:040F310045E1F9BFDE -:040F3200F0EF450D8A -:040F33004505F93F38 -:040F3400F45FF0EF87 -:040F3500152140A2A0 -:040F3600001535135A -:040F37008082013182 -:040F3800C22211516F -:040F39004505842ABC -:040F3A00F0EFC4060A -:040F3B00991DF2BF4B -:040F3C008C49040ECA -:040F3D000FF4741326 -:040F3E00450585A23E -:040F3F00F61FF0EFBA -:040F4000450985A238 -:040F4100F59FF0EF39 -:040F4200441285A22E -:040F4300450D40A276 -:040F4400F06F013118 -:040F45001151F4BF93 -:040F4600842AC22215 -:040F4700C406450592 -:040F4800EF5FF0EF78 -:040F4900751347894C -:040F4A0013630D859B -:040F4B00641302F435 -:040F4C0085A2001565 -:040F4D00F0EF450577 -:040F4E0085A2F27F07 -:040F4F00F0EF450971 -:040F500085A2F1FF86 -:040F510040A2441264 -:040F52000131450D17 -:040F5300F11FF06F2B -:040F54008C490416AA -:040F55000FF474130E -:040F560000446413DC -:040F57001151BFD99C -:040F58001437C22266 -:040F5900C0260002AC -:040F5A0084AA46011E -:040F5B0005C0059335 -:040F5C000404051371 -:040F5D00C0EFC40617 -:040F5E00F593827F06 -:040F5F0046050FF440 -:040F6000040405136D -:040F6100853FC0EF19 -:040F620005C005932E -:040F6300040405136A -:040F6400C0EF46058F -:040F6500051380BF31 -:040F66004412040429 -:040F6700448240A2DE -:040F68000131458589 -:040F6900819FC06F35 -:040F6A00C42211414B -:040F6B000002143735 -:040F6C00C02AC226AF -:040F6D00460184AE07 -:040F6E0005C0059322 -:040F6F00040405135E -:040F7000C0EFC60602 -:040F71004782FDAF07 -:040F7200051346011C -:040F7300F5930404EA -:040F7400C0EF0FF7C4 -:040F75000513805F81 -:040F76004422040409 -:040F770085A640B259 -:040F78004605449254 -:040F7900C06F014103 -:040F7A001151FF0F03 -:040F7B000220051338 -:040F7C00C222C406C3 -:040F7D00F0EFC026AB -:040F7E007413F69F53 -:040F7F0045CD0FF558 -:040F8000F0EF4559F0 -:040F81006489FA7F06 -:040F8200710485135E -:040F8300AF2FC0EFDD -:040F8400455D458DF5 -:040F8500F95FF0EF31 -:040F8600710485135A -:040F8700AE2FC0EFDA -:040F88000804659361 -:040F8900022005132A -:040F8A00F81FF0EF6D -:040F8B003E8005138C -:040F8C00ACEFC0EF17 -:040F8D0007F475935D -:040F8E0040A2441227 -:040F8F000513448280 -:040F90000131022009 -:040F9100F65FF06FA8 -:040F9200C222115115 -:040F930005136409D5 -:040F9400C40671041A -:040F9500AAAFC0EF50 -:040F9600455945C5AF -:040F9700F4DFF0EFA4 -:040F980071040513C8 -:040F9900A9AFC0EF4D -:040F9A004589455DE3 -:040F9B00F3DFF0EFA1 -:040F9C0071040513C4 -:040F9D0040A2441218 -:040F9E00C06F0131EE -:040F9F001151A84FF5 -:040FA000842EC222B7 -:040FA100454985AA8F -:040FA200F0EFC406A2 -:040FA30085A2F1FF33 -:040FA40040A2441211 -:040FA5000131454D84 -:040FA600F11FF06FD8 -:040FA700051385AAFF -:040FA800F06F03D013 -:040FA90085AAF07FA6 -:040FAA00F06F45455A -:040FAB001151EFFFF2 -:040FAC00C222C40693 -:040FAD000065478311 -:040FAE00456D842ADF -:040FAF0000479593CF -:040FB000F5938DDD4B -:040FB100F0EF0FF559 -:040FB2004583EE3F46 -:040FB3004571006420 -:040FB400ED9FF0EFCE -:040FB500003445833C -:040FB600F0EF4529EA -:040FB7004583ECFF83 -:040FB8004525004487 -:040FB900EC5FF0EF0A -:040FBA000054458317 -:040FBB00F0EF4521ED -:040FBC004583EBBFBF -:040FBD0045350004B2 -:040FBE00EB1FF0EF46 -:040FBF000014458352 -:040FC000F0EF4531D8 -:040FC1004583EA7FFB -:040FC20044120024B1 -:040FC300452D40A2D6 -:040FC400F06F013198 -:040FC5001141E97F6E -:040FC600842AC42293 -:040FC700C2264511E8 -:040FC80084AEC03201 -:040FC900F0EFC60679 -:040FCA004602E39F59 -:040FCB000F85759386 -:040FCC000793CE4D6C -:040FCD00EA637FF064 -:040FCE0045110A8738 -:040FCF000015E59391 -:040FD000E69FF0EFB9 -:040FD10000141513E0 -:040FD20001051413EE -:040FD3005593804171 -:040FD400F59300444D -:040FD50045050FF5CA -:040FD600E51FF0EF34 -:040FD700004415932A -:040FD8000F05F59379 -:040FD900F0EF4509E7 -:040FDA000737E43FB2 -:040FDB000713019C5B -:040FDC005733CC07B4 -:040FDD0056B702976A -:040FDE0086930225CF -:040FDF0047810FF641 -:040FE000028707334A -:040FE10002E6F063D1 -:040FE200042C26B7FE -:040FE300D7F6869324 -:040FE400F9634785E1 -:040FE500F7B700E674 -:040FE6008793080BDA -:040FE700B7B3FBF7AA -:040FE800078900E78E -:040FE9000713675D26 -:040FEA00973E3DC72A -:040FEB0000074503B3 -:040FEC000280071365 -:040FED0002E50533E1 -:040FEE000014571381 -:040FEF004533953AB7 -:040FF000471D028512 -:040FF1000FF5751370 -:040FF2000FF57593EF -:040FF30000A773637D -:040FF4004422459DB1 -:040FF500449240B230 -:040FF600079A058EC3 -:040FF700F5938DDD04 -:040FF800450D0F850F -:040FF900F06F014153 -:040FFA004511DC3F82 -:040FFB00DBDFF0EF59 -:040FFC001151BFB917 -:040FFD00842AC2225E -:040FFE00C406456977 -:040FFF00D63FF0EFFA -:041000007593478518 -:041001001A630FA5BA -:04100200E59300F47E -:04100300441200256E -:04100400456940A258 -:04100500F06F013156 -:04100600E593D93F56 -:04100700BFC500A5BC -:0410080000A5558367 -:04100900C22211519D -:04100A00842A81A112 -:04100B0004B0051315 -:04100C00F0EFC40637 -:04100D004583D77FC1 -:04100E00051300A422 -:04100F00F0EF04A05A -:041010005583D6BF6F -:04101100051300C4FF -:0410120081A104D0E4 -:04101300D5DFF0EF46 -:0410140000C445834C -:0410150004C00513FB -:04101600D51FF0EF03 -:0410170000E4558319 -:0410180004F00513C8 -:04101900F0EF81A1D2 -:04101A004583D43FF7 -:04101B00051300E4D5 -:04101C00F0EF04E00D -:04101D005583D37FA5 -:04101E000513004472 -:04101F0081A1051096 -:04102000D29FF0EF7C -:0410210000444583BF -:0410220005000513AD -:04102300D1DFF0EF3A -:04102400006455838C -:04102500053005137A -:04102600F0EF81A1C5 -:041027004583D0FF2E -:041028000513006448 -:04102900F0EF0520BF -:04102A005583D03FDB -:04102B000513008425 -:04102C0081A1055049 -:04102D00CF5FF0EFB2 -:04102E000084458372 -:04102F000540051360 -:04103000CE9FF0EF70 -:0410310001045583DE -:04103200057005132D -:04103300F0EF81A1B8 -:041034004583CDBF64 -:04103500051301049A -:04103600F0EF056072 -:041037005583CCFF12 -:041038000513012477 -:0410390081A10590FC -:04103A00CC1FF0EFE8 -:04103B0001244583C4 -:04103C000580051313 -:04103D00CB5FF0EFA6 -:04103E000144558391 -:04103F0005B00513E0 -:04104000F0EF81A1AB -:041041004583CA7F9A -:04104200441201440F -:04104300051340A2AF -:04104400013105A0D1 -:04104500C95FF06F20 -:04104600C222115160 -:041047000513842ADF -:04104800C40603F0E7 -:04104900C3BFF0EF42 -:04104A000F05759386 -:04104B0044128DC1FD -:04104C00051340A2A6 -:04104D00013103F07A -:04104E00C71FF06F59 -:04104F00C222115157 -:041050004569842A40 -:04105100F0EFC406F2 -:041052001593C19F92 -:0410530044120064DF -:0410540003F5751318 -:041055008DC940A25F -:041056000FF5F5930A -:0410570001314569B5 -:04105800C49FF06FD2 -:0410590065DD11310F -:04105A008593461D17 -:04105B00850AA7C596 -:04105C0000EFC806D3 -:04105D00F0EF277019 -:04105E004501C75F22 -:04105F00E77FF0EF48 -:0410600005134585AA -:04106100F0EF035059 -:041062006559C23FCB -:0410630063C5051349 -:04106400E91FF0EFA1 -:04106500F0EF450162 -:041066004519FA7FAF -:04106700D01FF0EFB7 -:041068000440051328 -:04106900D03FF0EF95 -:04106A004505458172 -:04106B00CD3FF0EF96 -:04106C00F0EF850A12 -:04106D0040C2CFDFCF -:04106E00808201512A -:04106F00C422114145 -:041070004511842A78 -:04107100C02EC606C1 -:04107200B97FF0EF63 -:04107300043345827B -:04107400759302B4BA -:0410750045110075AC -:0410760001F4779377 -:041077008DDD078E76 -:04107800BC9FF0EF3A -:041079004054551377 -:04107A00442240B21A -:04107B000FF57513E5 -:04107C00808201412C -:04107D00C222115129 -:04107E004541842A3A -:04107F00F0EFC406C4 -:041080001593B61FEF -:0410810044120034E1 -:0410820040A2891DE2 -:04108300F5938DC98B -:0410840045410FF5DE -:04108500F06F0131D6 -:04108600C139B93F74 -:04108700C02611511D -:0410880002600513EA -:04108900059384AE99 -:04108A00C222080076 -:04108B008432C406E1 -:04108C00B79FF0EF2B -:04108D0005934785FB -:04108E0089630094DE -:04108F0047A100F481 -:0410900005A405931B -:0410910000F4846380 -:04109200018405933D -:0410930040A2441221 -:04109400F59344820A -:0410950005130FF53B -:041096000131031011 -:04109700B4DFF06F63 -:041098000513458176 -:04109900BFDD026055 -:04109A00C822112136 -:04109B00CA06C62695 -:04109C00C232C02E6E -:04109D004785C43689 -:04109E0084BA842A62 -:04109F0000F50E63E7 -:0410A0000D6347A1F4 -:0410A100059304F5BA -:0410A200F59300675B -:0410A30045150FF5EB -:0410A400B19FF0EF19 -:0410A500A80945C190 -:0410A6000027059387 -:0410A7000FF5F593B9 -:0410A800F0EF45150B -:0410A9004599B07F36 -:0410AA00F0EF451905 -:0410AB0085A2AFFF6C -:0410AC00450586264A -:0410AD00F67FF0EFEB -:0410AE0045B147C140 -:0410AF0000F40363E3 -:0410B000051345A13E -:0410B100F0EF02203A -:0410B2004442AE3FC7 -:0410B30045924622FA -:0410B40040D24502DF -:0410B500016144B2DF -:0410B600C3FFF06F15 -:0410B7000327059373 -:0410B8000FF5F593A8 -:0410B900F0EF4515FA -:0410BA000593AC3FAF -:0410BB00BF6D020003 -:0410BC0087931151B4 -:0410BD00C222FFE567 -:0410BE00C406C0267E -:0410BF000FF7F7939D -:0410C00084AA4705B2 -:0410C1006963842EAD -:0410C200470906F7DD -:0410C30013634781EB -:0410C400478900E573 -:0410C5000024971359 -:0410C60000449593BA -:0410C700079A8DD91E -:0410C8008DDD8DC568 -:0410C9000FF5F59397 -:0410CA00F0EF456599 -:0410CB004541A7FFF5 -:0410CC00A2FFF0EFA0 -:0410CD007593478D43 -:0410CE0014630F8513 -:0410CF00E59300F4B1 -:0410D0004541005541 -:0410D100A65FF0EF37 -:0410D2009D6347894A -:0410D300478502F457 -:0410D4000287EA6342 -:0410D500052005935A -:0410D60000F40463BB -:0410D7000530059348 -:0410D800F0EF4539B7 -:0410D9000513A47FD8 -:0410DA00B0EF3E80B5 -:0410DB004551D95F43 -:0410DC009EFFF0EF94 -:0410DD00A03D45816C -:0410DE00FD494789F8 -:0410DF0000B037B373 -:0410E000BF49078578 -:0410E10005B00593BE -:0410E200F0EF4539AD -:0410E3000513A1FF51 -:0410E400B0EF3E80AB -:0410E5004551D6DFBC -:0410E6009C7FF0EF0C -:0410E70045C1478D2B -:0410E800FCF41AE317 -:0410E900F0EF45617E -:0410EA004412A03FCD -:0410EB00448240A259 -:0410EC000513458122 -:0410ED00013103606A -:0410EE009F1FF06FE1 -:0410EF00C4221141C5 -:0410F0004551842AB8 -:0410F100C606C02E41 -:0410F200997FF0EF03 -:0410F300779347099F -:0410F40045820FF52D -:0410F50002E4156399 -:0410F6009C634705AB -:0410F700751300E588 -:0410F80005130905CE -:0410F9003513F705AF -:0410FA0040B20015EB -:0410FB000141442249 -:0410FC00E591808278 -:0410FD0008857513DA -:0410FE00F78505135A -:0410FF00D513B7ED61 -:041100008905001746 -:041101000113B7DD42 -:041102004791FB8195 -:0411030067DDCA3E9C -:0411040048078713FE -:04110500C0A6C2A21C -:041106004583C42E2B -:041107004621020774 -:041108000307428314 -:0411090000B61633E3 -:04110A000217458300 -:04110B0000866613E1 -:04110C000613CC32C8 -:04110D001633100085 -:04110E00458300B65F -:04110F00CE320227B3 -:04111000163366111B -:04111100458300B65C -:04111200D03202379E -:041113000004063797 -:0411140000B61633D8 -:041115000613D232B9 -:04111600D43201319D -:041117000613665DF8 -:04111800D6324A562B -:041119000613665DF6 -:04111A00D8324A6617 -:04111B000613665DF4 -:04111C00DA324A7603 -:04111D000613665DF2 -:04111E00DC324A86EF -:04111F000613665DF0 -:04112000675D4A9627 -:041121000613DE32A1 -:04112200071356C792 -:04112300C03A56C7B1 -:0411240007136759ED -:04112500C22A66C7AD -:04112600000109A318 -:041127008793430166 -:04112800C63A480774 -:041129000183151316 -:04112A000210071395 -:04112B001463856163 -:04112C00557D00E30A -:04112D004703A0C90B -:04112E0044150176ED -:04112F00016645838D -:041130000EE46863FE -:04113100139344329E -:04113200941E0027E0 -:0411330084024000F2 -:041134000800041398 -:041135006409CE2259 -:041136008DF5D02241 -:041137000080C9F17A -:04113800A38393A258 -:041139004E0CFE83D7 -:04113A000003C38368 -:04113B0093A2038AEE -:04113C00FD43A38349 -:04113D000075F3B393 -:04113E000A038C63B1 -:04113F00C5934422EE -:041140008985FFF5A9 -:041141000A85966322 -:0411420001065583CA -:0411430005F9441254 -:041144000A85E063D5 -:041145008823458531 -:04114600972300B734 -:0411470089230007F1 -:04114800AA230007CF -:041149008593007713 -:04114A008263800339 -:04114B00658522058F -:04114C008005859302 -:04114D000E75E063D8 -:04114E000400059301 -:04114F001EB38263E6 -:041150000875EF63CC -:04115100886345A1C9 -:04115200ED6314B382 -:041153004591067547 -:0411540006B39063EB -:0411550000079623D6 -:041156000FD7771325 -:041157001C6346854A -:04115800C68300D773 -:041159008563033770 -:04115A004709120629 -:04115B0000E78823FE -:04115C0087A3470519 -:04115D00441600E74D -:04115E0001134486AF -:04115F008082048105 -:041160001000041364 -:041161006411CE2225 -:041162005483BF8172 -:04116300041301066A -:0411640094E320D020 -:041165004483F48447 -:04116600440DFFB67F -:0411670000849D6300 -:041168000002956389 -:041169000EF5F593F7 -:04116A004409BF0D68 -:04116B00F28297E392 -:04116C000671030500 -:04116D009563BDC504 -:04116E00F5930002F3 -:04116F00BF310FB5C8 -:04117000B7ED44058E -:041171008863474107 -:04117200071314E368 -:0411730091E3020002 -:041174000713FEE37C -:04117500962330107D -:04117600471100E736 -:041177000593A2B981 -:041178008763100079 -:04117900EC6314B35C -:04117A0007130075E2 -:04117B0091E30800F4 -:04117C000713FCE376 -:04117D009623201085 -:04117E00471500E72A -:04117F000713A23D73 -:041180008163200067 -:04118100071314E359 -:0411820093E34000B3 -:041183000713FAE371 -:04118400A221202064 -:041185008C6365C151 -:04118600E66314B355 -:0411870065890275FF -:0411880012B38A63B1 -:041189000075E863A2 -:04118A0093E367057F -:04118B000713F8E36B -:04118C00B7D1202097 -:04118D008A6365917B -:04118E00672112B310 -:04118F00F6E39AE306 -:0411900020300713F1 -:041191000737A8D99B -:041192008863000866 -:04119300606312E3A0 -:0411940007370277A0 -:041195008F63000262 -:04119600073710E324 -:0411970099E30004D4 -:041198004711F4E324 -:0411990000E79623B2 -:04119A0007800713B0 -:04119B000737A8E585 -:04119C008E6300104E -:04119D00073710E31D -:04119E009BE30020AF -:04119F000713F2E35D -:0411A0009623204032 -:0411A100471900E703 -:0411A20000E78823B7 -:0411A300A8D947512F -:0411A40000E78723B6 -:0411A5004685BDF1CD -:0411A60000D78623C5 -:0411A70003D7C68321 -:0411A80046F1E2A981 -:0411A90002D306B3B4 -:0411AA0096B24602B1 -:0411AB0000E6D60381 -:0411AC0057700693DF -:0411AD0002C6E7632C -:0411AE00FFF706132E -:0411AF000FF67613AE -:0411B000E063468929 -:0411B100460D02C61F -:0411B20000C786A349 -:0411B30000D78823B6 -:0411B400EAD713E380 -:0411B5000337C70332 -:0411B6004705EB1DE1 -:0411B70000E78723A3 -:0411B8000693BD5984 -:0411B90086A3FFE723 -:0411BA00F6930007A1 -:0411BB00D2FD0FD67C -:0411BC0012E346955F -:0411BD004771E8D7B7 -:0411BE0002E3033312 -:0411BF00933A470216 -:0411C00000E356836F -:0411C1004AF00713D6 -:0411C200FCD776E3FD -:0411C30086A3B5AD9D -:0411C40047090007D0 -:0411C5000713A8194B -:0411C600962320103C -:0411C700BFD500E7A9 -:0411C80030100713C9 -:0411C90000E7962382 -:0411CA008823471916 -:0411CB00B5A100E7E3 -:0411CC009623468997 -:0411CD00468D00D774 -:0411CE00E2D71FE362 -:0411CF000337C70318 -:0411D000BFC1DF4973 -:0411D10010200713D0 -:0411D20000E7962379 -:0411D3000713B52D1C -:0411D400B5512020D1 -:0411D50020200713BC -:0411D60000E7962375 -:0411D7008823471D05 -:0411D800473500E7B0 -:0411D90000E789237F -:0411DA00468DB53950 -:0411DB000713B7D966 -:0411DC00B595203075 -:0411DD0020300713A4 -:0411DE000713BDBD79 -:0411DF0096232040F3 -:0411E000470D00E7D0 -:0411E10000E7882378 -:0411E200028007136D -:0411E3000713BFE14E -:0411E40096232040EE -:0411E500471500E7C3 -:0411E60000E7882373 -:0411E700B7D94761CC -:0411E8001793111137 -:0411E900CA22018590 -:0411EA00CC06C82641 -:0411EB00842A87E1EA -:0411EC00DD6384AE8D -:0411ED004581000731 -:0411EE00077005136E -:0411EF0001A337D54C -:0411F000478500A18E -:0411F10006A7EE63FC -:0411F20007F4741377 -:0411F30045912E955F -:0411F400268145010A -:0411F500D7932E81DD -:0411F60002A30184CB -:0411F700D79300F199 -:0411F80003230104C8 -:0411F900D79300F197 -:0411FA0003A30084C7 -:0411FB00022300F1DA -:0411FC000423008147 -:0411FD000713009143 -:0411FE00079304004F -:0411FF000963095027 -:04120000071300E4EC -:041201004785048099 -:0412020000E414638D -:0412030008700793D5 -:0412040000484599C0 -:0412050000F104A34D -:04120600451524ED79 -:04120700842A2EB156 -:041208000513458500 -:0412090024F5003197 -:04120A000031078325 -:04120B000007D6639F -:04120C0047852E8D57 -:04120D00FEF506E301 -:04120E002641E01184 -:04120F000031450362 -:04121000445240E222 -:04121100017144C261 -:0412120001138082C2 -:04121300C02AFD816F -:0412140005134581F8 -:04121500D206049069 -:04121600CE26D022EE -:04121700F45FF0EFA1 -:041218004501C901C2 -:041219005402509299 -:04121A00011344F286 -:04121B00808202814A -:04121C004515842AC6 -:04121D0084AA261168 -:04121E0005134585EA -:04121F002C510071DD -:04122000007147030F -:041221000FF0079330 -:0412220000F7166358 -:0412230047852E19B4 -:04122400FEF504E3EC -:041225002615E09119 -:041226000071470309 -:041227000FE007933A -:04122800FCF711E3DB -:04122900002845C98B -:04122A00478224AD26 -:04122B000047C7832E -:04122C000027F7138D -:04122D004503CF1591 -:04122E00478300E111 -:04122F00470300F180 -:04123000890D012102 -:041231008D5D0522A8 -:0412320001014783EC -:041233004403050A61 -:04123400839900D1C9 -:0412350047838D5D01 -:04123600831D011102 -:041237000786883D61 -:041238008FD98B9926 -:041239000505943ED5 -:04123A0015331465EF -:04123B00BF9D0085CE -:04123C00CB898B913E -:04123D000101450363 -:04123E0001114783D0 -:04123F008D5D05229A -:04124000B7CD47C51A -:04124100450147819B -:041242000113BFF1E4 -:0412430065D9FDC1AB -:041244004629CC2645 -:04124500859384AA5F -:041246000068814576 -:04124700CE22D006DD -:04124800478D24E1C9 -:0412490022C1C03EC0 -:04124A0024A92C2186 -:04124B00006845A949 -:04124C0045812AC9E5 -:04124D000004A023D6 -:04124E000400051380 -:04124F00E65FF0EF77 -:04125000842A478520 -:041251000EF51B6318 -:041252001AA0059346 -:0412530004800513FB -:04125400E51FF0EFB3 -:041255001763C22A2F -:041256004591088531 -:041257002A550028EC -:0412580000A14703A7 -:041259001A6347923B -:04125A0047030CF743 -:04125B00079300B144 -:04125C0014630AA06D -:04125D0005130CF772 -:04125E002AFD3E80A7 -:04125F004785241D7E -:0412600000F5196319 -:04126100400005B78D -:041262000E900513D2 -:04126300E15FF0EF68 -:041264002C01F575EF -:041265000663478550 -:04126600440102F548 -:0412670047822C3955 -:04126800F79317FDE4 -:04126900C03E0FF77D -:04126A00DC35CBD9CB -:04126B00822347850E -:04126C00C09C00849E -:04126D00F0EF8526F3 -:04126E00157DE95FA2 -:04126F002A69C4889C -:041270004581A041D3 -:0412710007A00513BA -:04127200DD9FF0EF1D -:041273004591F57933 -:0412740022810028AB -:04127500008147832A -:04127600F793443175 -:04127700FFDD04078C -:04127800BF6D4411F1 -:041279000513458193 -:04127A00F0EF0E90F3 -:04127B000793DB7F7B -:04127C006563041092 -:04127D00440900A47C -:04127E000E90079334 -:04127F000FA00513A4 -:041280002A9DC23EA3 -:0412810047852A79FA -:0412820000F51763F9 -:04128300458145124A -:04128400D91FF0EF8F -:041285002271F96574 -:041286004401E1112D -:0412870045812A79FA -:0412880007B0051393 -:04128900D7DFF0EFCC -:04128A004401C11149 -:04128B0020000593A7 -:04128C000500051341 -:04128D00D6DFF0EFC9 -:04128E004401D13D09 -:04128F00F43DB78DE6 -:04129000351320CD25 -:041291005082001473 -:0412920044E244727C -:041293000241011300 -:04129400451C8082F3 -:04129500C822112139 -:04129600C626CA0698 -:04129700C03AC22E69 -:04129800E163440DBD -:04129900CF1902C7A0 -:04129A000045478341 -:04129B008BA184B6E9 -:04129C000626E391AE -:04129D00051385B2FE -:04129E00F0EF051058 -:04129F00C909D27F28 -:0412A0002045440998 -:0412A10040D2852290 -:0412A20044B24442CC -:0412A30080820161E3 -:0412A40006400513E8 -:0412A500458520D586 -:0412A60000B105137B -:0412A7004703289D34 -:0412A800079300B1F7 -:0412A90016630FF0C9 -:0412AA0028E500F73C -:0412AB0004E347858C -:0412AC002221FEF508 -:0412AD0000B1470342 -:0412AE000FE00793B3 -:0412AF00FCF712E353 -:0412B000041347825A -:0412B1008C05202068 -:0412B20004428C1D49 -:0412B300C481804131 -:0412B400450185A6C5 -:0412B5004582283D09 -:0412B6002825451290 -:0412B700450185A2C6 -:0412B8004401280DB8 -:0412B9002637BF799C -:0412BA0005B700066E -:0412BB001537019C46 -:0412BC000613000213 -:0412BD008593A80667 -:0412BE000513CC0543 -:0412BF00B06F020505 -:0412C000862EA75F70 -:0412C100153785AAAE -:0412C200051300020E -:0412C300B06F020501 -:0412C400862EB13F82 -:0412C500153785AAAA -:0412C600051300020A -:0412C700B06F0205FD -:0412C8008082AD7FF4 -:0412C9005783675D83 -:0412CA00F79345470A -:0412CB0007C2F7F768 -:0412CC001A2383C19D -:0412CD00173744F794 -:0412CE0020230002D7 -:0412CF0080820EF714 -:0412D0005783675D7C -:0412D100E793454713 -:0412D2001A230807CC -:0412D300173744F78E -:0412D40020230002D1 -:0412D50080820EF70E -:0412D600002936377E -:0412D700019C05B7BA -:0412D80000021537C4 -:0412D9002E060613C4 -:0412DA00CC05859327 -:0412DB0002050513F0 -:0412DC00A03FB06F10 -:0412DD00F73FF06F78 -:0412DE00278367619A -:0412DF00E38590878C -:0412E0008793679DEC -:0412E10005339787B3 -:0412E200115102F5AF -:0412E3002423C406F6 -:0412E400B0EF90A730 -:0412E50040A2D90F3B -:0412E600013145018C -:0412E70045058082B7 -:0412E800115180829E -:0412E900B0EFC40698 -:0412EA0067E1DA0FCF -:0412EB009087A783BE -:0412EC00353340A2B4 -:0412ED00013100F5D6 -:0412EE0067E18082B2 -:0412EF009007A4239D -:0412F00047018082B0 -:0412F10000E614639C -:0412F20080824501B0 -:0412F30000E507B358 -:0412F40086B30705B1 -:0412F500C78300E5C6 -:0412F600C6830007A4 -:0412F70083E3FFF698 -:0412F8008533FED765 -:0412F900808240D7D8 -:0412FA0000A5C7B3D1 -:0412FB0007338B8D9D -:0412FC00E78100C5C1 -:0412FD00E963478DCD -:0412FE0087AA02C7F2 -:0412FF000CE5716326 -:041300000005C6839B -:0413010005850785D2 -:04130200FED78FA3E0 -:04130300FEE7EAE334 -:04130400C68380829A -:041305000785000553 -:041306008FA3058527 -:04130700EAE3FED740 -:041308004402FEE7B6 -:0413090080820111CC -:04130A0000357693A1 -:04130B00CA9187AA52 -:04130C000005C6838F -:04130D0005850785C6 -:04130E00FED78FA3D4 -:04130F000037F6931A -:041310007693B7FD1C -:041311008613FFC779 -:04131200F563FE067B -:04131300117106C787 -:0413140049C0C022EA -:041315000005A30329 -:041316000085A38328 -:041317004D80CBC07A -:041318000067A023A7 -:041319000045A303E5 -:04131A004DC0CF8073 -:04131B000067A223A2 -:04131C0000C5A283E3 -:04131D000105A30320 -:04131E00024585936C -:04131F00A403CFC094 -:04132000A423FFC53E -:04132100A623007788 -:04132200A8230057A5 -:041323008793006745 -:04132400AE230247AB -:04132500EEE3FE876E -:04132600F2E3FAC72D -:041327004190F8D722 -:041328000591079193 -:04132900FEC7AE232A -:04132A004190BFCD62 -:04132B000591079190 -:04132C00FEC7AE2327 -:04132D00FED7EBE319 -:04132E00F4E7E4E319 -:04132F0080828082B6 -:04133000F7C10113ED -:04133100C13ED6A63D -:0413320067DDDA8613 -:04133300DCB6D8A2AA -:04133400A483DEBAF6 -:04133500DC633887B6 -:041336000793000514 -:04133700C09C08B09E -:0413380050D6557DB9 -:0413390054B654460C -:04133A000841011352 -:04133B000793808212 -:04133C0018232080D2 -:04133D00C22A00F1CF -:04133E004781CA2AEF -:04133F008793C19936 -:04134000C63EFFF5B1 -:0413410018B4CC3ED2 -:04134200842E57FDA1 -:04134300004C8526AF -:0413440000F1192378 -:041345002601C03687 -:04134600556357FD97 -:04134700079300F513 -:04134800C09C08B08D -:041349004792DC5D8E -:04134A0000078023F5 -:04134B00C605BF5DB7 -:04134C004701167DC2 -:04134D0000E507B3FD -:04134E0000E586B37D -:04134F000007C78349 -:041350000006C6834A -:0413510000D79663C8 -:0413520000C7046369 -:04135300F3FD07059A -:0413540040D78533C6 -:04135500450180824C -:0413560087AA808260 -:041357000585CA0935 -:04135800FFF5C703D3 -:04135900167D078571 -:04135A00FEE78FA378 -:04135B00963EFB655A -:04135C0000C79363D0 -:04135D0007858082FE -:04135E00FE078FA354 -:04135F0095AABFD5B7 -:04136000856387AA70 -:04136100C70300B707 -:04136200E701000798 -:0413630040A78533E7 -:0413640007858082F7 -:04136500C5D5B7FD36 -:04136600FFC5A78395 -:04136700C22211513C -:04136800C026C406D1 -:04136900FFC5841325 -:04136A000007D36342 -:04136B0084AA943E7E -:04136C0015F000EF89 -:04136D002783675D0E -:04136E00863A3F87F5 -:04136F002223EF81C5 -:041370002C23000426 -:0413710044123E875D -:04137200852640A2EA -:04137300013144827E -:041374001410006FE2 -:0413750002F47063AB -:0413760007334014E5 -:04137700966300D4A5 -:04137800439800E7AF -:04137900973643DC84 -:04137A00C05CC0187B -:04137B003E862C235B -:04137C0087BABFD994 -:04137D00C31943D875 -:04137E00FEE47DE329 -:04137F0086334394DA -:041380001F6300D710 -:041381004010008692 -:04138200C39496B2C8 -:0413830000D78633D6 -:04138400FAC71BE3A6 -:041385004358431076 -:04138600C39496B2C4 -:04138700B765C3D8AB -:0413880000C47563C5 -:04138900C09C47B10C -:04138A004010BF79D7 -:04138B0000C406B3E1 -:04138C0000D716630D -:04138D00435843146A -:04138E00C01496B23F -:04138F00C3C0C058BF -:041390008082B75947 -:04139100C22611411E -:04139200003584930B -:04139300C60698F101 -:0413940004A1C422CA -:04139500FB6347B1FE -:0413960044B104F466 -:0413970004B4EA634D -:0413980000EFC02A78 -:04139900675D0AD0B2 -:04139A003F872683E0 -:04139B00061347826C -:04139C0084363F87CD -:04139D00645DE43176 -:04139E003FC4041331 -:04139F00EB01401806 -:0413A0004581853EC0 -:0413A10000EFC03E5B -:0413A20047827F807F -:0413A300853EC008BB -:0413A400C03E85A61C -:0413A5007EA000EF37 -:0413A6004782577DA6 -:0413A70006E51563DF -:0413A800C39847316E -:0413A90000EF853E8E -:0413AA00A02906B0C0 -:0413AB00FA04D8E385 -:0413AC00C11C47B168 -:0413AD0040B2450104 -:0413AE0044924422FF -:0413AF0080820141F6 -:0413B0008F0540184D -:0413B10002074E637E -:0413B200F66345ADEC -:0413B300C01800E579 -:0413B400C004943AA3 -:0413B5004058A029D3 -:0413B60002869263B6 -:0413B700853EC21895 -:0413B800031000EF2F -:0413B90000B4051364 -:0413BA0000440713D1 -:0413BB0007B399617A -:0413BC00D3F140E544 -:0413BD008F09943EC2 -:0413BE00BF75C0181F -:0413BF00B7C5C2D814 -:0413C000404086A281 -:0413C1000413BF85CD -:0413C20098710035E9 -:0413C300FC8503E3BF -:0413C40040A405B389 -:0413C500C03E853E63 -:0413C600577D279D8B -:0413C7001AE347825C -:0413C800BFBDFAE5C6 -:0413C9000085A303F5 -:0413CA00C82611110F -:0413CB00CA22CC0660 -:0413CC0084AEC232F7 -:0413CD000866E36368 -:0413CE0000C5D6037D -:0413CF004806771342 -:0413D00082AACB3DE5 -:0413D100470D48C8B4 -:0413D200073340801D -:0413D300498C02A798 -:0413D40007B345090D -:0413D500C03E40B422 -:0413D60002A74433F3 -:0413D7000016851364 -:0413D8007363953E68 -:0413D900842A00A4BE -:0413DA004006761340 -:0413DB00C63DC6360F -:0413DC0085A285164B -:0413DD00F0EFC41653 -:0413DE0042A2ECFF3C -:0413DF00473146B29A -:0413E0004602CD2DC7 -:0413E100C636488C38 -:0413E200F0EFC42A3A -:0413E300D603C5FF69 -:0413E400432200C4DC -:0413E500761346B283 -:0413E6006613B7F6DD -:0413E700962308063B -:0413E800478200C474 -:0413E9000064A823D1 -:0413EA00933EC8C0A6 -:0413EB00A0238C1D92 -:0413EC00C480006455 -:0413ED00F3638336ED -:0413EE0083360066DC -:0413EF00408845925B -:0413F000C01A861A7F -:0413F10044982701F4 -:0413F200450143026C -:0413F3004067073315 -:0413F4004098C498C1 -:0413F500A023933A64 -:0413F600A80D0064DA -:0413F70086228516AF -:0413F8002F05C416E3 -:0413F90042A2832A5F -:0413FA00FD4546B2B5 -:0413FB008516488C7F -:0413FC00F0EFC01638 -:0413FD004282DA5FEF -:0413FE00A0234731B0 -:0413FF00D70300E22E -:04140000557D00C452 -:041401000407671362 -:0414020000E4962349 -:04140300445240E22D -:04140400017144C26C -:04140500D703808207 -:04140600011300C509 -:04140700C122F78186 -:041408000793DEA6C2 -:04140900C30601F124 -:04140A00C02EC22A04 -:04140B000807771344 -:04140C00F41384B29F -:04140D00CB1DFF876D -:04140E00EB0D499801 -:04140F00040005933D -:04141000F0EFC636FD -:041411004782E03FEF -:04141200C38846B293 -:04141300E919CB8880 -:0414140047B1471283 -:04141500C31C557D22 -:04141600440A409AAA -:04141700011354F673 -:041418008082088145 -:0414190007134782EC -:04141A00CBD8040027 -:04141B0002000713B1 -:04141C0000E40CA339 -:04141D0003000713AE -:04141E0000042A2379 -:04141F0000E40D23B5 -:041420008726CA361B -:04142100025006135C -:0414220000074683F6 -:041423009863C2996F -:0414240003330AC6BE -:041425000263409787 -:0414260045820203F6 -:04142700869A45124A -:04142800C83A862612 -:04142900F0EFC61A00 -:04142A0056FDE7FF85 -:04142B001CD5026367 -:04142C0043324854AB -:04142D00969A474202 -:04142E004683C854D5 -:04142F0089630007C6 -:0414300004931A0601 -:04143100577D0017CC -:04143200000420236F -:041433000004262368 -:041434002423C05855 -:0414350001A300040B -:041436002C2304045B -:04143700C583040461 -:0414380077B700047E -:041439004615000153 -:04143A00A40785136B -:04143B0087132B7D6B -:04143C004014001444 -:04143D00F613E53984 -:04143E00C6090106D4 -:04143F00020006138E -:0414400004C401A33C -:041441000086F61318 -:041442000613C609BE -:0414430001A302B04F -:04144400C58304C494 -:041445000613000486 -:04144600816302A01C -:04144700445404C540 -:04144800458187262D -:0414490042A945254A -:04144A00000746034E -:04144B000017031370 -:04144C00FD06061380 -:04144D0006C57963F4 -:04144E00C454C99524 -:04144F000705A805E0 -:0414500077B7B7A112 -:0414510086130001FD -:041452000633A407B2 -:04145300450540C546 -:0414540000C5153387 -:04145500C0148EC968 -:04145600B75184BA4C -:041457000593465261 -:0414580042100046F8 -:041459004963CA2EEB -:04145A00C450020672 -:04145B00000746033D -:04145C0002E0069311 -:04145D0006D611633B -:04145E00001746032A -:04145F0002A006934E -:0414600002D61B6332 -:04146100070946D25F -:0414620000468613A7 -:04146300CA324294B3 -:041464000206C16358 -:04146500A081C0544E -:0414660040C0063349 -:041467000026E693E2 -:04146800C014C45098 -:0414690086B3B7E1AE -:04146A00458502565C -:04146B0096B2871A94 -:04146C0056FDBFA5C5 -:04146D000705B7C5F3 -:04146E000004222331 -:04146F0046814581EC -:0414700042A9452523 -:041471000007460327 -:041472000017031349 -:04147300FD06061359 -:0414740006C57763CF -:041475004583F1E1D9 -:0414760074B7000740 -:04147700460D00011D -:04147800A4848513B0 -:0414790021D1C63A7D -:04147A00CD01473227 -:04147B00A48484932E -:04147C0006938D0541 -:04147D0096B304001E -:04147E00400800A67C -:04147F008D5507057B -:041480004583C008D8 -:0414810075370007B4 -:041482004619000106 -:04148300A4C50513E4 -:0414840000170493B6 -:0414850000B40C2380 -:04148600C52D2949FE -:041487000000073723 -:04148800000707133F -:041489004014E70D17 -:04148A00F69347523C -:04148B00CE911006E8 -:04148C00CA3A071140 -:04148D0047A24858D2 -:04148E00C858973E65 -:04148F0086B3B599D2 -:041490004585025636 -:0414910096B2871A6E -:041492000721BFB5BA -:041493004602B7DD79 -:0414940056B74512F0 -:0414950008580001F2 -:04149600F246869301 -:04149700009785A293 -:0414980000E7000069 -:04149900C42A000061 -:04149A00577D47A291 -:04149B00FCE794E3F3 -:04149C00557D4782B1 -:04149D0000C7D703AA -:04149E0004077713B5 -:04149F00DC071EE365 -:0414A000BBD9484824 -:0414A10045124602A8 -:0414A200000156B738 -:0414A30086930858CC -:0414A40085A2F246E5 -:0414A500BFC12A0594 -:0414A600CA26110140 -:0414A70084B6833252 -:0414A800499445908E -:0414A900CE06CC227D -:0414AA0087AE842A5B -:0414AB0000C6D36341 -:0414AC00202386B2C1 -:0414AD00C60300D39F -:0414AE00C601043738 -:0414AF00202306856B -:0414B000439400D38E -:0414B1000206F693A6 -:0414B2002683C69136 -:0414B30006890003A3 -:0414B40000D320231E -:0414B5000007A28307 -:0414B6000197839384 -:0414B7000062F2934A -:0414B8000602836342 -:0414B900C683439013 -:0414BA00761304376A -:0414BB0036B302063C -:0414BC00EA4100D031 -:0414BD000437861357 -:0414BE00852285A658 -:0414BF00C23EC41A4B -:0414C0009702C03A95 -:0414C100086356FD69 -:0414C200479206D572 -:0414C300451143226A -:0414C4002603439424 -:0414C50047CC00030D -:0414C60043018A99BB -:0414C70097634702DE -:0414C800833300A6C4 -:0414C900536340C564 -:0414CA0043010003D7 -:0414CB004B90479467 -:0414CC0000D654638F -:0414CD0093368E9133 -:0414CE0007E9428167 -:0414CF00065312634B -:0414D000A81D45010D -:0414D10047D4028575 -:0414D20000032603EA -:0414D300DBE38E9138 -:0414D4004685F8D27F -:0414D50085A6861E44 -:0414D600C81A852289 -:0414D700C416C63E33 -:0414D800C03AC21E36 -:0414D90056FD970223 -:0414DA0043924702F0 -:0414DB0047B242A230 -:0414DC0019E343428B -:0414DD00557DFCD568 -:0414DE00446240F232 -:0414DF00610544D28D -:0414E00085B38082CE -:0414E100051300D718 -:0414E20081A30300DF -:0414E300C58304A514 -:0414E4008613045710 -:0414E500963E001619 -:0414E60001A30689CF -:0414E700BF9904B6EF -:0414E800863E468571 -:0414E900852285A62D -:0414EA00C41AC61644 -:0414EB00C03AC23E03 -:0414EC0056FD970210 -:0414ED00FCD501E346 -:0414EE00432242B2A1 -:0414EF000285479299 -:0414F000BFAD470243 -:0414F100CC221101F7 -:0414F200C232842E50 -:0414F3000184460327 -:0414F400CE06CA2630 -:0414F500C02A84B6CF -:0414F60006E0069373 -:0414F70004358593A0 -:0414F8001ED6086391 -:0414F90006C6E463DC -:0414FA00063006931F -:0414FB000AD60463A6 -:0414FC0000C6ED63D6 -:0414FD00200604635E -:0414FE0005800693CC -:0414FF0016D60C638E -:0415000004240313A9 -:0415010004C40123FA -:041502000693A8792B -:041503000663064035 -:04150400069300D674 -:0415050015E3069054 -:041506004014FED6B9 -:0415070000072303B3 -:041508000806F613C8 -:041509000043051383 -:04150A002683C24929 -:04150B00C30800030E -:04150C00000176372D -:04150D000006D86399 -:04150E0002D00713ED -:04150F0040D006B30F -:0415100004E401A34B -:04151100A5460613D2 -:04151200A07D472948 -:041513000730069304 -:041514001AD60A6376 -:0415150000C6EF63BA -:0415160006F0069342 -:0415170006D6006391 -:04151800070006932F -:04151900F8D61EE3FF -:04151A00E693401400 -:04151B00C0140206F0 -:04151C000693A80981 -:04151D00036307500D -:04151E00069304D656 -:04151F0011E307804D -:041520000693F8D660 -:041521007637078092 -:0415220002A300011F -:04152300061304D4D3 -:04152400A0FDA686FA -:041525000313431455 -:041526008613042400 -:04152700C3100046A7 -:0415280001234298C1 -:04152900470504E48A -:04152A00F613AABD4D -:04152B002683040609 -:04152C00C3080003ED -:04152D0006C2DE35DF -:04152E00BF9D86C116 -:04152F004314400819 -:0415300008057293A5 -:0415310000468313DA -:0415320000028663CA -:04153300006720230A -:04153400A801429434 -:041535000405751321 -:041536000067202307 -:04153700D683D97509 -:041538000513000691 -:04153900773706F00A -:04153A000D6300013C -:04153B0006130CA6E1 -:04153C004729A5474F -:04153D00040401A3FE -:04153E00C408404855 -:04153F0000054863F8 -:04154000000423037D -:04154100FFB373136E -:0415420000642023FE -:04154300832EE29978 -:04154400832ECD1114 -:0415450002E6F53392 -:041546009532137D4A -:041547000005450353 -:0415480000A30023D9 -:0415490002E6D533AE -:04154A000AE6F26358 -:04154B001E6346A134 -:04154C00401800D76C -:04154D00CB118B052E -:04154E0048184054A5 -:04154F0000D7476317 -:04155000030007137A -:04155100FEE30FA303 -:0415520085B3137DCD -:04155300C80C40651B -:041554004502469274 -:0415550008108726CD -:04155600C41A85A28C -:04155700D3DFF0EFFF -:041558004322577D56 -:041559000CE5156325 -:04155A0040F2557D89 -:04155B0044D24462D0 -:04155C008082610523 -:04155D0004C402A31D -:04155E0000017637DB -:04155F00A546061384 -:041560002303400819 -:04156100729300077A -:0415620026830805CF -:04156300031100036D -:04156400020281639B -:0415650000672023D8 -:0415660000157713E2 -:041567006513C70140 -:04156800C0080205B0 -:04156900F6B9474147 -:04156A0075134008AD -:04156B00C008FDF5C2 -:04156C007293B7912E -:04156D00202304052E -:04156E008FE30067A0 -:04156F0006C2FC02B2 -:04157000BFD982C19C -:04157100A547061371 -:04157200B72D472129 -:04157300B79986AAF4 -:0415740043144010CC -:0415750072934848DD -:0415760083130806CD -:041577008763004640 -:04157800202300022A -:04157900429800672D -:04157A00A809C308F1 -:04157B0000672023C2 -:04157C0004067613D8 -:04157D00DA6D429849 -:04157E0000A710238F -:04157F000004282319 -:04158000B7B9832E46 -:041581004581431449 -:041582000046861386 -:04158300A303C310EB -:0415840040500006CD -:04158500C41A851AE5 -:04158600432228498B -:041587000533C50162 -:04158800C0484065B2 -:04158900C8184058E6 -:04158A00040401A3B1 -:04158B004814B71534 -:04158C00450245923D -:04158D009482861AA4 -:04158E0007E3577D9B -:04158F004018F2E529 -:04159000EB158B09C3 -:041591004448474241 -:04159200F2E551E34A -:04159300BF31853AA5 -:041594004502459235 -:04159500861A4685E7 -:04159600C41AC63A73 -:0415970056FD9482E7 -:04159800F0D504E3A3 -:041599004322473270 -:04159A0044540705A9 -:04159B008E914642A5 -:04159C00FED740E353 -:04159D004701BFC182 -:04159E00019403139E -:04159F001151B7FD32 -:0415A000C026C2227D -:0415A10084AA646153 -:0415A200C406852EC8 -:0415A3009004262367 -:0415A40057FD20FDD2 -:0415A50000F51663D4 -:0415A60090C4278343 -:0415A700C09CC39190 -:0415A800441240A207 -:0415A9000131448246 -:0415AA00F5938082B3 -:0415AB00962A0FF578 -:0415AC0000C51463FF -:0415AD0080824501F2 -:0415AE00000547836A -:0415AF00FEB78DE313 -:0415B000B7FD050579 -:0415B10004A5F36337 -:0415B20000C586B337 -:0415B30002D57F637B -:0415B400FFF6459366 -:0415B50017FD478156 -:0415B60000F5936346 -:0415B7008733808274 -:0415B800430300F6F3 -:0415B900873300076D -:0415BA00972A00C7A5 -:0415BB0000670023A2 -:0415BC008733B7DDDD -:0415BD00468300F56C -:0415BE0007330007E8 -:0415BF00078500F5A7 -:0415C00000D700232D -:0415C100FEF617E338 -:0415C200478180825B -:0415C3008082BFE57E -:0415C400E5818082BB -:0415C500F06F85B28C -:0415C6001131F2EFFE -:0415C700C622C8066A -:0415C800EA11C4263A -:0415C900E72FF0EF29 -:0415CA0040C2478153 -:0415CB0044A24432C0 -:0415CC000151853E06 -:0415CD00842E808266 -:0415CE0084AAC032F9 -:0415CF004602203D73 -:0415D00074E387A297 -:0415D10085B2FEC51C -:0415D200C232852676 -:0415D300EF8FF0EFB7 -:0415D400DD6187AAA4 -:0415D50085A2461293 -:0415D600F0EFC02A48 -:0415D70085A2C8EF32 -:0415D800F0EF852685 -:0415D9004782E34F13 -:0415DA00A783B7C963 -:0415DB008513FFC5B0 -:0415DC00D563FFC70D -:0415DD0095AA0007C4 -:0415DE00953E419C59 -:0415DF0011418082B4 -:0415E000645DC42260 -:0415E1004004278318 -:0415E200C226C60651 -:0415E3000413832A40 -:0415E400EB8540044F -:0415E50045814501F6 -:0415E60046814601F3 -:0415E7000293470123 -:0415E80000730D601F -:0415E90084AA0000D0 -:0415EA0000055C6339 -:0415EB0004B328819C -:0415EC00C104409066 -:0415ED0040B2557D36 -:0415EE0044924422BD -:0415EF0080820141B4 -:0415F0004008C008E7 -:0415F10046014581E9 -:0415F2004681951A7F -:0415F30047814701E4 -:0415F4000D600293F1 -:0415F500000000737F -:0415F600596384AA07 -:0415F700C01A000511 -:0415F8004302283151 -:0415F900409004B367 -:0415FA0054FDC104D7 -:0415FB00557D401CBE -:0415FC0092E3933EA5 -:0415FD00C004FC64C6 -:0415FE00BF75853EF2 -:0415FF00A50367DDFC -:041600008082388725 -:0416010000000000E5 -:0416020000000000E4 -:0416030000000000E3 -:0416040000000000E2 -:04160500FFFFFFFFE5 -:04160600FFFFFFFFE4 -:041607000000FFFFE1 -:041608000001052CAC -:0416090000010532A5 -:04160A00000105389E -:04160B000001053E97 -:04160C00000104E0F5 -:04160D0000010500D3 -:04160E0000010500D2 -:04160F0000010500D1 -:0416100000010500D0 -:0416110000010500CF -:0416120000010500CE -:0416130000010504C9 -:041614000001050AC2 -:041615000001231E8F -:04161600000123EEBE -:04161700000123EEBD -:0416180000012400A9 -:0416190000012400A8 -:04161A000001240A9D -:04161B000001240E98 -:04161C000001241C89 -:04161D000001241C88 -:04161E00000124346F -:04161F00000125722F -:041620000001257828 -:041621000001257827 -:04162200000125722C -:041623000001257C21 -:041624000001243469 -:041625000001257229 -:041626000001257822 -:0416270000015970F5 -:0416280000015980E4 -:041629000001598CD7 -:04162A0000015998CA -:04162B00000159A4BD -:04162C00000159B0B0 -:04162D00000159BCA3 -:04162E00000159C896 -:04162F00000159D489 -:04163000000159E07C -:04163100000159EC6F -:0416320074204B4F86 -:041633006E69206F4D -:0416340000007469D5 -:04163500736C61462B -:041636007274206545 -:041637006567676913 -:04163800000000723C -:04163900656D69541E -:04163A000074756F54 -:04163B00252E7525BE -:04163C006D75322E68 -:04163D000000007336 -:04163E00252E7525BB -:04163F006D75322E65 -:0416400075252F736A -:04164100322E252EF2 -:0416420000736D754F -:041643004353534F6B -:041644007766202085 -:041645007525202EB9 -:04164600322E252EED -:0416470000006175C9 -:0416480074696E490A -:041649007272652034 -:04164A002020726F7B -:04164B000000642512 -:04164C00202020201A -:04164D0053204F4E89 -:04164E0000434E59AE -:04164F0034313032D0 -:041650003130322DD6 -:041651006D202038B0 -:0416520073717261DD -:041653000000000093 -:0416540025207325B5 -:041655000063257594 -:04165600252E7525A3 -:041657006B75322E4F -:0416580025207A4887 -:041659002E252E7597 -:04165A007A48753223 -:04165B00000000008B -:04165C0074736554EA -:04165D007461702024 -:04165E006E726574CF -:04165F000000000087 -:041660003A31564184 -:04166100424752208A -:041662000000005331 -:041663003A31564181 -:041664007347522056 -:04166500000000423F -:041666003A3156417E -:041667006250592054 -:0416680000007250BC -:041669003A3256417A -:04166A006250592051 -:04166B0000007250B9 -:04166C003A32564177 -:04166D00734752204D -:04166E000000004236 -:04166F003A33564173 -:04167000424752207B -:0416710000005648D7 -:041672003A33564170 -:041673004247522078 -:04167400000000531F -:041675003A3356416D -:041676007347522044 -:04167700000000422D -:041678003A3356416A -:041679006250592042 -:04167A0000007250AA -:04167B007473614CD7 -:04167C0065737520FD -:04167D000000006405 +:040E730045854482EB +:040E7400C06F013119 +:040E75001141BEBFAA +:040E76001437C42247 +:040E7700C22600028D +:040E780084AEC02A5A +:040E79000593460196 +:040E7A00051304A0B8 +:040E7B00C60604049F +:040E7C00BADFC0EF2A +:040E7D004601478261 +:040E7E000404051350 +:040E7F00C0EF85BE7D +:040E80000513BD9FFA +:040E810044220404FF +:040E820085A640B24F +:040E8300460544924A +:040E8400C06F0141F9 +:040E85001151BC5FEC +:040E86004405C2223B +:040E870000A414337C +:040E88000FF47413DC +:040E8900451985A2E0 +:040E8A00F0EFC406BB +:040E8B0085A2FADF63 +:040E8C0040A244122A +:040E8D000131451DCD +:040E8E00F9FFF06F09 +:040E8F0005061151F2 +:040E90007413C222F3 +:040E910085A20FF532 +:040E9200C406450548 +:040E9300F8BFF0EFC5 +:040E9400441285A2DD +:040E9500450940A229 +:040E9600F06F0131C7 +:040E97001151F7DF1F +:040E9800C406451532 +:040E9900F2BFF0EFC5 +:040E9A0008600713D2 +:040E9B001363478115 +:040E9C00059304E5D1 +:040E9D000513090030 +:040E9E00F0EF02006F +:040E9F004581F5DFB5 +:040EA0000210051324 +:040EA100F53FF0EF3A +:040EA200051345816E +:040EA300F0EF02204A +:040EA400458DF49FE5 +:040EA50002300513FF +:040EA600F3FFF0EF77 +:040EA7000513458169 +:040EA800F0EF0280E5 +:040EA9000593F35F5B +:040EAA000513030029 +:040EAB00F0EF07104D +:040EAC004785F29FE5 +:040EAD00853E40A29C +:040EAE00808201310C +:040EAF0001A3114149 +:040EB000153700A151 +:040EB100C422000255 +:040EB200842E46053F +:040EB300040505131A +:040EB4000031059371 +:040EB500C0EFC606BE +:040EB6008522B4BF1E +:040EB700E22FC0EF77 +:040EB800442240B2DE +:040EB90080820141F1 +:040EBA00C2221151EE +:040EBB005783645D98 +:040EBC00C4064F8495 +:040EBD00F793C026C1 +:040EBE0007C2F9F777 +:040EBF001C2383C1AC +:040EC00014B74EF421 +:040EC100A023000268 +:040EC20045510EF494 +:040EC300DF2FC0EF6E +:040EC400051345D1FC +:040EC500F0EF0380C7 +:040EC60045D1FA7F99 +:040EC700039005137C +:040EC800F9DFF0EF6F +:040EC900455145D179 +:040ECA00F95FF0EFED +:040ECB00051345D1F5 +:040ECC00F0EF07102C +:040ECD0045D1F8BF54 +:040ECE0005E0051323 +:040ECF00F81FF0EF29 +:040ED000051345D1F0 +:040ED100F0EF06D068 +:040ED20045D1F77F90 +:040ED300F0EF4531C6 +:040ED4000593F6FF8D +:040ED500450532009D +:040ED600F65FF0EFE4 +:040ED700451945D1A3 +:040ED800F5DFF0EF63 +:040ED900320005934B +:040EDA00F0EF4509E7 +:040EDB005783F53F05 +:040EDC0040A24F845D +:040EDD000407E7938C +:040EDE004EF41C238F +:040EDF00A0234412F6 +:040EE00044820EF446 +:040EE10080820131D9 +:040EE200C8221121F0 +:040EE3005783645D70 +:040EE400CA064F8467 +:040EE500F793C62693 +:040EE60007C2F9F74F +:040EE700C02E83C1D5 +:040EE8004EF41C2385 +:040EE90000021737B5 +:040EEA000EF72023BC +:040EEB00059384AA3D +:040EEC004505320086 +:040EED00F09FF0EF93 +:040EEE004F84578353 +:040EEF0000021737AF +:040EF000E79345C17E +:040EF1001C230207B5 +:040EF20020234EF477 +:040EF30085260EF74B +:040EF400238010EF58 +:040EF5000FF577136B +:040EF60075B7EB11D0 +:040EF700464500016B +:040EF800AE858593AB +:040EF90010EF85264B +:040EFA0047051FE0A9 +:040EFB0086B34781F2 +:040EFC00C50300F436 +:040EFD0045D10006D5 +:040EFE00C23EC43AF2 +:040EFF00EC1FF0EF05 +:040F000047224792AB +:040F0100F6930785D7 +:040F0200E2E30FF720 +:040F03005783FEE62C +:040F040014B74F844B +:040F050045D10002D0 +:040F0600FDF7F79369 +:040F070083C107C2D9 +:040F08004EF41C2364 +:040F09000EF4A0231F +:040F0A000C000513BF +:040F0B00E91FF0EFFB +:040F0C004F84578334 +:040F0D0045C1450293 +:040F0E000207E7935C +:040F0F004EF41C235D +:040F10000EF4A02318 +:040F11001C4010EF81 +:040F12000FF57793CD +:040F13004502EB9117 +:040F1400000175B7AC +:040F15008593464535 +:040F160010EFAE85A5 +:040F1700478518A052 +:040F1800C23E448110 +:040F190045D14782F5 +:040F1A000097873382 +:040F1B000007450383 +:040F1C00F0EF048569 +:040F1D004792E4BF54 +:040F1E000FF4F713C2 +:040F1F00FEF763E393 +:040F20004F84578320 +:040F2100173740D26C +:040F2200E79300024F +:040F23001C23040780 +:040F240044424EF401 +:040F25000EF7202380 +:040F2600016144B26F +:040F27001151808262 +:040F28001437C22296 +:040F2900C0260002DC +:040F2A0084AA46014E +:040F2B0002C0059368 +:040F2C0004040513A1 +:040F2D00C0EFC40647 +:040F2E0085A68E7F87 +:040F2F00051346055B +:040F3000C0EF040406 +:040F31000593915F34 +:040F3200051302C0E1 +:040F33004605040467 +:040F34008CDFC0EF9F +:040F35000404051398 +:040F360040A244127F +:040F37004585448226 +:040F3800C06F013154 +:040F390011418DBF16 +:040F3A001437C42282 +:040F3B00C2260002C8 +:040F3C0084AEC02A95 +:040F3D0005934601D1 +:040F3E00051302C0D5 +:040F3F00C6060404DA +:040F400089DFC0EF96 +:040F4100460147829C +:040F4200040405138B +:040F4300C0EF85BEB8 +:040F440005138C9F66 +:040F4500442204043A +:040F460085A640B28A +:040F47004605449285 +:040F4800C06F014134 +:040F490015378B5F6E +:040F4A00115100023F +:040F4B004581460195 +:040F4C000405051380 +:040F4D00C0EFC40627 +:040F4E0045298A1F88 +:040F4F00BC2FC0EF04 +:040F5000450545E12D +:040F5100FA3FF0EF84 +:040F5200450945E127 +:040F5300F9BFF0EF03 +:040F5400450D45E121 +:040F5500F93FF0EF81 +:040F5600F0EF45056E +:040F570040A2F45F61 +:040F58003513152117 +:040F5900013100154D +:040F5A00115180822F +:040F5B00842AC22200 +:040F5C00C40645057D +:040F5D00F2BFF0EF00 +:040F5E00040E991DC7 +:040F5F0074138C4932 +:040F600085A20FF463 +:040F6100F0EF450563 +:040F620085A2F61F4F +:040F6300F0EF45095D +:040F640085A2F59FCE +:040F650040A2441250 +:040F66000131450D03 +:040F6700F4BFF06F74 +:040F6800C22211513F +:040F69004505842A8C +:040F6A00F0EFC406DA +:040F6B004789EF5F64 +:040F6C000D85751367 +:040F6D0002F4136314 +:040F6E0000156413F3 +:040F6F00450585A20D +:040F7000F27FF0EF2D +:040F7100450985A207 +:040F7200F1FFF0EFAC +:040F7300441285A2FD +:040F7400450D40A245 +:040F7500F06F0131E7 +:040F76000416F11F4D +:040F770074138C491A +:040F780064130FF4FB +:040F7900BFD9004498 +:040F7A00C22211512D +:040F7B000002143725 +:040F7C004601C02644 +:040F7D00059384AAAA +:040F7E00051305C092 +:040F7F00C40604049C +:040F8000F9CFC0EFF6 +:040F81000FF4F593E1 +:040F82000513460508 +:040F8300C0EF0404B3 +:040F84000593FC8F46 +:040F8500051305C08B +:040F86004605040414 +:040F8700F80FC0EFB0 +:040F88000404051345 +:040F890040A244122C +:040F8A0045854482D3 +:040F8B00C06F013101 +:040F8C001141F8EF28 +:040F8D001437C4222F +:040F8E00C226000275 +:040F8F0084AEC02A42 +:040F9000059346017E +:040F9100051305C07F +:040F9200C606040487 +:040F9300F50FC0EFA7 +:040F94004601478249 +:040F95000404051338 +:040F96000FF7F593C9 +:040F9700F7AFC0EF01 +:040F98000404051335 +:040F990040B24422FC +:040F9A00449285A652 +:040F9B0001414605C5 +:040F9C00F66FC06FBD +:040F9D0005131151D6 +:040F9E00C406022063 +:040F9F00C026C22284 +:040FA000F69FF0EFD9 +:040FA1000FF57413C1 +:040FA200455945CD9B +:040FA300FA7FF0EFF2 +:040FA40085136489C4 +:040FA500C0EF710424 +:040FA600458DA68F40 +:040FA700F0EF455DC5 +:040FA8008513F95F55 +:040FA900C0EF710420 +:040FAA006593A58F17 +:040FAB00051308041E +:040FAC00F0EF022040 +:040FAD000513F81F11 +:040FAE00C0EF3E80D2 +:040FAF007593A44F43 +:040FB000441207F4EC +:040FB100448240A294 +:040FB2000220051301 +:040FB300F06F0131A9 +:040FB4001151F65F82 +:040FB5006409C222E7 +:040FB60071040513AA +:040FB700C0EFC406BD +:040FB80045C5A20F7A +:040FB900F0EF4559B7 +:040FBA000513F4DF48 +:040FBB00C0EF71040E +:040FBC00455DA10FDF +:040FBD00F0EF458983 +:040FBE000513F3DF45 +:040FBF004412710463 +:040FC000013140A219 +:040FC1009FAFC06FAF +:040FC200C2221151E5 +:040FC30085AA842E49 +:040FC400C4064549D1 +:040FC500F1FFF0EF59 +:040FC600441285A2AA +:040FC700454D40A2B2 +:040FC800F06F013194 +:040FC90085AAF11FE5 +:040FCA0003D0051338 +:040FCB00F07FF06F54 +:040FCC00454585AA68 +:040FCD00EFFFF06FD3 +:040FCE00C4061151F3 +:040FCF004783C22270 +:040FD000842A00650A +:040FD1009593456D42 +:040FD2008DDD00476A +:040FD3000FF5F5938E +:040FD400EE3FF0EF0D +:040FD50000644583EC +:040FD600F0EF457182 +:040FD7004583ED9FC2 +:040FD8004529003473 +:040FD900ECFFF0EF4A +:040FDA000044458307 +:040FDB00F0EF4525C9 +:040FDC004583EC5FFE +:040FDD004521005456 +:040FDE00EBBFF0EF86 +:040FDF000004458342 +:040FE000F0EF4535B4 +:040FE1004583EB1F3A +:040FE2004531001481 +:040FE300EA7FF0EFC2 +:040FE400002445831D +:040FE50040A24412D0 +:040FE6000131452D63 +:040FE700E97FF06F3F +:040FE800C4221141CD +:040FE9004511842A00 +:040FEA00C032C22629 +:040FEB00C60684AE04 +:040FEC00E39FF0EFA0 +:040FED0075934602B0 +:040FEE00CE4D0F8550 +:040FEF007FF00793F5 +:040FF0000A87EA631F +:040FF100E59345112E +:040FF200F0EF001507 +:040FF3001513E69F4D +:040FF40014130014BE +:040FF5008041010531 +:040FF60000445593CB +:040FF7000FF5F5936A +:040FF800F0EF4505CC +:040FF9001593E51F48 +:040FFA00F593004427 +:040FFB0045090F0590 +:040FFC00E43FF0EFEF +:040FFD00019C073715 +:040FFE00CC07071302 +:040FFF0002975733CB +:04100000022556B7B8 +:041001000FF68693CD +:0410020007334781E8 +:04100300F06302870D +:0410040026B702E623 +:041005008693042C9E +:041006004785D7F64D +:0410070000E6F963A3 +:04100800080BF7B723 +:04100900FBF78793D7 +:04100A0000E7B7B391 +:04100B00675D07898D +:04100C004807071377 +:04100D004503973EC2 +:04100E0007130007BD +:04100F000533028023 +:04101000571302E58B +:04101100953A0014F8 +:0410120002854533DB +:041013007513471DED +:0410140075930FF5CC +:0410150073630FF5FD +:04101600459D00A74D +:0410170040B244227D +:04101800058E44926B +:041019008DDD079AC8 +:04101A000F85F593B6 +:04101B000141450D3D +:04101C00DC3FF06F56 +:04101D00F0EF45119A +:04101E00BFB9DBDF9C +:04101F00C222115187 +:041020004569842A70 +:04102100F0EFC40622 +:041022004785D63FE9 +:041023000FA575930D +:0410240000F41A6357 +:041025000025E5932A +:0410260040A244128E +:0410270001314569E5 +:04102800D93FF06F4D +:0410290000A5E593A6 +:04102A005583BFC566 +:04102B00115100A5BA +:04102C0081A1C222BA +:04102D000513842AF9 +:04102E00C40604B040 +:04102F00D77FF0EF88 +:0410300000A4458350 +:0410310004A00513FF +:04103200D6BFF0EF46 +:0410330000C455831D +:0410340004D00513CC +:04103500F0EF81A1B6 +:041036004583D5DF3A +:04103700051300C4D9 +:04103800F0EF04C011 +:041039005583D51FE7 +:04103A00051300E4B6 +:04103B0081A104F09B +:04103C00D43FF0EFBE +:04103D0000E4458303 +:04103E0004E00513B2 +:04103F00D37FF0EF7C +:041040000044558390 +:04104100051005137E +:04104200F0EF81A1A9 +:041043004583D29F70 +:04104400051300444C +:04104500F0EF0500C3 +:041046005583D1DF1E +:041047000513006429 +:0410480081A105304D +:04104900D0FFF0EFF5 +:04104A000064458376 +:04104B000520051364 +:04104C00D03FF0EFB2 +:04104D000084558343 +:04104E000550051331 +:04104F00F0EF81A19C +:041050004583CF5FA6 +:0410510005130084FF +:04105200F0EF054076 +:041053005583CE9F54 +:04105400051301047B +:0410550081A1057000 +:04105600CDBFF0EF2B +:0410570001044583C8 +:041058000560051317 +:04105900CCFFF0EFE9 +:04105A000124558395 +:04105B0005900513E4 +:04105C00F0EF81A18F +:04105D004583CC1FDC +:04105E000513012451 +:04105F00F0EF058029 +:041060005583CB5F8A +:04106100051301442E +:0410620081A105B0B3 +:04106300CA7FF0EF61 +:04106400014445837B +:0410650040A244124F +:0410660005A00513C9 +:04106700F06F0131F4 +:041068001151C95FFA +:04106900842AC222F1 +:04106A0003F0051377 +:04106B00F0EFC406D8 +:04106C007593C3BFF6 +:04106D008DC10F051D +:04106E0040A2441246 +:04106F0003F0051372 +:04107000F06F0131EB +:041071001151C71F33 +:04107200842AC222E8 +:04107300C406456901 +:04107400C19FF0EF39 +:04107500006415936B +:041076007513441298 +:0410770040A203F59B +:04107800F5938DC996 +:0410790045690FF5C1 +:04107A00F06F0131E1 +:04107B001131C49FCC +:04107C00461D65DDCB +:04107D00B2058593A0 +:04107E00C806850A11 +:04107F00277000EFE7 +:04108000C75FF0EF67 +:04108100F0EF450146 +:041082004585E77F3A +:0410830003500513FE +:04108400C23FF0EF88 +:041085000513655991 +:04108600F0EF6E0514 +:041087004501E91F17 +:04108800FA7FF0EF0C +:04108900F0EF451926 +:04108A000513D01F5B +:04108B00F0EF04403E +:04108C004581D03F8B +:04108D00F0EF450536 +:04108E00850ACD3FC3 +:04108F00CFDFF0EFD0 +:04109000015140C208 +:041091001141808207 +:04109200842AC422C6 +:04109300C606451137 +:04109400F0EFC02E8B +:041095004582B97F58 +:0410960002B4043369 +:0410970000757593D8 +:0410980077934511F4 +:04109900078E01F4C9 +:04109A00F0EF8DDD09 +:04109B005513BC9F8E +:04109C0040B24054CA +:04109D007513442261 +:04109E0001410FF508 +:04109F0011518082E9 +:0410A000842AC222BA +:0410A100C4064541FB +:0410A200B61FF0EF96 +:0410A300003415936D +:0410A400891D44124C +:0410A5008DC940A20F +:0410A6000FF5F593BA +:0410A700013145418D +:0410A800B93FF06FED +:0410A9001151C139E7 +:0410AA000513C02644 +:0410AB0084AE0260AD +:0410AC0008000593A0 +:0410AD00C406C22291 +:0410AE00F0EF8432A9 +:0410AF004785B79F1B +:0410B0000094059310 +:0410B10000F489635B +:0410B200059347A1BA +:0410B300846305A4A9 +:0410B400059300F4AC +:0410B500441201845C +:0410B600448240A28E +:0410B7000FF5F593A9 +:0410B8000310051309 +:0410B900F06F0131A2 +:0410BA004581B4DFD9 +:0410BB0002600513B7 +:0410BC001121BFDD62 +:0410BD00C626C82259 +:0410BE00C02ECA0670 +:0410BF00C436C2323F +:0410C000842A4785B2 +:0410C1000E6384BA7C +:0410C20047A100F54D +:0410C30004F50D63C0 +:0410C4000067059329 +:0410C5000FF5F5939B +:0410C600F0EF4515ED +:0410C70045C1B19FCF +:0410C8000593A809DB +:0410C900F593002774 +:0410CA0045150FF5C4 +:0410CB00B07FF0EF13 +:0410CC0045194599E4 +:0410CD00AFFFF0EF92 +:0410CE00862685A24B +:0410CF00F0EF4505F4 +:0410D00047C1F67F9F +:0410D100036345B1BF +:0410D20045A100F440 +:0410D30002200513DF +:0410D400AE3FF0EF4C +:0410D5004622444229 +:0410D60045024592F8 +:0410D70044B240D20D +:0410D800F06F016153 +:0410D9000593C3FFB9 +:0410DA00F593032760 +:0410DB0045150FF5B3 +:0410DC00AC3FF0EF46 +:0410DD000200059375 +:0410DE001151BF6D80 +:0410DF00FFE587930F +:0410E000C026C22242 +:0410E100F793C406B7 +:0410E20047050FF7B8 +:0410E300842E84AA29 +:0410E40006F769633F +:0410E50047814709EF +:0410E60000E51363AB +:0410E700971347898B +:0410E80095930024B8 +:0410E9008DD9004459 +:0410EA008DC5079A0F +:0410EB00F5938DDD0F +:0410EC0045650FF552 +:0410ED00A7FFF0EF7A +:0410EE00F0EF454199 +:0410EF00478DA2FF88 +:0410F0000F85759360 +:0410F10000F4146390 +:0410F2000055E5932D +:0410F300F0EF454194 +:0410F4004789A65F23 +:0410F50002F49D6301 +:0410F600EA634785DD +:0410F70005930287D4 +:0410F8000463052068 +:0410F900059300F467 +:0410FA00453905303F +:0410FB00A47FF0EFEF +:0410FC003E8005131A +:0410FD00D0BFB0EFC1 +:0410FE00F0EF455179 +:0410FF0045819EFF8A +:041100004789A03D3E +:0411010037B3FD49BA +:04110200078500B0AD +:041103000593BF4948 +:04110400453905B0B4 +:04110500A1FFF0EF67 +:041106003E8005130F +:04110700CE3FB0EF38 +:04110800F0EF45516E +:04110900478D9C7FF3 +:04110A001AE345C1DE +:04110B004561FCF44A +:04110C00A03FF0EF21 +:04110D0040A24412A6 +:04110E004581448251 +:04110F000360051361 +:04111000F06F01314A +:0411110011419F1FCA +:04111200842AC42245 +:04111300C02E455154 +:04111400F0EFC6062C +:041115004709997F6E +:041116000FF57793C7 +:041117001563458295 +:04111800470502E4A1 +:0411190000E59C63EE +:04111A00090575133B +:04111B00F7050513BC +:04111C000015351372 +:04111D00442240B276 +:04111E008082014189 +:04111F007513E591CE +:041120000513088526 +:04112100B7EDF785AA +:041122000017D513CA +:04112300B7DD8905A6 +:04112400FB81011337 +:04112500CA3E4791E6 +:04112600871367DDE7 +:04112700C2A25247C7 +:04112800C42EC0A66B +:0411290002074583F1 +:04112A004283462195 +:04112B00163303076D +:04112C00458300B641 +:04112D00661302172C +:04112E00CC32008639 +:04112F001000061393 +:0411300000B61633BC +:0411310002274583C9 +:041132006611CE3242 +:0411330000B61633B9 +:0411340002374583B6 +:041135000637D03277 +:041136001633000468 +:04113700D23200B6FA +:041138000131061368 +:04113900665DD432E9 +:04113A0054960613AE +:04113B00665DD632E5 +:04113C0054A606139C +:04113D00665DD832E1 +:04113E0054B606138A +:04113F00665DDA32DD +:0411400054C6061378 +:04114100665DDC32D9 +:0411420054D6061366 +:04114300DE32675DD4 +:041144006207061325 +:041145006207071323 +:041146006759C03AEB +:041147007107071312 +:0411480009A3C22A0B +:04114900430100015D +:04114A0052478793EE +:04114B001513C63A78 +:04114C000713018301 +:04114D0085610210A6 +:04114E0000E3146343 +:04114F00A0C9557D61 +:0411500001764703DA +:041151004583441579 +:041152006863016667 +:0411530044320EE430 +:0411540000271393CA +:041155004000941EA4 +:0411560004138402F8 +:04115700CE2208009C +:04115800D022640934 +:04115900C9F18DF556 +:04115A0093A20080DC +:04115B00FE83A383E9 +:04115C00C3834E0CEF +:04115D00038A0003FE +:04115E00A38393A232 +:04115F00F3B3FD43A6 +:041160008C63007527 +:0411610044220A0317 +:04116200FFF5C5933D +:041163009663898581 +:0411640055830A8520 +:041165004412010629 +:04116600E06305F944 +:0411670045850A852B +:0411680000B7882321 +:0411690000079723C1 +:04116A0000078923CE +:04116B000077AA233C +:04116C0080038593E4 +:04116D002205826372 +:04116E00859365857B +:04116F00E0638005B4 +:0411700005930E7560 +:041171008263040091 +:04117200EF631EB356 +:0411730045A1087515 +:0411740014B38863C5 +:041175000675ED63AB +:0411760090634591AC +:04117700962306B302 +:0411780077130007E2 +:0411790046850FD7C1 +:04117A0000D71C631B +:04117B000337C683ED +:04117C00120685636F +:04117D008823470973 +:04117E00470500E73A +:04117F0000E787A35B +:041180004486441647 +:0411810004810113D1 +:041182000413808250 +:04118300CE22100068 +:04118400BF816411B2 +:041185000106548388 +:0411860020D004135E +:04118700F48494E375 +:04118800FFB64483E7 +:041189009D63440D11 +:04118A0095630084E5 +:04118B00F5930002D6 +:04118C00BF0D0EF590 +:04118D0097E3440997 +:04118E000305F282E1 +:04118F00BDC5067163 +:041190000002956361 +:041191000FB5F5930E +:041192004405BF3120 +:041193004741B7ED2C +:0411940014E3886375 +:04119500020007133A +:04119600FEE391E300 +:0411970030100713FA +:0411980000E79623B3 +:04119900A2B947119F +:04119A0010000593A9 +:04119B0014B387639F +:04119C000075EC638B +:04119D00080007132C +:04119E00FCE391E3FA +:04119F002010071302 +:0411A00000E79623AB +:0411A100A23D47150F +:0411A200200007130F +:0411A30014E381636D +:0411A40040000713ED +:0411A500FAE393E3F3 +:0411A60020200713EB +:0411A70065C1A2215B +:0411A80014B38C638D +:0411A9000275E66382 +:0411AA008A63658966 +:0411AB00E86312B330 +:0411AC00670500755E +:0411AD00F8E393E3ED +:0411AE0020200713E3 +:0411AF006591B7D1BE +:0411B00012B38A6389 +:0411B1009AE3672135 +:0411B2000713F6E346 +:0411B300A8D9203067 +:0411B40000080737F1 +:0411B50012E3886356 +:0411B60002776063F9 +:0411B70000020737F4 +:0411B80010E38F634E +:0411B90000040737F0 +:0411BA00F4E399E3DE +:0411BB00962347111F +:0411BC00071300E72E +:0411BD00A8E507801A +:0411BE0000100737DF +:0411BF0010E38E6348 +:0411C00000200737CD +:0411C100F2E39BE3D7 +:0411C20020400713AF +:0411C30000E7962388 +:0411C400882347191C +:0411C500475100E7A7 +:0411C6008723A8D9FA +:0411C700BDF100E78F +:0411C80086234685AF +:0411C900C68300D702 +:0411CA00E2A903D7BC +:0411CB0006B346F130 +:0411CC00460202D302 +:0411CD00D60396B2FD +:0411CE00069300E69E +:0411CF00E76357700B +:0411D000061302C63A +:0411D1007613FFF79B +:0411D20046890FF645 +:0411D30002C6E0630D +:0411D40086A3460D9B +:0411D500882300C7A4 +:0411D60013E300D748 +:0411D700C703EAD789 +:0411D800EB1D0337D1 +:0411D900872347051C +:0411DA00BD5900E714 +:0411DB00FFE7069391 +:0411DC00000786A3DF +:0411DD000FD6F693A0 +:0411DE004695D2FD63 +:0411DF00E8D712E358 +:0411E000033347711D +:0411E100470202E3DC +:0411E2005683933A63 +:0411E300071300E30B +:0411E40076E34AF074 +:0411E500B5ADFCD7D1 +:0411E600000786A3D5 +:0411E700A8194709F3 +:0411E80020100713B9 +:0411E90000E7962362 +:0411EA000713BFD553 +:0411EB009623301007 +:0411EC00471900E7B8 +:0411ED0000E788236C +:0411EE004689B5A1D8 +:0411EF0000D796236C +:0411F0001FE3468D26 +:0411F100C703E2D777 +:0411F200DF49033797 +:0411F3000713BFC15E +:0411F400962310200E +:0411F500B52D00E72D +:0411F600202007139B +:0411F7000713B551D4 +:0411F80096232020FA +:0411F900471D00E7A7 +:0411FA0000E788235F +:0411FB0089234735C8 +:0411FC00B53900E71A +:0411FD00B7D9468D8B +:0411FE002030071383 +:0411FF000713B59588 +:04120000BDBD203020 +:04120100204007136F +:0412020000E7962348 +:041203008823470DE8 +:04120400071300E7E5 +:04120500BFE10280C3 +:04120600204007136A +:0412070000E7962343 +:0412080088234715DB +:04120900476100E752 +:04120A001111B7D92E +:04120B0001851793AF +:04120C00C826CA2204 +:04120D0087E1CC06A3 +:04120E0084AE842AFC +:04120F000007DD6394 +:0412100005134581FC +:0412110037D5077056 +:0412120000A101A393 +:04121300EE634785BA +:04121400741306A7A2 +:041215002E9507F417 +:0412160045014591B8 +:041217002E8126817D +:041218000184D793E3 +:0412190000F102A33B +:04121A000104D79361 +:04121B0000F10323B8 +:04121C000084D793E0 +:04121D0000F103A336 +:04121E000081022326 +:04121F000091042313 +:0412200004000713AC +:0412210009500793D6 +:0412220000E4096378 +:041223000480071329 +:041224001463478583 +:04122500079300E447 +:04122600459908706E +:0412270004A30048D4 +:0412280024ED00F1C0 +:041229002EB1451588 +:04122A004585842A48 +:04122B000031051376 +:04122C00078324F51B +:04122D00D663003153 +:04122E002E8D0007FA +:04122F0006E3478506 +:04123000E011FEF5D6 +:04123100450326410A +:0412320040E2003165 +:0412330044C244521B +:041234008082017142 +:04123500FD81011323 +:041236004581C02A04 +:041237000490051307 +:04123800D022D206E8 +:04123900F0EFCE26DE +:04123A00C901F45F93 +:04123B005092450187 +:04123C0044F2540222 +:04123D000281011316 +:04123E00842A8082FC +:04123F00261145151A +:04124000458584AAB2 +:041241000071051320 +:0412420047032C51E1 +:04124300079300719C +:0412440016630FF02E +:041245002E1900F767 +:0412460004E34785F1 +:04124700E091FEF53F +:04124800470326151D +:041249000793007196 +:04124A0011E30FE0BD +:04124B0045C9FCF79E +:04124C0024AD0028A5 +:04124D00C78347828A +:04124E00F71300474B +:04124F00CF15002790 +:0412500000E1450371 +:0412510000F14783DE +:04125200012147032C +:041253000522890DDA +:0412540047838D5DE2 +:04125500050A010184 +:0412560000D144037C +:041257008D5D83998D +:0412580001114783B6 +:04125900883D831D2C +:04125A008B990786DF +:04125B00943E8FD955 +:04125C00146505050B +:04125D0000851533C0 +:04125E008B91BF9D14 +:04125F004503CB89EF +:0412600047830101BE +:041261000522011150 +:0412620047C58D5D92 +:041263004781B7CD3B +:04126400BFF1450190 +:04126500FDC10113B3 +:04126600CC2665D954 +:0412670084AA4629E6 +:041268008A058593DB +:04126900D006006843 +:04126A0024E1CE228B +:04126B00C03E478DAD +:04126C002C2122C14E +:04126D0045A924A9C2 +:04126E002AC9006821 +:04126F00A0234581F2 +:04127000051300045E +:04127100F0EF040096 +:041272004785E65F67 +:041273001B63842A4B +:0412740005930EF5DB +:0412750005131AA0A3 +:04127600F0EF048011 +:04127700C22AE51F83 +:04127800088517636B +:041279000028459173 +:04127A0047032A55A7 +:04127B00479200A1F5 +:04127C000CF71A63EE +:04127D0000B1470372 +:04127E000AA0079328 +:04127F000CF71463F1 +:041280003E80051394 +:04128100241D2AFD01 +:041282001963478520 +:0412830005B700F5B6 +:04128400051340000E +:04128500F0EF0E90E8 +:04128600F575E15FBA +:0412870047852C016A +:0412880002F5066302 +:041289002C394401B7 +:04128A0017FD478283 +:04128B000FF7F793CF +:04128C00CBD9C03EBC +:04128D004785DC3580 +:04128E000084822333 +:04128F008526C09C54 +:04129000E95FF0EF33 +:04129100C488157D7B +:04129200A0412A69E4 +:041293000513458179 +:04129400F0EF07A0D0 +:04129500F579DD9F6B +:041296000028459156 +:0412970047832281E6 +:04129800443100815C +:041299000407F793BC +:04129A004411FFDD1F +:04129B004581BF6D5D +:04129C000E90051398 +:04129D00DB7FF0EF14 +:04129E00041007939E +:04129F0000A46563DF +:0412A0000793440963 +:0412A10005130E9093 +:0412A200C23E0FA099 +:0412A3002A792A9DDD +:0412A4001763478500 +:0412A500451200F5F9 +:0412A600F0EF45819F +:0412A700F965D91FED +:0412A800E1112271BD +:0412A9002A79440159 +:0412AA000513458162 +:0412AB00F0EF07B0A9 +:0412AC00C111D7DFB6 +:0412AD000593440160 +:0412AE000513200004 +:0412AF00F0EF050057 +:0412B000D13DD6DF77 +:0412B100B78D4401B0 +:0412B20020CDF43D1A +:0412B30000143513DB +:0412B40044725082AE +:0412B500011344E2FB +:0412B60080820241EF +:0412B7001121451CA0 +:0412B800CA06C82278 +:0412B900C22EC62655 +:0412BA00440DC03AE5 +:0412BB0002C7E16322 +:0412BC004783CF197C +:0412BD0084B60045AE +:0412BE00E3918BA18C +:0412BF0085B20626C8 +:0412C00005100513FD +:0412C100D27FF0EFF9 +:0412C2004409C90909 +:0412C300852220451B +:0412C400444240D28E +:0412C500016144B2CD +:0412C600051380820A +:0412C70020D50640E8 +:0412C8000513458540 +:0412C900289D00B1AB +:0412CA0000B1470325 +:0412CB000FF0079386 +:0412CC0000F71663AE +:0412CD00478528E544 +:0412CE00FEF504E342 +:0412CF00470322218E +:0412D000079300B1CF +:0412D10012E30FE035 +:0412D2004782FCF75C +:0412D30020200413C0 +:0412D4008C1D8C05DC +:0412D500804104420E +:0412D60085A6C481A4 +:0412D700283D450168 +:0412D80045124582F4 +:0412D90085A228259D +:0412DA00280D450195 +:0412DB00BF79440192 +:0412DC0000062637AB +:0412DD00019C05B7B4 +:0412DE0000021537BE +:0412DF00A806061344 +:0412E000CC05859321 +:0412E10002050513EA +:0412E2009EBFB06F8C +:0412E30085AA862E24 +:0412E40000021537B8 +:0412E50002050513E6 +:0412E600A89FB06F9E +:0412E70085AA862E20 +:0412E80000021537B4 +:0412E90002050513E2 +:0412EA00A4DFB06F5E +:0412EB00675D808239 +:0412EC004F8757834E +:0412ED00F7F7F79385 +:0412EE0083C107C2EF +:0412EF004EF71C2377 +:0412F00000021737AA +:0412F1000EF72023B1 +:0412F200675D808232 +:0412F3004F87578347 +:0412F4000807E7936D +:0412F5004EF71C2371 +:0412F60000021737A4 +:0412F7000EF72023AB +:0412F8003637808283 +:0412F90005B700290C +:0412FA001537019C07 +:0412FB0006130002D4 +:0412FC0085932E06A2 +:0412FD000513CC0504 +:0412FE00B06F0205C6 +:0412FF00F06F979F56 +:041300006761F73FEB +:041301009BC72783DC +:04130200679DE3857B +:0413030097878793AE +:0413040002F50533B6 +:04130500C4061151B8 +:041306009AA72E2351 +:04130700D06FB0EF04 +:04130800450140A2B9 +:0413090080820131AC +:04130A008082450593 +:04130B00C4061151B2 +:04130C00D16FB0EFFE +:04130D00A78367E16A +:04130E0040A29BC797 +:04130F0000F535337D +:0413100080820131A5 +:04131100AE2367E1BF +:0413120080829A0734 +:041313001463470117 +:04131400450100E6A9 +:0413150007B3808218 +:04131600070500E5E2 +:0413170000E586B3B4 +:041318000007C78380 +:04131900FFF6C68392 +:04131A00FED783E394 +:04131B0040D78533FF +:04131C00C7B3808251 +:04131D008B8D00A50F +:04131E0000C50733CC +:04131F00478DE7818E +:0413200002C7E963B4 +:04132100716387AAC3 +:04132200C6830CE58D +:041323000785000535 +:041324008FA3058509 +:04132500EAE3FED722 +:041326008082FEE7DC +:041327000005C68374 +:0413280005850785AB +:04132900FED78FA3B9 +:04132A00FEE7EAE30D +:04132B000111440266 +:04132C0076938082B2 +:04132D0087AA003556 +:04132E00C683CA9117 +:04132F000785000529 +:041330008FA30585FD +:04133100F693FED75A +:04133200B7FD0037CC +:04133300FFC77693E7 +:04133400FE06861318 +:0413350006C7F5638F +:04133600C02211714F +:04133700A30349C003 +:04133800A383000586 +:04133900CBC00085A0 +:04133A00A0234D801F +:04133B00A3030067A1 +:04133C00CF80004519 +:04133D00A2234DC0DA +:04133E00A28300671F +:04133F00A30300C53F +:04134000859301058B +:04134100CFC00245D2 +:04134200FFC5A4033C +:041343000077A42368 +:041344000057A62385 +:041345000067A82372 +:041346000247879340 +:04134700FE87AE234C +:04134800FAC7EEE30F +:04134900F8D7F2E3FC +:04134A000791419036 +:04134B00AE23059137 +:04134C00BFCDFEC74C +:04134D000791419033 +:04134E00AE23059134 +:04134F00EBE3FEC707 +:04135000E4E3FED7FD +:041351008082F4E7BB +:041352000113808281 +:04135300D6A6F7C162 +:04135400DA86C13E36 +:04135500D8A267DDD6 +:04135600DEBADCB669 +:0413570042C7A48362 +:041358000005DC634D +:0413590008B007933E +:04135A00557DC09C61 +:04135B00544650D6CE +:04135C00011354B66F +:04135D008082084141 +:04135E002080079351 +:04135F0000F118235E +:04136000CA2AC22AA9 +:04136100C199478166 +:04136200FFF5879379 +:04136300CC3EC63E78 +:0413640057FD18B465 +:041365008526842E27 +:041366001923004CFB +:04136700C03600F19B +:0413680057FD260106 +:0413690000F55563D3 +:04136A0008B007932D +:04136B00DC5DC09CE9 +:04136C008023479201 +:04136D00BF5D000759 +:04136E00167DC6051D +:04136F0007B3470178 +:0413700086B300E55B +:04137100C78300E549 +:04137200C683000727 +:041373009663000677 +:04137400046300D737 +:04137500070500C7A1 +:041376008533F3FDCB +:04137700808240D759 +:041378008082450129 +:04137900CA0987AA6C +:04137A00C70305851B +:04137B000785FFF5EE +:04137C008FA3167DA8 +:04137D00FB65FEE727 +:04137E009363963EA1 +:04137F00808200C7A1 +:041380008FA30785AB +:04138100BFD5FE07CF +:0413820087AA95AAF7 +:0413830000B78563C7 +:041384000007C70394 +:041385008533E701C4 +:04138600808240A77A +:04138700B7FD078522 +:04138800A783C5D59D +:041389001151FFC53A +:04138A00C406C222B1 +:04138B008413C026E1 +:04138C00D363FFC563 +:04138D00943E000783 +:04138E0000EF84AA3E +:04138F00675D15F091 +:0413900049C727839F +:04139100EF81863A28 +:04139200000422230E +:0413930048872E2336 +:0413940040A244121D +:0413950044828526E3 +:04139600006F0131B2 +:04139700706314105B +:04139800401402F407 +:0413990000D4073342 +:04139A0000E796636F +:04139B0043DC439854 +:04139C00C0189736A8 +:04139D002E23C05CDF +:04139E00BFD94886E5 +:04139F0043D887BAEE +:0413A0007DE3C3190D +:0413A1004394FEE48F +:0413A20000D78633B7 +:0413A30000861F633E +:0413A40096B24010AD +:0413A5008633C39434 +:0413A6001BE300D76E +:0413A7004310FAC72E +:0413A80096B243585E +:0413A900C3D8C3944E +:0413AA007563B7654B +:0413AB0047B100C482 +:0413AC00BF79C09CA9 +:0413AD0006B3401033 +:0413AE00166300C4FE +:0413AF00431400D70C +:0413B00096B2435856 +:0413B100C058C0144C +:0413B200B759C3C0A4 +:0413B30011418082E2 +:0413B4008493C22636 +:0413B50098F1003576 +:0413B600C422C60681 +:0413B70047B104A195 +:0413B80004F4FB63DB +:0413B900EA6344B1EE +:0413BA00C02A04B48D +:0413BB000AD000EF65 +:0413BC002683675DC0 +:0413BD00478249C753 +:0413BE0049C7061302 +:0413BF00E43184365B +:0413C0000413645D51 +:0413C10040184A0482 +:0413C200853EEB0178 +:0413C300C03E458162 +:0413C4007F8000EF37 +:0413C500C008478293 +:0413C60085A6853E35 +:0413C70000EFC03E35 +:0413C800577D7EA02F +:0413C90015634782DF +:0413CA00473106E5BC +:0413CB00853EC39800 +:0413CC0006B000EF78 +:0413CD00D8E3A02998 +:0413CE0047B1FA0425 +:0413CF004501C11CF7 +:0413D000442240B2C1 +:0413D1000141449200 +:0413D20040188082BD +:0413D3004E638F05D1 +:0413D40045AD02071A +:0413D50000E5F663D6 +:0413D600943AC0186D +:0413D700A029C00485 +:0413D8009263405884 +:0413D900C2180286AE +:0413DA0000EF853E5D +:0413DB0005130310E3 +:0413DC00071300B43F +:0413DD0099610044CE +:0413DE0040E507B32C +:0413DF00943ED3F174 +:0413E000C0188F0999 +:0413E100C2D8BF753A +:0413E20086A2B7C563 +:0413E300BF85404042 +:0413E40000350413B9 +:0413E50003E3987115 +:0413E60005B3FC85CA +:0413E700853E40A45B +:0413E800279DC03E3F +:0413E9004782577D63 +:0413EA00FAE51AE323 +:0413EB00A303BFBDDC +:0413EC001111008556 +:0413ED00CC06C8263C +:0413EE00C232CA221B +:0413EF00E36384AE82 +:0413F000D6030866B2 +:0413F100771300C5A9 +:0413F200CB3D4806A1 +:0413F30048C882AABA +:0413F4004080470DE1 +:0413F50002A7073311 +:0413F6004509498CD0 +:0413F70040B407B344 +:0413F8004433C03E7C +:0413F900851302A7AF +:0413FA00953E001606 +:0413FB0000A4736374 +:0413FC007613842AB6 +:0413FD00C6364006AA +:0413FE008516C63D4D +:0413FF00C41685A2E9 +:04140000ECFFF0EF1E +:0414010046B242A20B +:04140200CD2D473174 +:04140300488C4602C9 +:04140400C42AC636FA +:04140500C5FFF0EF40 +:0414060000C4D60345 +:0414070046B2432284 +:04140800B7F67613AA +:041409000806661358 +:04140A0000C4962361 +:04140B00A823478249 +:04140C00C8C00064F0 +:04140D008C1D933E61 +:04140E000064A023B3 +:04140F008336C480DC +:041410000066F3631C +:041411004592833647 +:04141200861A40886E +:041413002701C01AD3 +:0414140043024498B3 +:041415000733450153 +:04141600C4984067CF +:04141700933A40982C +:041418000064A023A9 +:041419008516A80D7F +:04141A00C41686224C +:04141B00832A2F05EC +:04141C0046B242A2F0 +:04141D00488CFD45B5 +:04141E00C016851659 +:04141F00DA5FF0EFB1 +:04142000473142828C +:0414210000E2A02322 +:0414220000C4D70328 +:041423006713557D79 +:041424009623040700 +:0414250040E200E4BD +:0414260044C2445226 +:04142700808201714D +:0414280000C5D70321 +:04142900F781011333 +:04142A00DEA6C12257 +:04142B0001F1079331 +:04142C00C22AC30607 +:04142D007713C02E43 +:04142E0084B2080775 +:04142F00FF87F4132C +:041430004998CB1DEF +:041431000593EB0D27 +:04143200C6360400B6 +:04143300E03FF0EFB7 +:0414340046B24782F3 +:04143500CB88C38815 +:041436004712E91957 +:04143700557D47B1E7 +:04143800409AC31CF7 +:0414390054F6440A17 +:04143A000881011311 +:04143B0047828082E2 +:04143C00040007138E +:04143D000713CBD8EE +:04143E000CA30200F9 +:04143F00071300E4AB +:041440002A23030058 +:041441000D23000473 +:04144200CA3600E4C2 +:0414430006138726DF +:041444004683025089 +:04144500C299000741 +:041446000AC69863D7 +:041447004097033394 +:041448000203026336 +:041449004512458281 +:04144A008626869AD2 +:04144B00C61AC83ABB +:04144C00E7FFF0EFD7 +:04144D00026356FDE3 +:04144E0048541CD50D +:04144F00474243329B +:04145000C854969A4C +:0414510000074683C7 +:041452001A0689638A +:0414530000170493E7 +:041454002023577D7D +:041455002623000446 +:04145600C058000476 +:041457000004242346 +:04145800040401A3E4 +:0414590004042C2338 +:04145A000004C58342 +:04145B00000177B75E +:04145C008513461599 +:04145D002B7DAE47EE +:04145E0000148713DC +:04145F00E539401417 +:041460000106F61378 +:041461000613C6099F +:0414620001A30200E0 +:04146300F61304C4B4 +:04146400C60900862F +:0414650002B00613B8 +:0414660004C401A316 +:041467000004C58335 +:0414680002A00613C5 +:0414690004C58163D2 +:04146A008726445439 +:04146B00452545814D +:04146C00460342A948 +:04146D00031300075E +:04146E00061300174A +:04146F007963FD069A +:04147000C99506C54F +:04147100A805C454B2 +:04147200B7A1070512 +:04147300000177B746 +:04147400AE478613E6 +:0414750040C5063335 +:0414760015334505E0 +:041477008EC900C555 +:0414780084BAC0145E +:041479004652B751CF +:04147A000046059390 +:04147B00CA2E421023 +:04147C0002064963B8 +:04147D004603C4500E +:04147E0006930007CA +:04147F00116302E013 +:04148000460306D643 +:0414810006930017B7 +:041482001B6302A046 +:0414830046D202D675 +:0414840086130709BB +:041485004294004647 +:04148600C163CA3242 +:04148700C054020645 +:041488000633A08106 +:04148900E69340C0E6 +:04148A00C450002624 +:04148B00B7E1C014F1 +:04148C00025686B3CB +:04148D00871A4585F0 +:04148E00BFA596B2AE +:04148F00B7C556FD8A +:041490002223070507 +:04149100458100048D +:041492004525468125 +:04149300460342A921 +:041494000313000737 +:041495000613001723 +:041496007763FD0675 +:04149700F1E106C5B4 +:041498000007458381 +:04149900000174B723 +:04149A008513460D63 +:04149B00C63AAEC4DB +:04149C00473221D1E1 +:04149D008493CD0166 +:04149E008D05AEC446 +:04149F0004000693AC +:0414A00000A696B359 +:0414A10007054008F3 +:0414A200C0088D559C +:0414A3000007458376 +:0414A4000001753797 +:0414A50005134619CC +:0414A6000493AF05F7 +:0414A7000C230017FB +:0414A800294900B41A +:0414A9000737C52D0F +:0414AA000713000024 +:0414AB00E70D000742 +:0414AC00475240144F +:0414AD001006F6939C +:0414AE000711CE91C3 +:0414AF004858CA3A95 +:0414B000973E47A27A +:0414B100B599C858C9 +:0414B200025686B3A5 +:0414B300871A4585CA +:0414B400BFB596B278 +:0414B500B7DD072177 +:0414B6004512460293 +:0414B700000156B723 +:0414B80086930858B7 +:0414B90085A2FAE628 +:0414BA000000009797 +:0414BB00000000E746 +:0414BC0047A2C42A55 +:0414BD0094E3577DE0 +:0414BE004782FCE77E +:0414BF00D703557D7D +:0414C000771300C7D7 +:0414C1001EE304071B +:0414C2004848DC07B3 +:0414C3004602BBD949 +:0414C40056B74512C0 +:0414C50008580001C2 +:0414C600FAE6869329 +:0414C7002A0585A2CB +:0414C8001101BFC18E +:0414C9008332CA267A +:0414CA00459084B60F +:0414CB00CC22499452 +:0414CC00842ACE069A +:0414CD00D36387AEB0 +:0414CE0086B200C61C +:0414CF0000D3202303 +:0414D0000437C60314 +:0414D1000685C601C5 +:0414D20000D3202300 +:0414D300F6934394B5 +:0414D400C6910206B5 +:0414D5000003268367 +:0414D6002023068940 +:0414D700A28300D319 +:0414D80083930007F3 +:0414D900F2930197F2 +:0414DA0083630062C6 +:0414DB004390060232 +:0414DC000437C68388 +:0414DD00020676137A +:0414DE0000D036B351 +:0414DF008613EA4145 +:0414E00085A60437A2 +:0414E100C41A852282 +:0414E200C03AC23E0C +:0414E30056FD970219 +:0414E40006D50863BE +:0414E50043224792C5 +:0414E60043944511D5 +:0414E70000032603D5 +:0414E8008A9947CCCA +:0414E9004702430172 +:0414EA0000A697635E +:0414EB0040C5833342 +:0414EC000003536343 +:0414ED0047944301DC +:0414EE0054634B9068 +:0414EF008E9100D604 +:0414F000428193366C +:0414F100126307E992 +:0414F2004501065357 +:0414F3000285A81DA9 +:0414F400260347D4B0 +:0414F5008E910003D1 +:0414F600F8D2DBE36A +:0414F700861E468582 +:0414F800852285A61E +:0414F900C63EC81A09 +:0414FA00C21EC41634 +:0414FB009702C03A5A +:0414FC00470256FD50 +:0414FD0042A2439232 +:0414FE00434247B26C +:0414FF00FCD519E31C +:0415000040F2557DE3 +:0415010044D244622A +:04150200808261057D +:0415030000D785B3D5 +:0415040003000513C8 +:0415050004A581A315 +:041506000457C5833E +:041507000016861331 +:041508000689963E7C +:0415090004B601A380 +:04150A004685BF99BA +:04150B0085A6863EED +:04150C00C616852258 +:04150D00C23EC41AFC +:04150E009702C03A46 +:04150F0001E356FDA1 +:0415100042B2FCD512 +:041511004792432298 +:041512004702028505 +:041513001101BFAD56 +:04151400842ECC2233 +:041515004603C23295 +:04151600CA2601845C +:0415170084B6CE06C2 +:041518000693C02A4C +:04151900859306E0D0 +:04151A000863043529 +:04151B00E4631ED691 +:04151C00069306C666 +:04151D00046306302D +:04151E00ED630AD699 +:04151F00046300C69B +:041520000693200608 +:041521000C630580D2 +:04152200031316D6C3 +:041523000123042478 +:04152400A87904C4DA +:0415250006400693E3 +:0415260000D6066382 +:041527000690069391 +:04152800FED615E3F3 +:041529002303401444 +:04152A00F6130007AD +:04152B000513080696 +:04152C00C24900436D +:04152D00000326830E +:04152E007637C30841 +:04152F00D86300017C +:041530000713000697 +:0415310006B302D02B +:0415320001A340D001 +:04153300061304E4B3 +:041534004729AF860E +:041535000693A07DFC +:041536000A6307300D +:04153700EF631AD66E +:04153800069300C650 +:04153900006306F055 +:04153A00069306D638 +:04153B001EE30700A4 +:04153C004014F8D689 +:04153D000206E69329 +:04153E00A809C01424 +:04153F0007500693B8 +:0415400004D6036367 +:041541000780069386 +:04154200F8D611E3E3 +:041543000780069384 +:0415440000017637F5 +:0415450004D402A325 +:04154600B0C6061312 +:041547004314A0FDAC +:041548000424031361 +:0415490000468613BF +:04154A004298C310F0 +:04154B0004E4012390 +:04154C00AABD4705E8 +:04154D000406F61387 +:04154E0000032683ED +:04154F00DE35C308BA +:0415500086C106C288 +:041551004008BF9DF2 +:041552007293431439 +:0415530083130805F1 +:041554008663004664 +:04155500202300024D +:041556004294006754 +:041557007513A8015F +:041558002023040543 +:04155900D9750067D9 +:04155A000006D6832E +:04155B0006F005137E +:04155C0000017737DC +:04155D000CA60D6368 +:04155E00AF8706133A +:04155F0001A3472974 +:0415600040480404F7 +:041561004863C4080F +:04156200230300055A +:0415630073130004FA +:041564002023FFB38E +:04156500E2990064A3 +:04156600CD11832EF2 +:04156700F533832EA7 +:04156800137D02E607 +:04156900450395326F +:04156A000023000555 +:04156B00D53300A3D1 +:04156C00F26302E63E +:04156D0046A10AE6A3 +:04156E0000D71E6321 +:04156F008B05401890 +:041570004054CB1107 +:04157100476348186C +:04157200071300D784 +:041573000FA30300BF +:04157400137DFEE302 +:04157500406585B395 +:041576004692C80CC5 +:04157700872645027C +:0415780085A2081030 +:04157900F0EFC41AB1 +:04157A00577DD3DFE7 +:04157B00156343228F +:04157C00557D0CE5A8 +:04157D00446240F292 +:04157E00610544D2ED +:04157F0002A38082C1 +:04158000763704C4F2 +:04158100061300014C +:041582004008AF86E8 +:041583000007230337 +:041584000805729351 +:0415850000032683B6 +:041586008163031169 +:041587002023020219 +:04158800771300676E +:04158900C701001581 +:04158A0002056513DE +:04158B004741C0080C +:04158C004008F6B964 +:04158D00FDF57513E0 +:04158E00B791C00849 +:04158F00040572934A +:0415900000672023AD +:04159100FC028FE3E6 +:0415920082C106C24A +:041593000613BFD9A3 +:041594004721AF87B5 +:0415950086AAB72D3E +:041596004010B799B1 +:041597004848431469 +:04159800080672933C +:041599000046831372 +:04159A000002876361 +:04159B0000672023A2 +:04159C00C3084298A6 +:04159D002023A80956 +:04159E007613006759 +:04159F004298040664 +:0415A0001023DA6DCD +:0415A100282300A754 +:0415A200832E000490 +:0415A3004314B7B97D +:0415A40086134581E4 +:0415A500C310004629 +:0415A6000006A30395 +:0415A700851A405011 +:0415A8002849C41AF0 +:0415A900C501432213 +:0415AA004065053360 +:0415AB004058C0489C +:0415AC0001A3C818B7 +:0415AD00B715040466 +:0415AE004592481406 +:0415AF00861A450251 +:0415B000577D94824D +:0415B100F2E507E375 +:0415B2008B09401849 +:0415B3004742EB15AB +:0415B40051E3444873 +:0415B500853AF2E59C +:0415B6004592BF316A +:0415B700468545021E +:0415B800C63A861A8F +:0415B9009482C41A3A +:0415BA0004E356FDF3 +:0415BB004732F0D5EE +:0415BC0007054322BA +:0415BD00464244540A +:0415BE0040E38E91E7 +:0415BF00BFC1FED7D3 +:0415C00003134701C9 +:0415C100B7FD0194DD +:0415C200C2221151DF +:0415C3006461C02679 +:0415C400852E84AA42 +:0415C5002023C40615 +:0415C60020FD9C0464 +:0415C700166357FD53 +:0415C800278300F580 +:0415C900C3919C042A +:0415CA0040A2C09CDF +:0415CB004482441200 +:0415CC0080820131E7 +:0415CD000FF5F5938E +:0415CE001463962AE2 +:0415CF00450100C50D +:0415D000478380824B +:0415D1008DE30005A1 +:0415D2000505FEB756 +:0415D300F363B7FD0A +:0415D40086B304A531 +:0415D5007F6300C56B +:0415D600459302D562 +:0415D7004781FFF653 +:0415D800936317FD05 +:0415D900808200F517 +:0415DA0000F687335D +:0415DB0000074303BF +:0415DC0000C787338A +:0415DD000023972A26 +:0415DE00B7DD00670E +:0415DF0000F5873359 +:0415E0000007468337 +:0415E10000F50733D7 +:0415E2000023078556 +:0415E30017E300D733 +:0415E4008082FEF60D +:0415E500BFE5478196 +:0415E60080828082FD +:0415E70085B2E58163 +:0415E800F2EFF06FBF +:0415E900C8061131EE +:0415EA00C426C6222B +:0415EB00F0EFEA1122 +:0415EC004781E72F1D +:0415ED00443240C282 +:0415EE00853E44A250 +:0415EF0080820151A4 +:0415F000C032842E53 +:0415F100203D84AA6B +:0415F20087A2460284 +:0415F300FEC574E3DA +:0415F400852685B211 +:0415F500F0EFC2321F +:0415F60087AAEF8F42 +:0415F7004612DD615A +:0415F800C02A85A2DE +:0415F900C8EFF0EF58 +:0415FA00852685A21B +:0415FB00E34FF0EFDB +:0415FC00B7C94782A2 +:0415FD00FFC5A783FC +:0415FE00FFC785138B +:0415FF000007D563A9 +:04160000419C95AACA +:041601008082953E10 +:04160200C4221141AC +:041603002783645D78 +:04160400C6064A4488 +:04160500832AC2264C +:041606004A4404133B +:041607004501EB8529 +:0416080046014581D1 +:0416090047014681CE +:04160A000D600293DA +:04160B000000007368 +:04160C005C6384AAED +:04160D00288100052B +:04160E00409004B351 +:04160F00557DC10440 +:04161000442240B27E +:0416110001414492BD +:04161200C00880820A +:0416130045814008C5 +:04161400951A4601DC +:0416150047014681C2 +:041616000293478173 +:0416170000730D60EF +:0416180084AA0000A0 +:04161900000559630C +:04161A002831C01A99 +:04161B0004B34302CF +:04161C00C104409035 +:04161D00401C54FD1C +:04161E00933E557D25 +:04161F00FC6492E3F2 +:04162000853EC0043F +:0416210067DDBF754D +:0416220042C7A50313 +:0416230000008082C1 +:0416240000000000C2 +:0416250000000000C1 +:0416260000000000C0 +:0416270000000000BF +:04162800FFFFFFFFC2 +:04162900FFFFFFFFC1 +:04162A000000FFFFBE +:04162B000001052C89 +:04162C000001053282 +:04162D00000105387B +:04162E000001053E74 +:04162F00000104E0D2 +:0416300000010500B0 +:0416310000010500AF +:0416320000010500AE +:0416330000010500AD +:0416340000010500AC +:0416350000010500AB +:0416360000010504A6 +:041637000001050A9F +:041638000001232466 +:04163900000123F693 +:04163A00000123F692 +:04163B00000124087E +:04163C00000124087D +:04163D000001241272 +:04163E00000124166D +:04163F00000124245E +:04164000000124245D +:041641000001243C44 +:041642000001257A04 +:0416430000012580FD +:0416440000012580FC +:041645000001257A01 +:0416460000012584F6 +:041647000001243C3E +:041648000001257AFE +:0416490000012580F7 +:04164A00000159FC46 +:04164B0000015A0C34 +:04164C0000015A1827 +:04164D0000015A241A +:04164E0000015A300D +:04164F0000015A3C00 +:0416500000015A48F3 +:0416510000015A54E6 +:0416520000015A60D9 +:0416530000015A6CCC +:0416540000015A78BF +:0416550074204B4F63 +:041656006E69206F2A +:0416570000007469B2 +:04165800736C614608 +:041659007274206522 +:04165A0065676769F0 +:04165B000000007219 +:04165C00656D6954FB +:04165D000074756F31 +:04165E00252E75259B +:04165F006D75322E45 +:041660000000007313 +:04166100252E752598 +:041662006D75322E42 +:0416630075252F7347 +:04166400322E252ECF +:0416650000736D752C +:041666004353534F48 +:041667007766202062 +:041668007525202E96 +:04166900322E252ECA +:04166A0000006175A6 +:04166B0074696E49E7 +:04166C007272652011 +:04166D002020726F58 +:04166E0000006425EF +:04166F0020202020F7 +:0416700053204F4E66 +:0416710000434E598B +:0416720034313032AD +:041673003130322DB3 +:041674006D2020388D +:0416750073717261BA +:041676000000000070 +:041677002520732592 +:041678000063257571 +:04167900252E752580 +:04167A006B75322E2C +:04167B0025207A4864 +:04167C002E252E7574 +:04167D007A48753200 :04167E000000000068 -:04167F000000000067 -:041680000000000165 -:041681000001010162 -:041682000000000163 -:041683000000000063 -:041684000000011051 -:041685000044060B0C -:04168600000001005F -:04168700000100005E -:041688008080800CD2 -:04168900081A1A1A07 -:04168A000000000A52 -:04168B000001283002 -:04168C00000128C66B -:04168D00000128CE62 -:04168E00000128BE71 -:04168F00000128CA64 -:04169000000128D25B -:04169100000128C26A -:04169200000129002A -:04169300000128D654 -:04169400000128DA4F -:04169500000128DE4A -:041696000001290026 -:041697000001290025 -:041698000001290024 -:041699000001290023 -:04169A000001290022 -:04169B0000012BB46B -:04169C000001290A16 -:04169D000001299C83 -:04169E00000129AC72 -:04169F00000129C657 -:0416A00000012A0417 -:0416A100000129E833 -:0416A20000012A1AFF -:0416A30000012AFA1E -:0416A40000012B1CFA -:0416A50000012B32E3 -:0416A600666F7250A9 -:0416A7002075252E57 -:0416A800007339256D -:0416A900756C342503 -:0416AA00632563252C -:0416AB006C2520206A -:0416AC0000000075C5 -:0416AD00656E694CB1 -:0416AE00746C756D76 -:0416AF00646F6D20D7 -:0416B00000003A6597 -:0416B100736572707B -:0416B2002D31207343 -:0416B30000000035FE -:0416B4002078752500 -:0416B50075736E7566 -:0416B600726F70706F -:0416B70000646574F2 -:0416B800666F725097 -:0416B90020656C69D3 -:0416BA0064616F6C8C -:0416BB000000003AF1 -:0416BC007365727070 -:0416BD002D30207339 -:0416BE0000000039EF -:0416BF0000015BC00B -:0416C00000015BC406 -:0416C10000015BC801 -:0416C20000015BCCFC -:0416C30000015ACCFC -:0416C40000015BD0F6 -:0416C50000015BD4F1 -:0416C60000015BD8EC -:0416C70000015AF8CC -:0416C800000164F8C1 -:0416C90000015BDCE5 -:0416CA0000015BE4DC -:0416CB0000015BE8D7 -:0416CC0000015BF0CE -:0416CD0000015BF4C9 -:0416CE0000015BFCC0 -:0416CF0000015C04B6 -:0416D00000015C0CAD -:0416D10000015C14A4 -:0416D20000015C2493 -:0416D30000015C3482 -:0416D40000015C4471 -:0416D50000015C5460 -:0416D60000015C644F -:0416D70000015C743E -:0416D80000015C7C35 -:0416D90000015C842C -:0416DA003EA93E29BE -:0416DB003EE93E693D -:0416DC003E993E19DC -:0416DD003ED93E595B -:0416DE003EC93E398A -:0416DF003E1D3E4D21 -:0416E0003E2D3EED70 -:0416E1003EAD3ECD0F -:0416E2003E653E6DB6 -:0416E3001C483E0160 -:0416E4001C501C1862 -:0416E5001CC81CD031 -:0416E6005ED85E5814 -:0416E70000003EB908 -:0416E8007365725064 -:0416E900000000738A -:0416EA00666E6F4376 -:0416EB00006D7269B3 -:0416EC006D73694D64 -:0416ED006863746159 -:0416EE006572202CD5 -:0416EF000079727498 -:0416F00000000031C5 -:0416F10000000032C3 -:0416F20000000033C1 -:0416F30000000034BF -:0416F40000000036BC -:0416F50000000037BA -:0416F60000000038B8 -:0416F700554E454DBA -:0416F80000000000EE -:0416F90000004B4F53 -:0416FA004B434142DB -:0416FB0000000000EB -:0416FC000000505545 -:0416FD004E574F44B1 -:0416FE0000000000E8 -:0416FF005446454CBC -:0417000000000000E5 -:0417010048474952BA -:04170200000000548F -:041703004F464E49B6 -:0417040000000000E1 -:041705005F44434CAE -:041706004B434142CE -:041707004847494CBA -:041708000000005489 -:041709004E414353B7 -:04170A00454E494CB3 -:04170B00444F4D5F9B -:04170C000000004594 -:04170D004E414353B3 -:04170E00454E494CAF -:04170F005059545F7A -:041710000000004590 -:041711004E414353AF -:04171200454E494CAB -:04171300544E495F88 -:041714000000002BA6 -:041715004E414353AB -:04171600454E494CA7 -:04171700544E495F84 -:041718000000002DA0 -:04171900454E494CA4 -:04171A00544C554D89 -:04171B00444F4D5F8B -:04171C000000004584 -:04171D00534148509C -:04171E0000002B4557 -:04171F00534148509A -:0417200000002D4553 -:04172100464F52508D -:041722005F454C498A -:041723004B544F488C -:041724000000594523 -:041725006F72724528 -:04172600000000724D -:0417270053206F4E8E -:041728006163204495 -:041729006420647262 -:04172A00002E7465B4 -:04172B0061766E492C -:04172C002064696C60 -:04172D006461656826 -:04172E0000007265E0 -:04172F0061766E4928 -:041730002064696C5C -:041731002072646856 -:0417320000435243DB -:0417330061766E4924 -:041734002064696C58 -:041735006174616416 -:0417360043524320B7 -:0417370000000000AE -:041738006164705523 -:041739006320657450 -:04173A0065636E6114 -:04173B0064656C6C09 -:04173C0000000000A9 -:04173D0073616C4622 -:04173E006572206848 -:04173F00652064615C -:0417400000007272C1 -:0417410073616C461E -:041742007265206844 -:041743002065736149 -:041744000072726558 -:0417450073616C461A -:04174600727720682E -:04174700206574693C -:041748000072726554 -:0417490073616C4616 -:04174A006576206838 -:04174B002066697239 -:04174C006C696166FD -:04174D000000000098 +:04167F0074736554C7 +:041680007461702001 +:041681006E726574AC +:041682000000000064 +:041683003A31564161 +:041684004247522067 +:04168500000000530E +:041686003A3156415E +:041687007347522033 +:04168800000000421C +:041689003A3156415B +:04168A006250592031 +:04168B000000725099 +:04168C003A32564157 +:04168D00625059202E +:04168E000000725096 +:04168F003A32564154 +:04169000734752202A +:041691000000004213 +:041692003A33564150 +:041693004247522058 +:0416940000005648B4 +:041695003A3356414D +:041696004247522055 +:0416970000000053FC +:041698003A3356414A +:041699007347522021 +:04169A00000000420A +:04169B003A33564147 +:04169C00625059201F +:04169D000000725087 +:04169E007473614CB4 +:04169F0065737520DA +:0416A00000000064E2 +:0416A1000000000045 +:0416A2000000000044 +:0416A3000000000142 +:0416A400000101013F +:0416A5000000000140 +:0416A6000000000040 +:0416A700000001102E +:0416A8000044060BE9 +:0416A900000001003C +:0416AA00000100003B +:0416AB008080800CAF +:0416AC00081A1A1AE4 +:0416AD000000000A2F +:0416AE0000012838D7 +:0416AF00000128CE40 +:0416B000000128D637 +:0416B100000128C646 +:0416B200000128D239 +:0416B300000128DA30 +:0416B400000128CA3F +:0416B50000012908FF +:0416B600000128DE29 +:0416B700000128E224 +:0416B800000128E61F +:0416B90000012908FB +:0416BA0000012908FA +:0416BB0000012908F9 +:0416BC0000012908F8 +:0416BD0000012908F7 +:0416BE0000012BBC40 +:0416BF0000012912EB +:0416C000000129A458 +:0416C100000129B447 +:0416C200000129CE2C +:0416C30000012A0CEC +:0416C400000129F008 +:0416C50000012A22D4 +:0416C60000012B02F2 +:0416C70000012B24CF +:0416C80000012B3AB8 +:0416C900666F725086 +:0416CA002075252E34 +:0416CB00007339254A +:0416CC00756C3425E0 +:0416CD006325632509 +:0416CE006C25202047 +:0416CF0000000075A2 +:0416D000656E694C8E +:0416D100746C756D53 +:0416D200646F6D20B4 +:0416D30000003A6574 +:0416D4007365727058 +:0416D5002D31207320 +:0416D60000000035DB +:0416D70020787525DD +:0416D80075736E7543 +:0416D900726F70704C +:0416DA0000646574CF +:0416DB00666F725074 +:0416DC0020656C69B0 +:0416DD0064616F6C69 +:0416DE000000003ACE +:0416DF00736572704D +:0416E0002D30207316 +:0416E10000000039CC +:0416E20000015C4C5B +:0416E30000015C5056 +:0416E40000015C5451 +:0416E50000015C584C +:0416E60000015B584C +:0416E70000015C5C46 +:0416E80000015C6041 +:0416E90000015C643C +:0416EA0000015B841C +:0416EB000001659005 +:0416EC0000015C6835 +:0416ED0000015C702C +:0416EE0000015C7427 +:0416EF0000015C7C1E +:0416F00000015C8019 +:0416F10000015C8810 +:0416F20000015C9007 +:0416F30000015C98FE +:0416F40000015CA0F5 +:0416F50000015CB0E4 +:0416F60000015CC0D3 +:0416F70000015CD0C2 +:0416F80000015CE0B1 +:0416F90000015CF0A0 +:0416FA0000015D008E +:0416FB0000015D0885 +:0416FC0000015D107C +:0416FD003EA93E299B +:0416FE003EE93E691A +:0416FF003E993E19B9 +:041700003ED93E5937 +:041701003EC93E3966 +:041702003E1D3E4DFD +:041703003E2D3EED4C +:041704003EAD3ECDEB +:041705003E653E6D92 +:041706001C483E013C +:041707001C501C183E +:041708001CC81CD00D +:041709005ED85E58F0 +:04170A0000003EB9E4 +:04170B007365725040 +:04170C000000007366 +:04170D00666E6F4352 +:04170E00006D72698F +:04170F006D73694D40 +:041710006863746135 +:041711006572202CB1 +:041712000079727474 +:0417130000000031A1 +:04171400000000329F +:04171500000000339D +:04171600000000349B +:041717000000003698 +:041718000000003796 +:041719000000003894 +:04171A00554E454D96 +:04171B0000000000CA +:04171C0000004B4F2F +:04171D004B434142B7 +:04171E0000000000C7 +:04171F000000505521 +:041720004E574F448D +:0417210000000000C4 +:041722005446454C98 +:0417230000000000C2 +:041724004847495297 +:04172500000000546C +:041726004F464E4993 +:0417270000000000BE +:041728005F44434C8B +:041729004B434142AB +:04172A004847494C97 +:04172B000000005466 +:04172C004E41435394 +:04172D00454E494C90 +:04172E00444F4D5F78 +:04172F000000004571 +:041730004E41435390 +:04173100454E494C8C +:041732005059545F57 +:04173300000000456D +:041734004E4143538C +:04173500454E494C88 +:04173600544E495F65 +:041737000000002B83 +:041738004E41435388 +:04173900454E494C84 +:04173A00544E495F61 +:04173B000000002D7D +:04173C00454E494C81 +:04173D00544C554D66 +:04173E00444F4D5F68 +:04173F000000004561 +:041740005341485079 +:0417410000002B4534 +:041742005341485077 +:0417430000002D4530 +:04174400464F52506A +:041745005F454C4967 +:041746004B544F4869 +:041747000000594500 +:041748006F72724505 +:04174900000000722A +:04174A0053206F4E6B +:04174B006163204472 +:04174C00642064723F +:04174D00002E746591 :04174E0061766E4909 :04174F002064696C3D -:0417500067616D69F7 -:04175100000000652F -:041752004353534F5B -:041753000000000092 -:04175400696C615605 -:0417550069746164EE -:041756006420676E36 -:041757000061746158 -:041758006220752571 -:0417590073657479C7 +:041750006461656803 +:0417510000007265BD +:0417520061766E4905 +:041753002064696C39 +:041754002072646833 +:0417550000435243B8 +:0417560061766E4901 +:041757002064696C35 +:0417580061746164F3 +:041759004352432094 :04175A00000000008B -:04175B00252E75259D -:04175C002575322E8F -:04175D00007325737D -:04175E0061647055FD -:04175F00676E6974D4 -:0417600000574620C8 -:0417610061656C70E2 -:041762007720657314 -:041763002E74696116 -:0417640000002E2E25 -:0417650069726556EA -:041766006E697966C9 -:041767006C66206725 -:041768000068736141 -:0417690061656C70DA -:04176A007220657311 -:04176B0061747365CD -:04176C000000747293 -:04176D0072746552DB -:04176E00676E6979C0 -:04176F00647075200D -:04177000006574613B -:0417710061647055EA -:04177200203F65743B -:041773002C593D317F -:041774004E3D322094 -:041775000000000070 -:041776006D726946E1 -:0417770065726177BF -:041778006470752004 -:0417790064657461CE -:04177A00000000006B -:04177B000001320A2D -:04177C00000131EA4D -:04177D00000131C472 -:04177E00000131DC59 -:04177F00000132BC77 -:04178000000132BC76 -:0417810000013370C0 -:041782000001339699 -:04178300000133A28C -:04178400000133E24B -:04178500000133B676 -:04178600000132D05C -:04178700000132D05B -:041788000001331415 -:0417890000013340E8 -:04178A0000013340E7 -:04178B00642064254D -:04178C000000004217 -:04178D00656E6F44D2 -:04178E000000000057 -:04178F006C696146DA -:04179000000064658C -:0417910000007325BC -:04179200252E752566 -:041793002075322E5D -:041794000000737569 -:041795006C2075252A -:0417960073656E69A0 -:04179700000000004E -:041798006C206F4E04 -:04179900006B6E690A -:04179A0000017427AF -:04179B000000000248 -:04179C00000130FC1C -:04179D00000163B034 -:04179E00000163BC27 -:04179F00000163C41E -:0417A000702075251B -:0417A1006C65786992 -:0417A20000000073D0 -:0417A30000017429A4 -:0417A4000000000E33 -:0417A50000012FAE62 -:0417A6006420642532 -:0417A7000000676572 -:0417A8002525752559 -:0417A900000000003C -:0417AA0065646956B3 -:0417AB006E69206FD4 -:0417AC006F727020C8 -:0417AD003E20206357 -:0417AE000000000037 -:0417AF00706D6153A5 -:0417B000676E696C8B -:0417B10074706F20C1 -:0417B2003E20202E87 -:0417B3000000000032 -:0417B400636E795394 -:0417B50074706F20BD -:0417B6002020202EA1 -:0417B7003E20202090 -:0417B800000000002D -:0417B9007074754F84 -:0417BA006F207475B3 -:0417BB00202E7470F8 -:0417BC003E2020208B -:0417BD000000000028 -:0417BE0074736F5081 -:0417BF006F72702DA8 -:0417C00020202E6354 -:0417C1003E20202086 -:0417C2000000000023 -:0417C300706D6F4393 -:0417C4006269746181 -:0417C50074696C696E -:0417C6003E20207928 -:0417C700000000001E -:0417C800696475419A -:0417C900706F206FAE -:0417CA006E6F697461 -:0417CB003E20207329 -:0417CC000000000019 -:0417CD007474655378 -:0417CE0073676E6966 -:0417CF0074706F20A3 -:0417D0003E20202077 -:0417D1000000000014 -:0417D200616F4C3CBB -:0417D30072702064AC -:0417D4006C69666F67 -:0417D500003E20654D -:0417D6007661533CA9 -:0417D70072702065A7 -:0417D8006C69666F63 -:0417D900003E206549 -:0417DA007365523CA5 -:0417DB00732074659E -:0417DC006974746553 -:0417DD003E73676E82 +:04175B006164705500 +:04175C00632065742D +:04175D0065636E61F1 +:04175E0064656C6CE6 +:04175F000000000086 +:0417600073616C46FF +:041761006572206825 +:041762006520646139 +:04176300000072729E +:0417640073616C46FB +:041765007265206821 +:041766002065736126 +:041767000072726535 +:0417680073616C46F7 +:04176900727720680B +:04176A002065746919 +:04176B000072726531 +:04176C0073616C46F3 +:04176D006576206815 +:04176E002066697216 +:04176F006C696166DA +:041770000000000075 +:0417710061766E49E6 +:041772002064696C1A +:0417730067616D69D4 +:04177400000000650C +:041775004353534F38 +:04177600000000006F +:04177700696C6156E2 +:0417780069746164CB +:041779006420676E13 +:04177A000061746135 +:04177B00622075254E +:04177C0073657479A4 +:04177D000000000068 +:04177E00252E75257A +:04177F002575322E6C +:04178000007325735A +:0417810061647055DA +:04178200676E6974B1 +:0417830000574620A5 +:0417840061656C70BF +:0417850077206573F1 +:041786002E746961F3 +:0417870000002E2E02 +:0417880069726556C7 +:041789006E697966A6 +:04178A006C66206702 +:04178B00006873611E +:04178C0061656C70B7 +:04178D0072206573EE +:04178E0061747365AA +:04178F000000747270 +:0417900072746552B8 +:04179100676E69799D +:0417920064707520EA +:041793000065746118 +:0417940061647055C7 +:04179500203F657418 +:041796002C593D315C +:041797004E3D322071 +:04179800000000004D +:041799006D726946BE +:04179A00657261779C +:04179B0064707520E1 +:04179C0064657461AB +:04179D000000000048 +:04179E000001324ACA +:04179F000001322AE9 +:0417A000000132040E +:0417A1000001321CF5 +:0417A200000132FC14 +:0417A300000132FC13 +:0417A400000133B05D +:0417A500000133D636 +:0417A600000133E229 +:0417A70000013422E7 +:0417A800000133F613 +:0417A90000013310F8 +:0417AA0000013310F7 +:0417AB0000013354B2 +:0417AC000001338085 +:0417AD000001338084 +:0417AE00642064252A +:0417AF0000000042F4 +:0417B000656E6F44AF +:0417B1000000000034 +:0417B2006C696146B7 +:0417B3000000646569 +:0417B400252E752544 +:0417B5002075322E3B +:0417B6000000737547 +:0417B7006C20752508 +:0417B80073656E697E +:0417B900000000002C +:0417BA006C206F4EE2 +:0417BB00006B6E69E8 +:0417BC00000174CBE9 +:0417BD000000000226 +:0417BE0000013104F1 +:0417BF000001644879 +:0417C000000164546C +:0417C1000001645C63 +:0417C20070207525F9 +:0417C3006C65786970 +:0417C40000000073AE +:0417C500000174CDDE +:0417C6000000000E11 +:0417C70000013148A4 +:0417C800706D653C9F +:0417C900003E7974F1 +:0417CA00203A752527 +:0417CB000000732582 +:0417CC00642064250C +:0417CD00000067654C +:0417CE002525752533 +:0417CF000000000016 +:0417D000656469568D +:0417D1006E69206FAE +:0417D2006F727020A2 +:0417D3003E20206331 +:0417D4000000000011 +:0417D500706D61537F +:0417D600676E696C65 +:0417D70074706F209B +:0417D8003E20202E61 +:0417D900000000000C +:0417DA00636E79536E +:0417DB0074706F2097 +:0417DC002020202E7B +:0417DD003E2020206A :0417DE000000000007 -:0417DF006B6E694C78 -:0417E0006F72702094 -:0417E100693E2D66CA -:0417E2007475706E3C +:0417DF007074754F5E +:0417E0006F2074758D +:0417E100202E7470D2 +:0417E2003E20202065 :0417E3000000000002 -:0417E4006B6E694C73 -:0417E500706E692099 -:0417E6003E2D7475AB -:0417E700666F727047 +:0417E40074736F505B +:0417E5006F72702D82 +:0417E60020202E632E +:0417E7003E20202060 :0417E80000000000FD -:0417E90074696E4968 -:0417EA00206C6169A5 -:0417EB0075706E693E -:0417EC000000007485 -:0417ED006F7475415F -:0417EE006574656455 -:0417EF006920746396 -:0417F0007475706E2E -:0417F10000000000F4 -:0417F2006F7475415A -:0417F300315641200A -:0417F400472F592002 -:0417F500000000737D -:0417F6006F74754156 -:0417F7003256412005 -:0417F800472F5920FE -:0417F9000000007379 -:0417FA006F74754152 -:0417FB003356412000 -:0417FC00472F5920FA -:0417FD000000007375 -:0417FE002044434CF4 -:0417FF0074204C42C4 -:041800006F656D693A -:0418010000007475FA -:04180200706D493C80 -:041803002074726F6C -:041804007474657320 -:041805003E20202E33 -:0418060000000000DE -:041807002E77463CB6 -:041808006470752073 -:041809002065746181 -:04180A003E2020203C -:04180B0000000000D9 -:04180C006E776F4440 -:04180D006D61732D69 -:04180E006E696C7023 -:04180F00000000676E -:041810007061775339 -:0418110066656C207C -:0418120069722F7454 -:04181300007468678E -:041814002D6572507C -:0418150020434441E7 -:041816006E6961672F +:0417E900706D6F436D +:0417EA00626974615B +:0417EB0074696C6948 +:0417EC003E20207902 +:0417ED0000000000F8 +:0417EE006964754174 +:0417EF00706F206F88 +:0417F0006E6F69743B +:0417F1003E20207303 +:0417F20000000000F3 +:0417F3007474655352 +:0417F40073676E6940 +:0417F50074706F207D +:0417F6003E20202051 +:0417F70000000000EE +:0417F800616F4C3C95 +:0417F9007270206486 +:0417FA006C69666F41 +:0417FB00003E206527 +:0417FC007661533C83 +:0417FD007270206581 +:0417FE006C69666F3D +:0417FF00003E206523 +:041800007365523C7E +:041801007320746577 +:04180200697474652C +:041803003E73676E5B +:0418040000000000E0 +:041805006B6E694C51 +:041806006F7270206D +:04180700693E2D66A3 +:041808007475706E15 +:0418090000000000DB +:04180A006B6E694C4C +:04180B00706E692072 +:04180C003E2D747584 +:04180D00666F727020 +:04180E0000000000D6 +:04180F0074696E4941 +:04181000206C61697E +:0418110075706E6917 +:04181200000000745E +:041813006F74754138 +:04181400657465642E +:04181500692074636F +:041816007475706E07 :0418170000000000CD -:041818006C6C754639 -:0418190020585420DF -:04181A007574657309 -:04181B000000007059 -:04181C0020335641DE -:04181D0065746E6917 -:04181E0063616C7224 -:04181F007869666519 -:0418200000000000C4 -:041821006E6163533E -:04182200656E696C1A +:041818006F74754133 +:0418190031564120E3 +:04181A00472F5920DB +:04181B000000007356 +:04181C006F7475412F +:04181D0032564120DE +:04181E00472F5920D7 +:04181F000000007352 +:041820006F7475412B +:0418210033564120D9 +:04182200472F5920D3 :04182300000000734E -:041824006E6163533B -:04182500656E696C17 -:041826007274732045 -:041827000000002E8F -:04182800202E6C53AF -:041829007262796806 -:04182A00732064695A -:04182B00002E7274A5 -:04182C006E61635333 -:04182D00656E696C0F -:04182E0074656D2050 -:04182F0000646F687A -:041830006E6163532F -:04183100656E696C0B -:041832007079742035 -:04183300000000654C -:041834006E6163532B -:04183500656E696C07 -:04183600696C612058 -:041837002E6D6E673D -:0418380000000000AC -:0418390069726F4819 -:04183A00746E6F7ADF -:04183B006D206C614F -:04183C00006B736169 -:04183D007472655606 -:04183E006C6163690D -:04183F0073616D2044 -:041840000000006B39 -:041841006B73614D17 -:041842006972622045 -:041843006E746867F0 -:041844000073736555 -:04184500657665520D -:041846002065737234 -:041847000046504CBB -:041848005949443C7A -:0418490074616C203A -:04184A006574202E73 -:04184B00003E747374 -:04184C007030343292 -:04184D003838322FC6 -:04184E007270207024 -:04184F000000636FC3 -:041850007034383385 -:041851006F72702022 -:04185200000000632F -:04185300693038348C -:041854003637352FBF -:041855007270206924 -:041856000000636FBC -:041857007030383481 -:041858003637352FBB -:041859007270207019 -:04185A000000636FB8 -:04185B006930363981 -:04185C003830312FC0 -:04185D00702069305E -:04185E0000636F7242 -:04185F00656E694CFD -:041860006D2078324D -:041861000065646F4B -:04186200656E694CFA -:041863006D20783349 -:041864000065646F48 -:04186500656E694CF7 -:041866006D20783445 -:041867000065646F45 -:04186800656E694CF4 -:041869006D20783541 -:04186A000065646F42 -:04186B00656E694CF1 -:04186C006620783545 -:04186D00616D726FC8 -:04186E000000007402 -:04186F007836353260 -:0418700020303432BE -:0418710065707361CA -:04187200000074639B -:041873006D20585438 -:041874000065646F38 -:04187500494D44484D -:04187600435449206E -:04187700000000006D -:041878006C616E41F0 -:041879007320676F02 -:04187A0020636E7900 -:04187B000046504C87 -:04187C006C616E41EC -:04187D007320676FFE -:04187E0020636E79FC -:04187F000068745633 -:041880006E797348C2 -:041881006F742063FD -:041882006172656CBE -:041883000065636E2B -:041884006E797356B0 -:041885006874206300 -:0418860068736572AC -:0418870000646C6F1E -:041888004C502D484B -:041889007250204C2D -:04188A006F432D6516 -:04188B000074736111 -:04188C004C502D4847 -:04188D006F50204C2C -:04188E00432D7473FF -:04188F007473616F9E -:041890000000000054 -:04189100706D6153C2 -:04189200676E696CA8 -:0418930061687020F8 -:041894000000657378 -:041895007030383443 -:04189600206E692037 -:04189700706D61739C -:041898000072656C09 -:041899006F6C6C41C3 -:04189A005654207709 -:04189B005048205041 -:04189C0078324C4C06 +:041824002044434CCD +:0418250074204C429D +:041826006F656D6914 +:0418270000007475D4 +:04182800706D493C5A +:041829002074726F46 +:04182A0074746573FA +:04182B003E20202E0D +:04182C0000000000B8 +:04182D002E77463C90 +:04182E00647075204D +:04182F00206574615B +:041830003E20202016 +:0418310000000000B3 +:041832006E776F441A +:041833006D61732D43 +:041834006E696C70FD +:041835000000006748 +:041836007061775313 +:0418370066656C2056 +:0418380069722F742E +:041839000074686768 +:04183A002D65725056 +:04183B0020434441C1 +:04183C006E69616709 +:04183D0000000000A7 +:04183E006C6C754613 +:04183F0020585420B9 +:0418400075746573E3 +:041841000000007033 +:0418420020335641B8 +:0418430065746E69F1 +:0418440063616C72FE +:0418450078696665F3 +:04184600000000009E +:041847006E61635318 +:04184800656E696CF4 +:041849000000007328 +:04184A006E61635315 +:04184B00656E696CF1 +:04184C00727473201F +:04184D000000002E69 +:04184E00202E6C5389 +:04184F0072627968E0 +:041850007320646934 +:04185100002E72747F +:041852006E6163530D +:04185300656E696CE9 +:0418540074656D202A +:0418550000646F6854 +:041856006E61635309 +:04185700656E696CE5 +:04185800707974200F +:041859000000006526 +:04185A006E61635305 +:04185B00656E696CE1 +:04185C00696C612032 +:04185D002E6D6E6717 +:04185E000000000086 +:04185F0069726F48F3 +:04186000746E6F7AB9 +:041861006D206C6129 +:04186200006B736143 +:0418630074726556E0 +:041864006C616369E7 +:0418650073616D201E +:041866000000006B13 +:041867006B73614DF1 +:04186800697262201F +:041869006E746867CA +:04186A00007373652F +:04186B0065766552E7 +:04186C00206573720E +:04186D000046504C95 +:04186E005949443C54 +:04186F0074616C2014 +:041870006574202E4D +:04187100003E74734E +:04187200703034326C +:041873003838322FA0 +:0418740072702070FE +:041875000000636F9D +:04187600703438335F +:041877006F727020FC +:041878000000006309 +:041879006930383466 +:04187A003637352F99 +:04187B0072702069FE +:04187C000000636F96 +:04187D00703038345B +:04187E003637352F95 +:04187F0072702070F3 +:041880000000636F92 +:04188100693036395B +:041882003830312F9A +:041883007020693038 +:0418840000636F721C +:04188500656E694CD7 +:041886006D20783227 +:041887000065646F25 +:04188800656E694CD4 +:041889006D20783323 +:04188A000065646F22 +:04188B00656E694CD1 +:04188C006D2078341F +:04188D000065646F1F +:04188E00656E694CCE +:04188F006D2078351B +:041890000065646F1C +:04189100656E694CCB +:04189200662078351F +:04189300616D726FA2 +:0418940000000074DC +:04189500783635323A +:041896002030343298 +:0418970065707361A4 +:041898000000746375 +:041899006D20585412 +:04189A000065646F12 +:04189B00494D444827 +:04189C004354492048 :04189D000000000047 -:04189E006F6C6C41BE -:04189F0070752077C9 -:0418A000706D617393 -:0418A1007832656CC8 -:0418A2000000000042 -:0418A3007664413CEA -:0418A4006974202E15 -:0418A500676E696D94 -:0418A6003E202020A0 -:0418A700000000003D -:0418A80065646956B4 -:0418A900504C206F10 -:0418AA0000000046F4 -:0418AB0050625059DE -:0418AC006E692072CF -:0418AD006C6F4320F9 -:0418AE000061705312 -:0418AF0072502F52F2 -:0418B00066666F20D9 -:0418B10000746573E7 -:0418B20020592F4743 -:0418B3007366666F83 -:0418B4000000746557 -:0418B50062502F420C -:0418B60066666F20D3 -:0418B70000746573E1 -:0418B80072502F52E9 -:0418B90069616720DA -:0418BA000000006EBC -:0418BB0020592F473A -:0418BC006E69616789 -:0418BD000000000027 -:0418BE0062502F4203 -:0418BF0069616720D4 -:0418C0000000006EB6 -:0418C1002D657250CF -:0418C200204344413A -:0418C3006E696147A2 -:0418C4000000000020 -:0418C50073202E4816 -:0418C6006C706D6174 -:0418C7007461726571 -:0418C80000000065B7 -:0418C90073202E4812 -:0418CA006C636E7964 -:0418CB0000006E6546 -:0418CC0062202E4820 -:0418CD00706B636178 -:0418CE006863726F6A -:0418CF000000000015 -:0418D00061202E481D -:0418D100766974635D -:0418D20000000065AD -:0418D30073202E56FA -:0418D4006C636E795A -:0418D50000006E653C -:0418D60062202E5608 -:0418D700706B63616E -:0418D8006863726F60 -:0418D900000000000B -:0418DA0061202E5605 -:0418DB007669746353 -:0418DC0000000065A3 -:0418DD000066664FEC -:0418DE00727275436A -:0418DF0020746E659E -:0418E00075706E6948 -:0418E100000000748F -:0418E200206C6C41C9 -:0418E30075706E6945 -:0418E4000000737419 -:0418E50042734752B1 -:0418E60000000000FE -:0418E70050625059A2 -:0418E800000000728A -:0418E9000000733355 -:0418EA000073303126 -:0418EB000073303323 -:0418EC002D706F5498 -:0418ED007466656C4C -:0418EE0000000000F6 -:0418EF00746E65436B -:0418F000000072651D -:0418F10074746F425A -:0418F200722D6D6F77 -:0418F3007468676945 -:0418F40000000000F0 -:0418F5002066664FB4 -:0418F60020736628CD -:0418F7003639203D21 -:0418F800297A486B96 -:0418F90000000000EB -:0418FA002020783200 -:0418FB0020736628C8 -:0418FC003834203D1F -:0418FD00297A486B91 -:0418FE0000000000E6 -:0418FF0000706F54B2 -:0419000074746F424A -:0419010000006D6F06 -:0419020069726F484F -:04190300746E6F7A15 -:0419040000006C6112 -:04190500747265563D -:041906006C61636944 -:0419070000000000DC -:0419080065746C4155 -:0419090074616E7225 -:04190A0000676E699B -:04190B00746C754D36 -:04190C00696C706929 -:04190D006974616335 -:04190E0000006E6FF8 -:04190F007462755336 -:041910007463617229 -:04191100006E6F698C -:041912006F74754138 -:0419130000000000D0 -:04191400756E614D3E -:0419150000006C6101 -:04191600494D4448AB -:0419170000000000CC -:0419180000495644E8 -:0419190000373A3821 -:04191A007373615032 -:04191B007572687405 -:04191C0000000000C7 -:04191D00656E694C3E -:04191E0028207832D3 -:04191F0029626F6268 -:0419200000000000C3 -:04192100656E694C3A -:041922000000783217 -:04192300656E694C38 -:0419240032207832C3 -:0419250033783034AF -:041926000000303657 -:04192700656E694C34 -:0419280032207833BE -:0419290033783034AB -:04192A000000303653 -:04192B00656E694C30 -:04192C0028207833C4 -:04192D006563616C21 -:04192E000000296428 -:04192F00656E694C2C -:0419300028207834BF -:0419310029626F6256 -:0419320000000000B1 -:04193300656E694C28 -:041934000000783304 -:04193500656E694C26 -:041936000000783401 -:04193700656E694C24 -:0419380000007835FE -:0419390030323931DE -:04193A003830317898 -:04193B000000003078 -:04193C0030303631E0 -:04193D00303231789B -:04193E000000003075 -:04193F0030323931D8 -:041940003032317898 -:041941000000003072 -:04194200656E654722 -:041943002063697242 -:0419440000333A34FE -:04194500783231358E -:0419460020303432E7 -:041947006974706FE0 -:0419480000002E6D00 -:04194900783032338D -:04194A0020303432E3 -:04194B006974706FDC -:04194C0000002E6DFC -:04194D007836353281 -:04194E0020303432DF -:04194F006974706FD8 -:0419500000002E6DF8 -:04195100656E654713 -:041952002063697233 -:04195300393A3631B6 -:04195400000000008F -:041955004D352E32AC -:0419560028207A4883 -:041957002978616D1D +:04189E006C616E41CA +:04189F007320676FDC +:0418A00020636E79DA +:0418A1000046504C61 +:0418A2006C616E41C6 +:0418A3007320676FD8 +:0418A40020636E79D6 +:0418A500006874560D +:0418A6006E7973489C +:0418A7006F742063D7 +:0418A8006172656C98 +:0418A9000065636E05 +:0418AA006E7973568A +:0418AB0068742063DA +:0418AC006873657286 +:0418AD0000646C6FF8 +:0418AE004C502D4825 +:0418AF007250204C07 +:0418B0006F432D65F0 +:0418B10000747361EB +:0418B2004C502D4821 +:0418B3006F50204C06 +:0418B400432D7473D9 +:0418B5007473616F78 +:0418B600000000002E +:0418B700706D61539C +:0418B800676E696C82 +:0418B90061687020D2 +:0418BA000000657352 +:0418BB00703038341D +:0418BC00206E692011 +:0418BD00706D617376 +:0418BE000072656CE3 +:0418BF006F6C6C419D +:0418C00056542077E3 +:0418C100504820501B +:0418C20078324C4CE0 +:0418C3000000000021 +:0418C4006F6C6C4198 +:0418C50070752077A3 +:0418C600706D61736D +:0418C7007832656CA2 +:0418C800000000001C +:0418C9007664413CC4 +:0418CA006974202EEF +:0418CB00676E696D6E +:0418CC003E2020207A +:0418CD000000000017 +:0418CE00656469568E +:0418CF00504C206FEA +:0418D00000000046CE +:0418D10050625059B8 +:0418D2006E692072A9 +:0418D3006C6F4320D3 +:0418D40000617053EC +:0418D50072502F52CC +:0418D60066666F20B3 +:0418D70000746573C1 +:0418D80020592F471D +:0418D9007366666F5D +:0418DA000000746531 +:0418DB0062502F42E6 +:0418DC0066666F20AD +:0418DD0000746573BB +:0418DE0072502F52C3 +:0418DF0069616720B4 +:0418E0000000006E96 +:0418E10020592F4714 +:0418E2006E69616763 +:0418E3000000000001 +:0418E40062502F42DD +:0418E50069616720AE +:0418E6000000006E90 +:0418E7002D657250A9 +:0418E8002043444114 +:0418E9006E6961477C +:0418EA0000000000FA +:0418EB0073202E48F0 +:0418EC006C706D614E +:0418ED00746172654B +:0418EE000000006591 +:0418EF0073202E48EC +:0418F0006C636E793E +:0418F10000006E6520 +:0418F20062202E48FA +:0418F300706B636152 +:0418F4006863726F44 +:0418F50000000000EF +:0418F60061202E48F7 +:0418F7007669746337 +:0418F8000000006587 +:0418F90073202E56D4 +:0418FA006C636E7934 +:0418FB0000006E6516 +:0418FC0062202E56E2 +:0418FD00706B636148 +:0418FE006863726F3A +:0418FF0000000000E5 +:0419000061202E56DE +:04190100766974632C +:04190200000000657C +:041903000066664FC5 +:041904007272754343 +:0419050020746E6577 +:0419060075706E6921 +:041907000000007468 +:04190800206C6C41A2 +:0419090075706E691E +:04190A0000007374F2 +:04190B00427347528A +:04190C0000000000D7 +:04190D00506250597B +:04190E000000007263 +:04190F00000073332E +:0419100000733031FF +:0419110000733033FC +:041912002D706F5471 +:041913007466656C25 +:0419140000000000CF +:04191500746E654344 +:0419160000007265F6 +:0419170074746F4233 +:04191800722D6D6F50 +:04191900746867691E +:04191A0000000000C9 +:04191B002066664F8D +:04191C0020736628A6 +:04191D003639203DFA +:04191E00297A486B6F +:04191F0000000000C4 +:0419200020207832D9 +:0419210020736628A1 +:041922003834203DF8 +:04192300297A486B6A +:0419240000000000BF +:0419250000706F548B +:0419260074746F4224 +:0419270000006D6FE0 +:0419280069726F4829 +:04192900746E6F7AEF +:04192A0000006C61EC +:04192B007472655617 +:04192C006C6163691E +:04192D0000000000B6 +:04192E0065746C412F +:04192F0074616E72FF +:0419300000676E6975 +:04193100746C754D10 +:04193200696C706903 +:04193300697461630F +:0419340000006E6FD2 +:041935007462755310 +:041936007463617203 +:04193700006E6F6966 +:041938006F74754112 +:0419390000000000AA +:04193A00756E614D18 +:04193B0000006C61DB +:04193C00494D444885 +:04193D0000000000A6 +:04193E0000495644C2 +:04193F0000373A38FB +:04194000737361500C +:0419410075726874DF +:0419420000000000A1 +:04194300656E694C18 +:0419440028207832AD +:0419450029626F6242 +:04194600000000009D +:04194700656E694C14 +:0419480000007832F1 +:04194900656E694C12 +:04194A00322078329D +:04194B003378303489 +:04194C000000303631 +:04194D00656E694C0E +:04194E003220783398 +:04194F003378303485 +:04195000000030362D +:04195100656E694C0A +:04195200282078339E +:041953006563616CFB +:041954000000296402 +:04195500656E694C06 +:041956002820783499 +:0419570029626F6230 :04195800000000008B -:04195900484D303194 -:04195A006D28207A5A -:04195B000029646596 -:04195C00484D33338C -:04195D006D28207A57 -:04195E0000296E6985 -:04195F002056544476 -:041960007030383477 -:041961000000000082 -:041962004153455652 -:0419630030343620C6 -:04196400303834786B -:0419650000303640D8 -:041966002E63655235 -:0419670031303620C5 -:04196800000000007B -:041969002E63655232 -:04196A0039303720B9 -:04196B000000000078 -:04196C00484D353974 -:04196D004828207A6C -:04196E002056544467 -:04196F0000294949B9 -:04197000484D353376 -:041971004828207A68 -:041972002056544463 -:0419730000002949FE -:04197400484D363173 -:041975004528207A67 -:041976002956544456 -:04197700000000006C -:041978007A484D3923 -:04197900445328208B -:04197A000029565496 -:04197B0000006E4FAB -:04197C006D20642551 -:04197D000000005610 -:04197E0020202020E5 -:04197F0000007525CA -:0419800000017426C8 -:041981000000002042 -:04198200000130E24E -:041983006F706D49CB -:04198400203F74721A -:041985002C593D316B -:041986004E3D322080 +:04195900656E694C02 +:04195A0000007833DE +:04195B00656E694C00 +:04195C0000007834DB +:04195D00656E694CFE +:04195E0000007835D8 +:04195F0030323931B8 +:041960003830317872 +:041961000000003052 +:0419620030303631BA +:041963003032317875 +:04196400000000304F +:0419650030323931B2 +:041966003032317872 +:04196700000000304C +:04196800656E6547FC +:04196900206369721C +:04196A0000333A34D8 +:04196B007832313568 +:04196C0020303432C1 +:04196D006974706FBA +:04196E0000002E6DDA +:04196F007830323367 +:0419700020303432BD +:041971006974706FB6 +:0419720000002E6DD6 +:04197300783635325B +:0419740020303432B9 +:041975006974706FB2 +:0419760000002E6DD2 +:04197700656E6547ED +:04197800206369720D +:04197900393A363190 +:04197A000000000069 +:04197B004D352E3286 +:04197C0028207A485D +:04197D002978616DF7 +:04197E000000000065 +:04197F00484D30316E +:041980006D28207A34 +:041981000029646570 +:04198200484D333366 +:041983006D28207A31 +:0419840000296E695F +:041985002056544450 +:041986007030383451 :04198700000000005C -:0419880064616F4CDB -:0419890020676E69FC -:04198A007474657399 -:04198B0073676E69A7 -:04198C000000000057 -:04198D004452535518 -:04198E00004154417F -:04198F000001659856 -:041990000000200033 -:0419910020002CE521 -:04199200E926F4FD51 -:0419930038BC20003C -:04199400000000004F -:04199500000165A444 -:04199600000020002D -:041997002000323EBC -:04199800F113FA0449 -:041999003B6120008E -:04199A000000000049 -:04199B00000144DA29 -:04199C00000144DA28 -:04199D00000144D031 -:04199E00000145807F -:04199F000001458A74 -:0419A000000144DA24 -:0419A100303036317B -:0419A2003034327833 -:0419A30006400000FA -:0419A40007FE00F04A -:0419A5000FCA01065E -:0419A6000112039691 -:0419A7000004000236 -:0419A8003038323170 -:0419A900303432782C -:0419AA000500000034 -:0419AB00061800F02A -:0419AC000FAA010677 -:0419AD0001120348D8 -:0419AE0000004102F2 -:0419AF00783036391D -:0419B000003034329D -:0419B10003C000006F -:0419B200049200F0AB -:0419B3000F8001069A -:0419B40001120336E3 -:0419B500000002022A -:0419B600783231351D -:0419B7000030343296 -:0419B8000200000029 -:0419B90002AA00F08E -:0419BA000E4D0106C7 -:0419BB0001120332E0 -:0419BC00000884108B -:0419BD007830323319 -:0419BE00003034328F -:0419BF0001400000E3 -:0419C00001AA00F088 -:0419C1000E310106DC -:0419C2000112031FEC -:0419C30000110820E7 -:0419C400783635320A -:0419C5000030343288 -:0419C600010000001C -:0419C700015500F0D6 -:0419C8000E270106DF -:0419C90001120319EB -:0419CA0000221040A7 -:0419CB007030343212 -:0419CC000000000017 -:0419CD0002D0000044 -:0419CE00035A00F0C8 -:0419CF000F390106C5 -:0419D0000112033EBF -:0419D1000000000E04 -:0419D200303036314A -:0419D3003034327802 -:0419D4000640004C7D -:0419D50007FE00F019 -:0419D60029CA0138E1 -:0419D7000112039660 -:0419D8000004000205 -:0419D900303832313F -:0419DA0038383278EF -:0419DB000500000003 -:0419DC0006180120C8 -:0419DD000FAA013814 -:0419DE0001120348A7 -:0419DF0000004102C1 -:0419E00078303639EC -:0419E1000038383260 -:0419E20003C000003E -:0419E3000492012049 -:0419E4000F80013837 -:0419E50001120336B2 -:0419E60000000202F9 -:0419E70078323135EC -:0419E8004C30343219 -:0419E90002000042B6 -:0419EA0002AA00F05D -:0419EB00294D013849 -:0419EC0001120332AF -:0419ED00000884105A -:0419EE0078303233E8 -:0419EF004C30343212 -:0419F0000140004270 -:0419F10001AA00F057 -:0419F200293101385E -:0419F3000112031FBB -:0419F40000110820B6 -:0419F50078363532D9 -:0419F6004C3034320B -:0419F70001000042A9 -:0419F800015500F0A5 -:0419F9002927013861 -:0419FA0001120319BA -:0419FB000022104076 -:0419FC0070383832D5 -:0419FD0000000000E6 -:0419FE0002D0000013 -:0419FF000360012060 -:041A00001345013851 -:041A01000112033F8C -:041A02000000000ED2 -:041A030078303834CB -:041A04000030363345 -:041A050001E00000FC -:041A06000258016819 -:041A07000A3F01771A -:041A080002040326AB -:041A09000000000ECB -:041A0A0078303432CA -:041A0B00003036333E -:041A0C0001000000D5 -:041A0D00012C01683F -:041A0E000A1801773A -:041A0F0002040312B8 -:041A10000000208032 -:041A110070343833C2 -:041A120000000000D0 -:041A130001F00000DE -:041A140002800180CB -:041A15001D3201A7D6 -:041A16000204033E85 -:041A17000000000EBD -:041A180078303436B8 -:041A19000030303435 -:041A1A000280000046 -:041A1B000320019013 -:041A1C00243001C1B0 -:041A1D000210026051 -:041A1E000000000CB8 -:041A1F0078303436B1 -:041A20000034383323 -:041A2100028000003F -:041A2200032001801C -:041A23003F3001EC63 -:041A2400021002604A -:041A25000000000EAF -:041A260069303834B7 -:041A270000000000BB -:041A280002D00000E8 -:041A2900035A00F06C -:041A2A000F39020D61 -:041A2B000312033E61 -:041A2C000000410F66 -:041A2D0070303834A9 -:041A2E0000000000B4 -:041A2F0002D00000E1 -:041A3000035A01E074 -:041A31001E3C020D48 -:041A32000414063E54 -:041A33000000000CA3 -:041A3400783034369C -:041A35000030383411 -:041A3600028000002A -:041A3700032001E0A7 -:041A38002130020D4A -:041A3900041402602F -:041A3A000000000C9C -:041A3B007830343695 -:041A3C00003231350E -:041A3D000280000023 -:041A3E00032002007F -:041A3F001C3002381D -:041A40000414026028 -:041A41000000000C95 -:041A42006936373595 -:041A4300000000009F -:041A440002D00000CC -:041A45000360012019 -:041A460013450271D1 -:041A47000312033F44 -:041A48000000410F4A -:041A49007036373587 -:041A4A000000000098 -:041A4B0002D00000C5 -:041A4C0003600240F1 -:041A4D0027440271B7 -:041A4E000404054047 -:041A4F000000000C87 -:041A50007830303882 -:041A510000303036FB -:041A5200032000006D -:041A53000420025811 -:041A540017580274A9 -:041A550000100480F9 -:041A56000000000488 -:041A57007030323782 -:041A5800000000008A -:041A59000500000084 -:041A5A00067202D03E -:041A5B0014DC02EEA7 -:041A5C000018052841 -:041A5D000000000481 -:041A5E0034323031BD -:041A5F003836377866 -:041A6000040000007E -:041A61000540030039 -:041A62001DA003269A -:041A630000100688E1 -:041A6400000000047A -:041A650030383231B2 -:041A66003230317871 -:041A67000500003442 -:041A680006980400D8 -:041A690026F8042A2D -:041A6A0000100370F5 -:041A6B000000000473 -:041A6C007830343664 -:041A6D00693036396D -:041A6E0002800000F2 -:041A6F00032001E06F -:041A70002130041A03 -:041A710005140260F6 -:041A72000000000D63 -:041A730030383031A6 -:041A74000000006905 -:041A750007800000E6 -:041A76000898021CAE -:041A7700109404655E -:041A78000518052C1C -:041A79000000000D5C -:041A7A00303830319F -:041A7B0000000070F7 -:041A7C0007800000DF -:041A7D000898043889 -:041A7E002494046543 -:041A7F000018052C1A -:041A8000000000045E -:041A8100303036319A -:041A82003032317855 -:041A830006400030E9 -:041A8400087004B032 -:041A85002EFF04E24A -:041A8600001003F158 -:041A87000000000457 -:041A88007665642FEC -:041A89006370652FF2 -:041A8A006F635F71B6 -:041A8B006F72746E94 -:041A8C0072656C6CA7 -:041A8D00615F305F06 -:041A8E006D5F6C76A6 -:041A8F0000006D6581 -:041A90002B302D23A7 -:041A91000000002031 -:041A9200004C6C6830 -:041A930045676665D8 -:041A940000004746C1 -:041A95003332313087 -:041A96003736353476 -:041A97004241393857 -:041A98004645444338 -:041A99000000000049 -:041A9A003332313082 -:041A9B003736353471 -:041A9C006261393812 -:041A9D0066656463B3 -:041A9E000000000044 -:041A9F001A808080A9 -:041AA00000081A1A06 -:041AA1000000000041 -:041AA2000000000040 -:041AA30000016A20B4 -:041AA400000000003E -:041AA500000000003D -:041AA6000001035CDC -:041AA7000001041C1A -:041AA800000101CE6A -:041AA900000101EA4D -:041AAA0000010236FF -:041AAB0000800000B7 -:041AAC0000800000B6 -:041AAD000000000035 -:041AAE000000000034 -:041AAF000000000033 -:041AB0000000000032 -:041AB1000000000031 -:041AB2000000000030 -:041AB300000000002F -:041AB400000000002E -:041AB500000000002D -:041AB600000000002C -:041AB700000000002B -:041AB800000000002A -:041AB9000000000029 -:041ABA000000000028 -:041ABB000000000027 -:041ABC000000000026 -:041ABD000000000025 -:041ABE000000000024 -:041ABF000000000023 -:041AC0000000000022 -:041AC1000000000021 +:04198800415345562C +:0419890030343620A0 +:04198A003038347845 +:04198B0000303640B2 +:04198C002E6365520F +:04198D00313036209F +:04198E000000000055 +:04198F002E6365520C +:041990003930372093 +:041991000000000052 +:04199200484D35394E +:041993004828207A46 +:041994002056544441 +:041995000029494993 +:04199600484D353350 +:041997004828207A42 +:04199800205654443D +:0419990000002949D8 +:04199A00484D36314D +:04199B004528207A41 +:04199C002956544430 +:04199D000000000046 +:04199E007A484D39FD +:04199F004453282065 +:0419A0000029565470 +:0419A10000006E4F85 +:0419A2006D2064252B +:0419A30000000056EA +:0419A40020202020BF +:0419A50000007525A4 +:0419A600000174CAFE +:0419A700000000201C +:0419A800000130EA20 +:0419A900652064252C +:0419AA006972746E7C +:0419AB000000736560 +:0419AC006F706D6982 +:0419AD006465747287 +:0419AE000000000035 +:0419AF006F706D499F +:0419B000203F7472EE +:0419B1002C593D313F +:0419B2004E3D322054 +:0419B3000000000030 +:0419B40044525355F1 +:0419B5000041544158 +:0419B6006573753CA4 +:0419B70000003E648A +:0419B8000001663094 +:0419B900000020000A +:0419BA0020002CE5F8 +:0419BB00E926F4FD28 +:0419BC0038BC200013 +:0419BD000000000026 +:0419BE000001663C82 +:0419BF000000200004 +:0419C0002000323E93 +:0419C100F113FA0420 +:0419C2003B61200065 +:0419C3000000000020 +:0419C4000001456475 +:0419C5000001456474 +:0419C6000001455A7D +:0419C7000001460ACB +:0419C80000014614C0 +:0419C9000001456470 +:0419CA003030363152 +:0419CB00303432780A +:0419CC0006400000D1 +:0419CD0007FE00F021 +:0419CE000FCA010635 +:0419CF000112039668 +:0419D000000400020D +:0419D1003038323147 +:0419D2003034327803 +:0419D300050000000B +:0419D400061800F001 +:0419D5000FAA01064E +:0419D60001120348AF +:0419D70000004102C9 +:0419D80078303639F4 +:0419D9000030343274 +:0419DA0003C0000046 +:0419DB00049200F082 +:0419DC000F80010671 +:0419DD0001120336BA +:0419DE000000020201 +:0419DF0078323135F4 +:0419E000003034326D +:0419E1000200000000 +:0419E20002AA00F065 +:0419E3000E4D01069E +:0419E40001120332B7 +:0419E5000008841062 +:0419E60078303233F0 +:0419E7000030343266 +:0419E80001400000BA +:0419E90001AA00F05F +:0419EA000E310106B3 +:0419EB000112031FC3 +:0419EC0000110820BE +:0419ED0078363532E1 +:0419EE00003034325F +:0419EF0001000000F3 +:0419F000015500F0AD +:0419F1000E270106B6 +:0419F20001120319C2 +:0419F300002210407E +:0419F40070303432E9 +:0419F50000000000EE +:0419F60002D000001B +:0419F700035A00F09F +:0419F8000F3901069C +:0419F9000112033E96 +:0419FA000000000EDB +:0419FB003030363121 +:0419FC0030343278D9 +:0419FD000640004C54 +:0419FE0007FE00F0F0 +:0419FF0029CA0138B8 +:041A00000112039636 +:041A010000040002DB +:041A02003038323115 +:041A030038383278C5 +:041A040005000000D9 +:041A0500061801209E +:041A06000FAA0138EA +:041A0700011203487D +:041A08000000410297 +:041A090078303639C2 +:041A0A000038383236 +:041A0B0003C0000014 +:041A0C00049201201F +:041A0D000F8001380D +:041A0E000112033688 +:041A0F0000000202CF +:041A100078323135C2 +:041A11004C303432EF +:041A1200020000428C +:041A130002AA00F033 +:041A1400294D01381F +:041A15000112033285 +:041A16000008841030 +:041A170078303233BE +:041A18004C303432E8 +:041A19000140004246 +:041A1A0001AA00F02D +:041A1B002931013834 +:041A1C000112031F91 +:041A1D00001108208C +:041A1E0078363532AF +:041A1F004C303432E1 +:041A2000010000427F +:041A2100015500F07B +:041A22002927013837 +:041A23000112031990 +:041A2400002210404C +:041A250070383832AB +:041A260000000000BC +:041A270002D00000E9 +:041A28000360012036 +:041A29001345013828 +:041A2A000112033F63 +:041A2B000000000EA9 +:041A2C0078303834A2 +:041A2D00003036331C +:041A2E0001E00000D3 +:041A2F0002580168F0 +:041A30000A3F0177F1 +:041A31000204032682 +:041A32000000000EA2 +:041A330078303432A1 +:041A34000030363315 +:041A350001000000AC +:041A3600012C016816 +:041A37000A18017711 +:041A3800020403128F +:041A39000000208009 +:041A3A007034383399 +:041A3B0000000000A7 +:041A3C0001F00000B5 +:041A3D0002800180A2 +:041A3E001D3201A7AD +:041A3F000204033E5C +:041A40000000000E94 +:041A4100783034368F +:041A4200003030340C +:041A4300028000001D +:041A440003200190EA +:041A4500243001C187 +:041A46000210026028 +:041A47000000000C8F +:041A48007830343688 +:041A490000343833FA +:041A4A000280000016 +:041A4B0003200180F3 +:041A4C003F3001EC3A +:041A4D000210026021 +:041A4E000000000E86 +:041A4F00693038348E +:041A50000000000092 +:041A510002D00000BF +:041A5200035A00F043 +:041A53000F39020D38 +:041A54000312033E38 +:041A55000000410F3D +:041A56007030383480 +:041A5700000000008B +:041A580002D00000B8 +:041A5900035A01E04B +:041A5A001E3C020D1F +:041A5B000414063E2B +:041A5C000000000C7A +:041A5D007830343673 +:041A5E0000303834E8 +:041A5F000280000001 +:041A6000032001E07E +:041A61002130020D21 +:041A62000414026006 +:041A63000000000C73 +:041A6400783034366C +:041A650000323135E5 +:041A660002800000FA +:041A67000320020056 +:041A68001C300238F4 +:041A690004140260FF +:041A6A000000000C6C +:041A6B00693637356C +:041A6C000000000076 +:041A6D0002D00000A3 +:041A6E0003600120F0 +:041A6F0013450271A8 +:041A70000312033F1B +:041A71000000410F21 +:041A7200703637355E +:041A7300000000006F +:041A740002D000009C +:041A750003600240C8 +:041A7600274402718E +:041A7700040405401E +:041A78000000000C5E +:041A79007830303859 +:041A7A0000303036D2 +:041A7B000320000044 +:041A7C0004200258E8 +:041A7D001758027480 +:041A7E0000100480D0 +:041A7F00000000045F +:041A80007030323759 +:041A81000000000061 +:041A8200050000005B +:041A8300067202D015 +:041A840014DC02EE7E +:041A85000018052818 +:041A86000000000458 +:041A87003432303194 +:041A8800383637783D +:041A89000400000055 +:041A8A000540030010 +:041A8B001DA0032671 +:041A8C0000100688B8 +:041A8D000000000451 +:041A8E003038323189 +:041A8F003230317848 +:041A90000500003419 +:041A910006980400AF +:041A920026F8042A04 +:041A930000100370CC +:041A9400000000044A +:041A9500783034363B +:041A96006930363944 +:041A970002800000C9 +:041A9800032001E046 +:041A99002130041ADA +:041A9A0005140260CD +:041A9B000000000D3A +:041A9C00303830317D +:041A9D0000000069DC +:041A9E0007800000BD +:041A9F000898021C85 +:041AA0001094046535 +:041AA1000518052CF3 +:041AA2000000000D33 +:041AA3003038303176 +:041AA40000000070CE +:041AA50007800000B6 +:041AA6000898043860 +:041AA700249404651A +:041AA8000018052CF1 +:041AA9000000000435 +:041AAA003030363171 +:041AAB00303231782C +:041AAC0006400030C0 +:041AAD00087004B009 +:041AAE002EFF04E221 +:041AAF00001003F12F +:041AB000000000042E +:041AB1007665642FC3 +:041AB2006370652FC9 +:041AB3006F635F718D +:041AB4006F72746E6B +:041AB50072656C6C7E +:041AB600615F305FDD +:041AB7006D5F6C767D +:041AB80000006D6558 +:041AB9002B302D237E +:041ABA000000002008 +:041ABB00004C6C6807 +:041ABC0045676665AF +:041ABD000000474698 +:041ABE00333231305E +:041ABF00373635344D +:041AC000424139382E +:041AC100464544430F :041AC2000000000020 -:041AC300000000001F -:041AC400000000001E -:041AC500000000001D -:041AC600000000001C +:041AC3003332313059 +:041AC4003736353448 +:041AC50062613938E9 +:041AC600666564638A :041AC700000000001B -:041AC800000000001A -:041AC9000000000019 +:041AC8001A80808080 +:041AC90000081A1ADD :041ACA000000000018 :041ACB000000000017 -:041ACC000000000016 +:041ACC0000016AC4E7 :041ACD000000000015 -:041ACE00000101749E -:041ACF000080000093 -:041AD0000100000011 -:041AD100000201000E -:041AD2000080000090 -:041AD300000000010E -:041AD400000000808E -:041AD500000100000C -:041AD600000001000B +:041ACE000000000014 +:041ACF000001035CB3 +:041AD0000001041CF1 +:041AD100000101CE41 +:041AD200000101EA24 +:041AD30000010236D6 +:041AD400008000008E +:041AD500008000008D +:041AD600000000000C :041AD700000000000B -:041AD800020E0409ED -:041AD9003D0E00C8F6 -:041ADA003F6E0383D5 -:041ADB003ED03DAC10 -:041ADC000000038380 -:041ADD00026404B2E9 -:041ADE003C9300E94C -:041ADF003F56041654 -:041AE0003E9F3D499F -:041AE10000000416E7 -:041AE200017804E59E -:041AE3003CCE008174 -:041AE4003FAE03838B -:041AE5003F333D4905 -:041AE6000000038376 -:041AE70001B405B889 -:041AE8003C490093E2 -:041AE9003F9F041601 -:041AEA003F103CD994 -:041AEB0000000416DD -:041AEC00000163741E -:041AED000001637819 -:041AEE000001638808 -:041AEF000001597029 -:041AF0000001598018 -:041AF1000001598C0B -:041AF20000015998FE -:041AF300000159A4F1 -:041AF400000159B0E4 -:041AF500000159BCD7 -:041AF600000159C8CA -:041AF700000159D4BD -:041AF800000159E0B0 -:041AF900000159ECA3 -:041AFA00000165087A -:041AFB00000165146D -:041AFC00000165245C -:041AFD00000165344B -:041AFE00000165443A -:041AFF000001650875 -:041B00000001651467 -:041B01000001652456 -:041B02000001653445 -:041B0300000164E495 -:041B0400000164F088 -:041B0500000164FC7B -:041B06000001637403 -:041B0700000163A4D2 -:041B0800000163A8CD -:041B0900000163ACC8 -:041B0A00000163145F -:041B0B0000000002D4 -:041B0C0000017468F8 -:041B0D000AF0012CAD -:041B0E0000011E169E -:041B0F00000163244A -:041B100000000002CF -:041B1100000174E873 -:041B120000FF000AC6 -:041B130000011E1699 -:041B14000001633039 -:041B150000000002CA -:041B16000001742432 -:041B170000FF0001CA -:041B180000011E1694 -:041B19000001634024 -:041B1A0000000002C5 -:041B1B000001745AF7 -:041B1C00078000C876 -:041B1D0000011E168F -:041B1E000001634C13 -:041B1F0000000002C0 -:041B200000017452FA -:041B210000070001B8 -:041B220000011E168A -:041B23000001635802 -:041B240000000002BB -:041B2500000174E265 -:041B2600003F00017B -:041B270000011E1685 -:041B280000016368ED -:041B290000000002B6 -:041B2A0000017456EC -:041B2B0004B000C83A -:041B2C0000011E1680 -:041B2D000001603023 -:041B2E0000000000B3 -:041B2F00000175122A -:041B300000010001AF -:041B310000017340FC -:041B3200000160400E -:041B330000000000AE -:041B34000001751324 -:041B350000010001AA -:041B360000017348EF -:041B370000016050F9 -:041B380000000001A8 -:041B3900000175141E -:041B3A00001800008F -:041B3B00000130C6AF -:041B3C0000016060E4 -:041B3D0000000000A4 -:041B3E000001750F1E -:041B3F0000010001A0 -:041B400000017348E5 -:041B410000016070CF -:041B4200000000009F -:041B43000001751018 -:041B4400000100019B -:041B450000017348E0 -:041B460000015EA894 -:041B47000000000397 -:041B4800000173D451 -:041B49000000000098 -:041B4A000000000097 -:041B4B0000015EBC7B -:041B4C000000000392 -:041B4D00000173BC64 -:041B4E000000000093 -:041B4F000000000092 -:041B500000015ED062 -:041B5100000000038D -:041B5200000173CC4F -:041B5300000000008E -:041B5400000000008D -:041B550000015EE449 -:041B56000000000388 -:041B5700000173AC6A -:041B58000000000089 -:041B59000000000088 -:041B5A0000015EF830 -:041B5B000000000383 -:041B5C00000173B45D -:041B5D000000000084 -:041B5E000000000083 -:041B5F0000015F0C16 -:041B6000000000037E -:041B61000001739C70 -:041B6200000000007F -:041B6300000000007E -:041B640000015F20FD -:041B65000000000379 -:041B66000001739473 -:041B6700000000007A -:041B68000000000079 -:041B690000015F34E4 -:041B6A000000000374 -:041B6B00000173C43E -:041B6C000000000075 -:041B6D000000000074 -:041B6E0000016130E1 -:041B6F000000000072 -:041B7000000174F804 -:041B7100000400016B -:041B720000017244B8 -:041B730000016140CC -:041B7400000000006D -:041B7500000174F9FE -:041B76000003000167 -:041B7700000172589F -:041B78000001614CBB -:041B79000000000068 -:041B7A00000174FAF8 -:041B7B000003000162 -:041B7C00000172688A -:041B7D000001615CA6 -:041B7E000000000063 -:041B7F00000174FBF2 -:041B8000000100015F -:041B81000001735894 -:041B82000001616C91 -:041B8300000000005E -:041B8400000174FCEC -:041B8500000100015A -:041B86000001735097 -:041B87000001617C7C -:041B88000000000059 -:041B8900000174F3F0 -:041B8A000003000153 -:041B8B0000016BE802 -:041B8C00000161886B -:041B8D000000000054 -:041B8E00000174F4EA -:041B8F00000400014D -:041B900000016BF8ED -:041B9100000161945A -:041B9200000000004F -:041B9300000174F5E4 -:041B94000003000149 -:041B950000016BE8F8 -:041B9600000161A049 -:041B9700000000004A -:041B9800000174F6DE -:041B99000003000144 -:041B9A0000016BE8F3 -:041B9B00000161AC38 -:041B9C000000000045 -:041B9D00000174F7D8 -:041B9E000002000140 -:041B9F0000016C0CC9 -:041BA000000161BC23 -:041BA1000000000040 -:041BA200000174FDCD -:041BA300000100013C -:041BA4000001733891 -:041BA500000161CC0E -:041BA600000000003B -:041BA70000017501C3 -:041BA8000001000137 -:041BA900000173784C -:041BAA00000161D401 -:041BAB000000000036 -:041BAC0000017502BD -:041BAD000001000132 -:041BAE000001734877 -:041BAF00000160844D -:041BB0000000000031 -:041BB100000174ECCF -:041BB200000200012C -:041BB3000001728437 -:041BB400000160903C -:041BB500000000012B -:041BB600000174F0C6 -:041BB700000F00001B -:041BB800000130A058 -:041BB900000160A027 -:041BBA000000000126 -:041BBB00000174EEC3 -:041BBC00001C000009 -:041BBD000001307C77 -:041BBE00000160B012 -:041BBF000000000022 -:041BC000000174EFBD -:041BC100000100011E -:041BC200000173703B -:041BC300000160C0FD -:041BC400000000001D -:041BC500000174EDBA -:041BC6000002000118 -:041BC7000001729017 -:041BC800000160D0E8 -:041BC9000000000018 -:041BCA00000174F1B1 -:041BCB000001000114 -:041BCC000001736839 -:041BCD00000160E4CF -:041BCE000000000112 -:041BCF00000174FE9F -:041BD00000FF000012 -:041BD1000001306679 -:041BD200000160F4BA -:041BD300000000010D -:041BD400000174FF99 -:041BD500003F0000CD -:041BD6000001306674 -:041BD70000016104A4 -:041BD8000000000108 -:041BD9000001750092 -:041BDA00000F0000F8 -:041BDB0000012FAE28 -:041BDC00000161148F -:041BDD000000000103 -:041BDE00000175117C -:041BDF00001F0000E3 -:041BE00000012FAE23 -:041BE100000161207E -:041BE20000000004FB -:041BE30000011FF8E6 -:041BE40000015E6836 -:041BE50000000000FC -:041BE6000001624454 -:041BE70000000001F9 -:041BE800000175047F -:041BE900001F0001D8 -:041BEA0000012FC403 -:041BEB00000162543F -:041BEC0000000000F5 -:041BED00000175037B -:041BEE0000020001F0 -:041BEF000001727807 -:041BF000000162642A -:041BF10000000000F0 -:041BF2000001750574 -:041BF30000010001EC -:041BF4000001734831 -:041BF5000001627811 -:041BF60000000000EB -:041BF700000175066E -:041BF80000010001E7 -:041BF900000173482C -:041BFA000001628CF8 -:041BFB0000000003E3 -:041BFC000001738CE5 -:041BFD00000166007D -:041BFE0000011DB411 -:041BFF0000015F483A -:041C000000000004DC -:041C010000011D06BB -:041C020000015E8CF3 -:041C030000000000DD -:041C040000015F5824 -:041C050000000004D7 -:041C060000011D6656 -:041C070000015E8CEE -:041C080000000000D8 -:041C090000015F680F -:041C0A0000000004D2 -:041C0B000001260CA2 -:041C0C0000000000D4 -:041C0D0000000000D3 -:041C0E0000015F7CF6 -:041C0F0000000001D0 -:041C10000001751C3E -:041C1100000A0101C3 -:041C12000001311686 -:041C130000015F90DD -:041C140000000000CC -:041C15000001747ED8 -:041C160000010001C8 -:041C1700000173480D -:041C180000015FA4C4 -:041C190000000000C7 -:041C1A000001742829 -:041C1B00000A0001BA -:041C1C0000016BBC9C -:041C1D0000015FB4AF -:041C1E0000000000C2 -:041C1F00000174E765 -:041C200000020001BD -:041C210000016BB0A3 -:041C220000015FC896 -:041C230000000000BD -:041C240000017458EF -:041C250000010001B9 -:041C260000017360E6 -:041C270000015FD881 -:041C280000000000B8 -:041C2900000173370C -:041C2A0000010001B4 -:041C2B0000017360E1 -:041C2C0000015FE86C -:041C2D0000000000B3 -:041C2E000001742A13 -:041C2F0000010001AF -:041C300000017360DC -:041C310000015FF857 -:041C320000000000AE -:041C330000017466D2 -:041C340000030001A8 -:041C350000016C1826 -:041C36000001600841 -:041C370000000004A5 -:041C38000001371C54 -:041C390000000000A7 -:041C3A0000000000A6 -:041C3B000001601C28 -:041C3C0000000004A0 -:041C3D0000012BC8AF -:041C3E0000000000A2 -:041C3F0000000000A1 -:041C4000000161E05E -:041C4100000000009F -:041C42000001750B1D -:041C43000003000199 -:041C44000001729C8D -:041C4500000161F049 -:041C46000000000199 -:041C4700000175081B -:041C4800001F000079 -:041C49000001304224 -:041C4A000001620033 -:041C4B000000000194 -:041C4C000001750915 -:041C4D0000FF000094 -:041C4E0000012FFE64 -:041C4F00000162101E -:041C5000000000018F -:041C51000001750A0F -:041C520000C80A00BC -:041C530000012FFE5F -:041C54000001622009 -:041C5500000000018A -:041C56000001750D07 -:041C57000005000084 -:041C580000012FE870 -:041C590000016230F4 -:041C5A000000000185 -:041C5B000001750E01 -:041C5C00000500007F -:041C5D0000012FE86B -:041C5E00000162A07F -:041C5F000000000081 -:041C60000001750CFE -:041C61000005000179 -:041C6200000172AC5F -:041C6300000162AC6E -:041C6400000000007C -:041C650000017507FE -:041C66000001000178 -:041C67000001738085 -:041C6800000162BC59 -:041C69000000000176 -:041C6A0000017515EB -:041C6B0000FF000076 -:041C6C0000012FAE96 -:041C6D00000162C848 -:041C6E000000000171 -:041C6F0000017516E5 -:041C700000FF000071 -:041C710000012FAE91 -:041C7200000162D437 -:041C7300000000016C -:041C740000017517DF -:041C750000FF00006C -:041C760000012FAE8C -:041C7700000162E026 -:041C78000000000167 -:041C790000017518D9 -:041C7A0000FF000067 -:041C7B0000012FAE87 -:041C7C00000162EC15 -:041C7D000000000162 -:041C7E0000017519D3 -:041C7F0000FF000062 -:041C800000012FAE82 -:041C8100000162F804 -:041C8200000000015D -:041C83000001751ACD -:041C840000FF00005D -:041C850000012FAE7D -:041C860000016304F2 -:041C87000000000158 -:041C88000001751BC7 -:041C8900000F000048 -:041C8A0000012FAE78 -:041C8B00000173A43D -:041C8C000000000054 +:041AD800000000000A +:041AD9000000000009 +:041ADA000000000008 +:041ADB000000000007 +:041ADC000000000006 +:041ADD000000000005 +:041ADE000000000004 +:041ADF000000000003 +:041AE0000000000002 +:041AE1000000000001 +:041AE2000000000000 +:041AE30000000000FF +:041AE40000000000FE +:041AE50000000000FD +:041AE60000000000FC +:041AE70000000000FB +:041AE80000000000FA +:041AE90000000000F9 +:041AEA0000000000F8 +:041AEB0000000000F7 +:041AEC0000000000F6 +:041AED0000000000F5 +:041AEE0000000000F4 +:041AEF0000000000F3 +:041AF00000000000F2 +:041AF10000000000F1 +:041AF20000000000F0 +:041AF30000000000EF +:041AF40000000000EE +:041AF50000000000ED +:041AF60000000000EC +:041AF7000001017475 +:041AF800008000006A +:041AF90001000000E8 +:041AFA0000020100E5 +:041AFB000080000067 +:041AFC0000000001E5 +:041AFD000000008065 +:041AFE0000010000E3 +:041AFF0000000100E2 +:041B000000000000E1 +:041B0100020E0409C3 +:041B02003D0E00C8CC +:041B03003F6E0383AB +:041B04003ED03DACE6 +:041B05000000038356 +:041B0600026404B2BF +:041B07003C9300E922 +:041B08003F5604162A +:041B09003E9F3D4975 +:041B0A0000000416BD +:041B0B00017804E574 +:041B0C003CCE00814A +:041B0D003FAE038361 +:041B0E003F333D49DB +:041B0F00000003834C +:041B100001B405B85F +:041B11003C490093B8 +:041B12003F9F0416D7 +:041B13003F103CD96A +:041B140000000416B3 +:041B15000001640C5B +:041B16000001641056 +:041B17000001642045 +:041B1800000159FC73 +:041B190000015A0C61 +:041B1A0000015A1854 +:041B1B0000015A2447 +:041B1C0000015A303A +:041B1D0000015A3C2D +:041B1E0000015A4820 +:041B1F0000015A5413 +:041B200000015A6006 +:041B210000015A6CF9 +:041B220000015A78EC +:041B2300000165A0B8 +:041B2400000165ACAB +:041B2500000165BC9A +:041B2600000165CC89 +:041B2700000165DC78 +:041B2800000165A0B3 +:041B2900000165ACA6 +:041B2A00000165BC95 +:041B2B00000165CC84 +:041B2C000001657CD3 +:041B2D0000016588C6 +:041B2E0000016594B9 +:041B2F000001640C41 +:041B30000001643C10 +:041B3100000164400B +:041B32000001644406 +:041B3300000163AC9E +:041B340000000002AB +:041B35000001750C2A +:041B36000AF0012C84 +:041B370000011E1873 +:041B3800000163BC89 +:041B390000000002A6 +:041B3A000001758CA5 +:041B3B0000FF000A9D +:041B3C0000011E186E +:041B3D00000163C878 +:041B3E0000000002A1 +:041B3F00000174C865 +:041B400000FF0001A1 +:041B410000011E1869 +:041B4200000163D863 +:041B4300000000029C +:041B4400000174FE2A +:041B4500078000C84D +:041B460000011E1864 +:041B4700000163E452 +:041B48000000000297 +:041B4900000174F62D +:041B4A00000700018F +:041B4B0000011E185F +:041B4C00000163F041 +:041B4D000000000292 +:041B4E000001758697 +:041B4F00003F000152 +:041B500000011E185A +:041B5100000164002B +:041B5200000000028D +:041B5300000174FA1F +:041B540004B000A039 +:041B550000011E1855 +:041B5600000160C862 +:041B5700000000008A +:041B5800000175B65D +:041B59000001000186 +:041B5A00000173E42F +:041B5B00000160D84D +:041B5C000000000085 +:041B5D00000175B757 +:041B5E000001000181 +:041B5F00000173EC22 +:041B6000000160E838 +:041B6100000000017F +:041B6200000175B851 +:041B63000018000066 +:041B6400000130CE7E +:041B6500000160F823 +:041B6600000000007B +:041B6700000175B351 +:041B68000001000177 +:041B6900000173EC18 +:041B6A00000161080D +:041B6B000000000076 +:041B6C00000175B44B +:041B6D000001000172 +:041B6E00000173EC13 +:041B6F0000015F40D2 +:041B7000000000036E +:041B71000001747883 +:041B7200000000006F +:041B7300000000006E +:041B740000015F54B9 +:041B75000000000369 +:041B76000001746096 +:041B7700000000006A +:041B78000000000069 +:041B790000015F68A0 +:041B7A000000000364 +:041B7B000001747081 +:041B7C000000000065 +:041B7D000000000064 +:041B7E0000015F7C87 +:041B7F00000000035F +:041B8000000174509C +:041B81000000000060 +:041B8200000000005F +:041B830000015F906E +:041B8400000000035A +:041B8500000174588F +:041B8600000000005B +:041B8700000000005A +:041B880000015FA455 +:041B89000000000355 +:041B8A0000017440A2 +:041B8B000000000056 +:041B8C000000000055 +:041B8D0000015FB83C +:041B8E000000000350 +:041B8F0000017438A5 +:041B90000000000051 +:041B91000000000050 +:041B920000015FCC23 +:041B9300000000034B +:041B94000001746870 +:041B9500000000004C +:041B9600000000004B +:041B9700000161C820 +:041B98000000000049 +:041B99000001759C36 +:041B9A000004000142 +:041B9B00000172E8EB +:041B9C00000161D80B +:041B9D000000000044 +:041B9E000001759D30 +:041B9F00000300013E +:041BA000000172FCD2 +:041BA100000161E4FA +:041BA200000000003F +:041BA3000001759E2A +:041BA4000003000139 +:041BA5000001730CBC +:041BA600000161F4E5 +:041BA700000000003A +:041BA8000001759F24 +:041BA9000001000136 +:041BAA00000173FCC7 +:041BAB0000016204CF +:041BAC000000000035 +:041BAD00000175A01E +:041BAE000001000131 +:041BAF00000173F4CA +:041BB00000016214BA +:041BB1000000000030 +:041BB2000001759722 +:041BB300000300012A +:041BB40000016C8C34 +:041BB50000016220A9 +:041BB600000000002B +:041BB700000175981C +:041BB8000004000124 +:041BB90000016C9C1F +:041BBA000001622C98 +:041BBB000000000026 +:041BBC000001759916 +:041BBD000003000120 +:041BBE0000016C8C2A +:041BBF000001623887 +:041BC0000000000021 +:041BC1000001759A10 +:041BC200000300011B +:041BC30000016C8C25 +:041BC4000001624476 +:041BC500000000001C +:041BC6000001759B0A +:041BC7000002000117 +:041BC80000016CB0FC +:041BC9000001625461 +:041BCA000000000017 +:041BCB00000175A1FF +:041BCC000001000113 +:041BCD00000173DCC4 +:041BCE00000162644C +:041BCF000000000012 +:041BD000000175A5F6 +:041BD100000100010E +:041BD2000001741C7E +:041BD3000001626C3F +:041BD400000000000D +:041BD500000175A6F0 +:041BD6000001000109 +:041BD700000173ECAA +:041BD8000001611C8B +:041BD9000000000008 +:041BDA000001759001 +:041BDB000002000103 +:041BDC000001732869 +:041BDD00000161287A +:041BDE000000000102 +:041BDF0000017594F8 +:041BE000000F0000F2 +:041BE100000130A827 +:041BE2000001613865 +:041BE30000000001FD +:041BE40000017592F5 +:041BE500001C0000E0 +:041BE6000001308446 +:041BE7000001614850 +:041BE80000000000F9 +:041BE90000017593EF +:041BEA0000010001F5 +:041BEB00000174146D +:041BEC00000161583B +:041BED0000000000F4 +:041BEE0000017591EC +:041BEF0000020001EF +:041BF0000001733449 +:041BF1000001616826 +:041BF20000000000EF +:041BF30000017595E3 +:041BF40000010001EB +:041BF5000001740C6B +:041BF6000001617C0D +:041BF70000000001E9 +:041BF800000175A2D1 +:041BF90000FF0000E9 +:041BFA000001306E48 +:041BFB000001618CF8 +:041BFC0000000001E4 +:041BFD00000175A3CB +:041BFE00003F0000A4 +:041BFF000001306E43 +:041C00000001619CE2 +:041C010000000001DE +:041C0200000175A4C4 +:041C0300000F0000CE +:041C040000012FB6F6 +:041C0500000161ACCD +:041C060000000001D9 +:041C0700000175B5AE +:041C0800001F0000B9 +:041C090000012FB6F1 +:041C0A00000161B8BC +:041C0B0000000004D1 +:041C0C0000011FFEB6 +:041C0D0000015EF084 +:041C0E0000000000D2 +:041C0F00000162DC92 +:041C100000000001CF +:041C1100000175A8B1 +:041C1200001F0001AE +:041C130000012FCCD1 +:041C1400000162EC7D +:041C150000000000CB +:041C1600000175A7AD +:041C170000020001C6 +:041C18000001731C38 +:041C1900000162FC68 +:041C1A0000000000C6 +:041C1B00000175A9A6 +:041C1C0000010001C2 +:041C1D00000173EC63 +:041C1E00000163104E +:041C1F0000000000C1 +:041C2000000175AAA0 +:041C210000010001BD +:041C2200000173EC5E +:041C23000001632435 +:041C240000000003B9 +:041C25000001743016 +:041C260000016698BB +:041C270000011DB6E5 +:041C280000015FE078 +:041C290000000004B3 +:041C2A0000011D0692 +:041C2B0000015F1441 +:041C2C0000000000B4 +:041C2D0000015FF063 +:041C2E0000000004AE +:041C2F0000011D682B +:041C300000015F143C +:041C310000000000AF +:041C3200000160004D +:041C330000000004A9 +:041C34000001261471 +:041C350000000000AB +:041C360000000000AA +:041C37000001601434 +:041C380000000001A7 +:041C3900000175C071 +:041C3A00000A01019A +:041C3B000001311E55 +:041C3C00000160281B +:041C3D0000000000A3 +:041C3E00000175220A +:041C3F00000100019F +:041C4000000173EC40 +:041C41000001603C02 +:041C4200000000009E +:041C4300000174CC5C +:041C4400000A000191 +:041C450000016C60CE +:041C46000001604CED +:041C47000000000099 +:041C48000001758B97 +:041C49000002000194 +:041C4A0000016C54D5 +:041C4B0000016060D4 +:041C4C000000000094 +:041C4D00000174FC22 +:041C4E000001000190 +:041C4F000001740418 +:041C500000016070BF +:041C5100000000008F +:041C5200000173DB3F +:041C5300000100018B +:041C54000001740413 +:041C550000016080AA +:041C5600000000008A +:041C5700000174CE46 +:041C58000001000186 +:041C5900000174040E +:041C5A000001609095 +:041C5B000000000085 +:041C5C000001750A04 +:041C5D00000300017F +:041C5E0000016CBC59 +:041C5F00000160A080 +:041C6000000000047C +:041C61000001378ABD +:041C6200000000007E +:041C6300000000007D +:041C6400000160B467 +:041C65000000000477 +:041C660000012BD07E +:041C67000000000079 +:041C68000000000078 +:041C6900000162789C +:041C6A000000000076 +:041C6B00000175AF50 +:041C6C000003000170 +:041C6D0000017340BF +:041C6E000001628887 +:041C6F000000000170 +:041C7000000175AC4E +:041C7100001F000050 +:041C72000001304AF3 +:041C73000001629872 +:041C7400000000016B +:041C7500000175AD48 +:041C760000FF00006B +:041C77000001300632 +:041C7800000162A85D +:041C79000000000166 +:041C7A00000175AE42 +:041C7B0000C80A0093 +:041C7C00000130062D +:041C7D00000162B848 +:041C7E000000000161 +:041C7F00000175B13A +:041C8000000500005B +:041C810000012FF03F +:041C8200000162C833 +:041C8300000000015C +:041C8400000175B234 +:041C85000005000056 +:041C860000012FF03A +:041C870000016338BD +:041C88000000000058 +:041C8900000175B031 +:041C8A000005000150 +:041C8B000001735091 +:041C8C0000016344AC :041C8D000000000053 -:041C8E000000000052 -:041C8F000000000051 -:041C90000000000050 -:041C91000001646882 -:041C92000001648465 -:041C9300000164CC1C -:041C9400000164D413 -:041C9500000164DC0A -:041C9600000164687D -:041C97000001648460 -:041C98000001648C57 -:041C99000001649C46 -:041C9A000001646879 -:041C9B00000164746C -:041C9C00000164AC33 -:041C9D00000164BC22 -:041C9E000001644895 -:041C9F000001657C5F -:041CA0000001658852 -:041CA1000001637467 -:041CA2000001644891 -:041CA3000001645088 -:041CA40000016408CF -:041CA50000016414C2 -:041CA60000016420B5 -:041CA700000165547F -:041CA800000165646E -:041CA9000001657061 -:041CAA00000163745E -:041CAB000001644888 -:041CAC00000163745C -:041CAD00000165B01D -:041CAE00000165C00C -:041CAF00000165D0FB -:041CB000000165E0EA -:041CB100000000002F -:041CB200000000002E -:041CB300000000002D -:041CB400000000002C +:041C8E00000175AB31 +:041C8F00000100014F +:041C900000017424B7 +:041C91000001635497 +:041C9200000000014D +:041C9300000175B91E +:041C940000FF00004D +:041C950000012FB665 +:041C96000001636086 +:041C97000000000148 +:041C9800000175BA18 +:041C990000FF000048 +:041C9A0000012FB660 +:041C9B000001636C75 +:041C9C000000000143 +:041C9D00000175BB12 +:041C9E0000FF000043 +:041C9F0000012FB65B +:041CA0000001637864 +:041CA100000000013E +:041CA200000175BC0C +:041CA30000FF00003E +:041CA40000012FB656 +:041CA5000001638453 +:041CA6000000000139 +:041CA700000175BD06 +:041CA80000FF000039 +:041CA90000012FB651 +:041CAA000001639042 +:041CAB000000000134 +:041CAC00000175BE00 +:041CAD0000FF000034 +:041CAE0000012FB64C +:041CAF000001639C31 +:041CB000000000012F +:041CB100000175BFFA +:041CB200000F00001F +:041CB30000012FB647 +:041CB400000174486F :041CB500000000002B :041CB600000000002A :041CB7000000000029 :041CB8000000000028 :041CB9000000000027 -:041CBA000000000026 -:041CBB000000000025 -:041CBC000000000024 -:041CBD000000000023 -:041CBE000000000022 -:041CBF000000000021 -:041CC0000000000020 -:041CC100000000001F -:041CC200000000001E -:041CC300000000001D -:041CC400000000001C -:041CC500000000001B -:041CC600000000001A -:041CC7000000000019 -:041CC8000000000018 -:041CC900000157FCC3 -:041CCA00000173287A -:041CCB000001732879 -:041CCC000010801074 -:041CCD000100800092 -:041CCE00000165109C -:041CCF000001646448 -:041CD000000163D4D8 -:041CD100000163E8C3 -:041CD2000001637436 -:041CD300000165ECBB -:041CD400000164683F -:041CD5000001647432 -:041CD600000164683D -:041CD7000001648420 -:041CD8000001639410 -:041CD9000001639C07 -:041CDA00000163FCA6 -:041CDB0000016400A0 -:041CDC000001642C73 -:041CDD000001643C62 -:041CDE000001645845 -:041CDF00000164603C -:041CE0000001659802 -:041CE100000165A4F5 -:041CE200000172C4C7 -:041CE30000000007F6 -:041CE40000016C2867 -:041CE50000000003F8 -:041CE60000016CB4D9 -:041CE70000000002F7 -:041CE80000016CF09B -:041CE90000000008EF -:041CEA0000016D1870 -:041CEB000000000DE8 -:041CEC0000016DB8CE -:041CED000000000BE8 -:041CEE0000016EBCC7 -:041CEF0000000005EC -:041CF00000016F98E8 -:041CF1000000000DE2 -:041CF20000016FFC82 -:041CF30000000006E7 -:041CF400000171007A -:041CF50000000009E2 -:041CF6000001717800 -:041CF700C896554BEB +:041CBA0000016500C0 +:041CBB000001651CA3 +:041CBC00000165645A +:041CBD000001656C51 +:041CBE000001657448 +:041CBF0000016500BB +:041CC0000001651C9E +:041CC1000001652495 +:041CC2000001653484 +:041CC30000016500B7 +:041CC4000001650CAA +:041CC5000001654471 +:041CC6000001655460 +:041CC700000164E0D4 +:041CC800000166149D +:041CC9000001662090 +:041CCA000001640CA5 +:041CCB00000164E0D0 +:041CCC00000164E8C7 +:041CCD00000164A00E +:041CCE00000164AC01 +:041CCF00000164B8F4 +:041CD000000165ECBE +:041CD100000165FCAD +:041CD200000166089F +:041CD3000001640C9C +:041CD400000164E0C7 +:041CD5000001640C9A +:041CD600000166485B +:041CD700000166584A +:041CD8000001666839 +:041CD9000001667828 +:041CDA000000000006 +:041CDB000000000005 +:041CDC000000000004 +:041CDD000000000003 +:041CDE000000000002 +:041CDF000000000001 +:041CE0000000000000 +:041CE10000000000FF +:041CE20000000000FE +:041CE30000000000FD +:041CE40000000000FC +:041CE50000000000FB +:041CE60000000000FA +:041CE70000000000F9 +:041CE80000000000F8 +:041CE90000000000F7 +:041CEA0000000000F6 +:041CEB0000000000F5 +:041CEC0000000000F4 +:041CED0000000000F3 +:041CEE0000000000F2 +:041CEF0000000000F1 +:041CF00000000000F0 +:041CF10000000000EF +:041CF200000158860F +:041CF300000173CCAD +:041CF400000173CCAC +:041CF500001080104B +:041CF6000100800069 +:041CF700000165A8DB +:041CF800000164FC87 +:041CF9000001646C16 +:041CFA000001648001 +:041CFB000001640C74 +:041CFC0000016684F9 +:041CFD00000165007D +:041CFE000001650C70 +:041CFF00000165007B +:041D00000001651C5D +:041D01000001642C4D +:041D02000001643444 +:041D030000016494E3 +:041D040000016498DE +:041D0500000164C4B1 +:041D0600000164D4A0 +:041D0700000164F083 +:041D0800000164F87A +:041D0900000166303F +:041D0A000001663C32 +:041D0B0000017368F8 +:041D0C0000000007CC +:041D0D0000016CCC99 +:041D0E0000000003CE +:041D0F0000016D580A +:041D100000000002CD +:041D110000016D94CC +:041D120000000008C5 +:041D130000016DBCA2 +:041D14000000000DBE +:041D150000016E5CFF +:041D16000000000BBE +:041D170000016F60F8 +:041D180000000005C2 +:041D19000001703C19 +:041D1A000000000DB8 +:041D1B00000170A0B3 +:041D1C0000000006BD +:041D1D00000171A4AC +:041D1E0000000009B8 +:041D1F000001721C31 +:041D2000C896554BC1 :00000001FF diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 33839a7..496ac21 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -548,7 +548,7 @@ void program_mode() int load_profile() { int retval; - retval = read_userdata(profile_sel_menu); + retval = read_userdata(profile_sel_menu, 0); if (retval == 0) { profile_sel = profile_sel_menu; @@ -681,8 +681,8 @@ int init_hw() memcpy(rc_keymap, rc_keymap_default, sizeof(rc_keymap)); // Load initconfig and profile - read_userdata(INIT_CONFIG_SLOT); - read_userdata(profile_sel); + read_userdata(INIT_CONFIG_SLOT, 0); + read_userdata(profile_sel, 0); // Setup remote keymap if (!(IORD_ALTERA_AVALON_PIO_DATA(PIO_1_BASE) & PB1_BIT)) @@ -882,7 +882,7 @@ int main() // input->profile link is enabled if (profile_link && (profile_sel != input_profiles[target_input])) { profile_sel = input_profiles[target_input]; - read_userdata(profile_sel); + read_userdata(profile_sel, 0); } auto_input_changed = 0; diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index 352b9a5..4ae250c 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -43,6 +43,7 @@ extern alt_u32 remote_code; extern alt_u16 rc_keymap[REMOTE_MAX_KEYS]; extern alt_u8 vm_sel, profile_sel_menu, lt_sel, def_input, profile_link, lcd_bl_timeout; extern alt_u8 auto_input, auto_av1_ypbpr, auto_av2_ypbpr, auto_av3_ypbpr; +extern char target_profile_name[PROFILE_NAME_LEN+1]; alt_u8 menu_active; @@ -84,10 +85,11 @@ static void lt_disp(alt_u8 v) { strncpy(menu_row2, lt_desc[v], LCD_ROW_LEN+1); } static void aud_db_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%d dB", ((alt_8)v-AUDIO_GAIN_0DB)); } static void vm_display_name (alt_u8 v) { strncpy(menu_row2, video_modes[v].name, LCD_ROW_LEN+1); } static void link_av_desc (avinput_t v) { strncpy(menu_row2, v == AV_LAST ? "No link" : avinput_str[v], LCD_ROW_LEN+1); } +static void profile_disp(alt_u8 v) { read_userdata(v, 1); sniprintf(menu_row2, LCD_ROW_LEN+1, "%u: %s", v, (target_profile_name[0] == 0) ? "" : target_profile_name); } //static void coarse_gain_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%u.%u", ((v*10)+50)/100, (((v*10)+50)%100)/10); } static const arg_info_t vm_arg_info = {&vm_sel, VIDEO_MODES_CNT-1, vm_display_name}; -static const arg_info_t profile_arg_info = {&profile_sel_menu, MAX_PROFILE, value_disp}; +static const arg_info_t profile_arg_info = {&profile_sel_menu, MAX_PROFILE, profile_disp}; static const arg_info_t lt_arg_info = {<_sel, (sizeof(lt_desc)/sizeof(char*))-1, lt_disp}; diff --git a/software/sys_controller/ossc/userdata.c b/software/sys_controller/ossc/userdata.c index bf41926..e2f2fe9 100644 --- a/software/sys_controller/ossc/userdata.c +++ b/software/sys_controller/ossc/userdata.c @@ -42,6 +42,8 @@ extern alt_u8 auto_input, auto_av1_ypbpr, auto_av2_ypbpr, auto_av3_ypbpr; extern SD_DEV sdcard_dev; extern char menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; +char target_profile_name[PROFILE_NAME_LEN+1]; + int write_userdata(alt_u8 entry) { alt_u8 databuf[PAGESIZE]; @@ -85,6 +87,11 @@ int write_userdata(alt_u8 entry) ((ude_profile*)databuf)->avc_data_len = sizeof(avconfig_t); ((ude_profile*)databuf)->vm_data_len = vm_to_write; + if (target_profile_name[0] == 0) + sniprintf(target_profile_name, PROFILE_NAME_LEN+1, ""); + + strncpy(((ude_profile*)databuf)->name, target_profile_name, PROFILE_NAME_LEN+1); + pageoffset = offsetof(ude_profile, avc); // assume that sizeof(avconfig_t) << PAGESIZE @@ -110,7 +117,7 @@ int write_userdata(alt_u8 entry) return 0; } -int read_userdata(alt_u8 entry) +int read_userdata(alt_u8 entry, int dry_run) { int retval, i; alt_u8 databuf[PAGESIZE]; @@ -118,6 +125,8 @@ int read_userdata(alt_u8 entry) alt_u16 pageoffset, dstoffset; alt_u8 pageno; + target_profile_name[0] = 0; + if (entry > MAX_USERDATA_ENTRY) { printf("invalid entry\n"); return -1; @@ -142,6 +151,9 @@ int read_userdata(alt_u8 entry) switch (((ude_hdr*)databuf)->type) { case UDE_INITCFG: if (((ude_initcfg*)databuf)->data_len == sizeof(ude_initcfg) - offsetof(ude_initcfg, last_profile)) { + if (dry_run) + return 0; + for (i = 0; i < sizeof(input_profiles)/sizeof(*input_profiles); ++i) if (((ude_initcfg*)databuf)->last_profile[i] <= MAX_PROFILE) input_profiles[i] = ((ude_initcfg*)databuf)->last_profile[i]; @@ -163,6 +175,10 @@ int read_userdata(alt_u8 entry) break; case UDE_PROFILE: if ((((ude_profile*)databuf)->avc_data_len == sizeof(avconfig_t)) && (((ude_profile*)databuf)->vm_data_len == VIDEO_MODES_SIZE)) { + strncpy(target_profile_name, ((ude_profile*)databuf)->name, PROFILE_NAME_LEN+1); + if (dry_run) + return 0; + vm_to_read = ((ude_profile*)databuf)->vm_data_len; pageno = 0; @@ -204,6 +220,7 @@ int read_userdata(alt_u8 entry) int import_userdata() { int retval; + int n, entries_imported=0; char *errmsg; alt_u8 databuf[SD_BLK_SIZE]; ude_hdr header; @@ -231,12 +248,8 @@ int import_userdata() usleep(WAITLOOP_SLEEP_US); } - strncpy(menu_row1, "Loading settings", LCD_ROW_LEN+1); - strncpy(menu_row2, "please wait...", LCD_ROW_LEN+1); - lcd_write_menu(); - // Import the userdata - for (int n=0; n<=MAX_USERDATA_ENTRY; ++n) { + for (n=0; n<=MAX_USERDATA_ENTRY; ++n) { retval = SD_Read(&sdcard_dev, &header, (512+n*SECTORSIZE)/SD_BLK_SIZE, 0, sizeof(header)); if (retval != 0) { printf("Failed to read SD card\n"); @@ -266,13 +279,20 @@ int import_userdata() printf("Copy from SD to flash failed (error %d)\n", retval); goto failure; } + + entries_imported++; } SPI_CS_High(); - read_userdata(INIT_CONFIG_SLOT); + read_userdata(INIT_CONFIG_SLOT, 0); profile_sel = input_profiles[target_input]; - read_userdata(profile_sel); + read_userdata(profile_sel, 0); + + sniprintf(menu_row1, LCD_ROW_LEN+1, "%d entries", entries_imported); + strncpy(menu_row2, "imported", LCD_ROW_LEN+1); + lcd_write_menu(); + usleep(1000000); return 0; diff --git a/software/sys_controller/ossc/userdata.h b/software/sys_controller/ossc/userdata.h index f565a68..ede1a44 100644 --- a/software/sys_controller/ossc/userdata.h +++ b/software/sys_controller/ossc/userdata.h @@ -28,6 +28,8 @@ #include "video_modes.h" #include "flash.h" +#define PROFILE_NAME_LEN 12 + #define MAX_PROFILE (MAX_USERDATA_ENTRY-1) #define INIT_CONFIG_SLOT MAX_USERDATA_ENTRY @@ -62,6 +64,7 @@ typedef struct { typedef struct { ude_hdr hdr; + char name[PROFILE_NAME_LEN+1]; alt_u16 avc_data_len; alt_u16 vm_data_len; avconfig_t avc; @@ -69,7 +72,7 @@ typedef struct { } __attribute__((packed, __may_alias__)) ude_profile; int write_userdata(alt_u8 entry); -int read_userdata(alt_u8 entry); +int read_userdata(alt_u8 entry, int dry_run); int import_userdata(); #endif From 9533428a92cdafb8562e4aa1e1dd0fa5ea575082 Mon Sep 17 00:00:00 2001 From: marqs Date: Wed, 7 Nov 2018 23:39:25 +0200 Subject: [PATCH 21/21] restore profile import notification --- software/sys_controller/ossc/userdata.c | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/software/sys_controller/ossc/userdata.c b/software/sys_controller/ossc/userdata.c index e2f2fe9..929a8e3 100644 --- a/software/sys_controller/ossc/userdata.c +++ b/software/sys_controller/ossc/userdata.c @@ -248,6 +248,10 @@ int import_userdata() usleep(WAITLOOP_SLEEP_US); } + strncpy(menu_row1, "Loading settings", LCD_ROW_LEN+1); + strncpy(menu_row2, "please wait...", LCD_ROW_LEN+1); + lcd_write_menu(); + // Import the userdata for (n=0; n<=MAX_USERDATA_ENTRY; ++n) { retval = SD_Read(&sdcard_dev, &header, (512+n*SECTORSIZE)/SD_BLK_SIZE, 0, sizeof(header));