diff --git a/ip/i2c_opencores/i2c_master_bit_ctrl.v b/ip/i2c_opencores/i2c_master_bit_ctrl.v index d4c80c3..35c6e0e 100644 --- a/ip/i2c_opencores/i2c_master_bit_ctrl.v +++ b/ip/i2c_opencores/i2c_master_bit_ctrl.v @@ -587,7 +587,7 @@ module i2c_master_bit_ctrl( begin c_state <= #1 spi_wr_b; scl_oen <= #1 1'b0; // set SCL low - sda_oen <= #1 1'b1; // keep SDA + sda_oen <= #1 din; // set SDA sda_chk <= #1 1'b0; // don't check SDA output end @@ -595,7 +595,7 @@ module i2c_master_bit_ctrl( begin c_state <= #1 spi_wr_c; scl_oen <= #1 1'b0; // keep SCL low - sda_oen <= #1 din; // set SDA + sda_oen <= #1 din; // keep SDA sda_chk <= #1 1'b0; // don't check SDA output end @@ -612,7 +612,7 @@ module i2c_master_bit_ctrl( c_state <= #1 idle; cmd_ack <= #1 1'b1; scl_oen <= #1 1'b1; // tri-state SCL - sda_oen <= #1 1'b1; // tri-state SDA + sda_oen <= #1 din; // keep SDA sda_chk <= #1 1'b0; // don't check SDA output end diff --git a/ip/i2c_opencores/i2c_opencores.v b/ip/i2c_opencores/i2c_opencores.v index b7b5351..bfed1b9 100644 --- a/ip/i2c_opencores/i2c_opencores.v +++ b/ip/i2c_opencores/i2c_opencores.v @@ -11,7 +11,7 @@ module i2c_opencores scl_pad_io, sda_pad_io, spi_miso_pad_i ); -parameter drive_scl_high = 0; +parameter always_drive_io = 0; // Common bus signals input wb_clk_i; // WISHBONE clock @@ -43,7 +43,7 @@ wire scl_padoen_o; assign wb_cyc_i = wb_stb_i; assign scl_pad_i = scl_pad_io; -assign scl_pad_io = scl_padoen_o ? (drive_scl_high ? 1'b1 : 1'bZ) : scl_pad_o; +assign scl_pad_io = scl_padoen_o ? (always_drive_io ? 1'b1 : 1'bZ) : scl_pad_o; wire sda_pad_i; wire sda_pad_o; @@ -51,7 +51,7 @@ wire sda_pad_io; wire sda_padoen_o; assign sda_pad_i = sda_pad_io; -assign sda_pad_io = sda_padoen_o ? 1'bZ : sda_pad_o; +assign sda_pad_io = sda_padoen_o ? (always_drive_io ? 1'b1 : 1'bZ) : sda_pad_o; // Avalon doesn't have an asynchronous reset // set it to be inactive and just use synchronous reset diff --git a/ip/i2c_opencores/i2c_opencores_hw.tcl b/ip/i2c_opencores/i2c_opencores_hw.tcl index b4d5d1c..3a94774 100644 --- a/ip/i2c_opencores/i2c_opencores_hw.tcl +++ b/ip/i2c_opencores/i2c_opencores_hw.tcl @@ -59,13 +59,13 @@ add_fileset_file timescale.v VERILOG PATH timescale.v # # parameters # -add_parameter drive_scl_high INTEGER 1 -set_parameter_property drive_scl_high DEFAULT_VALUE 0 -set_parameter_property drive_scl_high DISPLAY_NAME "Drive SCL high instead of tristate" -set_parameter_property drive_scl_high DISPLAY_HINT boolean -set_parameter_property drive_scl_high TYPE INTEGER -set_parameter_property drive_scl_high UNITS None -set_parameter_property drive_scl_high HDL_PARAMETER true +add_parameter always_drive_io INTEGER 1 +set_parameter_property always_drive_io DEFAULT_VALUE 0 +set_parameter_property always_drive_io DISPLAY_NAME "Always drive IO lines (no tristate)" +set_parameter_property always_drive_io DISPLAY_HINT boolean +set_parameter_property always_drive_io TYPE INTEGER +set_parameter_property always_drive_io UNITS None +set_parameter_property always_drive_io HDL_PARAMETER true # # display items diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 19690cb..c2d579a 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex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diff --git a/software/sys_controller/memory/flash.c b/software/sys_controller/memory/flash.c index 8cbfa54..9a15c73 100644 --- a/software/sys_controller/memory/flash.c +++ b/software/sys_controller/memory/flash.c @@ -36,7 +36,7 @@ int check_flash() if ((epcq_controller_dev == NULL) || !(epcq_controller_dev->is_epcs && (epcq_controller_dev->page_size == PAGESIZE))) return -1; - printf("Flash size in bytes: %d\nSector size: %d (%d pages)\nPage size: %d\n", + printf("Flash size in bytes: %lu\nSector size: %lu (%lu pages)\nPage size: %lu\n", epcq_controller_dev->size_in_bytes, epcq_controller_dev->sector_size, epcq_controller_dev->sector_size/epcq_controller_dev->page_size, epcq_controller_dev->page_size); return 0; diff --git a/software/sys_controller/ossc/menu.h b/software/sys_controller/ossc/menu.h index 3df8ff3..ca0efa3 100644 --- a/software/sys_controller/ossc/menu.h +++ b/software/sys_controller/ossc/menu.h @@ -61,8 +61,8 @@ typedef struct { typedef struct { func_call f; - char *text_success; - char *text_failure; + const char *text_success; + const char *text_failure; } opt_func_call; typedef struct menustruct menu_t; @@ -73,7 +73,7 @@ typedef struct { } opt_submenu; typedef struct { - char *name; + const char *name; menuitem_type type; union { opt_avconfig_selection sel; diff --git a/software/sys_controller/ulibSD/sd_io.c b/software/sys_controller/ulibSD/sd_io.c index dc5bca2..7ad73cb 100644 --- a/software/sys_controller/ulibSD/sd_io.c +++ b/software/sys_controller/ulibSD/sd_io.c @@ -111,6 +111,10 @@ BYTE __SD_Send_Cmd(BYTE cmd, DWORD arg) { BYTE wiredata[10]; BYTE crc, res; + int timer_set; + + //printf("Sending SD CMD 0x%x with arg 0x%x\n", cmd, arg); + // ACMD«n» is the command sequense of CMD55-CMD«n» if(cmd & 0x80) { cmd &= 0x7F; @@ -119,9 +123,10 @@ BYTE __SD_Send_Cmd(BYTE cmd, DWORD arg) } // Select the card + __SD_Deassert(); + SPI_R(NULL, 4); __SD_Assert(); - // Send complete command set wiredata[0] = cmd; // Start and command index wiredata[1] = (arg >> 24); // Arg[31-24] @@ -139,12 +144,14 @@ BYTE __SD_Send_Cmd(BYTE cmd, DWORD arg) // Receive command response // Wait for a valid response in timeout of 5 milliseconds - SPI_Timer_On(5); + timer_set = SPI_Timer_On(5); do { SPI_R(&res, 1); } while((res & 0x80)&&(SPI_Timer_Status()==TRUE)); - SPI_Timer_Off(); + if (timer_set == 0) + SPI_Timer_Off(); // Return with the response value + //printf("CMD_res: %u\n", res); return(res); } @@ -192,14 +199,17 @@ DWORD __SD_Sectors (SD_DEV *dev) WORD C_SIZE = 0; BYTE C_SIZE_MULT = 0; BYTE READ_BL_LEN = 0; + int timer_set; + if(__SD_Send_Cmd(CMD9, 0)==0) { // Wait for response - SPI_Timer_On(5); // Wait for data packet (timeout of 5ms) + timer_set = SPI_Timer_On(5); // Wait for data packet (timeout of 5ms) do { SPI_R(&tkn, 1); } while((tkn==0xFF)&&(SPI_Timer_Status()==TRUE)); - SPI_Timer_Off(); + if (timer_set == 0) + SPI_Timer_Off(); if(tkn!=0xFE) return 0; @@ -240,7 +250,6 @@ DWORD __SD_Sectors (SD_DEV *dev) //ss *= __SD_Power_Of_Two(C_SIZE_MULT + 2 + READ_BL_LEN - 9); ss *= 1 << (C_SIZE_MULT + 2 + READ_BL_LEN - 9); //ss /= SD_BLK_SIZE; - printf("ss: %u\n", ss); return (ss); } else return (0); // Error } @@ -283,9 +292,9 @@ SDRESULTS SD_Init(SD_DEV *dev) //for(idx = 0; idx != 10; idx++) SPI_RW(0xFF); SPI_W(initdata, sizeof(initdata)); - /*SPI_Timer_On(500); + SPI_Timer_On(500); while(SPI_Timer_Status()==TRUE); - SPI_Timer_Off();*/ + SPI_Timer_Off(); dev->mount = FALSE; SPI_Timer_On(500); @@ -303,7 +312,6 @@ SDRESULTS SD_Init(SD_DEV *dev) // Wait for leaving idle state (ACMD41 with HCS bit)... SPI_Timer_On(1000); while ((SPI_Timer_Status()==TRUE)&&(__SD_Send_Cmd(ACMD41, 1UL << 30))); - SPI_Timer_Off(); // CCS in the OCR? if ((SPI_Timer_Status()==TRUE)&&(__SD_Send_Cmd(CMD58, 0) == 0)) { @@ -311,6 +319,7 @@ SDRESULTS SD_Init(SD_DEV *dev) // SD version 2? ct = (ocr[0] & 0x40) ? SDCT_SD2 | SDCT_BLOCK : SDCT_SD2; } + SPI_Timer_Off(); } } else { // SD version 1 or MMC? @@ -327,8 +336,9 @@ SDRESULTS SD_Init(SD_DEV *dev) // Wait for leaving idle state SPI_Timer_On(250); while((SPI_Timer_Status()==TRUE)&&(__SD_Send_Cmd(cmd, 0))); - SPI_Timer_Off(); + if(SPI_Timer_Status()==FALSE) ct = 0; + SPI_Timer_Off(); if(__SD_Send_Cmd(CMD59, 0)) ct = 0; // Deactivate CRC check (default) if(__SD_Send_Cmd(CMD16, 512)) ct = 0; // Set R/W block length to 512 bytes } @@ -337,7 +347,7 @@ SDRESULTS SD_Init(SD_DEV *dev) dev->cardtype = ct; dev->mount = TRUE; dev->last_sector = __SD_Sectors(dev) - 1; - printf("lastsec %u\n", dev->last_sector); + printf("lastsec %lu\n", dev->last_sector); #ifdef SD_IO_DBG_COUNT dev->debug.read = 0; dev->debug.write = 0; diff --git a/software/sys_controller/ulibSD/spi_io.c b/software/sys_controller/ulibSD/spi_io.c index f2f4228..78ff737 100644 --- a/software/sys_controller/ulibSD/spi_io.c +++ b/software/sys_controller/ulibSD/spi_io.c @@ -54,9 +54,13 @@ inline void SPI_Freq_Low (void) { I2C_init(SD_SPI_BASE,ALT_CPU_FREQ,400000); } -void SPI_Timer_On (WORD ms) { - sd_timer_ts = ms*(ALT_CPU_FREQ/1000); - alt_timestamp_start(); +int SPI_Timer_On (WORD ms) { + if (!sd_timer_ts) { + sd_timer_ts = ms*(ALT_CPU_FREQ/1000); + alt_timestamp_start(); + return 0; + } + return 1; } inline BOOL SPI_Timer_Status (void) { @@ -64,5 +68,6 @@ inline BOOL SPI_Timer_Status (void) { } inline void SPI_Timer_Off (void) { + sd_timer_ts = 0; return; } diff --git a/software/sys_controller/ulibSD/spi_io.h b/software/sys_controller/ulibSD/spi_io.h index 2400e2a..e2d0615 100644 --- a/software/sys_controller/ulibSD/spi_io.h +++ b/software/sys_controller/ulibSD/spi_io.h @@ -71,7 +71,7 @@ void SPI_Freq_Low (void); \brief Start a non-blocking timer. \param ms Milliseconds. */ -void SPI_Timer_On (WORD ms); +int SPI_Timer_On (WORD ms); /** \brief Check the status of non-blocking timer. diff --git a/software/sys_controller_bsp/settings.bsp b/software/sys_controller_bsp/settings.bsp index 44b7889..8fef5c9 100644 --- a/software/sys_controller_bsp/settings.bsp +++ b/software/sys_controller_bsp/settings.bsp @@ -2,9 +2,9 @@ hal default - Oct 21, 2016 1:18:01 AM - 1477001881487 - ./ + Oct 27, 2016 1:02:30 AM + 1477519350374 + /home/markus/Code/ossc/software/sys_controller_bsp settings.bsp ../../sys.sopcinfo default diff --git a/sys.qsys b/sys.qsys index 096ecbd..fd0da45 100644 --- a/sys.qsys +++ b/sys.qsys @@ -323,14 +323,14 @@ kind="i2c_opencores" version="13.0" enabled="1"> - + - + - + java.lang.Integer - 1477001042 + 1477519081 false true false @@ -1613,7 +1613,7 @@ parameters are a RESULT of the module parameters. --> path="i2c_opencores_0"> - + int 0 false @@ -2240,7 +2240,7 @@ parameters are a RESULT of the module parameters. --> path="i2c_opencores_1"> - + int 1 false