diff --git a/ip/osd_generator/bin/IBM_VGA_8x8.bin b/ip/osd_generator/bin/IBM_VGA_8x8.bin new file mode 100644 index 0000000..10e5b4f Binary files /dev/null and b/ip/osd_generator/bin/IBM_VGA_8x8.bin differ diff --git a/ip/osd_generator/bin/char_rom.hex b/ip/osd_generator/bin/char_rom.hex new file mode 100644 index 0000000..3140a7e --- /dev/null +++ b/ip/osd_generator/bin/char_rom.hex @@ -0,0 +1,257 @@ +:080000000000000000000000F8 +:080001007E8199BD81A5817E7D +:080002007EFFE7C3FFDBFF7E78 +:080003000010387CFEFEFE6CCB +:080004000010387CFE7C38106E +:080005007C387CFEFE387C38DB +:080006007C387CFE7C381010F0 +:080007000000183C3C18000049 +:08000800FFFFE7C3C3E7FFFFA0 +:08000900003C664242663C0027 +:08000A00FFC399BDBD99C3FFBE +:08000B0078CCCCCC7D0F070F6F +:08000C00187E183C6666663C94 +:08000D00E0F07030303F333F9A +:08000E00C0E66763637F637FB6 +:08000F00995A3CE7E73C5A99BD +:080010000080E0F8FEF8E0803A +:0800110000020E3EFE3E0E024D +:08001200183C7E18187E3C1812 +:08001300006600666666666681 +:08001400001B1B1B7BDBDB7FE3 +:0800150078CC386C6C38633EB6 +:08001600007E7E7E0000000068 +:08001700FF183C7E187E3C1826 +:0800180000181818187E3C18AE +:0800190000183C7E18181818AD +:08001A000000180CFE0C180098 +:08001B0000003060FE603000BF +:08001C000000FEC0C0C000009E +:08001D0000002466FF662400C8 +:08001E000000FFFF7E3C18000A +:08001F000000183C7EFFFF0009 +:080020000000000000000000D8 +:08002100003000303078783027 +:0800220000000000006C6C6C92 +:08002300006C6CFE6CFE6C6CBD +:080024000030F80C78C07C30BC +:0800250000C6663018CCC600CD +:080026000076CCDC76386C3862 +:080027000000000000C0606051 +:08002800001830606060301820 +:08002900006030181818306067 +:08002A000000663CFF3C66008B +:08002B0000003030FC30300011 +:08002C0060303000000000000C +:08002D0000000000FC000000CF +:08002E0000303000000000006A +:08002F000080C06030180C06CF +:08003000007CE6F6DECEC67C82 +:0800310000FC3030303070306B +:0800320000FCCC60380CCC7816 +:080033000078CC0C380CCC78ED +:08003400001E0CFECC6C3C1C0C +:080035000078CC0C0CF8C0FCB3 +:080036000078CCCCF8C0603862 +:0800370000303030180CCCFC45 +:080038000078CCCC78CCCC7828 +:080039000070180C7CCCCC789F +:08003A000030300000303000FE +:08003B0060303000003030009D +:08003C0000183060C0603018AC +:08003D000000FC0000FC0000C3 +:08003E00006030180C1830605E +:08003F0000300030180CCC78F1 +:080040000078C0DEDEDEC67CA4 +:0800410000CCCCFCCCCC7830E3 +:0800420000FC66667C6666FCAA +:08004300003C66C0C0C0663C31 +:0800440000F86C6666666CF8BA +:0800450000FE6268786862FEAB +:0800460000F06068786862FEBA +:08004700003E66CEC0C0663C1D +:0800480000CCCCCCFCCCCCCCEC +:080049000078303030303078CF +:08004A000078CCCC0C0C0C1E5C +:08004B0000E6666C786C66E6C5 +:08004C0000FE6662606060F0D6 +:08004D0000C6C6D6FEFEEEC699 +:08004E0000C6C6CEDEF6E6C6D0 +:08004F0000386CC6C6C66C380F +:0800500000F060607C6666FCB4 +:08005100001C78DCCCCCCC785B +:0800520000E6666C7C6666FCAA +:080053000078CC1C70E0CC78B1 +:08005400007830303030B4FCBC +:0800550000FCCCCCCCCCCCCCDF +:08005600003078CCCCCCCCCCFE +:0800570000C6EEFED6C6C6C6C7 +:0800580000C66C38386CC6C606 +:080059000078303078CCCCCCEB +:08005A0000FE6632188CC6FEA0 +:08005B000078606060606078CD +:08005C000002060C183060C020 +:08005D00007818181818187833 +:08005E0000000000C66C381020 +:08005F00FF000000000000009A +:08006000000000000018303020 +:080061000076CC7C0C78000055 +:0800620000DC66667C6060E0D2 +:080063000078CCC0CC7800004D +:080064000076CCCC7C0C0C1CD6 +:080065000078C0FCCC7800001B +:0800660000F06060F0606C38EE +:08006700F80C7CCCCC76000003 +:0800680000E66666766C60E0BC +:080069000078303030700030E7 +:08006A0078CCCC0C0C0C000C4E +:08006B0000E66C786C6660E0B1 +:08006C000078303030303070B4 +:08006D0000C6D6FEFECC000027 +:08006E0000CCCCCCCCF8000062 +:08006F000078CCCCCC78000035 +:08007000F0607C6666DC000014 +:080071001E0C7CCCCC760000D3 +:0800720000F0606676DC00007E +:0800730000F80C78C07C0000CD +:0800740000183430307C30101C +:080075000076CCCCCCCC0000DD +:08007600003078CCCCCC000076 +:08007700006CFEFED6C600007D +:0800780000C66C386CC60000E4 +:08007900F80C7CCCCCCC00009B +:08007A0000FC643098FC00005A +:08007B00001C3030E030301CA5 +:08007C000018181800181818EC +:08007D0000E030301C3030E0DF +:08007E00000000000000DC7628 +:08007F0000FEC6C66C3810003B +:08008000780C1878CCC0CC7894 +:08008100007ECCCCCC00CC00C9 +:080082000078C0FCCC78001CE2 +:08008300003F663E063CC37E0F +:08008400007ECC7C0C7800CC5E +:08008500007ECC7C0C7800E049 +:08008600007ECC7C0C783030C8 +:08008700380C78C0C0780000BD +:08008800003C607E663CC37E73 +:080089000078C0FCCC7800CC2B +:08008A000078C0FCCC7800E016 +:08008B0000783030307000CC29 +:08008C00003C18181838C67C6E +:08008D0000783030307000E013 +:08008E0000C6C6FEC66C38C6B0 +:08008F0000CCFCCC78003030FD +:0800900000FC607860FC001C1C +:08009100007FCC7F0C7F000012 +:0800920000CECCCCFECC6C3E8C +:080093000078CCCC7800CC7899 +:080094000078CCCC7800CC0010 +:080095000078CCCC7800E000FB +:08009600007ECCCCCC00CC783C +:08009700007ECCCCCC00E0009F +:08009800F80C7CCCCC00CC007C +:0800990000183C66663C18C328 +:08009A000078CCCCCCCC00CCEA +:08009B0018187EC0C07E181881 +:08009C0000FCE660F0646C3822 +:08009D003030FC30FC78CCCCC3 +:08009E00C7C6CFC6FACCCCF8AE +:08009F0070D818183C181B0E64 +:0800A000007ECC7C0C78001CF2 +:0800A1000078303030700038A7 +:0800A2000078CCCC78001C00B2 +:0800A300007ECCCCCC001C0057 +:0800A40000CCCCCCF800F80000 +:0800A50000CCDCFCECCC00FCFB +:0800A60000007E003E6C6C3C82 +:0800A70000007C00386C6C388D +:0800A8000078CCC0603000308C +:0800A9000000C0C0FC000000D3 +:0800AA0000000C0CFC0000003A +:0800AB000FCC6633DECCC6C3A6 +:0800AC0003CF6F37DBCCC6C3A4 +:0800AD000018181818001818BB +:0800AE0000003366CC6633004C +:0800AF000000CC663366CC00B2 +:0800B0008822882288228822A0 +:0800B100AA55AA55AA55AA554B +:0800B200EEDB77DBEEDB77DB10 +:0800B300181818181818181885 +:0800B400181818F818181818A4 +:0800B500181818F818F81818C3 +:0800B600363636F636363636D2 +:0800B700363636FE00000000A1 +:0800B800181818F818F80000F0 +:0800B900363636F606F636363F +:0800BA0036363636363636368E +:0800BB00363636F606FE0000A1 +:0800BC00000000FE06F63636D6 +:0800BD00000000FE3636363665 +:0800BE00000000F818F8181802 +:0800BF00181818F800000000F9 +:0800C0000000001F18181818B9 +:0800C100000000FF18181818D8 +:0800C200181818FF00000000EF +:0800C3001818181F181818186E +:0800C400000000FF0000000035 +:0800C500181818FF181818188C +:0800C6001818181F181F181864 +:0800C700363636373636363680 +:0800C8000000003F303736361E +:0800C90036363637303F0000E7 +:0800CA00000000FF00F73636CC +:0800CB00363636F700FF000095 +:0800CC00363636373037363680 +:0800CD00000000FF00FF00002D +:0800CE00363636F700F736362E +:0800CF00000000FF00FF1818FB +:0800D000000000FF3636363651 +:0800D100181818FF00FF0000E1 +:0800D200363636FF0000000085 +:0800D3000000003F363636360E +:0800D4000000001F181F18189E +:0800D5001818181F181F000085 +:0800D6003636363F0000000041 +:0800D700363636FF36363636A8 +:0800D800181818FF18FF181892 +:0800D900000000F818181818C7 +:0800DA001818181F00000000B7 +:0800DB00FFFFFFFFFFFFFFFF25 +:0800DC00FFFFFFFF0000000020 +:0800DD00F0F0F0F0F0F0F0F09B +:0800DE000F0F0F0F0F0F0F0FA2 +:0800DF0000000000FFFFFFFF1D +:0800E0000076DCC8DC760000AC +:0800E100C0C0F8CCF8CC780097 +:0800E20000C0C0C0C0CCFC004E +:0800E300006C6C6C6C6CFE00FB +:0800E40000FCCC603060CCFC94 +:0800E5000070D8D8D87E00009D +:0800E600C0607C6666666600DE +:0800E7000018181818DC76005F +:0800E800FC3078CCCC7830FC30 +:0800E90000386CC6FEC66C383D +:0800EA0000EE6C6CC6C66C3818 +:0800EB000078CCCC7C18301C1D +:0800EC0000007EDBDB7E00005A +:0800ED00C0607EDBDB7E0C0627 +:0800EE00003860C0F8C0603862 +:0800EF0000CCCCCCCCCCCC78C9 +:0800F0000000FC00FC00FC0014 +:0800F10000FC003030FC30304F +:0800F20000FC006030183060D2 +:0800F30000FC00183060301819 +:0800F40018181818181B1B0E48 +:0800F50070D8D818181818186B +:0800F60000303000FC00303046 +:0800F7000000DC7600DC76005D +:0800F80000000000386C6C38B8 +:0800F9000000001818000000CF +:0800FA000000001800000000E6 +:0800FB001C3C6CEC0C0C0C0F1A +:0800FC000000006C6C6C6C78D4 +:0800FD0000000078603018706B +:0800FE0000003C3C3C3C00000A +:0800FF000000000000000000F9 +:00000001FF diff --git a/ip/osd_generator/inc/osd_generator_regs.h b/ip/osd_generator/inc/osd_generator_regs.h new file mode 100644 index 0000000..17354d5 --- /dev/null +++ b/ip/osd_generator/inc/osd_generator_regs.h @@ -0,0 +1,51 @@ +// +// Copyright (C) 2019 Markus Hiienkari +// +// This file is part of Open Source Scan Converter project. +// +// This program is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program. If not, see . +// + +#ifndef OSD_GENERATOR_REGS_H_ +#define OSD_GENERATOR_REGS_H_ + +#include + +typedef union { + struct { + alt_u8 enable:1; + alt_u8 status_refresh:1; + alt_u8 menu_active:1; + alt_u8 status_timeout:2; + alt_u8 x_offset:3; + alt_u8 y_offset:3; + alt_u8 x_size:2; + alt_u8 y_size:2; + alt_u32 osd_rsv:17; + } __attribute__((packed, __may_alias__)); + alt_u32 data; +} osd_config_reg; + +// char regs +typedef struct { + char row1[16]; + char row2[16]; +} osd_char_regs; + +typedef struct { + osd_config_reg osd_config; + osd_char_regs osd_chars; +} __attribute__((packed, __may_alias__)) osd_regs; + +#endif //OSD_GENERATOR_REGS_H_ diff --git a/ip/osd_generator/osd_generator_hw.tcl b/ip/osd_generator/osd_generator_hw.tcl new file mode 100644 index 0000000..54b4ab9 --- /dev/null +++ b/ip/osd_generator/osd_generator_hw.tcl @@ -0,0 +1,150 @@ +# +# request TCL package from ACDS 16.1 +# +package require -exact qsys 16.1 + +# +# module +# +set_module_property DESCRIPTION "OSD generator" +set_module_property NAME osd_generator +#set_module_property VERSION 18.0 +set_module_property INTERNAL false +set_module_property OPAQUE_ADDRESS_MAP true +set_module_property GROUP "Processors and Peripherals" +set_module_property AUTHOR "" +set_module_property DISPLAY_NAME osd_generator +set_module_property INSTANTIATE_IN_SYSTEM_MODULE true +set_module_property EDITABLE true +set_module_property REPORT_TO_TALKBACK false +set_module_property ALLOW_GREYBOX_GENERATION false +set_module_property REPORT_HIERARCHY false + +# +# file sets +# +add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" +set_fileset_property QUARTUS_SYNTH TOP_LEVEL osd_generator_top +set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false +add_fileset_file osd_generator_top.sv VERILOG PATH osd_generator_top.sv + +add_fileset SIM_VERILOG SIM_VERILOG "" "" +set_fileset_property SIM_VERILOG ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property SIM_VERILOG ENABLE_FILE_OVERWRITE_MODE false +set_fileset_property SIM_VERILOG TOP_LEVEL osd_generator_top +add_fileset_file osd_generator_top.sv VERILOG PATH osd_generator_top.sv + +# +# parameters +# + + +# +# display items +# + + +# +# connection point clock_sink +# +add_interface clock_sink clock end +set_interface_property clock_sink clockRate 0 +set_interface_property clock_sink ENABLED true +set_interface_property clock_sink EXPORT_OF "" +set_interface_property clock_sink PORT_NAME_MAP "" +set_interface_property clock_sink CMSIS_SVD_VARIABLES "" +set_interface_property clock_sink SVD_ADDRESS_GROUP "" + +add_interface_port clock_sink clk_i clk Input 1 + + +# +# connection point reset_sink +# +add_interface reset_sink reset end +set_interface_property reset_sink associatedClock clock_sink +set_interface_property reset_sink synchronousEdges DEASSERT +set_interface_property reset_sink ENABLED true +set_interface_property reset_sink EXPORT_OF "" +set_interface_property reset_sink PORT_NAME_MAP "" +set_interface_property reset_sink CMSIS_SVD_VARIABLES "" +set_interface_property reset_sink SVD_ADDRESS_GROUP "" + +add_interface_port reset_sink rst_i reset Input 1 + + +# +# connection point avalon_s +# +add_interface avalon_s avalon end +set_interface_property avalon_s addressUnits WORDS +set_interface_property avalon_s associatedClock clock_sink +set_interface_property avalon_s associatedReset reset_sink +set_interface_property avalon_s bitsPerSymbol 8 +set_interface_property avalon_s burstOnBurstBoundariesOnly false +set_interface_property avalon_s burstcountUnits WORDS +set_interface_property avalon_s explicitAddressSpan 0 +set_interface_property avalon_s holdTime 0 +set_interface_property avalon_s linewrapBursts false +set_interface_property avalon_s maximumPendingReadTransactions 0 +set_interface_property avalon_s maximumPendingWriteTransactions 0 +set_interface_property avalon_s readLatency 0 +set_interface_property avalon_s readWaitTime 1 +set_interface_property avalon_s setupTime 0 +set_interface_property avalon_s timingUnits Cycles +set_interface_property avalon_s writeWaitTime 0 +set_interface_property avalon_s ENABLED true +set_interface_property avalon_s EXPORT_OF "" +set_interface_property avalon_s PORT_NAME_MAP "" +set_interface_property avalon_s CMSIS_SVD_VARIABLES "" +set_interface_property avalon_s SVD_ADDRESS_GROUP "" + +add_interface_port avalon_s avalon_s_address address Input 4 +add_interface_port avalon_s avalon_s_writedata writedata Input 32 +add_interface_port avalon_s avalon_s_readdata readdata Output 32 +add_interface_port avalon_s avalon_s_byteenable byteenable Input 4 +add_interface_port avalon_s avalon_s_write write Input 1 +add_interface_port avalon_s avalon_s_read read Input 1 +add_interface_port avalon_s avalon_s_chipselect chipselect Input 1 +add_interface_port avalon_s avalon_s_waitrequest_n waitrequest_n Output 1 +set_interface_assignment avalon_s embeddedsw.configuration.isFlash 0 +set_interface_assignment avalon_s embeddedsw.configuration.isMemoryDevice 0 +set_interface_assignment avalon_s embeddedsw.configuration.isNonVolatileStorage 0 +set_interface_assignment avalon_s embeddedsw.configuration.isPrintableDevice 0 + + +# +# connection point bus +# +#add_sv_interface bus osd_if + +# Setting the parameter property to add SV interface parameters +#set_parameter_property my_interface_parameter SV_INTERFACE_PARAMETER bus + +# Setting the port properties to add them to SV interface port set_port_property clk SV_INTERFACE_PORT bus #set_port_property p1 SV_INTERFACE_PORT bus +#set_port_property p2 SV_INTERFACE_PORT bus +#set_port_property p1 SV_INTERFACE_SIGNAL bus +#set_port_property p2 SV_INTERFACE_SIGNAL bus + +#Adding the SV Interface File +#add_fileset_file osd_if.sv SYSTEM_VERILOG PATH osd_if.sv SYSTEMVERILOG_INTERFACE + + +# +# connection point osd_if +# +add_interface osd_if conduit end +set_interface_property osd_if associatedClock "" +set_interface_property osd_if associatedReset "" +set_interface_property osd_if ENABLED true +set_interface_property osd_if EXPORT_OF "" +set_interface_property osd_if PORT_NAME_MAP "" +set_interface_property osd_if CMSIS_SVD_VARIABLES "" +set_interface_property osd_if SVD_ADDRESS_GROUP "" + +add_interface_port osd_if vclk vclk Input 1 +add_interface_port osd_if xpos xpos Input 11 +add_interface_port osd_if ypos ypos Input 11 +add_interface_port osd_if osd_enable osd_enable Output 1 +add_interface_port osd_if osd_color osd_color Output 1 diff --git a/ip/osd_generator/osd_generator_sw.tcl b/ip/osd_generator/osd_generator_sw.tcl new file mode 100644 index 0000000..004e931 --- /dev/null +++ b/ip/osd_generator/osd_generator_sw.tcl @@ -0,0 +1,54 @@ +# +# osd_generator_sw.tcl +# + +# Create a new driver +create_driver osd_generator_driver + +# Associate it with some hardware known as "opencores_i2c" +set_sw_property hw_class_name osd_generator + +# The version of this driver +set_sw_property version 1.0 + +# This driver may be incompatible with versions of hardware less +# than specified below. Updates to hardware and device drivers +# rendering the driver incompatible with older versions of +# hardware are noted with this property assignment. +# +# Multiple-Version compatibility was introduced in version 7.1; +# prior versions are therefore excluded. +set_sw_property min_compatible_hw_version 7.1 + +# Initialize the driver in alt_sys_init() +set_sw_property auto_initialize false + +# Location in generated BSP that above sources will be copied into +set_sw_property bsp_subdirectory drivers + + +# Interrupt properties: +# This peripheral has an IRQ output but the driver doesn't currently +# have any interrupt service routine. To ensure that the BSP tools +# do not otherwise limit the BSP functionality for users of the +# Nios II enhanced interrupt port, these settings advertise +# compliance with both legacy and enhanced interrupt APIs, and to state +# that any driver ISR supports preemption. If an interrupt handler +# is added to this driver, these must be re-examined for validity. +set_sw_property isr_preemption_supported true +set_sw_property supported_interrupt_apis "legacy_interrupt_api enhanced_interrupt_api" + +# +# Source file listings... +# + +# C/C++ source files + +# Include files +add_sw_property include_source inc/osd_generator_regs.h + +# This driver supports HAL & UCOSII BSP (OS) types +add_sw_property supported_bsp_type HAL +add_sw_property supported_bsp_type UCOSII + +# End of file diff --git a/ip/osd_generator/osd_generator_top.sv b/ip/osd_generator/osd_generator_top.sv new file mode 100644 index 0000000..59a5bb3 --- /dev/null +++ b/ip/osd_generator/osd_generator_top.sv @@ -0,0 +1,192 @@ +// +// Copyright (C) 2019 Markus Hiienkari +// +// This file is part of Open Source Scan Converter project. +// +// This program is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program. If not, see . +// + +module osd_generator_top( + // common + input clk_i, + input rst_i, + // avalon slave + input [31:0] avalon_s_writedata, + output [31:0] avalon_s_readdata, + input [3:0] avalon_s_address, + input [3:0] avalon_s_byteenable, + input avalon_s_write, + input avalon_s_read, + input avalon_s_chipselect, + output avalon_s_waitrequest_n, + // OSD interface + input vclk, + input [10:0] xpos, + input [10:0] ypos, + output reg osd_enable, + output reg osd_color +); + +localparam CHAR_ROWS = 2; +localparam CHAR_COLS = 16; + +localparam OSD_CONFIG_REGNUM = 4'h0; + +reg [31:0] osd_config; + +reg [7:0] char_ptr[CHAR_ROWS*CHAR_COLS-1:0], char_ptr_pp3[7:0] /* synthesis ramstyle = "logic" */; +reg [10:0] xpos_scaled; +reg [10:0] ypos_scaled; +reg [7:0] x_ptr[2:5], y_ptr[2:5] /* synthesis ramstyle = "logic" */; +reg osd_act_pp[2:5]; +reg [4:0] char_idx; +reg [2:0] char_idx_lo; +reg [14:0] to_ctr, to_ctr_ms; + +wire render_enable = osd_config[0]; +wire status_refresh = osd_config[1]; +wire menu_active = osd_config[2]; +wire [1:0] status_timeout = osd_config[4:3]; +wire [2:0] x_offset = osd_config[7:5]; +wire [2:0] y_offset = osd_config[10:8]; +wire [1:0] x_size = osd_config[12:11]; +wire [1:0] y_size = osd_config[14:13]; + +wire [7:0] rom_rdaddr = char_ptr_pp3[char_idx_lo]; +wire [0:7] char_data[7:0]; + +assign avalon_s_waitrequest_n = 1'b1; + + +char_rom char_rom_inst ( + .clock(vclk), + .address(rom_rdaddr), + .q({char_data[7],char_data[6],char_data[5],char_data[4],char_data[3],char_data[2],char_data[1],char_data[0]}) +); + +// Pipeline structure +// | 1 | 2 | 3 | 4 | 5 | 6 | +// |-------------|------------|-------------|---------|---------|------------| +// | xpos_scaled | x_ptr | x_ptr | x_ptr | x_ptr | | +// | ypos_scaled | y_ptr | y_ptr | y_ptr | y_ptr | | +// | | osd_act | osd_act | osd_act | osd_act | osd_enable | +// | | char_idx | char_idx_lo | CBUF | CBUF | osd_color | +integer idx, pp_idx; +always @(posedge vclk) begin + xpos_scaled <= (xpos >> x_size)-({3'h0, x_offset} << 3); + ypos_scaled <= (ypos >> y_size)-({3'h0, y_offset} << 3); + + x_ptr[2] <= xpos_scaled[7:0]; + y_ptr[2] <= ypos_scaled[7:0]; + for(pp_idx = 3; pp_idx <= 5; pp_idx = pp_idx+1) begin + x_ptr[pp_idx] <= x_ptr[pp_idx-1]; + y_ptr[pp_idx] <= y_ptr[pp_idx-1]; + end + + char_idx <= CHAR_COLS*(ypos_scaled >> 3) + (xpos_scaled >> 3); + char_idx_lo <= char_idx[2:0]; + + for(idx = 0; idx <= 7; idx = idx+1) begin + char_ptr_pp3[idx] <= char_ptr[{char_idx[4:3], 3'(idx)}]; + end + + osd_act_pp[2] <= render_enable & (menu_active || (to_ctr_ms > 0)) & ((xpos_scaled < 8*CHAR_COLS) && (ypos_scaled < 8*CHAR_ROWS)); + for(pp_idx = 3; pp_idx <= 5; pp_idx = pp_idx+1) begin + osd_act_pp[pp_idx] <= osd_act_pp[pp_idx-1]; + end + + osd_enable <= osd_act_pp[5]; + osd_color = char_data[y_ptr[5]][x_ptr[5]]; +end + +// OSD status timeout counters +always @(posedge clk_i) +begin + if (status_refresh) begin + to_ctr <= 15'd0; + case (status_timeout) + default: to_ctr_ms <= 2000; // 2s + 2'b01: to_ctr_ms <= 5000; // 5s + 2'b10: to_ctr_ms <= 10000; // 10s + 2'b11: to_ctr_ms <= 0; // off + endcase + end else begin + if (to_ctr == 27000-1) begin + to_ctr <= 0; + if (to_ctr_ms != 15'h0) + to_ctr_ms <= to_ctr_ms - 1'b1; + end else begin + to_ctr <= to_ctr + 1'b1; + end + end +end + +// Avalon register interface +always @(posedge clk_i or posedge rst_i) begin + if (rst_i) begin + osd_config <= 32'h0; + end else begin + if (avalon_s_chipselect && avalon_s_write && (avalon_s_address==OSD_CONFIG_REGNUM)) begin + if (avalon_s_byteenable[3]) + osd_config[31:24] <= avalon_s_writedata[31:24]; + if (avalon_s_byteenable[2]) + osd_config[23:16] <= avalon_s_writedata[23:16]; + if (avalon_s_byteenable[1]) + osd_config[15:8] <= avalon_s_writedata[15:8]; + if (avalon_s_byteenable[0]) + osd_config[7:0] <= avalon_s_writedata[7:0]; + end else begin + osd_config[1] <= 1'b0; // reset timer refresh bit + end + end +end + + +genvar i; +generate + for (i = 0; i < (CHAR_ROWS*CHAR_COLS); i = i + 4) begin : genreg + always @(posedge clk_i or posedge rst_i) begin + if (rst_i) begin + char_ptr[i] <= 0; + char_ptr[i+1] <= 0; + char_ptr[i+2] <= 0; + char_ptr[i+3] <= 0; + end else begin + if (avalon_s_chipselect && avalon_s_write && (avalon_s_address==1+(i/4))) begin + if (avalon_s_byteenable[3]) + char_ptr[i+3] <= avalon_s_writedata[31:24]; + if (avalon_s_byteenable[2]) + char_ptr[i+2] <= avalon_s_writedata[23:16]; + if (avalon_s_byteenable[1]) + char_ptr[i+1] <= avalon_s_writedata[15:8]; + if (avalon_s_byteenable[0]) + char_ptr[i] <= avalon_s_writedata[7:0]; + end + end + end + end +endgenerate + +always @(*) begin + if (avalon_s_chipselect && avalon_s_read) begin + case (avalon_s_address) + OSD_CONFIG_REGNUM: avalon_s_readdata = osd_config; + default: avalon_s_readdata = 32'h00000000; + endcase + end else begin + avalon_s_readdata = 32'h00000000; + end +end + +endmodule diff --git a/ossc.qsf b/ossc.qsf index 8080327..731ebe1 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -224,7 +224,7 @@ set_global_assignment -name ENABLE_SIGNALTAP OFF set_global_assignment -name USE_SIGNALTAP_FILE output_files/ossc_la.stp set_global_assignment -name FITTER_EFFORT "AUTO FIT" -set_global_assignment -name SEED 4 +set_global_assignment -name SEED 3 @@ -237,6 +237,7 @@ set_global_assignment -name VERILOG_FILE rtl/lat_tester.v set_global_assignment -name QIP_FILE sys/synthesis/sys.qip set_global_assignment -name QIP_FILE software/sys_controller/mem_init/meminit.qip set_global_assignment -name QIP_FILE rtl/linebuf.qip +set_global_assignment -name QIP_FILE rtl/char_rom.qip set_global_assignment -name QIP_FILE rtl/pll_2x.qip set_global_assignment -name QIP_FILE rtl/pll_3x.qip set_global_assignment -name QIP_FILE rtl/lpm_mult_4_hybr_ref_pre.qip diff --git a/ossc_sw_bsp.project b/ossc_sw_bsp.project index 665927d..6397fd4 100644 --- a/ossc_sw_bsp.project +++ b/ossc_sw_bsp.project @@ -1,14 +1,5 @@ - - - - - - - - /home/markus/Code/ossc/software - @@ -35,10 +26,20 @@ }]]]> + + + + + + + + /home/markus/Code/ossc/software + + diff --git a/rtl/char_rom.qip b/rtl/char_rom.qip new file mode 100644 index 0000000..4569a40 --- /dev/null +++ b/rtl/char_rom.qip @@ -0,0 +1,6 @@ +set_global_assignment -name IP_TOOL_NAME "ROM: 1-PORT" +set_global_assignment -name IP_TOOL_VERSION "17.1" +set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" +set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "char_rom.v"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "char_rom_inst.v"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "char_rom_bb.v"] diff --git a/rtl/char_rom.v b/rtl/char_rom.v new file mode 100644 index 0000000..b280cf6 --- /dev/null +++ b/rtl/char_rom.v @@ -0,0 +1,164 @@ +// megafunction wizard: %ROM: 1-PORT% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: altsyncram + +// ============================================================ +// File Name: char_rom.v +// Megafunction Name(s): +// altsyncram +// +// Simulation Library Files(s): +// altera_mf +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 17.1.0 Build 590 10/25/2017 SJ Lite Edition +// ************************************************************ + + +//Copyright (C) 2017 Intel Corporation. All rights reserved. +//Your use of Intel Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Intel Program License +//Subscription Agreement, the Intel Quartus Prime License Agreement, +//the Intel FPGA IP License Agreement, or other applicable license +//agreement, including, without limitation, that your use is for +//the sole purpose of programming logic devices manufactured by +//Intel and sold by Intel or its authorized distributors. Please +//refer to the applicable agreement for further details. + + +// synopsys translate_off +`timescale 1 ps / 1 ps +// synopsys translate_on +module char_rom ( + address, + clock, + q); + + input [7:0] address; + input clock; + output [63:0] q; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + tri1 clock; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_on +`endif + + wire [63:0] sub_wire0; + wire [63:0] q = sub_wire0[63:0]; + + altsyncram altsyncram_component ( + .address_a (address), + .clock0 (clock), + .q_a (sub_wire0), + .aclr0 (1'b0), + .aclr1 (1'b0), + .address_b (1'b1), + .addressstall_a (1'b0), + .addressstall_b (1'b0), + .byteena_a (1'b1), + .byteena_b (1'b1), + .clock1 (1'b1), + .clocken0 (1'b1), + .clocken1 (1'b1), + .clocken2 (1'b1), + .clocken3 (1'b1), + .data_a ({64{1'b1}}), + .data_b (1'b1), + .eccstatus (), + .q_b (), + .rden_a (1'b1), + .rden_b (1'b1), + .wren_a (1'b0), + .wren_b (1'b0)); + defparam + altsyncram_component.address_aclr_a = "NONE", + altsyncram_component.clock_enable_input_a = "BYPASS", + altsyncram_component.clock_enable_output_a = "BYPASS", +`ifdef NO_PLI + altsyncram_component.init_file = "./ip/osd_generator/bin/char_rom.rif" +`else + altsyncram_component.init_file = "./ip/osd_generator/bin/char_rom.hex" +`endif +, + altsyncram_component.intended_device_family = "Cyclone IV E", + altsyncram_component.lpm_hint = "ENABLE_RUNTIME_MOD=NO", + altsyncram_component.lpm_type = "altsyncram", + altsyncram_component.numwords_a = 256, + altsyncram_component.operation_mode = "ROM", + altsyncram_component.outdata_aclr_a = "NONE", + altsyncram_component.outdata_reg_a = "CLOCK0", + altsyncram_component.widthad_a = 8, + altsyncram_component.width_a = 64, + altsyncram_component.width_byteena_a = 1; + + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" +// Retrieval info: PRIVATE: AclrAddr NUMERIC "0" +// Retrieval info: PRIVATE: AclrByte NUMERIC "0" +// Retrieval info: PRIVATE: AclrOutput NUMERIC "0" +// Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0" +// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" +// Retrieval info: PRIVATE: BlankMemory NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: Clken NUMERIC "0" +// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" +// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" +// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" +// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" +// Retrieval info: PRIVATE: JTAG_ID STRING "NONE" +// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" +// Retrieval info: PRIVATE: MIFfilename STRING "./ip/osd_generator/bin/char_rom.hex" +// Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "256" +// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" +// Retrieval info: PRIVATE: RegAddr NUMERIC "1" +// Retrieval info: PRIVATE: RegOutput NUMERIC "1" +// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +// Retrieval info: PRIVATE: SingleClock NUMERIC "1" +// Retrieval info: PRIVATE: UseDQRAM NUMERIC "0" +// Retrieval info: PRIVATE: WidthAddr NUMERIC "8" +// Retrieval info: PRIVATE: WidthData NUMERIC "64" +// Retrieval info: PRIVATE: rden NUMERIC "0" +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE" +// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: INIT_FILE STRING "./ip/osd_generator/bin/char_rom.hex" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" +// Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" +// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" +// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "256" +// Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM" +// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" +// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0" +// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "8" +// Retrieval info: CONSTANT: WIDTH_A NUMERIC "64" +// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" +// Retrieval info: USED_PORT: address 0 0 8 0 INPUT NODEFVAL "address[7..0]" +// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" +// Retrieval info: USED_PORT: q 0 0 64 0 OUTPUT NODEFVAL "q[63..0]" +// Retrieval info: CONNECT: @address_a 0 0 8 0 address 0 0 8 0 +// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 +// Retrieval info: CONNECT: q 0 0 64 0 @q_a 0 0 64 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL char_rom.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL char_rom.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL char_rom.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL char_rom.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL char_rom_inst.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL char_rom_bb.v TRUE +// Retrieval info: LIB_FILE: altera_mf diff --git a/rtl/ossc.v b/rtl/ossc.v index ccc2ed0..d6890ac 100644 --- a/rtl/ossc.v +++ b/rtl/ossc.v @@ -105,6 +105,11 @@ reg remove_event_prev; reg [14:0] to_ctr, to_ctr_ms; wire lcd_bl_timeout; +wire osd_color, osd_enable_pre; +wire osd_enable = osd_enable_pre & ~lt_active; +wire [10:0] xpos, xpos_sc, xpos_vg; +wire [10:0] ypos, ypos_sc, ypos_vg; + // Latch inputs from TVP7002 (synchronized to PCLK_in) always @(posedge PCLK_in or negedge hw_reset_n) @@ -187,6 +192,8 @@ assign HDMI_TX_HS = videogen_sel ? HSYNC_out_videogen : HSYNC_out; assign HDMI_TX_VS = videogen_sel ? VSYNC_out_videogen : VSYNC_out; assign HDMI_TX_PCLK = videogen_sel ? PCLK_out_videogen : PCLK_out; assign HDMI_TX_DE = videogen_sel ? DE_out_videogen : DE_out; +assign xpos = videogen_sel ? xpos_vg : xpos_sc; +assign ypos = videogen_sel ? ypos_vg : ypos_sc; `else wire videogen_sel; assign videogen_sel = 1'b0; @@ -197,6 +204,8 @@ assign HDMI_TX_HS = HSYNC_out; assign HDMI_TX_VS = VSYNC_out; assign HDMI_TX_PCLK = PCLK_out; assign HDMI_TX_DE = DE_out; +assign xpos = xpos_sc; +assign ypos = ypos_sc; `endif // LCD backlight timeout counters @@ -250,7 +259,12 @@ sys sys_inst( .sc_config_0_sc_if_v_config_o (v_config), .sc_config_0_sc_if_misc_config_o (misc_config), .sc_config_0_sc_if_sl_config_o (sl_config), - .sc_config_0_sc_if_sl_config2_o (sl_config2) + .sc_config_0_sc_if_sl_config2_o (sl_config2), + .osd_generator_0_osd_if_vclk (HDMI_TX_PCLK), + .osd_generator_0_osd_if_xpos (xpos), + .osd_generator_0_osd_if_ypos (ypos), + .osd_generator_0_osd_if_osd_enable (osd_enable_pre), + .osd_generator_0_osd_if_osd_color (osd_color) ); scanconverter scanconverter_inst ( @@ -286,7 +300,11 @@ scanconverter scanconverter_inst ( .ilace_flag (ilace_flag), .vsync_flag (vsync_flag), .lt_active (lt_active), - .lt_mode (lt_mode_synced) + .lt_mode (lt_mode_synced), + .osd_enable (osd_enable), + .osd_color (osd_color), + .xpos (xpos_sc), + .ypos (ypos_sc) ); ir_rcv ir0 ( @@ -319,13 +337,17 @@ videogen vg0 ( .reset_n (po_reset_n & videogen_sel), .lt_active (lt_active), .lt_mode (lt_mode_synced), + .osd_enable (osd_enable), + .osd_color (osd_color), .R_out (R_out_videogen), .G_out (G_out_videogen), .B_out (B_out_videogen), .HSYNC_out (HSYNC_out_videogen), .VSYNC_out (VSYNC_out_videogen), .PCLK_out (PCLK_out_videogen), - .ENABLE_out (DE_out_videogen) + .ENABLE_out (DE_out_videogen), + .xpos (xpos_vg), + .ypos (ypos_vg) ); `endif diff --git a/rtl/scanconverter.v b/rtl/scanconverter.v index 1021a39..f845962 100644 --- a/rtl/scanconverter.v +++ b/rtl/scanconverter.v @@ -105,7 +105,11 @@ module scanconverter ( output ilace_flag, output vsync_flag, input lt_active, - input [1:0] lt_mode + input [1:0] lt_mode, + input osd_enable, + input osd_color, + output reg [10:0] xpos, + output reg [10:0] ypos ); //clock-related signals @@ -534,6 +538,7 @@ mux5 mux5_inst ( // | | | | | | SLG | SLG | SLG | SLG | SLG | | // | | | | | | | | | | | MASK | // | | | | | | | | | | | LTBOX | +// | | | | | | | | | | | OSD | integer pp_idx; always @(posedge pclk_act) begin @@ -546,6 +551,8 @@ begin hcnt_pp <= hcnt_act; vcnt_pp <= vcnt_act; + xpos <= hcnt_pp - H_AVIDSTART; + ypos <= vcnt_pp - V_AVIDSTART; border_enable_pp[2] <= ((hcnt_pp < H_AVIDMASK_START) | (hcnt_pp >= H_AVIDMASK_STOP) | (vcnt_pp < V_AVIDMASK_START) | (vcnt_pp >= V_AVIDMASK_STOP)); for(pp_idx = 3; pp_idx <= `PP_PIPELINE_LENGTH; pp_idx = pp_idx+1) begin border_enable_pp[pp_idx] <= border_enable_pp[pp_idx-1]; @@ -686,7 +693,11 @@ begin end // apply LT box / mask - if (lt_active) begin + if (osd_enable) begin + R_out <= {8{osd_color}}; + G_out <= {8{osd_color}}; + B_out <= 8'hff; + end else if (lt_active) begin R_out <= {8{lt_box_enable_pp[`PP_PIPELINE_LENGTH]}}; G_out <= {8{lt_box_enable_pp[`PP_PIPELINE_LENGTH]}}; B_out <= {8{lt_box_enable_pp[`PP_PIPELINE_LENGTH]}}; diff --git a/rtl/videogen.v b/rtl/videogen.v index b63b822..12cbfcc 100644 --- a/rtl/videogen.v +++ b/rtl/videogen.v @@ -24,13 +24,17 @@ module videogen ( input reset_n, input lt_active, input [1:0] lt_mode, - output [7:0] R_out, - output [7:0] G_out, - output [7:0] B_out, + input osd_enable, + input osd_color, + output reg [7:0] R_out, + output reg [7:0] G_out, + output reg [7:0] B_out, output reg HSYNC_out, output reg VSYNC_out, output PCLK_out, - output reg ENABLE_out + output reg ENABLE_out, + output reg [9:0] xpos, + output reg [9:0] ypos ); //Parameters for 720x480@59.94Hz (858px x 525lines, pclk 27MHz -> 59.94Hz) @@ -63,31 +67,25 @@ parameter Y_START = V_SYNCLEN + V_BACKPORCH; reg [9:0] h_cnt; //max. 1024 reg [9:0] v_cnt; //max. 1024 -reg [9:0] xpos; -reg [9:0] ypos; - assign PCLK_out = clk27; -//R, G and B should be 0 outside of active area -assign R_out = ENABLE_out ? V_gen : 8'h00; -assign G_out = ENABLE_out ? V_gen : 8'h00; -assign B_out = ENABLE_out ? V_gen : 8'h00; - -reg [7:0] V_gen; - - //HSYNC gen (negative polarity) always @(posedge clk27 or negedge reset_n) begin if (!reset_n) begin h_cnt <= 0; + xpos <= 0; HSYNC_out <= 0; end else begin //Hsync counter - if (h_cnt < H_TOTAL-1) + if (h_cnt < H_TOTAL-1) begin h_cnt <= h_cnt + 1'b1; - else + if (h_cnt >= X_START) + xpos <= xpos + 1'b1; + end else begin h_cnt <= 0; + xpos <= 0; + end //Hsync signal HSYNC_out <= (h_cnt < H_SYNCLEN) ? 1'b0 : 1'b1; @@ -99,14 +97,19 @@ always @(posedge clk27 or negedge reset_n) begin if (!reset_n) begin v_cnt <= 0; + ypos <= 0; VSYNC_out <= 0; end else begin //Vsync counter if (h_cnt == H_TOTAL-1) begin - if (v_cnt < V_TOTAL-1) + if (v_cnt < V_TOTAL-1) begin v_cnt <= v_cnt + 1'b1; - else + if (v_cnt >= Y_START) + ypos <= ypos + 1'b1; + end else begin v_cnt <= 0; + ypos <= 0; + end end //Vsync signal @@ -118,33 +121,39 @@ end always @(posedge clk27 or negedge reset_n) begin if (!reset_n) begin - V_gen <= 8'h00; + R_out <= 8'h00; + G_out <= 8'h00; + B_out <= 8'h00; ENABLE_out <= 1'b0; end else begin - if (lt_active) begin + if (osd_enable) begin + R_out <= {8{osd_color}}; + G_out <= {8{osd_color}}; + B_out <= 8'hff; + end else if (lt_active) begin case (lt_mode) default: begin - V_gen <= 8'h00; + {R_out, G_out, B_out} <= {3{8'h00}}; end `LT_POS_TOPLEFT: begin - V_gen <= ((h_cnt < (X_START+(H_ACTIVE/`LT_WIDTH_DIV))) && (v_cnt < (Y_START+(V_ACTIVE/`LT_HEIGHT_DIV)))) ? 8'hff : 8'h00; + {R_out, G_out, B_out} <= {3{((xpos < (H_ACTIVE/`LT_WIDTH_DIV)) && (ypos < (V_ACTIVE/`LT_HEIGHT_DIV))) ? 8'hff : 8'h00}}; end `LT_POS_CENTER: begin - V_gen <= ((h_cnt >= (X_START+(H_ACTIVE/2)-(H_ACTIVE/(`LT_WIDTH_DIV*2)))) && (h_cnt < (X_START+(H_ACTIVE/2)+(H_ACTIVE/(`LT_WIDTH_DIV*2)))) && (v_cnt >= (Y_START+(V_ACTIVE/2)-(V_ACTIVE/(`LT_HEIGHT_DIV*2)))) && (v_cnt < (Y_START+(V_ACTIVE/2)+(V_ACTIVE/(`LT_HEIGHT_DIV*2))))) ? 8'hff : 8'h00; + {R_out, G_out, B_out} <= {3{((xpos >= ((H_ACTIVE/2)-(H_ACTIVE/(`LT_WIDTH_DIV*2)))) && (xpos < ((H_ACTIVE/2)+(H_ACTIVE/(`LT_WIDTH_DIV*2)))) && (ypos >= ((V_ACTIVE/2)-(V_ACTIVE/(`LT_HEIGHT_DIV*2)))) && (ypos < ((V_ACTIVE/2)+(V_ACTIVE/(`LT_HEIGHT_DIV*2))))) ? 8'hff : 8'h00}}; end `LT_POS_BOTTOMRIGHT: begin - V_gen <= ((h_cnt >= (X_START+H_ACTIVE-(H_ACTIVE/`LT_WIDTH_DIV))) && (v_cnt >= (Y_START+V_ACTIVE-(V_ACTIVE/`LT_HEIGHT_DIV)))) ? 8'hff : 8'h00; + {R_out, G_out, B_out} <= {3{((xpos >= (H_ACTIVE-(H_ACTIVE/`LT_WIDTH_DIV))) && (ypos >= (V_ACTIVE-(V_ACTIVE/`LT_HEIGHT_DIV)))) ? 8'hff : 8'h00}}; end endcase end else begin - if ((h_cnt < X_START+H_OVERSCAN) || (h_cnt >= X_START+H_OVERSCAN+H_AREA) || (v_cnt < Y_START+V_OVERSCAN) || (v_cnt >= Y_START+V_OVERSCAN+V_AREA)) - V_gen <= (h_cnt[0] ^ v_cnt[0]) ? 8'hff : 8'h00; - else if ((h_cnt < X_START+H_OVERSCAN+H_BORDER) || (h_cnt >= X_START+H_OVERSCAN+H_AREA-H_BORDER) || (v_cnt < Y_START+V_OVERSCAN+V_BORDER) || (v_cnt >= Y_START+V_OVERSCAN+V_AREA-V_BORDER)) - V_gen <= 8'h50; - else if (v_cnt >= Y_START+V_OVERSCAN+V_BORDER+V_GRADIENT-V_GRAYRAMP) - V_gen <= (((h_cnt - (X_START+H_OVERSCAN+H_BORDER)) >> 4) << 3) + (h_cnt - (X_START+H_OVERSCAN+H_BORDER) >> 6); + if ((xpos < H_OVERSCAN) || (xpos >= H_OVERSCAN+H_AREA) || (ypos < V_OVERSCAN) || (ypos >= V_OVERSCAN+V_AREA)) + {R_out, G_out, B_out} <= {3{(xpos[0] ^ ypos[0]) ? 8'hff : 8'h00}}; + else if ((xpos < H_OVERSCAN+H_BORDER) || (xpos >= H_OVERSCAN+H_AREA-H_BORDER) || (ypos < V_OVERSCAN+V_BORDER) || (ypos >= V_OVERSCAN+V_AREA-V_BORDER)) + {R_out, G_out, B_out} <= {3{8'h50}}; + else if (ypos >= V_OVERSCAN+V_BORDER+V_GRADIENT-V_GRAYRAMP) + {R_out, G_out, B_out} <= {3{8'((((xpos - (H_OVERSCAN+H_BORDER)) >> 4) << 3) + (xpos - (H_OVERSCAN+H_BORDER) >> 6))}}; else - V_gen <= (h_cnt - (X_START+H_OVERSCAN+H_BORDER)) >> 1; + {R_out, G_out, B_out} <= {3{8'((xpos - (H_OVERSCAN+H_BORDER)) >> 1)}}; end ENABLE_out <= (h_cnt >= X_START && h_cnt < X_START + H_ACTIVE && v_cnt >= Y_START && v_cnt < Y_START + V_ACTIVE); diff --git a/software/sys_controller/Makefile b/software/sys_controller/Makefile index 9cc395b..f8ceeec 100644 --- a/software/sys_controller/Makefile +++ b/software/sys_controller/Makefile @@ -1132,7 +1132,7 @@ ossc/menu_sjis.c: ossc/menu.c mem_init/sys_onchip_memory2_0.hex: sys_controller.elf $(RV_OBJCOPY) --change-addresses -0x10000 -O binary --gap-fill 0 $< mem_init/sys_onchip_memory2_0.bin - ../../tools/bin2hex mem_init/sys_onchip_memory2_0.bin mem_init/sys_onchip_memory2_0.hex + ../../tools/bin2hex 4 mem_init/sys_onchip_memory2_0.bin mem_init/sys_onchip_memory2_0.hex .PHONY: mem_init_generate_new mem_init_generate_new: mem_init/sys_onchip_memory2_0.hex diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index a5d27c3..ebfcac2 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -45,9 +45,9 @@ :04002C000000911728 :04002D00F5010113C5 :04002E000000871730 -:04002F000887071324 -:0400300000008797AE -:040031006607879344 +:04002F003A870713F2 +:04003000000097979E +:040031009807879312 :0400320000F7576319 :04003300000720237F :04003400DDE30711F0 @@ -56,41 +56,41 @@ :04003700C4064501B5 :04003800200520057A :04003900A60367E1D2 -:04003A0067E114075F -:04003B001447A5833E +:04003A0067E146072D +:04003B004647A5830C :04003C0040A267E196 -:04003D001487A5037C +:04003D004687A5034A :04003E00206F0131FD -:04003F00A3A1422017 +:04003F00A3A158E041 :0400400067E1808272 :04004100000207377B -:0400420014E7A823F4 -:0400430007B7655D39 +:0400420046E7A823C2 +:0400430007B7656135 :040044006761019C53 :04004500CC078793CA -:040046005EC505137B -:0400470014F7262361 +:040046008CC505134D +:0400470046F726232F :040048006761AE49F5 -:0400490014C72703AE +:0400490046C727037C :04004A00A78367E140 -:04004B00557D1507C3 +:04004B00557D470791 :04004C004721CB116C :04004D006741C3D86C :04004E00C798177DBB :04004F004711C7D8B6 :040050004501C3D8CB :0400510067E1808261 -:0400520014C7A783A5 +:0400520046C7A78373 :04005300CF91557D77 :04005400A70367E1B6 -:040055002823150740 +:04005500282347070E :040056004B1C000738 :0400570007C24B4849 :0400580083C1054219 :0400590045138D5D61 :04005A008082FFF5AC :04005B00A50367E1B1 -:04005C00808214C7C3 +:04005C00808246C791 :04005D002703C93973 :04005E0011510C052B :04005F0000859793EE @@ -174,7 +174,7 @@ :0400AD00953E8626D0 :0400AE00CC3ACE1A60 :0400AF00C836CA166F -:0400B0001BC050EF32 +:0400B000474050EF86 :0400B10007B3101071 :0400B200C03E0096B6 :0400B3008793678543 @@ -273,7 +273,7 @@ :04011000C222853A48 :040111008436C40666 :0401120050EFC026C4 -:04011300872A032014 +:04011300872A2EA069 :0401140025B76605A0 :04011500652100025E :040116000613468105 @@ -308,7 +308,7 @@ :04013300E963FEB7C7 :0401340066D902F690 :040135008693078A1C -:0401360097B60B4627 +:0401360097B636C67C :040137008782439CDC :040138002000079309 :040139000D05268307 @@ -341,7 +341,7 @@ :04015400D918DD5C7D :0401550002052A2352 :04015600C134DD10C3 -:0401570007C58593C0 +:04015700394585930E :0401580040A222019E :04015900853E478117 :04015A00808201316D @@ -408,7 +408,7 @@ :04019700BFD5078544 :04019800451CC11928 :0401990067E1EF919A -:04019A000787A783A9 +:04019A003907A783F7 :04019B001151C395A6 :04019C009782C4067C :04019D0047D940A25C @@ -418,8 +418,8 @@ :0401A100419CC11CA0 :0401A200C188C3C885 :0401A3008082450110 -:0401A4000513656179 -:0401A50047D971C500 +:0401A4000513656575 +:0401A50047D9A3C5CE :0401A6005529C11CFA :0401A70067338082B8 :0401A80053FD00B54E @@ -601,7 +601,7 @@ :04025800BF59013158 :04025900C22211515B :04025A0004136461C4 -:04025B00458316447D +:04025B00458348444B :04025C004515002420 :04025D003749C40653 :04025E0000244783AE @@ -677,9 +677,9 @@ :0402A400359D0C5028 :0402A50064E14702C7 :0402A6008693479262 -:0402A700C69816449B +:0402A700C698484469 :0402A80084934705EF -:0402A90044091644AA +:0402A9004409484478 :0402AA008363462202 :0402AB00442900E7FB :0402AC00822347055D @@ -859,12 +859,12 @@ :04035A008432C036F3 :04035B003669CA062F :04035C00676146820D -:04035D001647061326 +:04035D0048470613F4 :04035E0097938A8562 :04035F0046830016BB :040360009AF500C644 :0403610007938EDD93 -:040362000623164711 +:0403620006234847DF :04036300C03E00D6C2 :04036400C2998A8927 :040365003F494505C2 @@ -905,11 +905,11 @@ :0403880047C104F471 :040389009F6365E128 :04038A00460D14F414 -:04038B000845859309 +:04038B0039C5859358 :04038C00073005131E -:04038D0065DD3CC925 +:04038D0065E13CC921 :04038E0085934649C4 -:04038F00A8356F0519 +:04038F00A8359D05EB :040390000405E593E8 :04039100E593BFA58C :04039200BF8D08050E @@ -920,11 +920,11 @@ :040397007413FA04DD :04039800B7750FF432 :04039900460D65E1C7 -:04039A0008858593BA +:04039A003A05859308 :04039B00073005130F -:04039C0065DD3C5986 +:04039C0065E13C5982 :04039D0085934649B5 -:04039E00051370458E +:04039E0005139E4560 :04039F00F0EF076014 :0403A0004489A89F45 :0403A1000720051319 @@ -986,17 +986,17 @@ :0403D9000161450574 :0403DA0065E18082D7 :0403DB008593460DB3 -:0403DC000513088578 +:0403DC0005133A05C6 :0403DD00F0EF073006 -:0403DE0065DD991F21 +:0403DE0065E1991F1D :0403DF008593464973 -:0403E000BDE56DC545 +:0403E000BDE59BC517 :0403E1008593460DAD -:0403E20005130845B2 +:0403E200051339C501 :0403E300F0EF073000 -:0403E40065DD979F9D +:0403E40065E1979F99 :0403E500859346496D -:0403E600B5C56C85A8 +:0403E600B5C59A857A :0403E7004481440108 :0403E80045E1B5D561 :0403E90006200513D2 @@ -1020,9 +1020,9 @@ :0403FB000513448220 :0403FC000131059036 :0403FD00905FF06FAE -:0403FE00879367DD9D -:0403FF0067615EC70D -:0404000016F72A239E +:0403FE00879367E199 +:0403FF0067618CC7DF +:0404000048F72A236C :040401000C87A703BA :04040200F38005136B :04040300A703CB017F @@ -1031,7 +1031,7 @@ :04040600450100F7B5 :0404070067E18082A7 :0404080085AA86AE8D -:040409001747A503E9 +:040409004947A503B7 :04040A00C4061151C2 :04040B00BF0FF0EF40 :04040C000513C119FA @@ -1043,7 +1043,7 @@ :0404120086AA0FF4B3 :040413000622872E08 :04041400C38564E157 -:040415001744A503E0 +:040415004944A503AE :04041600F0047593E6 :04041700F0EF05A25B :04041800C1199D8FDA @@ -1051,7 +1051,7 @@ :04041A00444240D246 :04041B00016144B285 :04041C00C22A8082EE -:04041D001744A503D8 +:04041D004944A503A6 :04041E0085B2C42EB1 :04041F00F0EFC03208 :04042000460296CF2B @@ -1096,20 +1096,20 @@ :0404470046A2471270 :040448000014B613D3 :04044900853685BAB5 -:04044A0020EFC236A7 -:04044B00872A72107A +:04044A0030EFC23697 +:04044B00872A1D805F :04044C001004849381 :04044D00B77D46929F :04044E00C422114172 :04044F00C02A6461FA -:0404500017840513F5 +:0404500049840513C3 :0404510040EFC606AC -:04045200458205805A +:0404520045823100AE :040453000713E90999 :0404540046812000BD :040455000513460144 -:0404560040EF1784D8 -:0404570040B218C0D7 +:0404560040EF4984A6 +:0404570040B244402B :0404580001414422F8 :04045900112180826B :04045A00C626C822C8 @@ -1124,9 +1124,9 @@ :040463000103171367 :04046400834167E188 :040465008622468124 -:04046600178785135C +:04046600498785132A :04046700C21AC41ED3 -:04046800146040EFED +:040468003FE040EF42 :0404690043A2431255 :04046A000533C9018C :04046B0040D240A09B @@ -1140,7134 +1140,7334 @@ :040473000083571398 :0404740084B393BA00 :04047500B7454064E3 -:04047600656165E176 -:040477001C858593C8 -:04047800190505134A -:040479000D00306FD3 -:04047A00656165E172 -:04047B00240585933C -:04047C001A45051305 -:04047D000C00306FD0 -:04047E00C40611514E -:04047F00842AC222E7 -:040480009B5FF0EF9F -:04048100450145816B -:04048200A9DFF0EF0F -:04048300056347893D -:04048400646102F4B9 -:0404850025840413B3 -:04048600460367E1E1 -:040487004583037432 -:04048800A503036461 -:04048900F0EF1887F1 -:04048A004503FF2FF8 -:04048B0044120364B0 -:04048C00013140A258 -:04048D00DFAFF06F7E -:04048E00441240A232 -:04048F008082013135 -:04049000C026115120 -:04049100450584AAEF -:04049200C222C406B8 -:04049300ADDFF0EFFA -:04049400943FF0EFB2 -:040495004501458157 -:04049600975FF0EF8D -:040497000793646102 -:04049800C5031DC4B7 -:04049900478501078B -:04049A001DC4041366 -:04049B0000A0353355 -:04049C00460105050B -:04049D0000F4936371 -:04049E0086934609F2 -:04049F0036B3FFE48D -:0404A000458100D0C2 -:0404A100AD7FF0EF4C -:0404A200896347899A -:0404A300636102F49B -:0404A4002583031396 -:0404A50000F4478395 -:0404A60002434703C3 -:0404A70001144503F4 -:0404A800009035B3D8 -:0404A9004601468141 -:0404AA00F0EF0586E4 -:0404AB0067E1D12F05 -:0404AC00258783130A -:0404AD00024347833C -:0404AE0004F400A3AF -:0404AF003F2D852632 -:0404B00040A2441210 -:0404B100450144823B -:0404B200F06F0131B5 -:0404B3001151A5FF3F -:0404B400C222C40696 -:0404B50067E1E559BD -:0404B6001DC7879344 -:0404B700043743D4EF -:0404B8000413019C8C -:0404B9005433CC04E8 -:0404BA00868302D45F -:0404BB00467100A7DF -:0404BC00879367E1DA -:0404BD0086B330C70B -:0404BE0097B602C625 -:0404BF0000E7D783F8 -:0404C000043346A11A -:0404C10067E102F4F9 -:0404C2001B57C7837A -:0404C30002D78D636C -:0404C400896346C141 -:0404C500471100D704 -:0404C60004E7916353 -:0404C7005B2030EF97 -:0404C800A829450515 -:0404C90001C9C7B7E7 -:0404CA0037F78793E6 -:0404CB00E363872A36 -:0404CC00473D008721 -:0404CD0030EF853A4D -:0404CE00450D5980FF -:0404CF0040A24412F1 -:0404D000306F013157 -:0404D10030EF1540B3 -:0404D200B537588062 -:0404D300051304C445 -:0404D40035333FF588 -:0404D5000509008590 -:0404D60030EFB7D577 -:0404D7004501574044 -:0404D80067E1BFF128 -:0404D90025878713D9 -:0404DA0002E746836C -:0404DB008413470936 -:0404DC00453D2587EE -:0404DD0000E683634F -:0404DE0030EF4501B5 -:0404DF0047835540BA -:0404E000470902E4E2 -:0404E1007BE3450D67 -:0404E2004515FAF7CB -:0404E30075138D1DE3 -:0404E400B76D0FF5EC -:0404E500F8634785EC -:0404E60067E100A723 -:0404E7001B57C503D7 -:0404E8003513156152 -:0404E90047E10015D2 -:0404EA0002F50533DF -:0404EB00879367DDAF -:0404EC00953E1247E0 -:0404ED004220306F0A -:0404EE002603676119 -:0404EF00110108C728 -:0404F000CE0667E1EC -:0404F100CA26CC2229 -:0404F2006A0787937B -:0404F3000036468306 -:0404F400E299829D6A -:0404F500FBFD17FDF7 -:0404F60076A030EFCD -:0404F700869367E1A0 -:0404F800C5831DC7D4 -:0404F900C23E00B649 -:0404FA001DC7849303 -:0404FB0082AA67E189 -:0404FC0008C7871393 -:0404FD004314C5914E -:0404FE000026C7838A -:0404FF000037F5933A -:04050000037005136C -:04050100C62EC81624 -:0405020010C030EF06 -:040503000513C02AF2 -:0405040030EF038051 -:04050500430210207D -:0405060016936605DD -:040507000613008552 -:040508008EF1F0067A -:040509000065561320 -:04050A000066E6B3EE -:04050B00842A8A05AF -:04050C000390051340 -:04050D0084A3C036CD -:04050E0030EF00C406 -:04050F00C42A0DA04D -:0405100003A005132C -:040511000D0030EFBA -:04051200871367E103 -:04051300431008C7C2 -:04051400468245B224 -:040515000026470372 -:04051600531342C277 -:04051700470300276F -:040518008B7D0036A1 -:04051900006716134E -:04051A0000666733DD -:04051B0087634609A3 -:04051C00C60302C54B -:04051D00E60900B437 -:04051E0018F00613B8 -:04051F0002D670632D -:040520009A6346058F -:04052100069300C578 -:04052200B593001776 -:04052300C59319065D -:0405240047810015F6 -:040525007713A80997 -:04052600DB6502048B -:040527000C86B593F6 -:040528000015C59362 -:04052900C30347853C -:04052A00666100B452 -:04052B0006136761EB -:04052C000413154659 -:04052D001D6325879E -:04052E0082632403BD -:04052F00806346029D -:0405300005834605F4 -:040531004309000674 -:0405320022B35F632E -:04053300000600239B -:040534004605471120 -:0405350000C485A3D6 -:040536001313C03AA1 -:0405370047220085D2 -:04053800051365053D -:040539007333F00523 -:04053A00633300A384 -:04053B00471200E380 -:04053C00260365E14C -:04053D0005131DC7BE -:04053E006D630016D3 -:04053F00851300D54B -:0405400069630016D5 -:0405410040D000C5E1 -:0405420000661663D6 -:040543000084C60367 -:0405440040F60A6310 -:0405450022058E23DA -:040546000194458354 -:040547000364C60380 -:040548000AC59063ED -:0405490001A4458341 -:04054A000374C6036D -:04054B0008C59A63E2 -:04054C0001B445832E -:04054D000384C6035A -:04054E0008C59463E5 -:04054F0001C445831B -:040550000394C60347 -:0405510006C59E63DA -:0405520001D4458308 -:0405530003A4C60334 -:0405540006C59863DD -:040555000144458395 -:040556000314C603C1 -:0405570006C59263E0 -:040558000154458382 -:040559000324C603AE -:04055A0004C59C63D5 -:04055B00016445836F -:04055C000334C6039B -:04055D0004C59663D8 -:04055E00017445835C -:04055F000344C60388 -:0405600004C59063DB -:040561000184458349 -:040562000354C60375 -:0405630002C59A63D0 -:040564000274458355 -:040565000444C60381 -:0405660002C59463D3 -:040567000284458342 -:040568000454C6036E -:0405690000C59E63C8 -:04056A00032445839E -:04056B0004F4C603CB -:04056C0000C59863CB -:04056D00039445832B -:04056E000564C60357 -:04056F0000C58C63D4 -:040570004589470270 -:04057100000146033C -:0405720000B77363F8 -:0405730077134609AB -:04057400C03A0FF684 -:040575000254458364 -:040576000424C60390 -:0405770002C58D63C9 -:0405780000A4860352 -:04057900063345F10F -:04057A0065E102B67F -:04057B0030C585936F -:04057C004A10962E5D -:04057D0020D00593F2 -:04057E007613821559 -:04057F001C637FF684 -:04058000470200B678 -:04058100460345895F -:04058200736300019E -:04058300460900B76E -:040584000FF67713E4 -:040585004583C03AB0 -:04058600C603026442 -:040587008D63043448 -:04058800860302C51F -:0405890045F100A494 -:04058A0002B606337C -:04058B00859365E10E -:04058C00962E30C5B2 -:04058D0005934A1078 -:04058E0082151C10A6 -:04058F007FF676136A -:0405900000B61C6332 -:04059100458947024F -:04059200000146031B -:0405930000B77363D7 -:04059400771346098A -:04059500C03A0FF663 -:040596004603676150 -:04059700CE41252705 -:0405980000A48383B5 -:0405990062E1467164 -:04059A0002C383B362 -:04059B0030C28613D1 -:04059C000124C583EE -:04059D00C0834515BD -:04059E0093B20444CC -:04059F000103A603AB -:0405A00006338A7D17 -:0405A100063302B665 -:0405A200051302A695 -:0405A30006130640F5 -:0405A40046330326B1 -:0405A500D50302A6D2 -:0405A600853300E3B6 -:0405A700159302A501 -:0405A80081C1010309 -:0405A9000542953240 -:0405AA009B238141CD -:0405AB00460100A461 -:0405AC000000866362 -:0405AD000183A6031D -:0405AE008A05820533 -:0405AF00C436C61A6E -:0405B00030EFC83E22 -:0405B100860300A01D -:0405B20045F100A46B -:0405B300063367E1C3 -:0405B400829302B676 -:0405B500C58330C703 -:0405B60092B20124D8 -:0405B7000162C50315 -:0405B800292030EFD7 -:0405B90089A34702C9 -:0405BA0046A200A4B1 -:0405BB0047C24332BE -:0405BC004705E319F3 -:0405BD004712C03AE7 -:0405BE000064A22310 -:0405BF0000F484239D -:0405C0001CD72E23F3 -:0405C1000585A03DCF -:0405C20000B600235C -:0405C300B3F1C002CE -:0405C400000284634A -:0405C5002005956315 -:0405C6000006030325 -:0405C7005B6353F12E -:0405C80047111E7346 -:0405C9000006002305 -:0405CA00000485A301 -:0405CB0096E3C03AB9 -:0405CC0067E1DA0504 -:0405CD002587C603B5 -:0405CE0001D4C6830B -:0405CF000CD61A63C9 -:0405D00000144603CA -:0405D10001E4C683F8 -:0405D2000CD61463CC -:0405D30000244603B7 -:0405D40001F4C683E5 -:0405D5000AD61E63C1 -:0405D60000344603A4 -:0405D7000204C683D1 -:0405D8000AD61863C4 -:0405D9000064460371 -:0405DA000234C6839E -:0405DB000AD61263C7 -:0405DC00656165E10F -:0405DD0085934615A7 -:0405DE0005132015CC -:0405DF0030EF2605CE -:0405E000E5594D90FC -:0405E100656165E10A -:0405E200859346199E -:0405E3000513206577 -:0405E40030EF265579 -:0405E500ED2D4C505C -:0405E6000044460384 -:0405E7000214C683B1 -:0405E80006D61863B8 -:0405E9000054460371 -:0405EA000224C6839E -:0405EB0006D61263BB -:0405EC00007446034E -:0405ED000244C6837B -:0405EE0004D61C63B0 -:0405EF0001F44603CA -:0405F00003C4C683F7 -:0405F10004D61663B3 -:0405F20002044603B6 -:0405F30003D4C683E4 -:0405F40004D61063B6 -:0405F50002144603A3 -:0405F60003E4C683D1 -:0405F70002D61A63AB -:0405F8000224460390 -:0405F90003F4C683BE -:0405FA0002D61463AE -:0405FB0001E44603CE -:0405FC0003B4C683FB -:0405FD0000D61E63A3 -:0405FE000354460359 -:0405FF000524C68386 -:0406000000D61863A5 -:040601000344460365 -:040602000514C68392 -:0406030000D60663B4 -:04060400E3994782AD -:04060500C03E478527 -:0406060002A4450302 -:040607000474C6832E -:0406080000A6846361 -:04060900186030EF56 -:04060A0002B44503EE -:04060B000484C6831A -:04060C0000A684635D -:04060D0061F020EF89 -:04060E0002C44503DA -:04060F000494C68306 -:0406100000A6846359 -:04061100619020EFE5 -:0406120002F44503A6 -:0406130004C4C683D2 -:040614000304458313 -:0406150000A6966342 -:0406160004D4C683BF -:0406170000B6846342 -:040618005D5020EF22 -:0406190002944503FF -:04061A000464C6832B -:04061B0000A684634E -:04061C00B25FF0EFEA -:04061D0002E44503AB -:04061E0004B4C683D7 -:04061F0000A684634A -:04062000A4FFF0EF54 -:0406210002D44503B7 -:0406220004A4C683E3 +:04047600A78367E110 +:0404770011413A47AE +:040478006461C422D5 +:040479004B04059398 +:04047A00851346415F +:04047B00C60600476A +:04047C00C03EC22696 +:04047D006B2040EFC1 +:04047E0064E147826C +:04047F004E8485938F +:040480008513464159 +:0404810040EF014700 +:0404820005136A00F4 +:0404830044224B04C0 +:04048400859340B26A +:0404850044924E84CB +:04048600306F014191 +:0404870067E13520D4 +:040488003A47A783C5 +:04048900C422114137 +:04048A000593646111 +:04048B0046414C4456 +:04048C00004785138D +:04048D00C226C606B7 +:04048E0040EFC03E3D +:04048F00478266C07A +:04049000859364E10B +:040491004641560486 +:040492000147851386 +:0404930065A040EF31 +:040494004C440513BC +:0404950040B244220B +:0404960056048593F0 +:040497000141449249 +:0404980030C0306FD1 +:04049900C406115133 +:04049A00842AC222CC +:04049B00949FF0EF4B +:04049C004501458150 +:04049D00A31FF0EFBA +:04049E000563478922 +:04049F00646102F49E +:0404A0005784041366 +:0404A100460367E1C6 +:0404A2004583037417 +:0404A300A503036446 +:0404A400F0EF4A87A4 +:0404A5004503F86FA4 +:0404A6004412036495 +:0404A700013140A23D +:0404A800D8EFF06F2A +:0404A900441240A217 +:0404AA00808201311A +:0404AB00C026115105 +:0404AC00450584AAD4 +:0404AD00C222C4069D +:0404AE00A71FF0EFA5 +:0404AF008D7FF0EF5E +:0404B000450145813C +:0404B100909FF0EF39 +:0404B20007936461E7 +:0404B300C5034FC46A +:0404B4004785010770 +:0404B5004FC4041319 +:0404B60000A035333A +:0404B70046010505F0 +:0404B80000F4936356 +:0404B90086934609D7 +:0404BA0036B3FFE472 +:0404BB00458100D0A7 +:0404BC00A6BFF0EFF8 +:0404BD00896347897F +:0404BE00636102F480 +:0404BF005783031349 +:0404C00000F447837A +:0404C10002434703A8 +:0404C20001144503D9 +:0404C300009035B3BD +:0404C4004601468126 +:0404C500F0EF0586C9 +:0404C60067E1CA6FB1 +:0404C70057878313BD +:0404C8000243478321 +:0404C90004F400A394 +:0404CA003F2D852617 +:0404CB0040A24412F5 +:0404CC004501448220 +:0404CD00F06F01319A +:0404CE0011519F3FEA +:0404CF00C222C4067B +:0404D00067E1E559A2 +:0404D1004FC78793F7 +:0404D200043743D4D4 +:0404D3000413019C71 +:0404D4005433CC04CD +:0404D500868302D444 +:0404D600467100A7C4 +:0404D700879367E1BF +:0404D80086B362C7BE +:0404D90097B602C60A +:0404DA0000E7D783DD +:0404DB00043346A1FF +:0404DC0067E102F4DE +:0404DD004D77C7830D +:0404DE0002D78D6351 +:0404DF00896346C126 +:0404E000471100D7E9 +:0404E10004E7916338 +:0404E2007FE030EF98 +:0404E300A8294505FA +:0404E40001C9C7B7CC +:0404E50037F78793CB +:0404E600E363872A1B +:0404E700473D008706 +:0404E80030EF853A32 +:0404E900450D7E40FF +:0404EA0040A24412D6 +:0404EB00306F01313C +:0404EC0030EF3A00B3 +:0404ED00B5377D4062 +:0404EE00051304C42A +:0404EF0035333FF56D +:0404F0000509008575 +:0404F10030EFB7D55C +:0404F20045017C0044 +:0404F30067E1BFF10D +:0404F400578787138C +:0404F50002E7468351 +:0404F600841347091B +:0404F700453D5787A1 +:0404F80000E6836334 +:0404F90030EF45019A +:0404FA0047837A00BA +:0404FB00470902E4C7 +:0404FC007BE3450D4C +:0404FD004515FAF7B0 +:0404FE0075138D1DC8 +:0404FF00B76D0FF5D1 +:04050000F8634785D0 +:0405010067E100A707 +:040502004D77C50369 +:040503003513156136 +:0405040047E10015B6 +:0405050002F50533C3 +:04050600879367DD93 +:04050700953E404796 +:0405080066E0306F0A +:0405090026036761FD +:04050A0011013AC7DA +:04050B00CE0667E1D0 +:04050C00CA26CC220D +:04050D006A0787935F +:04050E0000364683EA +:04050F00E299829D4E +:04051000FBFD17FDDB +:040511001B7030EF3C +:04051200869367E184 +:04051300C5834FC786 +:04051400C23E00B62D +:040515004FC78493B5 +:0405160082AA67E16D +:040517003AC7871345 +:040518004314C59132 +:040519000026C7836E +:04051A000037F5931E +:04051B000370051351 +:04051C00C62EC81609 +:04051D00358030EF06 +:04051E000513C02AD7 +:04051F0030EF038036 +:04052000430234E07E +:0405210016936605C2 +:040522000613008537 +:040523008EF1F0065F +:040524000065561305 +:040525000066E6B3D3 +:04052600842A8A0594 +:040527000390051325 +:0405280084A3C036B2 +:0405290030EF00C4EB +:04052A00C42A32604D +:04052B0003A0051311 +:04052C0031C030EFBB +:04052D00871367E1E8 +:04052E0043103AC775 +:04052F00468245B209 +:040530000026470357 +:04053100531342C25C +:040532004703002754 +:040533008B7D003686 +:040534000067161333 +:0405350000666733C2 +:040536008763460988 +:04053700C60302C530 +:04053800E60900B41C +:0405390018F006139D +:04053A0002D6706312 +:04053B009A63460574 +:04053C00069300C55D +:04053D00B59300175B +:04053E00C593190642 +:04053F0047810015DB +:040540007713A8097C +:04054100DB65020470 +:040542000C86B593DB +:040543000015C59347 +:04054400C303478521 +:04054500666100B437 +:0405460006136761D0 +:04054700041347460C +:040548001D63578751 +:0405490082632403A2 +:04054A008063460282 +:04054B0005834605D9 +:04054C004309000659 +:04054D0022B35F6313 +:04054E000006002380 +:04054F004605471105 +:0405500000C485A3BB +:040551001313C03A86 +:0405520047220085B7 +:040553000513650522 +:040554007333F00508 +:04055500633300A369 +:04055600471200E365 +:04055700260365E131 +:0405580005134FC771 +:040559006D630016B8 +:04055A00851300D530 +:04055B0069630016BA +:04055C0040D000C5C6 +:04055D0000661663BB +:04055E000084C6034C +:04055F0040F60A63F5 +:0405600054058E238D +:040561000194458339 +:040562000364C60365 +:040563000AC59063D2 +:0405640001A4458326 +:040565000374C60352 +:0405660008C59A63C7 +:0405670001B4458313 +:040568000384C6033F +:0405690008C59463CA +:04056A0001C4458300 +:04056B000394C6032C +:04056C0006C59E63BF +:04056D0001D44583ED +:04056E0003A4C60319 +:04056F0006C59863C2 +:04057000014445837A +:040571000314C603A6 +:0405720006C59263C5 +:040573000154458367 +:040574000324C60393 +:0405750004C59C63BA +:040576000164458354 +:040577000334C60380 +:0405780004C59663BD +:040579000174458341 +:04057A000344C6036D +:04057B0004C59063C0 +:04057C00018445832E +:04057D000354C6035A +:04057E0002C59A63B5 +:04057F00027445833A +:040580000444C60366 +:0405810002C59463B8 +:040582000284458327 +:040583000454C60353 +:0405840000C59E63AD +:040585000324458383 +:0405860004F4C603B0 +:0405870000C59863B0 +:040588000394458310 +:040589000564C6033C +:04058A0000C58C63B9 +:04058B004589470255 +:04058C000001460321 +:04058D0000B77363DD +:04058E007713460990 +:04058F00C03A0FF669 +:040590000254458349 +:040591000424C60375 +:0405920002C58D63AE +:0405930000A4860337 +:04059400063345F1F4 +:0405950065E102B664 +:0405960062C5859322 +:040597004A10962E42 +:0405980020D00593D7 +:04059900761382153E +:04059A001C637FF669 +:04059B00470200B65D +:04059C004603458944 +:04059D007363000183 +:04059E00460900B753 +:04059F000FF67713C9 +:0405A0004583C03A95 +:0405A100C603026427 +:0405A2008D6304342D +:0405A300860302C504 +:0405A40045F100A479 +:0405A50002B6063361 +:0405A600859365E1F3 +:0405A700962E62C565 +:0405A80005934A105D +:0405A90082151C108B +:0405AA007FF676134F +:0405AB0000B61C6317 +:0405AC004589470234 +:0405AD000001460300 +:0405AE0000B77363BC +:0405AF00771346096F +:0405B000C03A0FF648 +:0405B1004603676135 +:0405B200CE415727B8 +:0405B30000A483839A +:0405B40062E1467149 +:0405B50002C383B347 +:0405B60062C2861384 +:0405B7000124C583D3 +:0405B800C0834515A2 +:0405B90093B20444B1 +:0405BA000103A60390 +:0405BB0006338A7DFC +:0405BC00063302B64A +:0405BD00051302A67A +:0405BE0006130640DA +:0405BF004633032696 +:0405C000D50302A6B7 +:0405C100853300E39B +:0405C200159302A5E6 +:0405C30081C10103EE +:0405C4000542953225 +:0405C5009B238141B2 +:0405C600460100A446 +:0405C7000000866347 +:0405C8000183A60302 +:0405C9008A05820518 +:0405CA00C436C61A53 +:0405CB0030EFC83E07 +:0405CC00860325601D +:0405CD0045F100A450 +:0405CE00063367E1A8 +:0405CF00829302B65B +:0405D000C58362C7B6 +:0405D10092B20124BD +:0405D2000162C503FA +:0405D3004DE030EFD8 +:0405D40089A34702AE +:0405D50046A200A496 +:0405D60047C24332A3 +:0405D7004705E319D8 +:0405D8004712C03ACC +:0405D9000064A223F5 +:0405DA0000F4842382 +:0405DB004ED72E23A6 +:0405DC000585A03DB4 +:0405DD0000B6002341 +:0405DE00B3F1C002B3 +:0405DF00000284632F +:0405E00020059563FA +:0405E100000603030A +:0405E2005B6353F113 +:0405E30047111E732B +:0405E40000060023EA +:0405E500000485A3E6 +:0405E60096E3C03A9E +:0405E70067E1DA05E9 +:0405E8005787C60368 +:0405E90001D4C683F0 +:0405EA000CD61A63AE +:0405EB0000144603AF +:0405EC0001E4C683DD +:0405ED000CD61463B1 +:0405EE00002446039C +:0405EF0001F4C683CA +:0405F0000AD61E63A6 +:0405F1000034460389 +:0405F2000204C683B6 +:0405F3000AD61863A9 +:0405F4000064460356 +:0405F5000234C68383 +:0405F6000AD61263AC +:0405F700656165E1F4 +:0405F800859346158C +:0405F900051352157F +:0405FA0030EF580581 +:0405FB00E5597250FC +:0405FC00656165E1EF +:0405FD008593461983 +:0405FE00051352652A +:0405FF0030EF58552C +:04060000ED2D71105B +:040601000044460368 +:040602000214C68395 +:0406030006D618639C +:040604000054460355 +:040605000224C68382 +:0406060006D612639F +:040607000074460332 +:040608000244C6835F +:0406090004D61C6394 +:04060A0001F44603AE +:04060B0003C4C683DB +:04060C0004D6166397 +:04060D00020446039A +:04060E0003D4C683C8 +:04060F0004D610639A +:040610000214460387 +:0406110003E4C683B5 +:0406120002D61A638F +:040613000224460374 +:0406140003F4C683A2 +:0406150002D6146392 +:0406160001E44603B2 +:0406170003B4C683DF +:0406180000D61E6387 +:04061900035446033D +:04061A000524C6836A +:04061B0000D618638A +:04061C00034446034A +:04061D000514C68377 +:04061E0000D6066399 +:04061F00E399478292 +:04062000C03E47850C +:0406210002A44503E7 +:040622000474C68313 :0406230000A6846346 -:04062400062030EF8D -:0406250065E166E144 -:0406260029268513E9 -:040627008593461D54 -:0406280030EF233557 -:0406290066E13B50FB -:04062A008513C50966 -:04062B0020EF29266D -:04062C0046035B70B6 -:04062D00C683036419 -:04062E001863053414 -:04062F00460300D6A8 -:04063000C683037406 -:040631000663054413 -:04063200450300D6A6 -:04063300F0EF0234AE -:0406340066E192BF2A -:040635002536C6831D -:040636004503CE8129 -:04063700C70303846E -:0406380007630554FB -:04063900155100A7B0 -:04063A00856105626F -:04063B0007D020EFD5 -:04063C00859367E15A -:04063D008513258775 -:04063E00061301D4CA -:04063F0030EF042074 -:0406400040F237F05D -:0406410067E14462C7 -:0406420024078923DD -:0406430044D2450256 -:04064400808261054A -:040645000023137DFE -:04064600C002006688 -:040647000023BD09C6 -:04064800BFE5000604 -:0406490023C5C603FC -:04064A0007E3450578 -:04064B000605BEA63C -:04064C000FF676131C -:04064D0022C58E2311 -:04064E00BEA610E351 -:04064F004589470290 -:04065000000146035C -:0406510000B7736318 -:0406520077134609CB -:04065300C03A0FF6A4 -:040654000113B6E1F7 -:0406550067E1FC81DC -:04065600D826DA22A6 -:040657001DC7871321 -:0406580000A7048370 -:040659006561467120 -:04065A0002C486331D -:04065B0030C506930D -:04065C0001E74583EA -:04065D0001274283AC -:04065E001DC787939A -:04065F0030C503138C -:04066000D60396B275 -:04066100C40300C608 -:04066200CC3201464F -:040663000136C68313 -:04066400CE36C002CC -:04066500022746839F -:0406660000C747037F -:04066700C43AD43687 -:040668000FD5F713A0 -:04066900C703EB15C3 -:04066A00C2990247E8 -:04066B00A00546851B -:04066C00468D46224F -:04066D0000D60B6345 -:04066E0046114522CA -:04066F0010C5096346 -:0406700015E34689BF -:040671000706FED5A5 -:0406720046A2B7D510 -:0406730097330706AC -:04067400771300E612 -:04067500C03A0FF781 -:04067600FFF5869373 -:040677000FF6F693F1 -:04067800C20247056E -:0406790002D76063E1 -:04067A00C6034691DC -:04067B00E3630247EC -:04067C0086930E56FD -:04067D0086B3FFF24F -:04067E0016B302C6E7 -:04067F00F71300D796 -:04068000C23A0FF675 -:040681000237C70372 -:04068200C6024681E5 -:04068300438DCA3A9F -:0406840000269513A4 -:040685000C759A63F3 -:0406860000D78733DF -:0406870002574703CC -:04068800FFF706135F -:0406890016338A3D5D -:04068A00453200A64F -:04068B0000E0373321 -:04068C0000D7173349 -:04068D00C6328E499A -:04068E008F51460240 -:04068F000FF77713D7 -:040690000685C03AE1 -:0406910095E3471591 -:040692004681FCE6BB -:04069300438DC802C9 -:040694000026951394 -:040695000A759163EE -:0406960000D78733CF -:0406970002A747036C -:04069800FFF706134F -:0406990016338A3D4D -:04069A00454200A62F -:04069B0000E0373311 -:04069C0000D7173339 -:04069D00C8328E4988 -:04069E008F51461220 -:04069F000FF77713C7 -:0406A0000685C23ACF -:0406A10095E347197D -:0406A2004771FCE6BA -:0406A30002E48733B3 -:0406A4004F18971A3A -:0406A5008B05D002EF -:0406A6004722CB011B -:0406A700C703C711AD -:0406A80037130217EB -:0406A900D03A00172C -:0406AA0001D7C703AA -:0406AB001B63468502 -:0406AC00472204D706 -:0406AD004771CB11B5 -:0406AE0002E48733A8 -:0406AF00971A4691BF -:0406B00083754B58AB -:0406B10004D71163F6 -:0406B200C002C202BE -:0406B3000733A82D34 -:0406B400BDF502D7B7 -:0406B500FFE28513C8 -:0406B60002C5063340 -:0406B7001633450DA4 -:0406B800771300C5EF -:0406B900BF310FF648 -:0406BA00153347525B -:0406BB00473200A71B -:0406BC00C63A8F4962 -:0406BD004752B7B930 -:0406BE0000A7153349 -:0406BF008F494742D6 -:0406C000B741C83A3C -:0406C1004F8CD37116 -:0406C2008C636711CD -:0406C300666330E555 -:0406C400069302B7E0 -:0406C50047111000C9 -:0406C60002D58D6369 -:0406C700470D6689EC -:0406C80002D589636B -:0406C900080006938C -:0406CA0032D59B6327 -:0406CB0003B7C68328 -:0406CC0007068F1579 -:0406CD000FF7771399 -:0406CE000737A82919 -:0406CF00876300102D -:0406D00007372EE5D5 -:0406D1008763020039 -:0406D20066A12EE50A -:0406D30098634719C8 -:0406D40066E130D5D6 -:0406D5001B56C603E7 -:0406D600156346A1C1 -:0406D700040600D63F -:0406D8008041044217 -:0406D9000147C6838C -:0406DA00CA324605D5 -:0406DB000086F7633B -:0406DC0040D406B34D -:0406DD0082C106C20E -:0406DE004571CA3662 -:0406DF0002A48533B9 -:0406E00040E286B3BB -:0406E1004609D636BA -:0406E2000357C38374 -:0406E30095A674E183 -:0406E40013FD44D2EC -:0406E500007033B3BB -:0406E6002303951A3B -:0406E70003F6008591 -:0406E800029284B343 -:0406E9000153531353 -:0406EA000015B593AF -:0406EB0006B305F25B -:0406EC00F49302D3AE -:0406ED0004D20FF430 -:0406EE0002C6C6B3C7 -:0406EF0082C106C2FC -:0406F000C683D236B5 -:0406F100561203C7D3 -:0406F2000125440397 -:0406F30002D706B371 -:0406F40007428B1D11 -:0406F500C60396B2F0 -:0406F600033300D7F3 -:0406F700F693025321 -:0406F800067A7FF609 -:0406F90000766633EE -:0406FA008DD106CECA -:0406FB0047528ED9FB -:0406FC00C6038DC5DF -:0406FD0083B301378B -:0406FE0073130282EE -:0406FF008A1D7FF3DE -:040700008ED106365A -:040701000155460355 -:040702000527C503FF -:0407030006468A1DFF -:040704001FF3F39359 -:04070500E5B303AEA7 -:04070600E333007564 -:04070700F593006501 -:0407080002B30072C6 -:0407090057320087DC -:04070A008ECD05AAE1 -:04070B0002E282B3D1 -:04070C00897D57127A -:04070D0092BA051E79 -:04070E003FF2F29331 -:04070F0003D7C70342 -:040710000056E2B3FA -:04071100771346A272 -:04071200075203F790 -:040713008F5506F602 -:040714008F5146F2C9 -:0407150003E7C6032D -:0407160003F6F6935D -:0407170000B6959300 -:040718008F4D46E2D9 -:04071900F6938A3D8C -:04071A0064337FF6CF -:04071B00C68300D7BA -:04071C008D5103F701 -:04071D0001F7C58398 -:04071E0006928A9D18 -:04071F0046B28D55FC -:040720000517C703EF -:04072100961389FDA5 -:04072200468200C645 -:0407230005E6823134 -:0407240006D28AFD72 -:04072500C6038ED1A8 -:040726008B05020736 -:040727008A05073206 -:0407280001E617933C -:0407290000B6E633FD -:04072A0057828E5D07 -:04072B0005378F49B6 -:04072C0045138000F1 -:04072D0007FEFFF5CF -:04072E008E5D8E69E5 -:04072F00769347C2B4 -:0407300094930FF39C -:040731004792008764 -:04073200F79380A118 -:0407330007E203F7DF -:0407340057A28CDD5F -:0407350007FE8CE946 -:0407360067E18CDD0E -:0407370008C7A783C5 -:0407380000C7C583AE -:0407390000D786233C -:04073A00008356934F -:04073B000FF6F6932C -:04073C0000D7C5839A -:04073D0000D786A3B8 -:04073E0001035693CA -:04073F000FF6F69328 -:0407400000E7C58386 -:0407410000D7872333 -:0407420000F7C68373 -:0407430001835313C8 -:04074400006787A320 -:040745000FF2F69326 -:040746000107C5835F -:0407470000D788232C -:040748000082D693C2 -:040749000FF6F6931E -:04074A000117C5834B -:04074B0000D788A3A8 -:04074C000102D6933D -:04074D000FF6F6931A -:04074E000127C58337 -:04074F0000D7892323 -:040750000137C68324 -:040751000182D293BC -:04075200005789A320 -:040753000FF4769396 -:040754000147C58311 -:0407550000D78A231C -:040756000084569332 -:040757000FF6F69310 -:040758000157C583FD -:0407590000D78AA398 -:04075A0001045693AD -:04075B000FF6F6930C -:04075C000167C583E9 -:04075D0000D78B2313 -:04075E000177C683D6 -:04075F008BA3806187 -:040760007693008705 -:04076100C5830FF746 -:040762008C2301875C -:04076300569300D7D2 -:04076400C5830087C2 -:040765008CA30197C9 -:04076600C68300D76F -:04076700836101A702 -:0407680000078D23D6 -:0407690001B7C6838B -:04076A0000E78DA374 -:04076B000FF67713FB -:04076C0001C7C68378 -:04076D0000E78E23F0 -:04076E000086571397 -:04076F000FF77713F6 -:0407700001D7C68364 -:0407710000E78EA36C -:040772000106571312 -:040773000FF77713F2 -:0407740001E7C68350 -:0407750000E78F23E7 -:0407760001F7C703BD -:040777008FA3826169 -:04077800F71300C7AC -:04077900C6830FF430 -:04077A0080230207CF -:04077B00D71302E7A7 -:04077C00771300846B -:04077D00C6830FF729 -:04077E0080A302173B -:04077F00D71302E7A3 -:0407800077130104E6 -:04078100C6830FF725 -:0407820080E10227E9 -:0407830002E78123E5 -:040784000237C7036E -:04078500029781A3B3 -:0407860054C25452B3 -:0407870003810113D6 -:04078800C683808222 -:04078900471103B75A -:04078A00B3298F15EB -:04078B0003B7C68367 -:04078C00BFDD471571 -:04078D0003B7C68365 -:04078E008F15471963 -:04078F000FF77713D6 -:040790000357C683C2 -:04079100D00697E314 -:0407920016A146E284 -:0407930082C106C257 -:0407940046F2CC3627 -:0407950006C206B1E1 -:04079600CE3682C118 -:0407970006B7B9DD0B -:0407980087160020A0 -:04079900FCD5FEE3AA -:04079A001111B1ED9B -:04079B00CA2267E126 -:04079C006461470548 -:04079D0022E78E239E -:04079E001DC40793DC -:04079F000047A283EA -:0407A000CC06C82695 -:0407A1001DC40493DC -:0407A2002402896341 -:0407A3001DC4270347 -:0407A40024070563BE -:0407A500A0EEC7B744 -:0407A600B00787937E -:0407A70002E7D7B3DB -:0407A8000084C703FF -:0407A900019C03B7F5 -:0407AA00CC03839366 -:0407AB000253D3B36F -:0407AC0022070363BA -:0407AD000257D2B36A -:0407AE0003B005137C -:0407AF00C21EC6168A -:0407B000654020EF91 -:0407B1000513C42A3E -:0407B20020EF03C071 -:0407B300C78364A0F4 -:0407B400C60301C4B3 -:0407B50043920084E7 -:0407B600002797136E -:0407B700879367D9E4 -:0407B80097BA13C712 -:0407B900270343943B -:0407BA0007931DC4C0 -:0407BB0042B207003F -:0407BC000793E219A4 -:0407BD006637069005 -:0407BE006561000170 -:0407BF001F060613F8 -:0407C000051345C513 -:0407C100C2161A45FD -:0407C20030EFC61E30 -:0407C300429264A05A -:0407C400079343B2A2 -:0407C5000693064051 -:0407C60065613E80AB -:0407C70002F2D73330 -:0407C800051345C50B -:0407C900F6332405DA -:0407CA00C23A02D35A -:0407CB0002F2F2B391 -:0407CC00472987BA78 -:0407CD0002E65733B6 -:0407CE000001663789 -:0407CF000613C01637 -:0407D000D6B31F86F7 -:0407D10030EF02D330 -:0407D20067E160E09B -:0407D3002EB7C783F3 -:0407D400F0EFE399C6 -:0407D5006761A96F40 -:0407D600251746831A -:0407D700C58346127E -:0407D8002503008471 -:0407D90020EF1DC42C -:0407DA0057FD421075 -:0407DB000D636761E2 -:0407DC00852316F566 -:0407DD00878300A46A -:0407DE0066E100A42C -:0407DF00852345F138 -:0407E00087B32EF6B7 -:0407E10066E102B714 -:0407E20030C6859305 -:0407E3000124C60324 -:0407E400470345156D -:0407E500C28325178F -:0407E6008413044430 -:0407E700468130C651 -:0407E8004B8C97AEF1 -:0407E90085B389FD4E -:0407EA0085B302C50C -:0407EB00051302A54B -:0407EC0085930640AB -:0407ED00C5B3032568 -:0407EE00D50302A588 -:0407EF00063300E7E6 -:0407F00095B202A616 -:0407F10081C105C2FB -:0407F20000B49B2391 -:0407F3000177C503C2 -:0407F400897D40D0EB -:0407F50067618D7932 -:0407F6001AA70AA391 -:0407F700771347220B -:0407F80007330FF7BD -:0407F900573302B7B9 -:0407FA00064202C7EA -:0407FB00856382414F -:0407FC004F94000214 -:0407FD008A858285E2 -:0407FE000FF7771367 -:0407FF00223020EF95 -:0408000004B4C50374 -:04080100ACAFF0EFB9 -:040802000464C503C2 -:04080300B88FF0EFCB -:0408040000A4868343 -:04080500C58347F16F -:0408060086B3012490 -:0408070096A202F6BD -:040808000166C503BD -:0408090014F020EFD8 -:04080A0000A489A31A -:04080B00927FF0EFF9 -:04080C000404C70316 -:04080D00C50347894F -:04080E00458100E43C -:04080F0000F7076384 -:0408100000F4C583A8 -:04081100B5938D8985 -:04081200E0EF0015FE -:0408130040D8F71FB3 -:04081400019C07B785 -:04081500CC078793F2 -:0408160002E7D7B36B -:040817000164D7039E -:0408180000D4C6033F -:0408190087B3468DCE -:04081A00C70302E727 -:04081B00070500C409 -:04081C0002E787B3B5 -:04081D0000E4C70329 -:04081E0087B3070590 -:04081F00676102E724 -:0408200018F724237E -:0408210006D616637E -:040822002423838583 -:04082300268318F719 -:0408240007371887F3 -:04082500071305119F -:040826004785F40707 -:0408270000D76B6328 -:0408280004787737A2 -:040829008BF707132F -:04082A00746347812B -:04082B00C78300D7A8 -:04082C00C7030104F9 -:04082D00C58304E497 -:04082E00E7090404CE -:04082F000104C703F6 -:0408300002F70F6359 -:040831008823445282 -:0408320040E200F4AC -:04083300852E44C208 -:04083400F06F0171EF -:04083500078696EFAD -:040836006285BBF12B -:0408370082936391B4 -:04083800839377022D -:04083900BBC9D5431F -:04083A00852347913A -:04083B00B56100F4AF -:04083C001EE34585ED -:04083D00078AF8B678 -:04083E0002D7D7B353 -:04083F004789B779B5 -:0408400002F58263D8 -:040841000114C503D6 -:040842004589C19192 -:04084300C7834452D1 -:04084400C70300F4F2 -:0408450040E2041475 -:04084600468144C2E1 -:0408470001714601F4 -:04084800E9CFE06FA5 -:04084900445240E2F3 -:04084A00017144C232 -:04084B001151808245 -:04084C0064E1C0267D -:04084D001864C50363 -:04084E00C2224581FC -:04084F0010EFC406DC -:04085000842A333093 -:04085100C683E12158 -:0408520067E11864DE -:040853008CA3472902 -:0408540067E11CD765 -:040855002997C78395 -:0408560000E78563CF -:0408570006A367612C -:0408580067E118F745 -:040859001DA7C7030D -:04085A00C70147810A -:04085B00C78367E107 -:04085C00676118D7E1 -:04085D001BC707139B -:04085E00453D97BAC3 -:04085F0000D780231B -:04086000181010EF6D -:0408610040A285220A -:040862004482441276 -:04086300808201315D -:04086400C026115148 -:04086500C50364E182 -:04086600C22218642E -:0408670010EFC406C4 -:04086800842A163098 -:04086900C683E51D40 -:04086A0067E11864C6 -:04086B001CD78CA367 -:04086C00C70367E176 -:04086D0047811DA7FB -:04086E0067E1C70176 -:04086F001F87C78395 -:0408700007136761A2 -:0408710097BA1BC750 -:040872008023453D5D -:0408730010EF00D7AB -:040874008522133096 -:04087500441240A247 -:040876000131448286 -:040877001151808219 -:04087800C222C406CE -:040879001437C0264A -:04087A0047C500026C -:04087B000EF4202334 -:04087C00A78367E106 -:04087D00202308C765 -:04087E0065090E04F6 -:04087F0000C7C703E4 -:0408800000078623C4 -:0408810000D7C703D2 -:04088200000786A342 -:0408830000E7C703C0 -:0408840000078723BF -:0408850000F7C703AE -:04088600000787A33D -:040887000147C7035B -:0408880000078A23B8 -:040889000157C70349 -:04088A0000078AA336 -:04088B000167C70337 -:04088C0000078B23B3 -:04088D000177C70325 -:04088E0071050513D8 -:04088F0000078BA330 -:04089000EBFFD0EFBB -:040891001D1007131C -:040892009B2367E15C -:0408930015371AE714 -:0408940007930003C3 -:0408950020231D10EF -:0408960005130EF444 -:04089700D0EFD405C5 -:040898002637EA1FF6 -:0408990005B7000699 -:04089A000513019CA5 -:04089B000613040438 -:04089C008593A80692 -:04089D00E0EFCC05B7 -:04089E0010EFAFCFD9 -:04089F0020EF79B01D -:0408A00054791D402A -:0408A1004501C155F7 -:0408A20028C020EF5B -:0408A3000FF00793B8 -:0408A4000B63547519 -:0408A50020EF08F543 -:0408A6004509684058 -:0408A700E4CFE0EFCB -:0408A800547147CD73 -:0408A90008F51263D9 -:0408AA00EBCFE0EFC1 -:0408AB006DF010EFED -:0408AC0067E1C50932 -:0408AD0089A34705CF -:0408AE00E0EF24E76C -:0408AF00842AD3FFC5 -:0408B00000EFE93537 -:0408B10064E171A0ED -:0408B2001DC48513C9 -:0408B300061365D9EA -:0408B4008593042004 -:0408B5000575298517 -:0408B6001A4030EFC5 -:0408B700656165D939 -:0408B80003600613C0 -:0408B900428585935C -:0408BA0029C5051334 -:0408BB00190030EF01 -:0408BC00453D4581F0 -:0408BD0017D010EF51 -:0408BE00C50367E126 -:0408BF0045811D97BB -:0408C0001DC484933C -:0408C10016D010EF4E -:0408C200000217B762 -:0408C3000D07A783F3 -:0408C40000E797139F -:0408C5000007446381 -:0408C600700000EFCF -:0408C7004501478917 -:0408C80000F488A30D -:0408C900F1DFE0EF8C -:0408CA0040A28522A1 -:0408CB00448244120D -:0408CC0080820131F4 -:0408CD00BFCD547DCA -:0408CE00C78367E194 -:0408CF0001131847B2 -:0408D000CE22FDC176 -:0408D100F79307850D -:0408D20007B20FF763 -:0408D30083C107C214 -:0408D4006461C83E55 -:0408D5001B645783C6 -:0408D600D0064742BF -:0408D7008FD9CC26C3 -:0408D8008FD967212C -:0408D9001AF41B23CF -:0408DA0000021737CA -:0408DB00C402C2028F -:0408DC00000166377A -:0408DD00202364E18F -:0408DE0006130EF7F8 -:0408DF0045C516866F -:0408E0001C848513DC -:0408E1001D0030EFD7 -:0408E200E51FE0EF3F -:0408E300177D6741D5 -:0408E400CA3A478540 -:0408E50000021737BF -:0408E6000D07268351 -:0408E7008EF14652F6 -:0408E800E7F9C63630 -:0408E90066E1C6F10D -:0408EA0029C6869302 -:0408EB000166D603C9 -:0408EC00578345B237 -:0408ED0014631B6411 -:0408EE0076F512B6D3 -:0408EF008FF516FD6E -:0408F0001AF41B23B8 -:0408F1000EF72023BB -:0408F2001C0484233B -:0408F300E0DFE0EF73 -:0408F400000625379E -:0408F500A80505133A -:0408F600D27FD0EFEE -:0408F7001B645783A4 -:0408F800669147427C -:0408F9003E80051325 -:0408FA008FD58FD92E -:0408FB001AF41B23AD -:0408FC0000021737A8 -:0408FD000EF72023AF -:0408FE00014030EF96 -:0408FF0003A030EF33 -:0409000066E14785E0 -:0409010002F51C637C -:0409020008C6A6037A -:0409030000864783A0 -:04090400009647030F -:040905008F5D0722D9 -:0409060000A647837D -:040907008F5D07C237 -:0409080000B647836B -:040909008FD907E299 -:04090A000107971337 -:04090B00C23A834128 -:04090C0000479713F6 -:04090D00C43A835114 -:04090E00FC07D2E32D -:04090F00012030EFA4 -:04091000EF95479286 -:040911000001663744 -:04091200174606136B -:04091300851345C53E -:0409140030EF1C8420 -:04091500E0EF1020DF -:040916005703D83F6C -:0409170077F11B64F5 -:040918008FF917FD3F -:040919001AF41B238E -:04091A000002173789 -:04091B000EF7202390 -:04091C000513650951 -:04091D00D0EF7105A1 -:04091E0047B2C89F75 -:04091F004792BF211B -:040920009763475240 -:04092100663700E74E -:0409220006130001B7 -:04092300BF7D184636 -:0409240045A247128F -:0409250007936605C9 -:04092600167D0640F4 -:0409270002F756B3CA -:0409280002F7773328 -:0409290000C59C6306 -:04092A00000166372B -:04092B0018C60613D1 -:04092C00851345C525 -:04092D0030EF1C8407 -:04092E00BF7109E0AC -:04092F0045C5462252 -:040930001C8485138B -:0409310002F6763321 -:040932004622C03267 -:0409330002F657B3BE -:040934000001663721 -:040935001986061306 -:0409360007C030EFD7 -:04093700D683BFADF7 -:0409380046320186BC -:04093900F6C69BE380 -:04093A0083D107D28C -:04093B001AF41B236C -:04093C00447250822F -:04093D000EF720236E -:04093E00450144E249 -:04093F00024101135D -:04094000115180824F -:04094100F0EFC40609 -:0409420020EF965FAD -:0409430067E10F4019 -:04094400C50340A205 -:04094500013127B79E -:04094600D29FE06FED -:04094700D6067179E6 -:04094800D226D422BD -:04094900C49FD0EF88 -:04094A00F0EF842A1C -:04094B0086AACB5F4E -:04094C00656164E19C -:04094D001206C66365 -:04094E000001663707 -:04094F000540071345 -:0409500006134681C3 -:0409510045C51AC6B8 -:040952001A4505132A -:04095300008030EF01 -:04095400000165B782 -:0409550085934645FB -:0409560085131DC523 -:0409570020EF240465 -:04095800E0EF71F06B -:04095900A537C87F77 -:04095A00051300077A -:04095B00D0EF1205C2 -:04095C00D0EFB91F00 -:04095D005513BB1F54 -:04095E00079300A457 -:04095F0007B312C008 -:04096000646102F5D7 -:04096100C402C60204 -:04096200C002C2020B -:040963001DC4041398 -:040964004799CC3EA5 -:0409650017B7C83EBA -:04096600A783000261 -:0409670066610D07B1 -:04096800971365611B -:0409690083410107BE -:04096A00FFF7C6933A -:04096B002CE62E2325 -:04096C00073783E1E5 -:04096D008F7500037F -:04096E000FF7F593F7 -:04096F00A02366E17A -:0409700002232EE64A -:0409710085322EB5E8 -:0409720066E186367E -:040973008793CF8116 -:04097400F793FFE511 -:04097500430D0FF728 -:0409760000F37663B1 -:040977002D86C7837F -:0409780000B79463CD -:040979002C052E23F8 -:04097A008C2367E182 -:04097B00A6832CB66D -:04097C00AA232D4736 -:04097D00EAD12CE7A8 -:04097E0047836761E3 -:04097F0064E12547C3 -:040980004783C3F1F5 -:04098100CFDD01C401 -:04098200478366E160 -:04098300C68300B473 -:040984008FD52EB627 -:04098500D0EFEBC5FF -:0409860047E2B31F72 -:040987000AF56463A6 -:040988000793473258 -:04098900EF630630E2 -:04098A00676108E7B2 -:04098B002547468333 -:04098C00470547854F -:04098D0000F6876386 -:04098E00871347C2C2 -:04098F003733FFA754 -:04099000478300E0B9 -:0409910046A101C4B6 -:04099200F79317FDC3 -:04099300E9630FF70E -:0409940066D904F626 -:040995008693078AB4 -:0409960097B60E867C -:040997008782439C74 -:0409980000016637BD -:040999001C0606131F -:04099A00051345C537 -:04099B0020EF1A45EA -:04099C0065B76E705D -:04099D0046450001CA -:04099E0075C5859303 -:04099F002404851394 -:0409A00076F020EFDE -:0409A100B65FE0EF6E -:0409A2002023A0016D -:0409A300B7AD2E06B8 -:0409A400C78367E1BD -:0409A50037B31B87C2 -:0409A600078900F0CD -:0409A70018F486A317 -:0409A800474247B2C9 -:0409A900F793078534 -:0409AA00C63E0FF73F -:0409AB0007634799FE -:0409AC00079300F7B6 -:0409AD00F7930017A5 -:0409AE00C83E0FF739 -:0409AF00A67FD0EF60 -:0409B000C43E478575 -:0409B10067E1294988 -:0409B2002EB7C78312 -:0409B300C781CA2A04 -:0409B40010EF4501FA -:0409B500C78317607D -:0409B600470318D407 -:0409B700046301C410 -:0409B800475200F7AB -:0409B9004722E711D9 -:0409BA004703CB150F -:0409BB00C71D00B4A0 -:0409BC004703676125 -:0409BD00C43A1DA774 -:0409BE006761C31595 -:0409BF001BC7071338 -:0409C000C50397BA1A -:0409C10067E10007E3 -:0409C2001D97C703B3 -:0409C3000763C40200 -:0409C400458100A7C2 -:0409C5001CA78CA33C -:0409C600558010EF59 -:0409C70018D4C783F6 -:0409C80001C447031C -:0409C900849364E1CE -:0409CA001C63258401 -:0409CB00468200F769 -:0409CC007463470900 -:0409CD00C68316D7F0 -:0409CE0047030334A4 -:0409CF008E6305042A -:0409D000473D14E6A5 -:0409D10008A36361B3 -:0409D200470D24E3C6 -:0409D30006F77E6342 -:0409D4000334C7031E -:0409D5008713CB01B8 -:0409D6007713FFA7ED -:0409D70046850FF74B -:0409D80006E6F463D8 -:0409D90075634715E6 -:0409DA00460506F7D1 -:0409DB0046894509FB -:0409DC00FFF7859309 -:0409DD000FF5F71308 -:0409DE00EF6342A1E0 -:0409DF0062D904E2F3 -:0409E0008293070AED -:0409E100971610C293 -:0409E200870243182D -:0409E30017E347854A -:0409E40067E1F007D0 -:0409E50008B7C78305 -:0409E6000017B793AC -:0409E700BDFD0791BA -:0409E8000DE347993B -:0409E900C783EE07CB -:0409EA00BDCD18D493 -:0409EB00B5FD479D72 -:0409EC00C78367E175 -:0409ED0037B318C73D -:0409EE0007A100F06D -:0409EF004799B5C5AA -:0409F000EC071EE30F -:0409F100BDD94785A0 -:0409F2004505460D64 -:0409F300B74D468135 -:0409F400450146096A -:0409F500C202BFE596 -:0409F6000FF5F59371 -:0409F700C0024721D2 -:0409F80000B7696378 -:0409F9000713675920 -:0409FA00972E13071A -:0409FB0000074703A7 -:0409FC000E23C03ACC -:0409FD00478300F438 -:0409FE0005A304B495 -:0409FF0047050004A4 -:040A00007663458D47 -:040A0100459500F720 -:040A0200F5938D9D3E -:040A0300D0320FF5E9 -:040A040010EFCE36EB -:040A050010EF4BB0F3 -:040A0600E0EF58B015 -:040A070067E1B9AF3B -:040A08002537C78344 -:040A0900560246F259 -:040A0A008532C789E1 -:040A0B00117010EF67 -:040A0C00461246F256 -:040A0D008536458263 -:040A0E0026E020EFCF -:040A0F0001C4478354 -:040A10004645656191 -:040A11000027971310 -:040A1200879367D986 -:040A130097BA13C7B4 -:040A14000513438CF7 -:040A150022231A4539 -:040A160020EF0004C9 -:040A170065B7595016 -:040A18006561000113 -:040A19008593464536 -:040A1A0005131D059E -:040A1B0020EF24059F -:040A1C0067E1581026 -:040A1D002EB7C783A6 -:040A1E00E0EFE39989 -:040A1F0047D296FF25 -:040A200067E1CF8932 -:040A21001857C70398 -:040A2200156347A968 -:040A2300453D00F756 -:040A2400270010EFA8 -:040A250088FFD0EF87 -:040A2600C703C6023A -:040A270047830234CB -:040A2800056304045A -:040A2900450302F788 -:040A2A0047810114EB -:040A2B0046814701B8 -:040A2C0045814601B9 -:040A2D00F09FD0EF77 -:040A2E000234C503C6 -:040A2F00985FE0EFFD -:040A30000234C78342 -:040A31000004222378 -:040A320004F40023A5 -:040A33000234C58341 -:040A34008663478905 -:040A3500C70302F5FC -:040A360047830244AC -:040A370080630414C0 -:040A3800450302E789 -:040A3900C191011452 -:040A3A004783458920 -:040A3B00468100F4FC -:040A3C00D0EF4601B0 -:040A3D00C783ECBFC0 -:040A3E0000A30244CB -:040A3F00C78304F471 -:040A40004703033431 -:040A41000463050441 -:040A4200082300F78E -:040A4300478304F4ED -:040A4400C3B501C471 -:040A4500E0EF450297 -:040A46004789AA3FF3 -:040A47000AF50A633F -:040A48000A63479165 -:040A4900478502F5E6 -:040A4A0004F5176335 -:040A4B0000B4478329 -:040A4C00F0EFC3B94B -:040A4D00A081820FF3 -:040A4E00C23A470958 -:040A4F00470DBD7121 -:040A5000C703BFED2C -:040A510042850334A3 -:040A520017E3C216CE -:040A53004741E80728 -:040A540024E308A3EC -:040A55004783B551CD -:040A5600C39D00B488 -:040A570057836761F9 -:040A5800E7931B679E -:040A59001B23002734 -:040A5A0017371AF739 -:040A5B002023000252 -:040A5C00F0EF0EF7B2 -:040A5D000513B91FA5 -:040A5E00D0EF12C003 -:040A5F00B921F84F72 -:040A60000004222349 -:040A610041D010EF81 -:040A620001C4478301 -:040A6300464565613E -:040A640000279713BD -:040A6500879367D933 -:040A660097BA13C761 -:040A67000513438CA4 -:040A680020EF1A451C -:040A690065B744D059 -:040A6A0065610001C1 -:040A6B0085934645E4 -:040A6C0005131D054C -:040A6D0020EF24054D -:040A6E0067E1439069 -:040A6F002EB7C78354 -:040A7000E0EFE39937 -:040A7100D0EF827FC1 -:040A7200C802F5CFF2 -:040A7300B765C6029B -:040A740000B4478300 -:040A7500F0EFD3CDFE -:040A7600BF71C94F34 -:040A7700656165D977 -:040A780006131151FF -:040A7900859304203D -:040A7A0005132985B2 -:040A7B00C406258503 -:040A7C0028D020EF6F -:040A7D00656165DD6D -:040A7E0040C006135B -:040A7F0017C585937F -:040A800030C5051365 -:040A8100279020EFAB -:040A820067E140A246 -:040A83008923470577 -:040A8400450124E71D -:040A85008082013139 -:040A8600111167598A -:040A87003BC70793CF -:040A8800CC06C826AA -:040A8900C002CA22BB -:040A8A00C43E4481A1 -:040A8B0065B7646186 -:040A8C0046450001DA -:040A8D004605859302 -:040A8E00190405132F -:040A8F003B3020EFE9 -:040A9000971347A2CF -:040A91006561002477 -:040A9200430C973E3C -:040A930005134645BC -:040A940020EF1C85AE -:040A9500E0EF39D085 -:040A96004681F82F6E -:040A97001737C22229 -:040A980025830002B0 -:040A990067C10D071D -:040A9A00F63317FD1B -:040A9B00646100F59D -:040A9C002CC42E2315 -:040A9D000D07270317 -:040A9E000003053715 -:040A9F00471367E1B1 -:040AA0008F69FFF764 -:040AA1002EE7A02379 -:040AA200CA15C63E6D -:040AA300086347821B -:040AA400676102F68E -:040AA5000014951391 -:040AA60029C7071342 -:040AA700EABD972AE3 -:040AA800102347923E -:040AA90065B700B776 -:040AAA0046450001BC -:040AAB004685859364 -:040AAC00190785138E -:040AAD0033B020EF53 -:040AAE00F20FE0EF74 -:040AAF0067614685B0 -:040AB000260347B220 -:040AB100A3032D4727 -:040AB20087BA2E07CA -:040AB3006741E605AC -:040AB40002E31263E4 -:040AB50065D9E0BD62 -:040AB600061365615D -:040AB70085930360C0 -:040AB800051342855B -:040AB900C01A29C571 -:040ABA00195020EFC0 -:040ABB004689430223 -:040ABC0067E144EDBD -:040ABD002DC427031A -:040ABE002C67AA23D4 -:040ABF00C03AC6363D -:040AC0008F634709F0 -:040AC100650902E6DB -:040AC20071050513A2 -:040AC300DF2FD0EF62 -:040AC400B7B146B2CE -:040AC50000075703CC -:040AC60002E3468978 -:040AC7004792FAE672 -:040AC800000165B70D -:040AC9008593464586 -:040ACA008513470544 -:040ACB0020EF1907F8 -:040ACC00E0EF2C101B -:040ACD004681EA6F05 -:040ACE0014F9B75907 -:040ACF00BF5D468938 -:040AD00047690485E9 -:040AD100EE9754E365 -:040AD20040E2445268 -:040AD300453D44C297 -:040AD400006F01713D -:040AD50001137AF09F -:040AD6000793FBC1C6 -:040AD700CE3E0131DD -:040AD800879367E1B8 -:040AD900D03E2717CD -:040ADA00879367E1B6 -:040ADB00D23E2727B9 -:040ADC00879367E1B4 -:040ADD00D43E2737A5 -:040ADE00879367E1B2 -:040ADF00D63E274791 -:040AE00067E1D83EB4 -:040AE1002757879379 -:040AE20027B7DA3E1A -:040AE30087930F03E3 -:040AE400CA3EF017FF -:040AE5003030079313 -:040AE6001C23636109 -:040AE700478D00F146 -:040AE80000F10D23E9 -:040AE9001DC307938F -:040AEA0001C7C783F6 -:040AEB00C086DE22C1 -:040AEC0009A3DC2658 -:040AED004725000198 -:040AEE001DC303130E -:040AEF0086634405D1 -:040AF000841300E784 -:040AF1007413001763 -:040AF20067E10FF4B5 -:040AF300A60367618E -:040AF40007132DC7F0 -:040AF500478129C745 -:040AF600568345E9F5 -:040AF7001C63000775 -:040AF800476900D674 -:040AF9000EF766632B -:040AFA00078A6759A7 -:040AFB002DC70713E9 -:040AFC00439C97BAC6 -:040AFD0007098782DC -:040AFE0048B78C6306 -:040AFF00BFF10785B7 -:040B000066E14785DE -:040B01001B66D70395 -:040B0200100747137E -:040B03001AE69B2330 -:040B040027036761FB -:040B050016932E070E -:040B0600D36300F7BE -:040B070087A20006BB -:040B080000E7169359 -:040B09000006DE63A1 -:040B0A004683676156 -:040B0B004585258770 -:040B0C00E563460156 -:040B0D00068500D584 -:040B0E000FF6F613D5 -:040B0F0024C70C23C8 -:040B1000450147292B -:040B110000E7866310 -:040B120006A367616E -:040B1300450518F785 -:040B1400D70367E1BB -:040B150066E11B6713 -:040B16002EB6C683AE -:040B170007429B4DA9 -:040B18009B23834157 -:040B1900EA811AE76C -:040B1A00C68366E147 -:040B1B00068A1C66C4 -:040B1C009B238F5533 -:040B1D00D7031AE7F9 -:040B1E0040861B678B -:040B1F0017B754723E -:040B2000A02300020C -:040B210054E20EE7A5 -:040B22000441011376 -:040B230047898082FC -:040B2400478DBF8DAD -:040B25004791B7BD80 -:040B26004795B7AD8B -:040B27004799B79D96 -:040B2800479DB78DA1 -:040B290047A1BFB968 -:040B2A0047A5BFA973 -:040B2B006761BF99A6 -:040B2C002EB7478316 -:040B2D000017B69364 -:040B2E002ED705A316 -:040B2F004683676131 -:040B300067611D9745 -:040B310018D70323AB -:040B32004505E791FD -:040B330037D000EFC8 -:040B3400BF0547A909 -:040B3500D14FE0EFCD -:040B360067E1BFE5CF -:040B370008C7A70341 -:040B3800000166371B -:040B3900448365612B -:040B3A0047830007E6 -:040B3B000613001786 -:040B3C0045C53586F0 -:040B3D008FC507A2B7 -:040B3E0000274483C5 -:040B3F00190505137C -:040B40008FC504C297 -:040B410000374483B2 -:040B42008CDD04E260 -:040B430000B4D69391 -:040B44000016F7930D -:040B45007FF4F393B3 -:040B4600C61EC43EC5 -:040B4700004746839A -:040B4800005742838D -:040B4900E6B302A26B -:040B4A00428300D210 -:040B4B0047030067F5 -:040B4C0002C200776A -:040B4D0000D2E2B33D -:040B4E0000A3068377 -:040B4F0002B2477136 -:040B500002E686B380 -:040B510007136761BE -:040B5200D29330C743 -:040B5300C21600C204 -:040B540066E1973689 -:040B55001D96C683A0 -:040B56007FC020EF4D -:040B5700831367E1BC -:040B580047031DC76B -:040B5900C72100B3FD -:040B5A0047A243B2B9 -:040B5B0006900713E6 -:040B5C000013869369 -:040B5D0000F696B355 -:040B5E00979396BE15 -:040B5F004292014479 -:040B60000007C46363 -:040B6100070007136F -:040B6200000307B7CE -:040B630007938CFD6B -:040B6400E09902A072 -:040B650002000793F0 -:040B660000016637ED -:040B6700C0166561EE -:040B680036460613F4 -:040B6900051345C566 -:040B6A0020EF1C85D7 -:040B6B00E0EF7AA09D -:040B6C00BF39C2AF1C -:040B6D0057836761E2 -:040B6E00C7931B67A7 -:040B6F001B2301073C -:040B7000B7391AF780 -:040B7100C70367E16E -:040B72004605258788 -:040B730065634681EF -:040B7400070500E68B -:040B75000FF776936D -:040B760024D78C23D1 -:040B770067E1BDD5A0 -:040B78002587871333 -:040B79000017470317 -:040B7A008793460512 -:040B7B004681258703 -:040B7C0000E66563C7 -:040B7D00769307055F -:040B7E0080A30FF74A -:040B7F00BDC900D715 -:040B8000079367610F -:040B8100C78325877A -:040B820007130067EE -:040B8300C78125877A -:040B8400F79317FDCF -:040B850003230FF740 -:040B8600BD5D00F75A -:040B87000713676188 -:040B880047832587F3 -:040B890046B9006702 -:040B8A0000F6F3631B -:040B8B00078547B9DA -:040B8C0065B7B7DDB5 -:040B8D00656100019D -:040B8E0085934645C0 -:040B8F0005133745CE -:040B900020EF190534 -:040B910064E17AC0E1 -:040B9200000165B742 -:040B930085934645BB -:040B94008513384548 -:040B950020EF1C84AD -:040B9600E0EF798093 -:040B97006761B7EFEC -:040B980082A667E1E9 -:040B99001DC78313DE -:040B9A0030C70493C9 -:040B9B00000217B786 -:040B9C000D07A60398 -:040B9D00879367E1F2 -:040B9E00064229C71B -:040B9F0046818241C8 -:040BA000D50345ED47 -:040BA10006630007E0 -:040BA200068500C5FF -:040BA3009AE3078941 -:040BA4000783FEB60F -:040BA500467100A3F2 -:040BA60002C787B348 -:040BA70097A64615B2 -:040BA80083F54BDCAA -:040BA90002F6646389 -:040BAA004A63461143 -:040BAB00183804D61C -:040BAC004703973E26 -:040BAD005733FDC7F6 -:040BAE008B0540D79C -:040BAF00078ACF11D1 -:040BB00097BA1838A0 -:040BB100FE47A783D1 -:040BB20000D78023C5 -:040BB300B1CFE0EFEF -:040BB40085A367E1CD -:040BB500BBED2E075F -:040BB600000166379D -:040BB7000613068596 -:040BB80045C53906F0 -:040BB9001C82851302 -:040BBA0066C020EF02 -:040BBB00AECFE0EFEA -:040BBC000007A53752 -:040BBD001205051305 -:040BBE00A06FD0EF65 -:040BBF0047B1BFC1BA -:040BC000FCF686E3D6 -:040BC10005136509AA -:040BC200D0EF7105FA -:040BC30067E19F4FF8 -:040BC4001DC78313B3 -:040BC500BF9962E191 -:040BC60000A30683FF -:040BC70067E146712B -:040BC80002C68633A8 -:040BC90030C7871397 -:040BCA008793458147 -:040BCB00973230C766 -:040BCC000167470373 -:040BCD00656346799D -:040BCE00070500E631 -:040BCF000FF7759314 -:040BD00086334671B1 -:040BD100676102C690 -:040BD2008B2397B228 -:040BD300460500B71C -:040BD40024C7092306 -:040BD500470367610A -:040BD6009BE32F4727 -:040BD700C703D6E694 -:040BD80067E1016769 -:040BD9002EE794234C -:040BDA000683B3A536 -:040BDB00467100A3BC -:040BDC00863367E114 -:040BDD00871302C6B2 -:040BDE0045FD30C7DA -:040BDF0030C7879301 -:040BE00047039732FE -:040BE100DF4D01677C -:040BE200BF4D177D6F -:040BE300448147857D -:040BE4006561C23E47 -:040BE500000167B7ED -:040BE6008593464568 -:040BE70005133A07B1 -:040BE80020EF1905DC -:040BE90046A964C0F5 -:040BEA0002D486B3F8 -:040BEB0047924615D2 -:040BEC005363873692 -:040BED00471500D6D2 -:040BEE00663762E123 -:040BEF008513000169 -:040BF00007251C8237 -:040BF1003B060613A6 -:040BF200C43E45C5F3 -:040BF300588020EF17 -:040BF400A08FE0EFFF -:040BF50062E147A2D0 -:040BF600C21666C1FC -:040BF700173716FD99 -:040BF80027030002CD -:040BF9008F750D07E0 -:040BFA00CF11EF998F -:040BFB00861367E115 -:040BFC0045ED29C7D3 -:040BFD0055034781D4 -:040BFE000263000688 -:040BFF00078502E57F -:040C00009AE3060964 -:040C01006509FEB7CC -:040C02007105051360 -:040C0300D0EFC43A30 -:040C040047228F0FE5 -:040C0500674187BA02 -:040C0600FFF706935B -:040C07004625B7C9FE -:040C080000C7876337 -:040C0900E09146111F -:040C0A00D163462547 -:040C0B00472904C7AA -:040C0C00E7B30785BE -:040C0D00833302E744 -:040C0E00676102E434 -:040C0F000323979A8A -:040C1000F0EF18F7F2 -:040C1100CD118ECFA4 -:040C1200000166B7C0 -:040C13003506869389 -:040C14006637479266 -:040C150006130001C1 -:040C160045C5754615 -:040C17001C8785139E -:040C180066B7B561A5 -:040C190086930001BD -:040C1A00B7DD348688 -:040C1B00966346692D -:040C1C00C49300C7B6 -:040C1D0087BA00147E -:040C1E004631BF217B -:040C1F00F8C795E39A -:040C200067E1B5B122 -:040C21002EB7C783A0 -:040C2200C40794E38C -:040C2300BE9587A251 -:040C2400BEBD47A961 -:040C2500DBC101131B -:040C26002023182847 -:040C27002E23241143 -:040C28002C232281D6 -:040C2900E0EF229145 -:040C2A00C22A892F22 -:040C2B00328020EF04 -:040C2C00440D47929A -:040C2D004611EBC5BC -:040C2E000828182C4E -:040C2F00532020EF3F -:040C3000000165B7A3 -:040C31008593461150 -:040C3200082860C569 -:040C33004F6020EFFF -:040C340006400793DC -:040C35005783E941B7 -:040C3600059303C15E -:040C3700462103E16E -:040C380001E10513BE -:040C390000F11E2385 -:040C3A00506020EFF7 -:040C3B000461578376 -:040C3C00020102A30C -:040C3D0000F11A2385 -:040C3E000481578353 -:040C3F0000F11B2382 -:040C400000EF45522A -:040C41005783725013 -:040C4200D42A04A10B -:040C430000F11A237F -:040C440004C157830D -:040C450000F11B237C -:040C460000EF455224 -:040C4700578370D08F -:040C4800D62A04E1C3 -:040C490000F11A2379 -:040C4A0005015783C6 -:040C4B0000F11B2376 -:040C4C0000EF45521E -:040C4D00D82A6F50E2 -:040C4E002341250316 -:040C4F0000EFCA2ABE -:040C500055A26E90AB -:040C51000793DA2A01 -:040C520087131E20C6 -:040C5300E063FE65F7 -:040C5400460514E756 -:040C550000EF18286C -:040C560057D26F50B2 -:040C570006F50B6330 -:040C58000660079398 -:040C590020EFC23E88 -:040C5A00479226E0B7 -:040C5B00896347055D -:040C5C0047632AE7D9 -:040C5D00071326F75C -:040C5E008863F35064 -:040C5F0044632AE7D9 -:040C6000071324F75B -:040C61008763F34072 -:040C620065B72AE761 -:040C63008593000174 -:040C6400C23E5585B2 -:040C6500851367E1AB -:040C660046451C875C -:040C6700452020EF15 -:040C6800838FE0EFA7 -:040C6900000F4537FC -:040C6A002405051345 -:040C6B00F53FC0EFA2 -:040C6C00DA6347926E -:040C6D0058632A0797 -:040C6E0066372A803B -:040C6F0065610001BA -:040C7000678606137A -:040C7100051345C55D -:040C720020EF190551 -:040C7300147D38A014 -:040C74006461A2B95C -:040C750000016637DD -:040C760061460613BA -:040C7700051345C557 -:040C780020EF19044C -:040C790056B2372018 -:040C7A00663767E191 -:040C7B0085130001DC -:040C7C0006131C87B8 -:040C7D0045C56246C1 -:040C7E0035C020EF6E -:040C7F00FDDFD0EFD6 -:040C800054B257C251 -:040C8100C23E43012B -:040C8200C422450142 -:040C8300089364630B -:040C8400926347929E -:040C850047831AA7E0 -:040C8600468301E1BF -:040C8700470301C15D -:040C8800CFD501D1F2 -:040C8900000167B748 -:040C8A0052478793B3 -:040C8B0006134522E5 -:040C8C00C03201E190 -:040C8D0000016637C5 -:040C8E0063060613E0 -:040C8F00051345C53F -:040C900020EF190533 -:040C910067E13120C6 -:040C9200000165B741 -:040C930085934645BA -:040C940085136885D7 -:040C950020EF1C87A9 -:040C96006441226033 -:040C9700F7DFD0EFC4 -:040C980014B7147DFC -:040C9900A78300022B -:040C9A0067610D047D -:040C9B0029C756838C -:040C9C008B638FE1F6 -:040C9D00071306F63D -:040C9E00570329C708 -:040C9F0000630027C7 -:040CA000650914F7D7 -:040CA10071050513C1 -:040CA200E77FC0EF39 -:040CA3000793BFD91B -:040CA400BDC9065070 -:040CA500406482B372 -:040CA6002000079390 -:040CA7000057F4639B -:040CA8002000029393 -:040CA900041367E1E8 -:040CAA009713200379 -:040CAB0085130102AA -:040CAC0083411787E2 -:040CAD005613468113 -:040CAE00182C00946A -:040CAF00C616C81A83 -:040CB000026020EFCF -:040CB100166387AA95 -:040CB20043420E05A6 -:040CB300182842B209 -:040CB40000133613E0 -:040CB50000EF859631 -:040CB60083225750EE -:040CB70067B7BF0557 -:040CB800879300011D -:040CB900B79975C7AB -:040CBA002B8010EF8C -:040CBB005783676193 -:040CBC0065091B6744 -:040CBD0071050513A5 -:040CBE000027E79391 -:040CBF001AF71B23E2 -:040CC0000EF4A0236B -:040CC100DFBFC0EFE2 -:040CC200000165B711 -:040CC30046456561DC -:040CC40063C58593EC -:040CC50019050513F5 -:040CC6002D6020EF8E -:040CC70067E1440D90 -:040CC800000164B70C -:040CC9001C878513EC -:040CCA008593464583 -:040CCB0020EF64842E -:040CCC00D0EF2C0039 -:040CCD005632EA7F32 -:040CCE004581183410 -:040CCF00D0EF450518 -:040CD00087AAE29F6E -:040CD100E20510E345 -:040CD20065B767613A -:040CD3000513000104 -:040CD4004645190771 -:040CD5006585859319 -:040CD600296020EF82 -:040CD7006484859319 -:040CD800464564E148 -:040CD9001C848513DF -:040CDA00286020EF7F -:040CDB00E6DFD0EF91 -:040CDC0055B2564275 -:040CDD004501183481 -:040CDE00D5DFD0EF9F -:040CDF0013E387AAEA -:040CE00020EFDE051E -:040CE1006761052022 -:040CE200000165B7F1 -:040CE300859346456A -:040CE400051369C5C6 -:040CE50020EF1907DC -:040CE60065B70E6080 -:040CE700464500017D -:040CE8006685859305 -:040CE9001C848513CF -:040CEA00246020EF73 -:040CEB00E2DFD0EF85 -:040CEC00440DA00112 -:040CED00440DBB45B2 -:040CEE0006700793F2 -:040CEF00440DB36598 -:040CF00006800793E0 -:040CF1000713B345ED -:040CF2008A63F360BE -:040CF300071306E7F6 -:040CF4009CE3F3701A -:040CF50065B7DAE71E -:040CF60085930001E1 -:040CF700BB555B8509 -:040CF8000660071378 -:040CF90006E7826325 -:040CFA0000F74F634D -:040CFB000640071395 -:040CFC0006E7816323 -:040CFD000650071383 -:040CFE00D8E799E3B7 -:040CFF00000165B7D4 -:040D0000570585937B -:040D01000713B379A8 -:040D0200896306708B -:040D0300071304E7E7 -:040D04009CE30680E6 -:040D050065B7D6E711 -:040D060085930001D0 -:040D0700BB955A45F9 -:040D0800000165B7CA -:040D09005605859373 -:040D0A0065B7B3AD69 -:040D0B0085930001CB -:040D0C00B3855D85C9 -:040D0D00000165B7C5 -:040D0E005E858593E6 -:040D0F0065B7BB9970 -:040D100085930001C6 -:040D1100B3B15C8599 -:040D1200000165B7C0 -:040D13005805859367 -:040D140065B7B38983 -:040D150085930001C1 -:040D1600BB255FC5D5 -:040D1700000165B7BB -:040D18005905859361 -:040D19002083B33D43 -:040D1A002403240189 -:040D1B00248323C149 -:040D1C00557D23815D -:040D1D002441011359 -:040D1E0067E1808287 -:040D1F002EA7C70331 -:040D200067E146F150 -:040D21002EE78A230C -:040D220002D70733BA -:040D2300879367E16A -:040D240097BA30C783 -:040D250000E7D6838A -:040D260019236761C5 -:040D27004B982ED7E0 -:040D28008B7D66E178 -:040D29002EE69C23F3 -:040D2A000147C68334 -:040D2B001D236761BC -:040D2C00C6832ED775 -:040D2D0067610127D2 -:040D2E002ED7132386 -:040D2F0066E147989A -:040D3000982383552C -:040D3100C6832EE661 -:040D3200676101579D -:040D33002ED716237E -:040D34000137C6833A -:040D35001B236761B4 -:040D3600D6832ED75B -:040D3700676100C729 -:040D38002ED7172378 -:040D39000167C70384 -:040D3A00942367E1B6 -:040D3B0080822EE79D -:040D3C00C58367E123 -:040D3D0067E12F47F4 -:040D3E002F27D68302 -:040D3F00D28367E113 -:040D400067E12F87B1 -:040D41002FA7D7837E -:040D4200CA2611119B -:040D430067E1C03E66 -:040D44002E67C783CC -:040D45006761CC22F4 -:040D460067E1C23E61 -:040D47002F07D78318 -:040D480030C7071396 -:040D490067E1C43E5C -:040D4A002EC7D78356 -:040D4B0067E1C63E58 -:040D4C002F67C783C3 -:040D4D0067E1C83E54 -:040D4E002EE7D48335 -:040D4F00D30367E182 -:040D500067E12E87A2 -:040D51001DC78793A0 -:040D520000B7C6031D -:040D53008603C24110 -:040D54001D6300A774 -:040D550047F106B6A6 -:040D560002F607B3E7 -:040D5700D38397BAF1 -:040D5800916300E7BC -:040D5900A38306D397 -:040D5A00F413010786 -:040D5B00F3930FF20D -:040D5C00996301F3A3 -:040D5D00C3830483C5 -:040D5E004402014703 -:040D5F000483946312 -:040D60000127C38321 -:040D61009F63441236 -:040D6200A3830283E2 -:040D6300442200879F -:040D64000153D393D1 -:040D6500028398630A -:040D66000157C383EB -:040D6700936344321C -:040D6800C3830283BC -:040D690044420137C8 -:040D6A0000839E6301 -:040D6B0000C7D78363 -:040D6C0000979A63EF -:040D6D00063347F111 -:040D6E00963A02F6B9 -:040D6F00016647834F -:040D7000006786632F -:040D7100460567E1EB -:040D720024C78923E6 -:040D730087B347F10A -:040D7400F29302F5FF -:040D750097BA01F236 -:040D76000107D60398 -:040D770000D79723E7 -:040D780062B39A01C7 -:040D79009823005665 -:040D7A0046020057D6 -:040D7B0000C78A2300 -:040D7C00892346126F -:040D7D00462200C743 -:040D7E000056129376 -:040D7F0000A7D603F0 -:040D800066338A7DCF -:040D81009523005660 -:040D8200463200C72E -:040D830000C78AA378 -:040D8400962346422A -:040D85008B23009725 -:040D860089A30067D6 -:040D8700666100C7DA -:040D88002E8606139A -:040D8900166367E1A5 -:040D8A00069302C505 -:040D8B000333465098 -:040D8C00069302D3F5 -:040D8D00763706406F -:040D8E000613000147 -:040D8F0046B30C4615 -:040D9000446202D3E4 -:040D910045C544D23E -:040D92001C87851322 -:040D9300106F01716B -:040D940066617070B4 -:040D95002F260613EC -:040D960000C507632A -:040D97000613666178 -:040D980017632F8628 -:040D9900467102C5D8 -:040D9A0002C585B356 -:040D9B004462461553 -:040D9C00851344D2A5 -:040D9D00972E1C87EA -:040D9E0045C54B18E4 -:040D9F0007338B7D0E -:040DA000763702C7D9 -:040DA1000613000134 -:040DA20001710CC609 -:040DA3006C90106FD1 -:040DA400000176379D -:040DA500000556836C -:040DA6000B460613DF -:040DA7000693B75D9B -:040DA80006B3271057 -:040DA900079302D5D5 -:040DAA006637064062 -:040DAB00656100017D -:040DAC00758606132F -:040DAD00051345C520 -:040DAE00C6B31C8527 -:040DAF00106F02F6C9 -:040DB000F06F697007 -:040DB10086AAFDDF32 -:040DB200000176378F -:040DB300061365615D -:040DB40045C50B0620 -:040DB5001C85051381 -:040DB60067D0106F83 -:040DB700663786AA6B -:040DB8006561000170 -:040DB9007046061367 -:040DBA00051345C513 -:040DBB00106F1C8514 -:040DBC0046B7667060 -:040DBD008693000F0A -:040DBE0005332406CF -:040DBF00678902D569 -:040DC0009647879338 -:040DC1003E80071356 -:040DC200006336B7DD -:040DC300EA06869323 -:040DC400000166378D -:040DC5006F8606131C -:040DC60057B345C515 -:040DC700F7B302F587 -:040DC800472902E7CE -:040DC90002D556B346 -:040DCA000513656147 -:040DCB00D7331C8579 -:040DCC00106F02E7BB -:040DCD0006936230F7 -:040DCE0006B34670B2 -:040DCF00079302D5AF -:040DD000763706402C -:040DD1006561000157 -:040DD2000A86061374 -:040DD300051345C5FA -:040DD400C6B31C8501 -:040DD500106F02F6A3 -:040DD60006935FF031 -:040DD70005052710D7 -:040DD80002D5053308 -:040DD9000640069337 -:040DDA000001663777 -:040DDB007586061300 -:040DDC0046B345C510 -:040DDD00656102D575 -:040DDE001C85051358 -:040DDF005D90106FA4 -:040DE000663786AA42 -:040DE1006561000147 -:040DE200730606137B -:040DE300051345C5EA -:040DE400106F1C85EB -:040DE50016935C30D5 -:040DE60086E101851C -:040DE700000166376A -:040DE80016D165615A -:040DE9006F06061378 -:040DEA00051345C5E3 -:040DEB00106F1C85E4 -:040DEC0045F15A7003 -:040DED0002B5053313 -:040DEE00859365E1A3 -:040DEF00464530C580 -:040DF000656195AAFA -:040DF1001C85051345 -:040DF2006270106FAC -:040DF300002517932D -:040DF4000513655925 -:040DF500953E724570 -:040DF6006561410CE6 -:040DF7000513464555 -:040DF800106F1C85D7 -:040DF90047A960D0D6 -:040DFA0000F50F638E -:040DFB000025179325 -:040DFC000513655D19 -:040DFD00953E724568 -:040DFE006561410CDE -:040DFF00051346454D -:040E0000106F1C85CE -:040E010065B75ED0A3 -:040E020085930001D3 -:040E0300B7F57105C9 -:040E040045851141CE -:040E0500C02AC60633 -:040E0600676129A156 -:040E07002FC7478327 -:040E08000713468204 -:040E0900E7892FC77F -:040E0A000001673745 -:040E0B0074870713CE -:040E0C00663740B253 -:040E0D00656100011A -:040E0E00750606134C -:040E0F00051345C5BD -:040E100001411C85FB -:040E11005110106FFD -:040E1200A70367E1EA -:040E130067E12DC79F -:040E140029C78793D0 -:040E1500464546AD5B -:040E16000167D58318 -:040E170006E59263F7 -:040E18000FF6F69348 -:040E19000C63E1196C -:040E1A001131280763 -:040E1B0064E1C426A4 -:040E1C001554C28324 -:040E1D006461C62224 -:040E1E00F60407933C -:040E1F000032959375 -:040E2000C80697AEBB -:040E21000007A30320 -:040E22000047C7833B -:040E2300851346519C -:040E24008733FF56BB -:040E2500260302C7D7 -:040E260075130043FD -:040E270043950FF5EB -:040E28001554849346 -:040E2900F6040413B4 -:040E2A004703963AAA -:040E2B00E863004632 -:040E2C0063D902A3E1 -:040E2D008393050A9C -:040E2E00951E6B039F -:040E2F0085024108EF -:040E300007890685A3 -:040E3100F8C69AE382 -:040E3200BF694681CD -:040E33004783E39975 -:040E340017FD0003A3 -:040E35000FF7F79329 -:040E3600822395A2DC -:040E3700470100F57A -:040E3800A0914681BE -:040E39000003470368 -:040E3A0095A20785F1 -:040E3B0002E7E7B330 -:040E3C008763B7ED24 -:040E3D008793000295 -:040E3E008023FFF21C -:040E3F00B7C500F43F -:040E400040C2443236 -:040E410067E144A27F -:040E42002E0785A34F -:040E4300D06F01511A -:040E4400478D8DBF8A -:040E450004F70E633D -:040E460012E34791DB -:040E4700461CFCF752 -:040E4800872A9782DC -:040E4900C783468590 -:040E4A0065610004DA -:040E4B00078E464583 -:040E4C004783943E06 -:040E4D004004004419 -:040E4E008433445154 -:040E4F0040DC0287FA -:040E50001905051368 -:040E5100C036C23AAB -:040E5200438C97A294 -:040E53004A3010EF22 -:040E5400459140DCA8 -:040E5500C60397A297 -:040E5600E36300470B -:040E570065D914C580 -:040E58008593060A6E -:040E5900962E6C85E0 -:040E5A00468242107A -:040E5B0086024712B2 -:040E5C00C3914A1CD8 -:040E5D00C78397822E -:040E5E0043510004F8 -:040E5F000017859360 -:040E60000733078EBF -:040E6100431400F442 -:040E620000474703FB -:040E6300073342D03F -:040E640096930267F8 -:040E6500053300351C -:040E6600230300D48E -:040E670097320005B9 -:040E680004634718C0 -:040E6900022300E37D -:040E6A0097A2000546 -:040E6B000047C783F2 -:040E6C0096A24751B2 -:040E6D0002E787B35E -:040E6E0000B4802329 -:040E6F00479C97B253 -:040E7000BF31C29C30 -:040E7100ECE34791D6 -:040E720067D9F0E765 -:040E73006DC787932D -:040E7400973E070A94 -:040E75008782431C11 -:040E7600433D460CA6 -:040E770000C6450369 -:040E780000D6470356 -:040E79000005C78326 -:040E7A0000E6460345 -:040E7B0000669D630D -:040E7C0000F77863A0 -:040E7D00F61317FD54 -:040E7E0080230FF7C7 -:040E7F00B5C500C530 -:040E8000863AFD6D44 -:040E8100F863BFDD76 -:040E8200078500C719 -:040E83000FF7F7135B -:040E840000E58023E2 -:040E8500FD6DB5E961 -:040E8600BFDD873213 -:040E87005583460841 -:040E8800570300C646 -:040E8900463D00E6FC -:040E8A000005578385 -:040E8B0000C69E639C -:040E8C0000F5F76313 -:040E8D00971317FDA3 -:040E8E008341010794 -:040E8F00C191A01954 -:040E90001023872E76 -:040E9100BD6100E55A -:040E920000E7F4631E -:040E9300B7E5078533 -:040E94004701F9ED2C -:040E95004650B7FD0F -:040E9600421845BDFC -:040E97000007478386 -:040E980000B69963A4 -:040E990017FDC781F9 -:040E9A000FF7F793C4 -:040E9B0000F7002339 -:040E9C004683B5BD17 -:040E9D00F5630046B3 -:040E9E00078500D7ED -:040E9F000FF7F693C0 -:040EA00000D7002354 -:040EA1004798BDA908 -:040EA20065614B9C9F -:040EA30000074703FA -:040EA40005134645A7 -:040EA500070A1C8597 -:040EA600438C97BA28 -:040EA700353010EFE3 -:040EA80040C24432CE -:040EA900015144A20D -:040EAA00F30FD06F03 -:040EAB004B9C47987D -:040EAC0000074503F3 -:040EAD00B7ED978284 -:040EAE0047884B988E -:040EAF00B7CD970222 -:040EB000842367E14F -:040EB100BFE91C0772 -:040EB200C305C69519 -:040EB300000166B71D -:040EB40035068693E6 -:040EB500000166379B -:040EB6000613656159 -:040EB70045C5754672 -:040EB8001C8505137D -:040EB900271010EFFF -:040EBA0066B7BF65F3 -:040EBB008693000119 -:040EBC00B7CD3486F4 -:040EBD00D7E947DC4E -:040EBE00479C439872 -:040EBF008082BF5519 -:040EC000E56347BDE2 -:040EC10057B716A762 -:040EC2000113445282 -:040EC3008793EF41E1 -:040EC400C03E3557A0 -:040EC500004157B7DA -:040EC60044178793B3 -:040EC7003793C23E5D -:040EC800222300F5EC -:040EC900052310816C -:040ECA00041300F11C -:040ECB0024230105D6 -:040ECC0020231011BE -:040ECD0047B9109180 -:040ECE00F96304229E -:040ECF0067950AA772 -:040ED00030078793CD -:040ED100142365E1A0 -:040ED200462900F1BC -:040ED30004800793FD -:040ED4001BC5859322 -:040ED50000D1051330 -:040ED60000F105A37F -:040ED70000010623ED -:040ED80011D010EF36 -:040ED900C78367E183 -:040EDA0065E118D7DF -:040EDB000360061397 -:040EDC0000F10C23F2 -:040EDD00C78367E17F -:040EDE008593185789 -:040EDF00051329C509 -:040EE0000CA301F16D -:040EE10067E100F1D4 -:040EE2001DA7C783FE -:040EE30000F10BA36C -:040EE400C78367E178 -:040EE5000D231C6756 -:040EE60067E100F1CF -:040EE7002547C78351 -:040EE80000F10DA365 -:040EE900C78367E173 -:040EEA000E231B8731 -:040EEB0067E100F1CA -:040EEC0008B7C783F9 -:040EED0000F10EA35F -:040EEE00C78367E16E -:040EEF000F2318C7EE -:040EF00010EF00F10E -:040EF10086220BB09A -:040EF200055005930F -:040EF300D0EF850AAD -:040EF4003533C6EFDD -:040EF500053300A021 -:040EF600208340A075 -:040EF700240310813F -:040EF80024831041FE -:040EF90001131001D0 -:040EFA00808210C121 -:040EFB0087936795DD -:040EFC001423400774 -:040EFD0007B700F142 -:040EFE008793040CC6 -:040EFF0064E104277F -:040F0000C783CC3E99 -:040F0100EB912FC47D -:040F0200000176373D -:040F030011C60613FA -:040F0400851345B557 -:040F050010EF2FC4F6 -:040F0600463513F069 -:040F07002FC48593DB -:040F080000B105131C -:040F09001CB010EF19 -:040F0A00061365E184 -:040F0B0085930420A6 -:040F0C0008682585C7 -:040F0D00049010EF4D -:040F0E00061365E180 -:040F0F0085930A209C -:040F1000051330C5D0 -:040F110010EF05E1F7 -:040F120086220370C0 -:040F13001000059332 -:040F1400D0EF850A8B -:040F15006561BEAFA5 -:040F160000140613AA -:040F170036A0059368 -:040F18003AE505139E -:040F1900C2CFD0EF84 -:040F1A00BF85450149 -:040F1B008082557DFE -:040F1C008E2367E1D8 -:040F1D0047BD2E0797 -:040F1E0000A7F563D0 -:040F1F00853E57FDB7 -:040F200001138082B7 -:040F21000793EE81C3 -:040F2200C22E0105D5 -:040F2300010795131A -:040F240005930070C1 -:040F2500C03E1000BA -:040F260010112A2359 -:040F270010812823EA -:040F280010912623DB -:040F2900B7AFD0EF9F -:040F2A00E10D57FD81 -:040F2B00000175B795 -:040F2C001145859353 -:040F2D00C0EF0068A9 -:040F2E0087AA9E9F51 -:040F2F001C05136327 -:040F30000161470311 -:040F31004685CF1111 -:040F32000ED704636F -:040F330011412083C5 -:040F34001101240380 -:040F350010C1248340 -:040F36000113853EE0 -:040F37008082118122 -:040F38000141470329 -:040F39001A0711631F -:040F3A000151468398 -:040F3B000530071363 -:040F3C0018E69B63B5 -:040F3D0001814703E4 -:040F3E000171468374 -:040F3F008F550722A1 -:040F40000480069390 -:040F4100FCD714E3E2 -:040F4200F3694712F6 -:040F4300007866E1EB -:040F44004539478163 -:040F45001BC68693AE -:040F4600460345A970 -:040F4700666300D706 -:040F4800833300C52A -:040F4900002300D7AA -:040F4A00078500C354 -:040F4B0096E307051D -:040F4C004783FEB722 -:040F4D006761025185 -:040F4E0018F702A3EB -:040F4F006663472569 -:040F5000676100F7DE -:040F510018F706A3E4 -:040F52004783A02908 -:040F53007AE30241FA -:040F54004703FEF75A -:040F550067E10271DD -:040F56008A23656124 -:040F5700470324E741 -:040F580067E10281CA -:040F59000360061318 -:040F5A001AE78C23E3 -:040F5B0002914703B5 -:040F5C00059367E1B1 -:040F5D0085A302B1B5 -:040F5E00470308E756 -:040F5F0067E102A1A3 -:040F600029C5051387 -:040F610018E78623E4 -:040F6200023147030E -:040F63008D2367E192 -:040F640067E11CE73E -:040F65001BC7C703DC -:040F66008CA367E110 -:040F670047031CE739 -:040F680067E10261DA -:040F69001CE78323DB -:040F6A006D4010EFD7 -:040F6B00BF394781C2 -:040F6C000141448378 -:040F6D004683E8E9E6 -:040F6E000713015113 -:040F6F009463054042 -:040F700056830CE6B2 -:040F7100071302411F -:040F720091E30420E3 -:040F73005403F0E64D -:040F740007130261FC -:040F75001BE340C07A -:040F760067E1EEE45D -:040F77004635C42A0D -:040F78002FC78513E7 -:040F7900017105936A -:040F7A00007010EF04 -:040F7B0047A2471230 -:040F7C00EC071EE37D -:040F7D000613656191 -:040F7E00102C04200F -:040F7F0025850513AC -:040F800067C010EF47 -:040F810066E147825C -:040F820007A247017A -:040F83008693C03E53 -:040F8400079330C6D9 -:040F8500061305E06A -:040F8600053310001F -:040F8700C43A00D791 -:040F880000788E1D42 -:040F890005B3C23EAC -:040F8A00486300F7C1 -:040F8B0010EF04C49B -:040F8C00479264E044 -:040F8D00048547226E -:040F8E008F1D943EE1 -:040F8F00071347827B -:040F9000F4931007BF -:040F910085330FF4A1 -:040F9200074200F41E -:040F9300041383417F -:040F94000070F004F5 -:040F950010000593B0 -:040F9600C23A052234 -:040F9700D0EF044251 -:040F980066E19C0F63 -:040F990047818041CB -:040F9A0086934712E1 -:040F9B00F44530C623 -:040F9C00470567E1BD -:040F9D0024E7892399 -:040F9E008622BF15D3 -:040F9F00600010EFEF -:040FA0004785BFC5FD -:040FA1004789B5A126 -:040FA2000113B591F1 -:040FA3000868DD817C -:040FA40022112223D1 -:040FA5002281202362 -:040FA60020912E2345 -:040FA700A9CFD0EF0F -:040FA80010EFC02A5C -:040FA9004782532008 -:040FAA0016079A6329 -:040FAB0075B7646151 -:040FAC0046450001B5 -:040FAD000EC5859355 -:040FAE00190405130A -:040FAF0010EF64E1FA -:040FB00084235BE05B -:040FB100D0EF1C045D -:040FB200C626B12F6F -:040FB300C42264C12F -:040FB40017B714FD5A -:040FB500A78300020C -:040FB60067610D075B -:040FB70029C756836D -:040FB8008E638FE5D0 -:040FB900071300F624 -:040FBA00570329C7E9 -:040FBB0007630027A1 -:040FBC00650912F7BA -:040FBD0071050513A2 -:040FBE00A06FC0EF71 -:040FBF0047A2BFD9AD -:040FC000000175B700 -:040FC1008593464589 -:040FC200851310057E -:040FC30010EF19070B -:040FC40047B256E0FA -:040FC500000165B70B -:040FC6008593464584 -:040FC70085136485A5 -:040FC80010EF1C8783 -:040FC900D0EF6CC039 -:040FCA004481AB2F84 -:040FCB0017134401B3 -:040FCC000713010402 -:040FCD00579320070F -:040FCE00C23E409748 -:040FCF0067E1863E12 -:040FD0004681472DE2 -:040FD1008513080C70 -:040FD20010EF17877E -:040FD300E57939C0C3 +:040624003D2030EF56 +:0406250002B44503D3 +:040626000484C683FF +:0406270000A6846342 +:0406280006A030EF09 +:0406290002C44503BF +:04062A000494C683EB +:04062B0000A684633E +:04062C00064030EF65 +:04062D0002F445038B +:04062E0004C4C683B7 +:04062F0003044583F8 +:0406300000A6966327 +:0406310004D4C683A4 +:0406320000B6846327 +:04063300020030EFA2 +:0406340002944503E4 +:040635000464C68310 +:0406360000A6846333 +:04063700B25FF0EFCF +:0406380002E4450390 +:0406390004B4C683BC +:04063A0000A684632F +:04063B00A4FFF0EF39 +:04063C0002D445039C +:04063D0004A4C683C8 +:04063E0000A684632B +:04063F002AE030EF8E +:0406400065E166E129 +:040641005B2685139C +:040642008593461D39 +:0406430030EF55350A +:0406440066E16010FB +:040645008513C5094B +:0406460030EF5B2610 +:040647004603002046 +:04064800C6830364FE +:0406490018630534F9 +:04064A00460300D68D +:04064B00C6830374EB +:04064C0006630544F8 +:04064D00450300D68B +:04064E00F0EF023493 +:04064F0066E192BF0F +:040650005736C683D0 +:040651004503CE810E +:04065200C703038453 +:0406530007630554E0 +:04065400155100A795 +:040655008561056254 +:040656002C9020EFD5 +:04065700859367E13F +:040658008513578728 +:04065900061301D4AF +:04065A0030EF042059 +:04065B0040F25CB05D +:04065C0067E14462AC +:04065D005607892390 +:04065E0044D245023B +:04065F00808261052F +:040660000023137DE3 +:04066100C00200666D +:040662000023BD09AB +:04066300BFE50006E9 +:0406640055C5C603AF +:0406650007E345055D +:040666000605BEA621 +:040667000FF6761301 +:0406680054C58E23C4 +:04066900BEA610E336 +:04066A004589470275 +:04066B000001460341 +:04066C0000B77363FD +:04066D0077134609B0 +:04066E00C03A0FF689 +:04066F000113B6E1DC +:0406700067E1FC81C1 +:04067100D826DA228B +:040672004FC78713D4 +:0406730000A7048355 +:040674006561467105 +:0406750002C4863302 +:0406760062C50693C0 +:0406770001E74583CF +:040678000127428391 +:040679004FC787934D +:04067A0062C503133F +:04067B00D60396B25A +:04067C00C40300C6ED +:04067D00CC32014634 +:04067E000136C683F8 +:04067F00CE36C002B1 +:040680000227468384 +:0406810000C7470364 +:04068200C43AD4366C +:040683000FD5F71385 +:04068400C703EB15A8 +:04068500C2990247CD +:04068600A005468500 +:04068700468D462234 +:0406880000D60B632A +:0406890046114522AF +:04068A0010C509632B +:04068B0015E34689A4 +:04068C000706FED58A +:04068D0046A2B7D5F5 +:04068E009733070691 +:04068F00771300E6F7 +:04069000C03A0FF766 +:04069100FFF5869358 +:040692000FF6F693D6 +:04069300C202470553 +:0406940002D76063C6 +:04069500C6034691C1 +:04069600E3630247D1 +:0406970086930E56E2 +:0406980086B3FFF234 +:0406990016B302C6CC +:04069A00F71300D77B +:04069B00C23A0FF65A +:04069C000237C70357 +:04069D00C6024681CA +:04069E00438DCA3A84 +:04069F000026951389 +:0406A0000C759A63D8 +:0406A10000D78733C4 +:0406A20002574703B1 +:0406A300FFF7061344 +:0406A40016338A3D42 +:0406A500453200A634 +:0406A60000E0373306 +:0406A70000D717332E +:0406A800C6328E497F +:0406A9008F51460225 +:0406AA000FF77713BC +:0406AB000685C03AC6 +:0406AC0095E3471576 +:0406AD004681FCE6A0 +:0406AE00438DC802AE +:0406AF000026951379 +:0406B0000A759163D3 +:0406B10000D78733B4 +:0406B20002A7470351 +:0406B300FFF7061334 +:0406B40016338A3D32 +:0406B500454200A614 +:0406B60000E03733F6 +:0406B70000D717331E +:0406B800C8328E496D +:0406B9008F51461205 +:0406BA000FF77713AC +:0406BB000685C23AB4 +:0406BC0095E3471962 +:0406BD004771FCE69F +:0406BE0002E4873398 +:0406BF004F18971A1F +:0406C0008B05D002D4 +:0406C1004722CB0100 +:0406C200C703C71192 +:0406C30037130217D0 +:0406C400D03A001711 +:0406C50001D7C7038F +:0406C6001B634685E7 +:0406C700472204D7EB +:0406C8004771CB119A +:0406C90002E487338D +:0406CA00971A4691A4 +:0406CB0083754B5890 +:0406CC0004D71163DB +:0406CD00C002C202A3 +:0406CE000733A82D19 +:0406CF00BDF502D79C +:0406D000FFE28513AD +:0406D10002C5063325 +:0406D2001633450D89 +:0406D300771300C5D4 +:0406D400BF310FF62D +:0406D5001533475240 +:0406D600473200A700 +:0406D700C63A8F4947 +:0406D8004752B7B915 +:0406D90000A715332E +:0406DA008F494742BB +:0406DB00B741C83A21 +:0406DC004F8CD371FB +:0406DD008C636711B2 +:0406DE00666330E53A +:0406DF00069302B7C5 +:0406E00047111000AE +:0406E10002D58D634E +:0406E200470D6689D1 +:0406E30002D5896350 +:0406E4000800069371 +:0406E50032D59B630C +:0406E60003B7C6830D +:0406E70007068F155E +:0406E8000FF777137E +:0406E9000737A829FE +:0406EA008763001012 +:0406EB0007372EE5BA +:0406EC00876302001E +:0406ED0066A12EE5EF +:0406EE0098634719AD +:0406EF0066E130D5BB +:0406F0004D76C6037A +:0406F100156346A1A6 +:0406F200040600D624 +:0406F30080410442FC +:0406F4000147C68371 +:0406F500CA324605BA +:0406F6000086F76320 +:0406F70040D406B332 +:0406F80082C106C2F3 +:0406F9004571CA3647 +:0406FA0002A485339E +:0406FB0040E286B3A0 +:0406FC004609D6369F +:0406FD000357C38359 +:0406FE0095A674E168 +:0406FF0013FD44D2D1 +:04070000007033B39F +:040701002303951A1F +:0407020003F6008575 +:04070300029284B327 +:040704000153531337 +:040705000015B59393 +:0407060006B305F23F +:04070700F49302D392 +:0407080004D20FF414 +:0407090002C6C6B3AB +:04070A0082C106C2E0 +:04070B00C683D23699 +:04070C00561203C7B7 +:04070D00012544037B +:04070E0002D706B355 +:04070F0007428B1DF5 +:04071000C60396B2D4 +:04071100033300D7D7 +:04071200F693025305 +:04071300067A7FF6ED +:0407140000766633D2 +:040715008DD106CEAE +:0407160047528ED9DF +:04071700C6038DC5C3 +:0407180083B301376F +:0407190073130282D2 +:04071A008A1D7FF3C2 +:04071B008ED106363F +:04071C00015546033A +:04071D000527C503E4 +:04071E0006468A1DE4 +:04071F001FF3F3933E +:04072000E5B303AE8C +:04072100E333007549 +:04072200F5930065E6 +:0407230002B30072AB +:0407240057320087C1 +:040725008ECD05AAC6 +:0407260002E282B3B6 +:04072700897D57125F +:0407280092BA051E5E +:040729003FF2F29316 +:04072A0003D7C70327 +:04072B000056E2B3DF +:04072C00771346A257 +:04072D00075203F775 +:04072E008F5506F6E7 +:04072F008F5146F2AE +:0407300003E7C60312 +:0407310003F6F69342 +:0407320000B69593E5 +:040733008F4D46E2BE +:04073400F6938A3D71 +:0407350064337FF6B4 +:04073600C68300D79F +:040737008D5103F7E6 +:0407380001F7C5837D +:0407390006928A9DFD +:04073A0046B28D55E1 +:04073B000517C703D4 +:04073C00961389FD8A +:04073D00468200C62A +:04073E0005E6823119 +:04073F0006D28AFD57 +:04074000C6038ED18D +:040741008B0502071B +:040742008A050732EB +:0407430001E6179321 +:0407440000B6E633E2 +:0407450057828E5DEC +:0407460005378F499B +:0407470045138000D6 +:0407480007FEFFF5B4 +:040749008E5D8E69CA +:04074A00769347C299 +:04074B0094930FF381 +:04074C004792008749 +:04074D00F79380A1FD +:04074E0007E203F7C4 +:04074F0057A28CDD44 +:0407500007FE8CE92B +:0407510067E18CDDF3 +:040752003AC7A78378 +:0407530000C7C58393 +:0407540000D7862321 +:040755000083569334 +:040756000FF6F69311 +:0407570000D7C5837F +:0407580000D786A39D +:0407590001035693AF +:04075A000FF6F6930D +:04075B0000E7C5836B +:04075C0000D7872318 +:04075D0000F7C68358 +:04075E0001835313AD +:04075F00006787A305 +:040760000FF2F6930B +:040761000107C58344 +:0407620000D7882311 +:040763000082D693A7 +:040764000FF6F69303 +:040765000117C58330 +:0407660000D788A38D +:040767000102D69322 +:040768000FF6F693FF +:040769000127C5831C +:04076A0000D7892308 +:04076B000137C68309 +:04076C000182D293A1 +:04076D00005789A305 +:04076E000FF476937B +:04076F000147C583F6 +:0407700000D78A2301 +:040771000084569317 +:040772000FF6F693F5 +:040773000157C583E2 +:0407740000D78AA37D +:040775000104569392 +:040776000FF6F693F1 +:040777000167C583CE +:0407780000D78B23F8 +:040779000177C683BB +:04077A008BA380616C +:04077B0076930087EA +:04077C00C5830FF72B +:04077D008C23018741 +:04077E00569300D7B7 +:04077F00C5830087A7 +:040780008CA30197AE +:04078100C68300D754 +:04078200836101A7E7 +:0407830000078D23BB +:0407840001B7C68370 +:0407850000E78DA359 +:040786000FF67713E0 +:0407870001C7C6835D +:0407880000E78E23D5 +:04078900008657137C +:04078A000FF77713DB +:04078B0001D7C68349 +:04078C0000E78EA351 +:04078D0001065713F7 +:04078E000FF77713D7 +:04078F0001E7C68335 +:0407900000E78F23CC +:0407910001F7C703A2 +:040792008FA382614E +:04079300F71300C791 +:04079400C6830FF415 +:0407950080230207B4 +:04079600D71302E78C +:040797007713008450 +:04079800C6830FF70E +:0407990080A3021720 +:04079A00D71302E788 +:04079B0077130104CB +:04079C00C6830FF70A +:04079D0080E10227CE +:04079E0002E78123CA +:04079F000237C70353 +:0407A000029781A398 +:0407A10054C2545298 +:0407A20003810113BB +:0407A300C683808207 +:0407A400471103B73F +:0407A500B3298F15D0 +:0407A60003B7C6834C +:0407A700BFDD471556 +:0407A80003B7C6834A +:0407A9008F15471948 +:0407AA000FF77713BB +:0407AB000357C683A7 +:0407AC00D00697E3F9 +:0407AD0016A146E269 +:0407AE0082C106C23C +:0407AF0046F2CC360C +:0407B00006C206B1C6 +:0407B100CE3682C1FD +:0407B20006B7B9DDF0 +:0407B3008716002085 +:0407B400FCD5FEE38F +:0407B5001111B1ED80 +:0407B600CA2267E10B +:0407B700646147052D +:0407B80054E78E2351 +:0407B9004FC407938F +:0407BA000047A283CF +:0407BB00CC06C8267A +:0407BC004FC404938F +:0407BD002A028C631D +:0407BE004FC42703FA +:0407BF002A0708639A +:0407C000A0EEC7B729 +:0407C100B007879363 +:0407C20002E7D7B3C0 +:0407C3000084C703E4 +:0407C400019C03B7DA +:0407C500CC0383934B +:0407C6000253D3B354 +:0407C7002807066396 +:0407C8000257D2B34F +:0407C90003B0051361 +:0407CA00C21EC6166F +:0407CB000A1020EF01 +:0407CC000513C42A23 +:0407CD0020EF03C056 +:0407CE00C783097064 +:0407CF00C60301C498 +:0407D00043920084CC +:0407D1000027971353 +:0407D200879367D9C9 +:0407D30097BA3F474B +:0407D4002703439420 +:0407D50007934FC473 +:0407D60042B2070024 +:0407D7000793E21989 +:0407D80066370690EA +:0407D9006561000155 +:0407DA004A86061332 +:0407DB00051345C5F8 +:0407DC00C2164C45B0 +:0407DD0030EFC61E15 +:0407DE0042920970CA +:0407DF00079343B287 +:0407E0000693064036 +:0407E10065613E8090 +:0407E20002F2D73315 +:0407E300051345C5F0 +:0407E400F63356058D +:0407E500C23A02D33F +:0407E60002F2F2B376 +:0407E700472987BA5D +:0407E80002E657339B +:0407E900000166376E +:0407EA000613C0161C +:0407EB00D6B34B0630 +:0407EC0030EF02D315 +:0407ED0067E105B00B +:0407EE0060B7C783A6 +:0407EF00829362E1AE +:0407F000EB993A4205 +:0407F1000002A70358 +:0407F2000007478332 +:0407F3000027E79361 +:0407F40000F70023E7 +:0407F500A4AFF0EFCE +:0407F600468367616E +:0407F7004612571738 +:0407F8000084C58331 +:0407F9004FC42503C1 +:0407FA00657020EF17 +:0407FB00676157FDDE +:0407FC001CF5056380 +:0407FD0000A48523AC +:0407FE0000A4878349 +:0407FF00467166E1F8 +:0408000060F68523F6 +:0408010002C787B3F0 +:040802000613646114 +:04080300C68362C482 +:040804004703012481 +:04080500C38357173B +:04080600041304448F +:0408070097B262C47E +:0408080046154B8CBA +:0408090085B389FD2D +:04080A0085B302D5DB +:04080B00061302C509 +:04080C00859306408A +:04080D00C5B3032547 +:04080E00D60302C546 +:04080F0086B300E7C5 +:0408100040D002C60C +:0408110005C295B6D1 +:040812009B2381C1E2 +:04081300C50300B465 +:0408140046810177A1 +:040815008D79897DD3 +:040816000BA3676168 +:0408170047224CA781 +:040818000FF777134C +:0408190002B70733E8 +:04081A0002C7573387 +:04081B0082410642CE +:04081C0000038563ED +:04081D0082854F94ED +:04081E0077138A853D +:04081F0020EF0FF7C0 +:04082000C503459037 +:04082100F0EF04B43C +:04082200C503AB4F10 +:04082300F0EF04648A +:040824008783B72FE0 +:04082500477100A473 +:040826000124C58361 +:0408270002E787B3AA +:04082800C50397A2CB +:0408290020EF016754 +:04082A00C7833850F8 +:04082B00676100C43D +:04082C0000A489A3F8 +:04082D003A470293B1 +:04082E0010079563B7 +:04082F0000A4878317 +:0408300087B34771D2 +:04083100943E02E708 +:0408320000C4578324 +:040833002BD7B79375 +:040834000017C7934F +:04083500A60386BED2 +:040836008B8D0002A4 +:040837004703078EDE +:04083800069600160A +:040839008FD99B1D9B +:04083A0000F600A321 +:04083B000002A7030D +:04083C0000174783D7 +:04083D00F9F7F7933D +:04083E0000A38FD5AF +:04083F00F0EF00F7DF +:04084000C7038C1F3F +:0408410047890404DB +:0408420000E4C50306 +:040843000763458181 +:04084400C58300F771 +:040845008D8900F4A5 +:040846000015B59351 +:04084700E9FFE0EFF6 +:0408480007B740D8D6 +:040849008793019CF4 +:04084A00D7B3CC074D +:04084B00D70302E7E6 +:04084C00C60301647A +:04084D00468D00D400 +:04084E0002E787B383 +:04084F0000C4C70317 +:0408500087B307055E +:04085100C70302E7F0 +:04085200070500E4B2 +:0408530002E787B37E +:040854002423676191 +:040855001E634AF7DD +:04085600838506D6BA +:040857004AF7242315 +:040858004A87268322 +:040859000511073747 +:04085A00F407071385 +:04085B006B634785FF +:04085C00773700D713 +:04085D000713047801 +:04085E0047818BF74C +:04085F0000D77463E7 +:040860000104C78345 +:0408610004E4C703E1 +:040862000404C58342 +:04086300C703E709D7 +:040864000763010421 +:04086500445204F7FE +:0408660000F48823EF +:0408670044C240E265 +:040868000171852E67 +:04086900908FF06F0D +:04086A00BB9D0786A5 +:04086B0063916285AE +:04086C0077028293FA +:04086D00D543839359 +:04086E004791B3B546 +:04086F0000F48523E9 +:04087000C703BD25D8 +:04087100478500E4D3 +:040872008F99468193 +:040873000FF7F793F1 +:040874004585B719E6 +:04087500F8B616E3D8 +:04087600D7B3078A63 +:04087700BFBD02D728 +:0408780082634789C7 +:04087900C50302F5BC +:04087A00C191011413 +:04087B004452458915 +:04087C0000F4C7833A +:04087D000414C70395 +:04087E0044C240E24E +:04087F004601468167 +:04088000E06F0171B3 +:0408810040E2DBAFC7 +:0408820044C24452D6 +:0408830080820171FD +:04088400C026115128 +:04088500C50364E162 +:0408860045814A64FA +:04088700C406C222BF +:040888004F5010EFCE +:04088900E121842ABB +:04088A004A64C68373 +:04088B00472967E1B1 +:04088C004ED78CA314 +:04088D00C78367E1D5 +:04088E0085635B978C +:04088F00676100E7B6 +:040890004AF706A37A +:04089100C70367E151 +:0408920047814FA7A4 +:0408930067E1C70151 +:040894004AD7C783F5 +:04089500071367617D +:0408960097BA4DC7F9 +:040897008023453D38 +:0408980010EF00D786 +:0408990085223390F1 +:04089A00441240A222 +:04089B000131448261 +:04089C0011518082F4 +:04089D0064E1C0262C +:04089E004A64C503E0 +:04089F00C406C222A7 +:0408A00031B010EF74 +:0408A100E51D842AA3 +:0408A2004A64C6835B +:0408A3008CA367E1DA +:0408A40067E14ED7E3 +:0408A5004FA7C7038F +:0408A600C7014781BE +:0408A700C78367E1BB +:0408A80067615187AC +:0408A9004DC707131D +:0408AA00453D97BA77 +:0408AB0000D78023CF +:0408AC002EB010EF6B +:0408AD0040A28522BE +:0408AE00448244122A +:0408AF008082013111 +:0408B000C406115118 +:0408B100C026C22279 +:0408B20000021437F5 +:0408B300202347C5F2 +:0408B40067E10EF4F6 +:0408B5003AC7A78314 +:0408B6000E042023E9 +:0408B700C703650905 +:0408B800862300C7CC +:0408B900C70300076A +:0408BA0086A300D73A +:0408BB00C703000768 +:0408BC00872300E7A7 +:0408BD00C703000766 +:0408BE0087A300F715 +:0408BF00C703000764 +:0408C0008A2301473F +:0408C100C703000762 +:0408C2008AA30157AD +:0408C300C703000760 +:0408C4008B2301671A +:0408C500C70300075E +:0408C600051301779E +:0408C7008BA3710589 +:0408C800D0EF000766 +:0408C9000713DDDF55 +:0408CA0067E11D10B5 +:0408CB004CE79C2337 +:0408CC0000031537D9 +:0408CD001D10079360 +:0408CE000EF42023E1 +:0408CF00D405051334 +:0408D000DBFFD0EF8B +:0408D10000062637C0 +:0408D200019C05B7C9 +:0408D3000404051301 +:0408D400A806061359 +:0408D500CC05859336 +:0408D600A1AFE0EFFF +:0408D700170020EFF7 +:0408D8003AA020EF33 +:0408D90007635479E4 +:0408DA0045011205BD +:0408DB00460020EFC4 +:0408DC000FF007937F +:0408DD000F635475DC +:0408DE0020EF10F502 +:0408DF004509059032 +:0408E000D68FE0EFE0 +:0408E100547147CD3A +:0408E20010F5166394 +:0408E300DD8FE0EFD6 +:0408E4000B2020EFD6 +:0408E50067E1C509F9 +:0408E60089A3470596 +:0408E700E0EF56E701 +:0408E800842AC5BFDA +:0408E9000E051E6377 +:0408EA0003F000EF28 +:0408EB00851364E12C +:0408EC0065D94FC4B7 +:0408ED0004200613CA +:0408EE005505859394 +:0408EF0030EF05756C +:0408F00065D937602F +:0408F1000613656124 +:0408F2008593036087 +:0408F30005136E0576 +:0408F40030EF5BC5C1 +:0408F50045813620E3 +:0408F60010EF453D7D +:0408F70067E133B0D2 +:0408F8004F97C5034E +:0408F900849345811E +:0408FA0010EF4FC4E8 +:0408FB0067E132B0CF +:0408FC003A87C783ED +:0408FD0066E16661E9 +:0408FE004CF60AA307 +:0408FF00C78367E163 +:040900008DA34D670F +:0409010067E14EF666 +:040902003A47879356 +:04090300C703438C57 +:040904009B1D001522 +:0409050000E580A3E6 +:04090600C703438C54 +:04090700771300154D +:0409080080A3F9F7D8 +:04090900438C00E536 +:04090A000005C7031A +:04090B0067138B7D66 +:04090C008023060737 +:04090D00438C00E532 +:04090E000015C70306 +:04090F0067139B616E +:0409100080A3003789 +:04091100438C00E52E +:040912004D564703F4 +:040913000017761340 +:040914000005C70310 +:040915008F519B79EA +:0409160000E5802355 +:04091700C7834390BF +:040918008B8D4FB6BE +:0409190000379713F9 +:04091A000006478309 +:04091B008FD99B9D38 +:04091C0000F60023BE +:04091D00000217B706 +:04091E000D07A78397 +:04091F0000E7971343 +:040920000007446325 +:0409210079E000EF8A +:0409220045014789BB +:0409230000F488A3B1 +:04092400E1DFE0EF40 +:0409250040A2852245 +:0409260044824412B1 +:040927008082013198 +:04092800BFCD547D6E +:04092900C78367E138 +:04092A0001134A4724 +:04092B00CE22FDC11A +:04092C00F7930785B1 +:04092D0007B20FF707 +:04092E0083C107C2B8 +:04092F006461C83EF9 +:040930004D84578318 +:04093100D006474263 +:040932008FD9CC2667 +:040933008FD96721D0 +:040934004CF41C2340 +:04093500000217376E +:04093600C402C20233 +:04093700000166371E +:04093800202364E133 +:0409390006130EF79C +:04093A0045C5420667 +:04093B004E8485134E +:04093C0031C030EFA7 +:04093D00CE5FE0EFBA +:04093E00177D674179 +:04093F00CA3A4785E4 +:040940000002173763 +:040941000D072683F5 +:040942008EF146529A +:04094300E7F9C636D4 +:0409440066E1C6F1B1 +:040945005BC6869374 +:040946000166D6036D +:04094700578345B2DB +:0409480014634D8463 +:0409490076F512B677 +:04094A008FF516FD12 +:04094B004CF41C2329 +:04094C000EF720235F +:04094D004E048423AD +:04094E00CA1FE0EFED +:04094F000006253742 +:04095000A8050513DE +:04095100BBBFD0EF69 +:040952004D845783F6 +:040953006691474220 +:040954003E800513C9 +:040955008FD58FD9D2 +:040956004CF41C231E +:04095700000217374C +:040958000EF7202353 +:04095900160030EF65 +:04095A00186030EF02 +:04095B0066E1478585 +:04095C0002F51C6321 +:04095D003AC6A603ED +:04095E000086478345 +:04095F0000964703B4 +:040960008F5D07227E +:0409610000A6478322 +:040962008F5D07C2DC +:0409630000B6478310 +:040964008FD907E23E +:0409650001079713DC +:04096600C23A8341CD +:04096700004797139B +:04096800C43A8351B9 +:04096900FC07D2E3D2 +:04096A0015E030EF75 +:04096B00EF9547922B +:04096C0000016637E9 +:04096D0042C6061365 +:04096E00851345C5E3 +:04096F0030EF4E8493 +:04097000E0EF24E0B0 +:040971005703C17FE8 +:0409720077F14D8448 +:040973008FF917FDE4 +:040974004CF41C2300 +:04097500000217372E +:040976000EF7202335 +:0409770005136509F6 +:04097800D0EF710546 +:0409790047B2B1DFF1 +:04097A004792BF21C0 +:04097B0097634752E5 +:04097C00663700E7F3 +:04097D00061300015C +:04097E00BF7D43C630 +:04097F0045A2471234 +:04098000079366056E +:04098100167D064099 +:0409820002F756B36F +:0409830002F77733CD +:0409840000C59C63AB +:0409850000016637D0 +:0409860044460613CA +:04098700851345C5CA +:0409880030EF4E847A +:04098900BF711EA07C +:04098A0045C54622F7 +:04098B004E848513FE +:04098C0002F67633C6 +:04098D004622C0320C +:04098E0002F657B363 +:04098F0000016637C6 +:0409900045060613FF +:040991001C8030EFA7 +:04099200D683BFAD9C +:040993004632018661 +:04099400F6C69BE325 +:0409950083D107D231 +:040996004CF41C23DE +:0409970044725082D4 +:040998000EF7202313 +:04099900450144E2EE +:04099A000241011302 +:04099B0011518082F4 +:04099C00F0EFC406AE +:04099D0020EF865F62 +:04099E0067E12400E9 +:04099F00C50340A2AA +:0409A000013159B711 +:0409A100C29FE06FA2 +:0409A200FCC1011380 +:0409A300D622D8067A +:0409A400D0EFD42696 +:0409A500842AADBF34 +:0409A600C29FF0EF0D +:0409A70007936761EA +:0409A80086AA3A479A +:0409A9006561C03E86 +:0409AA00CD6364E1D4 +:0409AB006637120693 +:0409AC00468100017F +:0409AD0005500713D7 +:0409AE0046460613A0 +:0409AF00051345C522 +:0409B00030EF4C4593 +:0409B10065B714A072 +:0409B20046450001B5 +:0409B300494585939A +:0409B400560485134D +:0409B500060030EF19 +:0409B60080294782CB +:0409B7004783439897 +:0409B800E7930007BA +:0409B90000230027F0 +:0409BA00E0EF00F773 +:0409BB00A537B35F4A +:0409BC000513000718 +:0409BD00D0EF120560 +:0409BE00D0EFA09F37 +:0409BF000793A29F59 +:0409C00007B312C0A7 +:0409C100646102F477 +:0409C200C602C8029F +:0409C300C202C402A6 +:0409C4004FC4041305 +:0409C5004799CE3E42 +:0409C60017B7CA3E57 +:0409C700A783000200 +:0409C80066610D0750 +:0409C90097136561BA +:0409CA00834101075D +:0409CB00FFF7C693D9 +:0409CC005EE62E2392 +:0409CD00073783E184 +:0409CE008F7500031E +:0409CF000FF7F59396 +:0409D000A02366E119 +:0409D100022360E6B7 +:0409D200853260B555 +:0409D30066E186361D +:0409D4008793CF81B5 +:0409D500F793FFE5B0 +:0409D600430D0FF7C7 +:0409D70000F3766350 +:0409D8005F86C783EC +:0409D90000B794636C +:0409DA005E052E2365 +:0409DB008C2367E121 +:0409DC00A6835EB6DA +:0409DD00AA235F47A3 +:0409DE00E2D55EE719 +:0409DF004783676182 +:0409E00064E1574730 +:0409E1004783CBF18C +:0409E200C7F901C48C +:0409E300478366E1FF +:0409E400C68300B412 +:0409E5008FD560B694 +:0409E600D0EFE3E18A +:0409E70047F29ADF5A +:0409E8000AF56C633D +:0409E90007934742E7 +:0409EA00E763063089 +:0409EB0067610AE74F +:0409EC0057474683A0 +:0409ED0047054785EE +:0409EE0000F6876325 +:0409EF00871347D251 +:0409F0003733FFA7F3 +:0409F100478300E058 +:0409F20046A101C455 +:0409F300F79317FD62 +:0409F400E1630FF7B5 +:0409F50066D906F6C3 +:0409F6008693078A53 +:0409F70097B63A066F +:0409F8008782439C13 +:0409F900000166375C +:0409FA004786061313 +:0409FB00051345C5D6 +:0409FC0030EF4C4547 +:0409FD0075B701A029 +:0409FE004645000169 +:0409FF00A1458593F6 +:040A00005604851300 +:040A01000A2030EFA8 +:040A0200439847824C +:040A0300000747831E +:040A04000027E7934D +:040A050000F70023D3 +:040A0600A07FE0EFFE +:040A07002023A00107 +:040A0800BFA960061C +:040A0900C78367E157 +:040A0A0037B34DA70A +:040A0B00078900F067 +:040A0C004AF486A37F +:040A0D00475247C243 +:040A0E00F7930785CE +:040A0F00C83E0FF7D7 +:040A10000763479998 +:040A1100079300F750 +:040A1200F79300173F +:040A1300CA3E0FF7D1 +:040A14008D3FD0EF53 +:040A1500C63E47850D +:040A160067E1232150 +:040A170060B7C7837A +:040A1800C781CC2A9C +:040A190010EF450194 +:040A1A00C78326C0A8 +:040A1B0047034AD46F +:040A1C00046301C4AA +:040A1D00476200F735 +:040A1E004732E71163 +:040A1F004703CB15A9 +:040A2000C71D00B43A +:040A210047036761BF +:040A2200C63A4FA7DA +:040A23006761C3152F +:040A24004DC70713A0 +:040A2500C50397BAB4 +:040A260067E100077D +:040A27004F97C7031B +:040A28000763C60298 +:040A2900458100A75C +:040A2A004EA78CA3A4 +:040A2B00668010EFE2 +:040A2C004AD4C7835E +:040A2D0001C44703B6 +:040A2E00849364E168 +:040A2F001C63578469 +:040A3000469200F7F3 +:040A31007C63470992 +:040A3200C68316D78A +:040A3300470303343E +:040A340086630504CC +:040A3500473D16E63D +:040A360008A363614D +:040A3700470D56E32E +:040A380006F77E63DC +:040A39000334C703B8 +:040A3A008713CB0152 +:040A3B007713FFA787 +:040A3C0046850FF7E5 +:040A3D0006E6F46372 +:040A3E007563471580 +:040A3F00460506F76B +:040A40004689450995 +:040A4100FFF78593A3 +:040A42000FF5F713A2 +:040A4300EF6342A17A +:040A440062D904E28D +:040A45008293070A87 +:040A460097163C4281 +:040A470087024318C7 +:040A480017E34785E4 +:040A490067E1F0076A +:040A4A003A37C783ED +:040A4B000017B79346 +:040A4C00BDFD079154 +:040A4D000DE34799D5 +:040A4E00C783EE0765 +:040A4F00BDCD4AD4FB +:040A5000B5FD479D0C +:040A5100C78367E10F +:040A520037B34AC7A5 +:040A530007A100F007 +:040A54004799B5C544 +:040A5500EC071EE3A9 +:040A5600BDD947853A +:040A57004505460DFE +:040A5800B74D4681CF +:040A59004501460904 +:040A5A00C402BFE52E +:040A5B000FF5F5930B +:040A5C00C20247216A +:040A5D0000B7696312 +:040A5E0007136759BA +:040A5F00972E3E8709 +:040A60000007470341 +:040A61000E23C23A64 +:040A6200478300F4D2 +:040A630005A304B42F +:040A6400470500043E +:040A65007663458DE2 +:040A6600459500F7BB +:040A6700F5938D9DD9 +:040A6800D2320FF582 +:040A690010EFD03684 +:040A6A0010EF5DF03C +:040A6B00E0EF6AF05E +:040A6C0067E1A06F2F +:040A6D005737C783AD +:040A6E005612568244 +:040A6F008532C7897C +:040A700023B010EFB0 +:040A71004622568241 +:040A720085364592EE +:040A7300392020EF17 +:040A740001C44783EF +:040A7500464565612C +:040A760000279713AB +:040A7700879367D921 +:040A780097BA3F47A3 +:040A79000513438C92 +:040A7A0022234C45A2 +:040A7B0020EF000464 +:040A7C0065B76B905F +:040A7D0065610001AE +:040A7E0085934645D1 +:040A7F00051348858E +:040A800020EF560508 +:040A810067E16A506F +:040A820060B7C7830F +:040A83004782EB9922 +:040A840047834398C9 +:040A8500E7930007EC +:040A86000023002722 +:040A8700E0EF00F7A5 +:040A880047E2801FA2 +:040A890067E1CF89C9 +:040A8A004A57C703FD +:040A8B00156347A9FF +:040A8C00453D00F7ED +:040A8D00366010EFD0 +:040A8E00EEAFD0EF08 +:040A8F00C703C802CF +:040A90004783023462 +:040A910005630404F1 +:040A9200450302F71F +:040A93004781011482 +:040A9400468147014F +:040A95004581460150 +:040A9600D65FD0EF68 +:040A97000234C5035D +:040A980084DFE0EF28 +:040A99000234C783D9 +:040A9A00000422230F +:040A9B0004F400233C +:040A9C000234C583D8 +:040A9D00866347899C +:040A9E00C70302F593 +:040A9F004783024443 +:040AA0008063041457 +:040AA100450302E720 +:040AA200C1910114E9 +:040AA30047834589B7 +:040AA400468100F493 +:040AA500D0EF460147 +:040AA600C783D27FB1 +:040AA70000A3024462 +:040AA800C78304F408 +:040AA90047030334C8 +:040AAA0004630504D8 +:040AAB00082300F725 +:040AAC00676104F486 +:040AAD00468367E134 +:040AAE0067614D67C8 +:040AAF003A87C78338 +:040AB0004D574583D6 +:040AB1009663666181 +:040AB200458300F583 +:040AB3008D634FB64A +:040AB4000AA302D5BA +:040AB50047024CF7B1 +:040AB6004ED60DA368 +:040AB700F7134314DA +:040AB800C7830017D9 +:040AB9009BF900069F +:040ABA0080238FD92D +:040ABB00478200F678 +:040ABC004783439495 +:040ABD008B8D4FB618 +:040ABE000037971353 +:040ABF000006C783E3 +:040AC0008FD99B9D92 +:040AC10000F6802398 +:040AC20001C44783A1 +:040AC3004512C3B560 +:040AC400915FE0EF6F +:040AC50002634789F8 +:040AC60047910CF553 +:040AC70002F50A63C7 +:040AC80017634785E4 +:040AC900478304F566 +:040ACA00C3B900B4F8 +:040ACB00E93FE0EF30 +:040ACC004709A081B5 +:040ACD00BD1DC43A4D +:040ACE00BFED470D24 +:040ACF000334C70322 +:040AD000C416428581 +:040AD100E20714E341 +:040AD20008A34741ED +:040AD300BD3956E3F0 +:040AD40000B44783A0 +:040AD5006761C39DF5 +:040AD6004D8757836E +:040AD7000027E7937A +:040AD8004CF71C2398 +:040AD90000021737C9 +:040ADA000EF72023D0 +:040ADB00B03FF0EF49 +:040ADC0012C005132C +:040ADD00D8AFD0EFCF +:040ADE002223B64DCC +:040ADF0010EF000410 +:040AE00047834DB04B +:040AE100656101C486 +:040AE20097134645DB +:040AE30067D90027A8 +:040AE4003F4787936E +:040AE500438C97BAED +:040AE6004C45051363 +:040AE70050B020EFFC +:040AE800000165B7ED +:040AE90046456561B8 +:040AEA004885859323 +:040AEB005605051394 +:040AEC004F7020EF38 +:040AED00C78367E173 +:040AEE00EB9960B769 +:040AEF00439847825F +:040AF0000007478331 +:040AF1000027E79360 +:040AF20000F70023E6 +:040AF300E52FE0EF1C +:040AF400D52FD0EF3B +:040AF500C802CA0267 +:040AF6004783BF6112 +:040AF700DBC900B4A3 +:040AF800AF6FF0EFFD +:040AF90065D9B77193 +:040AFA0011516561D0 +:040AFB0004200613BA +:040AFC005505859384 +:040AFD005785051301 +:040AFE0020EFC4061B +:040AFF0065DD33B0CE +:040B00000613656112 +:040B0100859340C0D8 +:040B0200051345C5CD +:040B030020EF62C5B8 +:040B040040A2327069 +:040B0500470567E158 +:040B060056E7892302 +:040B07000131450172 +:040B08006759808227 +:040B0900079311112C +:040B0A00C82667474B +:040B0B00CA22CC0628 +:040B0C004481C0025E +:040B0D006461C43E1D +:040B0E00000165B7C6 +:040B0F00859346453F +:040B100005137185D3 +:040B110020EF4B0482 +:040B120047A24610A0 +:040B13000024971310 +:040B1400973E656142 +:040B15004645430C02 +:040B16004E850513F0 +:040B170044B020EFD7 +:040B1800D78FE0EFA4 +:040B1900C22246812D +:040B1A000002173787 +:040B1B000D0725831A +:040B1C0017FD67C199 +:040B1D0000F5F633B6 +:040B1E002E236461BD +:040B1F0027035EC486 +:040B200005370D0781 +:040B210067E1000385 +:040B2200FFF747137F +:040B2300A0238F6913 +:040B2400C63E60E782 +:040B25004782CA1524 +:040B260002F6086368 +:040B2700951367615A +:040B2800071300149B +:040B2900972A5BC7E5 +:040B2A004792EABD47 +:040B2B0000B71023DC +:040B2C00000165B7A8 +:040B2D008593464521 +:040B2E0085137205B4 +:040B2F0020EF4B0761 +:040B3000E0EF3E9024 +:040B31004685D16FB5 +:040B320047B26761FE +:040B33005F472603EF +:040B34006007A303B0 +:040B3500E60587BA90 +:040B3600126367419E +:040B3700E0BD02E338 +:040B3800656165D9B5 +:040B3900036006133C +:040B3A006E0585932C +:040B3B005BC505137E +:040B3C0020EFC01ACC +:040B3D00430224301B +:040B3E0044ED4689B3 +:040B3F00270367E140 +:040B4000AA235FC4C1 +:040B4100C6365E67EF +:040B42004709C03A65 +:040B430002E68F63D4 +:040B44000513650927 +:040B4500D0EF710577 +:040B460046B2BE8F66 +:040B47005703B7B1E8 +:040B480046890007D3 +:040B4900FAE602E3E3 +:040B4A0065B74792B2 +:040B4B00464500011A +:040B4C007285859396 +:040B4D004B078513BA +:040B4E0036F020EF6E +:040B4F00C9CFE0EF3B +:040B5000B7594681CA +:040B5100468914F9C4 +:040B52000485BF5DFA +:040B530054E34769B7 +:040B54004452EE9782 +:040B550044C240E274 +:040B56000171453DA7 +:040B570003E0106F38 +:040B5800FBC10113C9 +:040B590001310793CC +:040B5A0067E1CE3E43 +:040B5B00591787930C +:040B5C0067E1D03E3F +:040B5D0059278793FA +:040B5E0067E1D23E3B +:040B5F0059378793E8 +:040B600067E1D43E37 +:040B610059478793D6 +:040B6200D83ED63E65 +:040B6300879367E12C +:040B6400DA3E5957C5 +:040B65000F0327B79C +:040B6600F01787936A +:040B67000793CA3EE8 +:040B68006361303065 +:040B690000F11C2358 +:040B6A000D23478D83 +:040B6B00079300F1FB +:040B6C00C7834FC329 +:040B6D00DE2201C7BC +:040B6E00DC26C0863B +:040B6F00000109A3D5 +:040B700003134725FF +:040B710044054FC325 +:040B720000E78663AF +:040B730000178413D0 +:040B74000FF47413F3 +:040B7500676167E16C +:040B76005FC7A603AC +:040B77005BC707133E +:040B780045E9478183 +:040B79000007568398 +:040B7A0000D61C6322 +:040B7B006263476901 +:040B7C00675910F7AE +:040B7D000713078AC9 +:040B7E0097BA594782 +:040B7F008782439C8A +:040B80008C63070972 +:040B8100078550B7DD +:040B82004785BFF1F3 +:040B8300D70366E14D +:040B840047134D8640 +:040B85009C23100796 +:040B860067614CE671 +:040B870060072703D9 +:040B880000F71693C9 +:040B89000006D3632C +:040B8A00169387A295 +:040B8B00DE6300E73E +:040B8C006761000697 +:040B8D0057874683BD +:040B8E004601458552 +:040B8F0000D5E56345 +:040B9000F6130685CD +:040B91000C230FF62C +:040B9200472956C7D2 +:040B9300866345012F +:040B9400676100E7AE +:040B95004AF706A372 +:040B960067E14505C9 +:040B97004D87D703AC +:040B9800C68366E1C9 +:040B99009B4D60B65A +:040B9A00834107424A +:040B9B004CE79C2364 +:040B9C0066E1EA81A3 +:040B9D004E66C68357 +:040B9E008F55068ADF +:040B9F004CE79C2360 +:040BA0004D87D703A3 +:040BA10054724086C4 +:040BA200000217B77F +:040BA3000EE7A02396 +:040BA400011354E203 +:040BA5008082044105 +:040BA600BF8D47892F +:040BA700B7BD478D02 +:040BA800B7AD47910D +:040BA900B79D479518 +:040BAA00B78D479923 +:040BAB00BFB9479DEA +:040BAC00BFA947A1F5 +:040BAD00BF9947A500 +:040BAE00C78366E1B2 +:040BAF00676160B664 +:040BB0003A47260397 +:040BB1000017B793DF +:040BB20060F685A3C1 +:040BB30000064703EE +:040BB4009B6D078AA4 +:040BB50000238FD9B1 +:040BB60067E100F6FD +:040BB7004F97C7038A +:040BB800832367E14B +:040BB900C7834AE7BD +:040BBA00C79160B6C9 +:040BBB0000EF4505FD +:040BBC0047A93E50B7 +:040BBD00E0EFBF2185 +:040BBE00BFE5B28F4E +:040BBF00A70367E140 +:040BC00066373AC793 +:040BC1006561000169 +:040BC2000007448361 +:040BC300001747834D +:040BC40061060613AD +:040BC50007A245C579 +:040BC60044838FC510 +:040BC70005130027EB +:040BC80004C24B0513 +:040BC90044838FC50D +:040BCA0004E200370A +:040BCB00D6938CDD54 +:040BCC00F79300B4E7 +:040BCD00F393001688 +:040BCE00C43E7FF4AE +:040BCF004683C61E75 +:040BD0004283004715 +:040BD10002A2005725 +:040BD20000D2E6B3B4 +:040BD30000674283F2 +:040BD400007747035C +:040BD500E2B302C2C3 +:040BD600068300D2C0 +:040BD700477100A3BF +:040BD80086B302B22C +:040BD900676102E668 +:040BDA0062C70713D4 +:040BDB0000C2D293EF +:040BDC009736C21670 +:040BDD00C68366E184 +:040BDE0020EF4F961F +:040BDF0067E1093091 +:040BE0004FC7831365 +:040BE10000B3470313 +:040BE20043B2C72132 +:040BE300071347A20B +:040BE400869306905E +:040BE50096B30013B0 +:040BE60096BE00F6C1 +:040BE700014497939B +:040BE800C46342920E +:040BE90007130007E7 +:040BEA0007B7070042 +:040BEB008CFD00037A +:040BEC0002A00793C9 +:040BED000793E099F1 +:040BEE006637020064 +:040BEF00656100013B +:040BF0000613C01612 +:040BF10045C561C6CF +:040BF2004E85051314 +:040BF300041020EFDB +:040BF400A70367E10B +:040BF50047833A47B1 +:040BF600E79300077A +:040BF7000023004790 +:040BF800E0EF00F733 +:040BF900B7319F6F02 +:040BFA005783676155 +:040BFB00C7934D87C8 +:040BFC001C230107AE +:040BFD00BDF54CF7FF +:040BFE00C70367E1E1 +:040BFF0046055787C9 +:040C00006563468161 +:040C0100070500E6FD +:040C02000FF77693DF +:040C030056D78C2311 +:040C040067E1B5CD22 +:040C05005787871373 +:040C06000017470389 +:040C07008793460584 +:040C08004681578743 +:040C090000E6656339 +:040C0A0076930705D1 +:040C0B0080A30FF7BC +:040C0C00B5C100D797 +:040C0D000793676181 +:040C0E00C7835787BA +:040C0F000713006760 +:040C1000C7815787BA +:040C1100F79317FD41 +:040C120003230FF7B2 +:040C1300B55500F7DC +:040C140007136761FA +:040C15004783578733 +:040C160046B9006774 +:040C170000F6F3638D +:040C1800078547B94C +:040C190065B7B7DD27 +:040C1A00656100010F +:040C1B008593464532 +:040C1C00051362C595 +:040C1D0020EF4B0574 +:040C1E0062E103107C +:040C1F00000165B7B4 +:040C20004E82851368 +:040C2100859346452C +:040C220020EF63C597 +:040C230064E101D0B7 +:040C24003A44A68325 +:040C25000006C7837B +:040C26000047E79309 +:040C270000F6802330 +:040C2800938FE0EFD7 +:040C290067E16761B7 +:040C2A00071362E169 +:040C2B00831362C706 +:040C2C0017B74FC7E0 +:040C2D00A603000218 +:040C2E0067E10D0766 +:040C2F005BC7879385 +:040C300082410642B5 +:040C310045ED4681C6 +:040C32000007D503DF +:040C330000C506638F +:040C340007890685A1 +:040C3500FEB69AE38A +:040C360000A307838D +:040C370087B34671C8 +:040C3800461502C794 +:040C39004BDC97BA3F +:040C3A00646383F577 +:040C3B00461102F666 +:040C3C0006D6416334 +:040C3D00973E18388E +:040C3E00FDC74703A4 +:040C3F0040D7573310 +:040C4000C70D8B054C +:040C41001838078ACE +:040C4200A78397BA33 +:040C43008023FE47C5 +:040C4400A70300D72B +:040C450047833A4463 +:040C46009BED00071B +:040C470000F700238F +:040C48008FEFE0EF5B +:040C490085A367E137 +:040C4A00B3E16007AB +:040C4B000001663707 +:040C4C000613068500 +:040C4D0045C56486AF +:040C4E004E8285133A +:040C4F006D0020EF25 +:040C5000898FE0EFB9 +:040C51000007A537BC +:040C5200120505136F +:040C5300FB3FC0EFB4 +:040C540047B1B7C924 +:040C5500FAF68FE339 +:040C56000513650914 +:040C5700C0EF710574 +:040C580067E1FA1F37 +:040C590062C78713D4 +:040C5A00831367E1B8 +:040C5B0062E14FC73C +:040C5C000683B789CB +:040C5D00467100A339 +:040C5E00863367E191 +:040C5F00871302C62F +:040C6000458162C7A1 +:040C610062C787934C +:040C6200470397327B +:040C63004679016766 +:040C640000E66563DE +:040C65007593070577 +:040C660046710FF7CD +:040C670002C6863308 +:040C680097B2676177 +:040C690000B78B2322 +:040C6A00092346050F +:040C6B00676156C7A0 +:040C6C006147470392 +:040C6D00D2E69FE349 +:040C6E000167C70350 +:040C6F00942367E182 +:040C7000BB0560E779 +:040C710000A3068353 +:040C720067E146717F +:040C730002C68633FC +:040C740062C78713B9 +:040C7500879345FD1F +:040C7600973262C788 +:040C770001674703C7 +:040C7800177DDF4DB8 +:040C79004785BF4D9F +:040C7A00C23E4481B1 +:040C7B0067B7656191 +:040C7C0046450001E8 +:040C7D00658785936F +:040C7E004B0505130A +:040C7F006AA020EF58 +:040C800086B346A948 +:040C8100461502D43E +:040C820087364792D8 +:040C830000D65363E1 +:040C840062E14715CD +:040C850000016637CD +:040C86004E82851302 +:040C87000613072524 +:040C880045C5668672 +:040C890020EFC63E54 +:040C8A0066E15E6061 +:040C8B003A46A6033C +:040C8C000006470314 +:040C8D0000476713A2 +:040C8E0000E6002359 +:040C8F00F9DFD0EFCA +:040C900047B266E120 +:040C910062E1C23624 +:040C9200C41666C15D +:040C9300173716FDFC +:040C94002703000230 +:040C95008F750D0743 +:040C9600CF11EF99F2 +:040C9700861367E178 +:040C980045ED5BC704 +:040C99005503478137 +:040C9A0002630006EB +:040C9B00078502E5E2 +:040C9C009AE30609C8 +:040C9D006509FEB730 +:040C9E0071050513C4 +:040C9F00C0EFC63AA2 +:040CA0004732E81FD0 +:040CA100674187BA66 +:040CA200FFF70693BF +:040CA3004625B7C962 +:040CA40000C787639B +:040CA500E091461183 +:040CA600DE6346259E +:040CA700472904C70E +:040CA800E7B3078522 +:040CA900833302E7A8 +:040CAA00676102E498 +:040CAB000323979AEE +:040CAC00E0EF4AF734 +:040CAD00C91DF5FF69 +:040CAE00000166B724 +:040CAF006086869342 +:040CB000763747A2AA +:040CB1000613000125 +:040CB20045C5A0C6CE +:040CB3004E878513D0 +:040CB40053C020EF1A +:040CB500F05FD0EF2D +:040CB6000007A53757 +:040CB700120505130A +:040CB800E1FFC0EFA9 +:040CB900A7034792B4 +:040CBA00B5353A47CB +:040CBB00000166B717 +:040CBC0060068693B5 +:040CBD004669B7F1DC +:040CBE0000C7966372 +:040CBF000014C493C6 +:040CC000B5E587BA55 +:040CC10098E346313D +:040CC200BFE9F6C7C9 +:040CC300C78367E19B +:040CC40090E360B7A2 +:040CC50087A2BE073D +:040CC60047A9BCD5A9 +:040CC7000113BCFD5C +:040CC8001828DBC14C +:040CC90024112023AF +:040CCA0022812E2332 +:040CCB0022912C2323 +:040CCC00E09FD0EFE6 +:040CCD0020EFC22A28 +:040CCE0047923560B4 +:040CCF00EBC5440D20 +:040CD000182C461185 +:040CD10020EF0828E0 +:040CD20075B756009C +:040CD30046110001C5 +:040CD4008C45859333 +:040CD50020EF0828DC +:040CD60007935240EE +:040CD700E9410640A9 +:040CD80003C157837A +:040CD90003E105939B +:040CDA000513462197 +:040CDB001E2301E1F2 +:040CDC0020EF00F114 +:040CDD0057835340A6 +:040CDE0002A3046108 +:040CDF001A230201D1 +:040CE000578300F145 +:040CE1001B2304814C +:040CE200455200F186 +:040CE300753000EF79 +:040CE40004A157838D +:040CE5001A23D42AD0 +:040CE600578300F13F +:040CE7001B2304C106 +:040CE800455200F180 +:040CE90073B000EFF5 +:040CEA0004E1578347 +:040CEB001A23D62AC8 +:040CEC00578300F139 +:040CED001B230501BF +:040CEE00455200F17A +:040CEF00723000EF70 +:040CF0002503D82AD6 +:040CF100CA2A2341A7 +:040CF200717000EF2E +:040CF300DA2A55A202 +:040CF4001E20079324 +:040CF500FE658713FE +:040CF60014E7E063BC +:040CF700182846056E +:040CF800723000EF67 +:040CF9000B6357D260 +:040CFA00079306F561 +:040CFB00C23E06608F +:040CFC0029C020EFFC +:040CFD0047054792CE +:040CFE002AE78963F5 +:040CFF0026F747632A +:040D0000F350071392 +:040D01002AE78863F2 +:040D020024F744632B +:040D0300F34007139F +:040D04002AE78763F0 +:040D0500000175B7BD +:040D0600810585934B +:040D070067E1C23EA0 +:040D08004E8785137A +:040D090020EF46454C +:040D0A00D0EF4800DE +:040D0B004537DAFF8F +:040D0C000513000FBC +:040D0D00C0EF24050A +:040D0E004792CC9F9D +:040D0F002A07DA6372 +:040D10002A8058637A +:040D11000001763730 +:040D120006136561FE +:040D130045C5930639 +:040D14004B05051373 +:040D15003B8020EF10 +:040D1600A2B9147DED +:040D17007637646166 +:040D180006130001BD +:040D190045C58CC67A +:040D1A004B0405136E +:040D1B003A0020EF8B +:040D1C0067E156B283 +:040D1D000001763724 +:040D1E004E87851364 +:040D1F008DC6061364 +:040D200020EF45C5B6 +:040D2100D0EF38A037 +:040D220057C2D53FA0 +:040D2300430154B282 +:040D24004501C23E85 +:040D25006463C4221D +:040D26004792089355 +:040D27001AA7926312 +:040D280001E147831B +:040D290001C146833B +:040D2A0001D14703A9 +:040D2B0067B7CFD502 +:040D2C0087930001A8 +:040D2D0045227DC717 +:040D2E0001E10613C6 +:040D2F007637C03221 +:040D300006130001A5 +:040D310045C58E86A0 +:040D32004B05051355 +:040D3300340020EF79 +:040D340075B767E147 +:040D3500464500012E +:040D36009405859308 +:040D37004E8785134B +:040D3800254020EF43 +:040D3900D0EF644152 +:040D3A00147DCF3F16 +:040D3B00000214B7E7 +:040D3C000D04A78378 +:040D3D005683676111 +:040D3E008FE15BC71F +:040D3F0006F68B63C6 +:040D40005BC7071373 +:040D4100002757032D +:040D420014F700633F +:040D43000513650926 +:040D4400C0EF710586 +:040D4500BFD9BEDF75 +:040D460006500793B9 +:040D470082B3BDC9ED +:040D48000793406469 +:040D4900F46320002F +:040D4A0002930057B9 +:040D4B0067E120003C +:040D4C002003041369 +:040D4D0001029713F5 +:040D4E004987851339 +:040D4F004681834115 +:040D500000945613A2 +:040D5100C81A182C78 +:040D520020EFC616B2 +:040D530087AA054026 +:040D54000E0516630F +:040D550042B2434221 +:040D56003613182810 +:040D5700859600136A +:040D58005A3000EF1E +:040D5900BF0583222D +:040D5A00000177B766 +:040D5B00A147879392 +:040D5C0010EFB79944 +:040D5D0067612E603C +:040D5E004D875783E3 +:040D5F00051365090A +:040D6000E79371059F +:040D61001C23002728 +:040D6200A0234CF787 +:040D6300C0EF0EF4DB +:040D640075B7B71F89 +:040D650065610001C3 +:040D660085934645E6 +:040D670005138F459C +:040D680020EF4B0528 +:040D6900440D3040C5 +:040D6A0074B767E112 +:040D6B0085130001EB +:040D6C0046454E8723 +:040D6D0090048593D6 +:040D6E002EE020EF64 +:040D6F00C1DFD0EF21 +:040D700018345632AB +:040D7100450545816E +:040D7200B9FFD0EF06 +:040D730010E387AA58 +:040D74006761E205CC +:040D7500000175B74D +:040D76004B0705130F +:040D770085934645D5 +:040D780020EF9105D2 +:040D790085932C40F2 +:040D7A0064E190049C +:040D7B008513464551 +:040D7C0020EF4E8492 +:040D7D00D0EF2B4048 +:040D7E005642BE3FDC +:040D7F00183455B21D +:040D8000D0EF45016A +:040D810087AAAD3F51 +:040D8200DE0513E394 +:040D8300080020EF55 +:040D840075B7676177 +:040D850046450001DE +:040D86009545859377 +:040D87004B070513FE +:040D8800114020EF07 +:040D8900000175B739 +:040D8A0085934645C2 +:040D8B008513920535 +:040D8C0020EF4E8482 +:040D8D00D0EF27403C +:040D8E00A001BA3FC7 +:040D8F00BB45440D0F +:040D90000793440D74 +:040D9100B3650670D0 +:040D92000793440D72 +:040D9300B3450680DE +:040D9400F3600713EE +:040D950006E78A6380 +:040D9600F3700713DC +:040D9700DAE79CE318 +:040D9800000175B72A +:040D990087058593B2 +:040D9A000713BB552B +:040D9B008263066009 +:040D9C004F6306E7B4 +:040D9D00071300F741 +:040D9E008163064027 +:040D9F00071306E749 +:040DA00099E306507D +:040DA10075B7D8E763 +:040DA2008593000134 +:040DA300B379828519 +:040DA40006700713BB +:040DA50004E7896373 +:040DA60006800713A9 +:040DA700D6E79CE30C +:040DA800000175B71A +:040DA90085C58593E4 +:040DAA0075B7BB95C9 +:040DAB00859300012B +:040DAC00B3AD8185DD +:040DAD00000175B715 +:040DAE00890585939B +:040DAF0075B7B385DC +:040DB0008593000126 +:040DB100BB998A055B +:040DB200000175B710 +:040DB3008805859397 +:040DB40075B7B3B1AB +:040DB5008593000121 +:040DB600B3898385F5 +:040DB700000175B70B +:040DB8008B4585934F +:040DB90075B7BB252A +:040DBA00859300011C +:040DBB00B33D84853B +:040DBC00240120836B +:040DBD0023C1240327 +:040DBE0023812483E6 +:040DBF000113557D4A +:040DC00080822441C8 +:040DC100C70367E11C +:040DC20046F160A7EF +:040DC3008A2367E137 +:040DC400073360E7AA +:040DC50067E102D709 +:040DC60062C78793E6 +:040DC700D68397BA7E +:040DC800676100E778 +:040DC90060D71923B3 +:040DCA0066E14B98FB +:040DCB009C238B7D5D +:040DCC00C68360E694 +:040DCD006761014712 +:040DCE0060D71D23AA +:040DCF000127C683AF +:040DD0001323676121 +:040DD100479860D708 +:040DD200835566E1FE +:040DD30060E698231B +:040DD4000157C6837A +:040DD5001623676119 +:040DD600C68360D799 +:040DD7006761013718 +:040DD80060D71B23A2 +:040DD90000C7D683F6 +:040DDA001723676113 +:040DDB00C70360D713 +:040DDC0067E1016763 +:040DDD0060E7942314 +:040DDE0067E18082C7 +:040DDF006147C58320 +:040DE000D68367E16E +:040DE10067E161273E +:040DE2006187D283D0 +:040DE300D78367E16A +:040DE400111161A7E1 +:040DE500C03ECA261C +:040DE600C78367E177 +:040DE700CC22606753 +:040DE800C23E67613F +:040DE900D78367E164 +:040DEA000713610783 +:040DEB00C43E62C7D9 +:040DEC00D78367E161 +:040DED00C63E60C7D7 +:040DEE00C78367E16F +:040DEF00C83E616732 +:040DF000D48367E160 +:040DF10067E160E76F +:040DF2006087D30340 +:040DF300879367E19A +:040DF400C6034FC71C +:040DF500C24100B740 +:040DF60000A78603C9 +:040DF70006B61D63BC +:040DF80007B347F105 +:040DF90097BA02F6AD +:040DFA0000E7D383B8 +:040DFB0006D3916327 +:040DFC000107A383C5 +:040DFD000FF2F413EA +:040DFE0001F3F39377 +:040DFF00048399636D +:040E00000147C38360 +:040E010094634402B0 +:040E0200C38304831F +:040E0300441201276D +:040E040002839F6363 +:040E05000087A3833C +:040E0600D39344221C +:040E07009863015398 +:040E0800C38302831B +:040E09004432015717 +:040E0A000283936369 +:040E0B000137C38365 +:040E0C009E6344425B +:040E0D00D783008304 +:040E0E009A6300C71C +:040E0F0047F1009710 +:040E100002F60633AD +:040E11004783963A43 +:040E1200866301668C +:040E130067E100672C +:040E140089234605E3 +:040E150047F156C784 +:040E160002F587B3A7 +:040E170001F2F2935F +:040E1800D60397BAAC +:040E19009723010713 +:040E1A009A0100D762 +:040E1B00005662B368 +:040E1C0000579823C0 +:040E1D008A234602DC +:040E1E00461200C7B1 +:040E1F0000C789235C +:040E200012934622C1 +:040E2100D60300569E +:040E22008A7D00A71E +:040E230000566633DC +:040E240000C795234B +:040E25008AA3463224 +:040E2600464200C779 +:040E27000097962377 +:040E280000678B23B1 +:040E290000C789A3D2 +:040E2A0006136661E4 +:040E2B0067E1608695 +:040E2C0002C5166382 +:040E2D004650069392 +:040E2E0002D30333B5 +:040E2F0006400693E0 +:040E30000001763710 +:040E31003A46061324 +:040E320002D346B3EE +:040E330044D24462FF +:040E3400851345C518 +:040E350001714E8772 +:040E36007350106F76 +:040E370006136661D7 +:040E380007636126C5 +:040E3900666100C529 +:040E3A0061860613B4 +:040E3B0002C5176372 +:040E3C0085B34671C3 +:040E3D00461502C58F +:040E3E0044D24462F4 +:040E3F004E87851342 +:040E40004B18972E86 +:040E41008B7D45C59B +:040E420002C70733A9 +:040E430000017637FD +:040E44003AC6061391 +:040E4500106F0171B8 +:040E460076376F701C +:040E470056830001CD +:040E48000613000588 +:040E4900B75D394612 +:040E4A0027100693D4 +:040E4B0002D506B313 +:040E4C0006400793C2 +:040E4D0000017637F3 +:040E4E0006136561C1 +:040E4F0045C5A106EE +:040E50004E850513B3 +:040E510002F6C6B32C +:040E52006C50106F61 +:040E5300FDDFF06F60 +:040E5400763786AABD +:040E550065610001D2 +:040E56003906061340 +:040E5700051345C575 +:040E5800106F4E8544 +:040E590086AA6AB04B +:040E5A0000017637E6 +:040E5B0006136561B4 +:040E5C0045C59BC627 +:040E5D004E850513A6 +:040E5E006950106F58 +:040E5F00000F46B783 +:040E6000240686934B +:040E610002D505337E +:040E62008793678982 +:040E63000713964794 +:040E640036B73E80DF +:040E6500869300630D +:040E66007637EA06EB +:040E6700061300016D +:040E680045C59B06DB +:040E690002F557B384 +:040E6A0002E7F7B3F1 +:040E6B0056B347290A +:040E6C00656102D5E5 +:040E6D004E85051396 +:040E6E0002E7D7338D +:040E6F006510106F8B +:040E7000467006932F +:040E710002D506B3ED +:040E7200064007939C +:040E730000017637CD +:040E7400061365619B +:040E750045C53886B1 +:040E76004E8505138D +:040E770002F6C6B306 +:040E780062D0106FC5 +:040E790027100693A5 +:040E7A000533050532 +:040E7B00069302D503 +:040E7C00763706407F +:040E7D000613000157 +:040E7E0045C5A106BF +:040E7F0002D546B39F +:040E80000513656190 +:040E8100106F4E851B +:040E820086AA60706C +:040E830000017637BD +:040E8400061365618B +:040E850045C59E863B +:040E86004E8505137D +:040E87005F10106F79 +:040E88000185169337 +:040E8900763786E151 +:040E8A00656100019D +:040E8B00061316D163 +:040E8C0045C59A8638 +:040E8D004E85051376 +:040E8E005D50106F34 +:040E8F00053345F1F1 +:040E900065E102B561 +:040E910062C585931E +:040E920095AA464592 +:040E9300051365617D +:040E9400106F4E8508 +:040E950017936550FA +:040E9600655D002571 +:040E97009DC50513DD +:040E9800410C953E36 +:040E99004645656104 +:040E9A004E85051369 +:040E9B0063B0106FC1 +:040E9C000F6347A9F0 +:040E9D00179300F5B2 +:040E9E006561002565 +:040E9F00A045051352 +:040EA000410C953E2E +:040EA10046456561FC +:040EA2004E85051361 +:040EA30061B0106FBB +:040EA400000175B71D +:040EA5009C85859310 +:040EA6001141B7F54A +:040EA700C6064585B1 +:040EA800298DC02AA6 +:040EA90047836761B3 +:040EAA00468261C754 +:040EAB0061C7071301 +:040EAC007737E78924 +:040EAD000713000126 +:040EAE0040B2A007A7 +:040EAF000001763791 +:040EB000061365615F +:040EB10045C5A0860D +:040EB2004E85051351 +:040EB300106F01417A +:040EB40067E153F0AF +:040EB5005FC7A70369 +:040EB600879367E1D6 +:040EB70046AD5BC722 +:040EB800D583464553 +:040EB90092630167D8 +:040EBA00F69306E5C0 +:040EBB00E1190FF634 +:040EBC002A0704639A +:040EBD00C426113105 +:040EBE00C28364E1A6 +:040EBF00C6224754AC +:040EC00007936461CF +:040EC100959326845B +:040EC20097AE0032B5 +:040EC300A303C806B7 +:040EC400C7830007D9 +:040EC500465100474B +:040EC600FF5685133B +:040EC70002C78733A4 +:040EC80000432603BA +:040EC9000FF5751399 +:040ECA008493439535 +:040ECB000413475471 +:040ECC00963A2684A8 +:040ECD000046470391 +:040ECE0002A3E86330 +:040ECF00050A63DDD0 +:040ED00096838393EF +:040ED1004108951E21 +:040ED200068585020A +:040ED3009AE307890E +:040ED4004681F8C695 +:040ED500E399BF6975 +:040ED600000347834B +:040ED700F79317FD79 +:040ED80095A20FF7D9 +:040ED90000F582237B +:040EDA004681470105 +:040EDB004703A89190 +:040EDC000785000383 +:040EDD00E7B395A240 +:040EDE00B7ED02E783 +:040EDF000002876323 +:040EE000FFF2879303 +:040EE10000F4802376 +:040EE20067E1B7C548 +:040EE300600785A37C +:040EE400A70367E118 +:040EE50047833A47BE +:040EE6009BED000779 +:040EE70000F70023ED +:040EE80040C244328E +:040EE900015144A2CD +:040EEA00E76FD06F6F +:040EEB000E63478DBE +:040EEC00479104F72F +:040EED00FAF71AE313 +:040EEE009782461C85 +:040EEF004685872A83 +:040EF0000004C783B0 +:040EF10046456561AC +:040EF200943E078E95 +:040EF30000444783ED +:040EF4004451400421 +:040EF50002878433B9 +:040EF600051340DCC4 +:040EF700C23A4B05AB +:040EF80097A2C036C7 +:040EF90010EF438C27 +:040EFA0040DC4C107C +:040EFB0097A24591E4 +:040EFC000047C603E2 +:040EFD0014C5E363D2 +:040EFE00060A65DD9E +:040EFF00980585933A +:040F00004210962ED7 +:040F010047124682CB +:040F02004A1C8602FD +:040F03009782C3917D +:040F04000004C7839B +:040F0500859343513C +:040F0600078E00173B +:040F070000F40733B8 +:040F08004703431444 +:040F090042D000478B +:040F0A000267073340 +:040F0B000035969384 +:040F0C0000D40533D5 +:040F0D0000052303B5 +:040F0E0047189732B7 +:040F0F0000E3046394 +:040F100000050223B3 +:040F1100C78397A259 +:040F120047510047FC +:040F130087B396A268 +:040F1400802302E74D +:040F150097B200B4DB +:040F1600C29C479C96 +:040F17004791B73116 +:040F1800F0E7E4E337 +:040F1900879367DD76 +:040F1A00070A9947E2 +:040F1B00431C973E9E +:040F1C00460C878276 +:040F1D004503433D08 +:040F1E00470300C6BF +:040F1F00C78300D6AE +:040F2000460300057F +:040F21009D6300E6E6 +:040F2200786300668A +:040F230017FD00F7BF +:040F24000FF7F613BA +:040F250000C5802360 +:040F2600FD6DBDC1DF +:040F2700BFDD863A6A +:040F280000C7F863A3 +:040F2900F71307852E +:040F2A0080230FF71A +:040F2B00BD6D00E5B3 +:040F2C008732FD6D9E +:040F2D004608BFDDD6 +:040F2E0000C6558321 +:040F2F0000E657037E +:040F30005783463D60 +:040F31009E630005B6 +:040F3200F76300C69B +:040F330017FD00F5B1 +:040F34000107971307 +:040F3500A01983413B +:040F3600872EC191B0 +:040F370000E510239E +:040F3800F463B56148 +:040F3900078500E741 +:040F3A00F9EDB7E531 +:040F3B00B7FD4701B6 +:040F3C0045BD465019 +:040F3D00478342188C +:040F3E0099630007AC +:040F3F00C78100B6B0 +:040F4000F79317FD0F +:040F410000230FF783 +:040F4200BDB900F73E +:040F4300004646839B +:040F440000D7F5637A +:040F4500F693078593 +:040F460000230FF77E +:040F4700B5A900D771 +:040F48004B9C4798DF +:040F49004703656194 +:040F4A004645000711 +:040F4B004E850513B7 +:040F4C0097BA070A3F +:040F4D0010EF438CD2 +:040F4E0044323710E2 +:040F4F0044A240C2B6 +:040F5000D06F01510C +:040F51004798C96F85 +:040F520045034B9C6C +:040F5300978200077A +:040F54004B98B7ED12 +:040F55009702478830 +:040F560067E1B7CDCB +:040F57004E0784239A +:040F5800C695BFE992 +:040F590066B7C305AF +:040F5A008693000179 +:040F5B0076376086FF +:040F5C0065610001CA +:040F5D00A0C6061311 +:040F5E00051345C56D +:040F5F0010EF4E85BC +:040F6000BF6528F051 +:040F6100000166B76E +:040F6200600686930C +:040F630047DCB7CDE3 +:040F64004398D7E9EE +:040F6500BF55479C91 +:040F660047BD808281 +:040F670016A7EA637C +:040F6800445257B7E1 +:040F6900EF41011340 +:040F6A0035578793DD +:040F6B0057B7C03E76 +:040F6C008793004126 +:040F6D00C23E441725 +:040F6E0000F53793C0 +:040F6F0000F1052365 +:040F70008793679567 +:040F710022235007E0 +:040F720024231081A3 +:040F73000413101142 +:040F74002023010530 +:040F75004739109157 +:040F760000F114234F +:040F77007E6304226F +:040F780065E10AA77E +:040F790004A0079336 +:040F7A0085934629EC +:040F7B0005134DC548 +:040F7C0005A300D1F8 +:040F7D00062300F156 +:040F7E0010EF00016F +:040F7F0067E113B063 +:040F80004AD7C78302 +:040F8100061365E10D +:040F82000C230360D9 +:040F830067E100F131 +:040F84004A57C7837E +:040F85005BC5859330 +:040F8600021105133C +:040F870000F10CA3C6 +:040F8800C78367E1D3 +:040F89000BA34FA7C0 +:040F8A0067E100F12A +:040F8B004E67C78363 +:040F8C0000F10D2340 +:040F8D00C78367E1CE +:040F8E000DA3574711 +:040F8F0067E100F125 +:040F90004DA7C7831F +:040F910000F10E233A +:040F9200C78367E1C9 +:040F93000EA33A3738 +:040F940067E100F120 +:040F95004AC7C783FD +:040F960000F10F2334 +:040F9700C78367E1C4 +:040F98000FA33A87E2 +:040F990067E100F11B +:040F9A004D67C78355 +:040F9B0002F100233C +:040F9C000C5010EFF6 +:040F9D000593862210 +:040F9E00850A05704B +:040F9F009C0FD0EFE4 +:040FA00000A0353345 +:040FA10040A0053334 +:040FA2001081208317 +:040FA30010412403D2 +:040FA4001001248391 +:040FA50010C1011363 +:040FA60007B7808287 +:040FA7008793040C1C +:040FA80064E10427D5 +:040FA900C783CC3EF0 +:040FAA00EB9161C4A2 +:040FAB000001763794 +:040FAC003FC6061323 +:040FAD00851345B5AE +:040FAE0010EF61C41B +:040FAF00463515307E +:040FB00061C4859300 +:040FB10000B1051373 +:040FB2001DF010EF2F +:040FB300061365E1DB +:040FB40085930420FD +:040FB50008685785EC +:040FB60005D010EF63 +:040FB700061365E1D7 +:040FB80085930A20F3 +:040FB900051362C5F5 +:040FBA0010EF05E14E +:040FBB00862204B0D6 +:040FBC001000059389 +:040FBD00D0EF850AE2 +:040FBE006561946F66 +:040FBF000014061301 +:040FC00036A00593BF +:040FC1006CE50513C3 +:040FC200988FD0EF45 +:040FC300BFAD450178 +:040FC4008082557D55 +:040FC5008E2367E12F +:040FC60047BD6007BC +:040FC70000A7F56327 +:040FC800853E57FD0E +:040FC900011380820E +:040FCA000793EE811A +:040FCB00C22E01052C +:040FCC000107951371 +:040FCD000593007018 +:040FCE00C03E100011 +:040FCF0010112A23B0 +:040FD0001081282341 +:040FD1001091262332 +:040FD2008D6FD0EF60 +:040FD300E10D57FDD8 :040FD400000175B7EC -:040FD50011458593AA -:040FD600C0EF080858 -:040FD700ED1DF44FC9 -:040FD8000181470349 -:040FD9004683EF0557 -:040FDA000713019167 -:040FDB0097630540D3 -:040FDC00468302E660 -:040FDD00470501A122 -:040FDE0002D7626371 -:040FDF0015936605FB -:040FE00095B2008442 -:040FE10046A006130D -:040FE20000E684633E -:040FE300055006139C -:040FE4000874451236 -:040FE5009D2FD0EF7D -:040FE6000485E1514C -:040FE7004741040575 -:040FE800F8E417E32F -:040FE900430010EFC2 -:040FEA00453D4581BB -:040FEB00CC5FF0EFF8 -:040FEC00C78367E16F -:040FED00676118D749 -:040FEE001BC7071303 -:040FEF00C50397BAE5 -:040FF0004581000730 -:040FF1008CA367E185 -:040FF200F0EF1CA759 -:040FF30047A2CA7FC8 -:040FF400000176374B -:040FF500061386A6B3 -:040FF60045C50D469A -:040FF700190785133E -:040FF800574010EF5F -:040FF90075B747B2CF -:040FFA004645000167 -:040FFB000E058593C7 -:040FFC001C878513B6 -:040FFD005FA010EFF2 -:040FFE009E0FD0EF83 -:040FFF00000F453763 -:0410000024050513AB -:041001008FAFC0EFFE -:0410020022412083E4 -:04100300220124039F -:0410040024834502FA -:04100500011321C1F1 -:041006008082228141 -:041007003B8010EF2B -:04100800C03E57FD92 -:041009005713B7D5ED -:04100A0017930185B2 -:04100B008FD90185F3 -:04100C0000FF06B724 -:04100D000085171330 -:04100E008FD98F7572 -:04100F00071367411B -:041010008121F00743 -:041011008D5D8D79EB -:04101200C609808209 -:04101300000217B709 -:041014000007A0230E -:04101500F693470106 -:041016001637FFC5C5 -:0410170007B3000219 -:04101800646300E528 -:04101900898D02D7E4 -:04101A009463470D87 -:04101B00D68302E591 -:04101C00173700077B -:04101D001223000298 -:04101E00C78300D7AD -:04101F000223002781 -:0410200017B700F707 -:041021004B880002F6 -:04102200439C8082E9 -:04102300C25C071193 -:041024004709B7F9C8 -:0410250000E59963E6 -:041026000007D703E5 -:04102700000217B7F5 -:0410280000E7922328 -:041029004705BFF9BF -:04102A00FCE59DE361 -:04102B000007C703F0 -:04102C00000217B7F0 -:04102D0000E7822333 -:04102E001151B7E9BC -:04102F001437C2228E -:04103000C0260002D4 -:0410310084AA460146 -:0410320004A005937E -:041033000404051399 -:04103400C0EFC4063F -:0410350085A6CCAF11 -:041036000513460157 -:04103700C0EF0404FE -:041038000593CF8FBE -:04103900051304A0F7 -:04103A00460504045F -:04103B00CB0FC0EF28 -:04103C000404051390 -:04103D0040A2441277 -:04103E00458544821E -:04103F00C06F01314C -:041040001141CBEFA0 -:041041001437C4227A -:04104200C2260002C0 -:0410430084AEC02A8D -:0410440005934601C9 -:04104500051304A0EB -:04104600C6060404D2 -:04104700C80FC0EF1F -:041048004601478294 -:041049000404051383 -:04104A00C0EF85BEB0 -:04104B000513CACFF0 -:04104C004422040432 -:04104D0085A640B282 -:04104E00460544927D -:04104F00C06F01412C -:041050001151C98FE2 -:041051004405C2226E -:0410520000A41433AF -:041053000FF474130F -:04105400451985A213 -:04105500F0EFC406EE -:0410560085A2FADF96 -:0410570040A244125D -:041058000131451D00 -:04105900F9FFF06F3C -:04105A000506115125 -:04105B007413C22226 -:04105C0085A20FF565 -:04105D00C40645057B -:04105E00F8BFF0EFF8 -:04105F00441285A210 -:04106000450940A25C -:04106100F06F0131FA -:041062001151F7DF52 -:04106300C406451565 -:04106400F2BFF0EFF8 -:041065000860071305 -:041066001363478148 -:04106700059304E504 -:041068000513090063 -:04106900F0EF0200A2 -:04106A004581F5DFE8 -:04106B000210051357 -:04106C00F53FF0EF6D -:04106D0005134581A1 -:04106E00F0EF02207D -:04106F00458DF49F18 -:041070000230051332 -:04107100F3FFF0EFAA -:04107200051345819C -:04107300F0EF028018 -:041074000593F35F8E -:04107500051303005C -:04107600F0EF071080 -:041077004785F29F18 -:04107800853E40A2CF -:04107900808201313F -:04107A0001A311417C -:04107B00153700A184 -:04107C00C422000288 -:04107D00842E460572 -:04107E00040505134D -:04107F0000310593A4 -:04108000C0EFC606F1 -:041081008522C1EF14 -:04108200EF7FB0EF5D -:04108300442240B211 -:041084008082014124 -:04108500C222115121 -:0410860057836461C7 -:04108700C4061B641C -:04108800F793C026F4 -:0410890007C2F9F7AA -:04108A001B2383C1E0 -:04108B0014B71AF488 -:04108C00A02300029B -:04108D0045510EF4C7 -:04108E00EC7FB0EF54 -:04108F00051345D12F -:04109000F0EF0380FA -:0410910045D1FA7FCC -:0410920003900513AF -:04109300F9DFF0EFA2 -:04109400455145D1AC -:04109500F95FF0EF20 -:04109600051345D128 -:04109700F0EF07105F -:0410980045D1F8BF87 -:0410990005E0051356 -:04109A00F81FF0EF5C -:04109B00051345D123 -:04109C00F0EF06D09B -:04109D0045D1F77FC3 -:04109E00F0EF4531F9 -:04109F000593F6FFC0 -:0410A00045053200D0 -:0410A100F65FF0EF17 -:0410A200451945D1D6 -:0410A300F5DFF0EF96 -:0410A400320005937E -:0410A500F0EF45091A -:0410A6005783F53F38 -:0410A70040A21B64E4 -:0410A8000407E793BF -:0410A9001AF41B23F7 -:0410AA00A023441229 -:0410AB0044820EF479 -:0410AC00808201310C -:0410AD00C822112123 -:0410AE00578364619F -:0410AF00CA061B64EE -:0410B000F793C626C6 -:0410B10007C2F9F782 -:0410B200C02E83C108 -:0410B3001AF41B23ED -:0410B40000021737E8 -:0410B5000EF72023EF -:0410B600059384AA70 -:0410B70045053200B9 -:0410B800F09FF0EFC6 -:0410B9001B645783DA -:0410BA0000021737E2 -:0410BB00E79345C1B1 -:0410BC001B230207E9 -:0410BD0020231AF4DE -:0410BE0085260EF77E -:0410BF00316010EF9D -:0410C0000FF577139E -:0410C10075B7EB1103 -:0410C200464500019E -:0410C3005AC58593F2 -:0410C40010EF85267E -:0410C50047052DC0EE -:0410C60086B3478125 -:0410C700C50300F469 -:0410C80045D1000608 -:0410C900C23EC43A25 -:0410CA00EC1FF0EF38 -:0410CB0047224792DF -:0410CC00F69307850B -:0410CD00E2E30FF754 -:0410CE005783FEE660 -:0410CF0014B71B64D3 -:0410D00045D1000204 -:0410D100FDF7F7939D -:0410D20083C107C20D -:0410D3001AF41B23CD -:0410D4000EF4A02353 -:0410D5000C000513F3 -:0410D600E91FF0EF2F -:0410D7001B645783BC -:0410D80045C14502C7 -:0410D9000207E79390 -:0410DA001AF41B23C6 -:0410DB000EF4A0234C -:0410DC002A2010EFC7 -:0410DD000FF5779301 -:0410DE004502EB914B -:0410DF00000175B7E0 -:0410E0008593464569 -:0410E10010EF5AC5ED -:0410E2004785268098 -:0410E300C23E448144 -:0410E40045D1478229 -:0410E50000978733B6 -:0410E60000074503B7 -:0410E700F0EF04859D -:0410E8004792E4BF88 -:0410E9000FF4F713F6 -:0410EA00FEF763E3C7 -:0410EB001B645783A8 -:0410EC00173740D2A0 -:0410ED00E793000283 -:0410EE001B230407B5 -:0410EF0044421AF469 -:0410F0000EF72023B4 -:0410F100016144B2A3 -:0410F2001151808296 -:0410F3001437C222CA -:0410F400C026000210 -:0410F50084AA460182 -:0410F60002C005939C +:040FD5003F4585937C +:040FD600C0EF006800 +:040FD70087AAF44FA2 +:040FD8001C051D6374 +:040FD9000161470368 +:040FDA004685CF1168 +:040FDB000ED70E63BC +:040FDC00114120831C +:040FDD0011012403D7 +:040FDE0010C1248397 +:040FDF000113853E37 +:040FE0008082118179 +:040FE1000141470380 +:040FE2001A071B636C +:040FE30001514683EF +:040FE400055007139A +:040FE5001AE6956310 +:040FE600018147033B +:040FE70001714683CB +:040FE8008F550722F8 +:040FE90004A00693C7 +:040FEA00FCD714E339 +:040FEB00F36947124D +:040FEC00007866E142 +:040FED0045394781BA +:040FEE004DC68693D3 +:040FEF00460345A9C7 +:040FF000666300D75D +:040FF100833300C581 +:040FF200002300D701 +:040FF300078500C3AB +:040FF40096E3070574 +:040FF5004783FEB779 +:040FF60067610251DC +:040FF7004AF702A310 +:040FF80066634725C0 +:040FF900676100F735 +:040FFA004AF706A309 +:040FFB004783A0295F +:040FFC007AE3024151 +:040FFD004703FEF7B1 +:040FFE0067E1027134 +:040FFF008A2365617B +:04100000470356E765 +:0410010067E1028120 +:04100200036006136E +:041003004CE78D2306 +:04100400029147030B +:04100500059367E107 +:0410060081A302D1EF +:0410070047033AE77A +:0410080067E102A1F9 +:041009005BC50513AB +:04100A004AE7862308 +:04100B0002B14703E4 +:04100C00842367E1F1 +:04100D0047033AE774 +:04100E0067E102C1D3 +:04100F004CE78B23FC +:04101000023147035F +:041011008D2367E1E3 +:0410120067E14EE75D +:041013004DC7C703FB +:041014008CA367E161 +:0410150047034EE758 +:0410160067E102612B +:041017004EE78323FA +:041018006D4010EF28 +:04101900B72947812B +:04101A0001414483C9 +:04101B004683E8E937 +:04101C000713015164 +:04101D009463055083 +:04101E0056830CE603 +:04101F000713024170 +:0410200097E304202E +:041021005403EEE6A0 +:04102200071302614D +:0410230011E340C0D5 +:0410240067E1EEE4AE +:041025004635C42A5E +:0410260061C7851306 +:0410270001710593BB +:04102800007010EF55 +:0410290047A2471281 +:04102A00EC0714E3D8 +:04102B0006136561E2 +:04102C00102C042060 +:04102D0057850513CB +:04102E0067C010EF98 +:04102F0066E14782AD +:0410300007A24701CB +:041031008693C03EA4 +:04103200079362C6F8 +:04103300061305E0BB +:041034000533100070 +:04103500C43A00D7E2 +:0410360000788E1D93 +:0410370005B3C23EFD +:04103800486300F712 +:0410390010EF04C4EC +:04103A00479264E095 +:04103B0004854722BF +:04103C008F1D943E32 +:04103D0007134782CC +:04103E00F493100710 +:04103F0085330FF4F2 +:04104000074200F46F +:0410410004138341D0 +:041042000070F00446 +:041043001000059301 +:04104400C23A052285 +:04104500C0EF0442B2 +:0410460066E1F09FD0 +:04104700478180411C +:041048008693471232 +:04104900F44562C642 +:04104A00470567E10E +:04104B0056E78923B8 +:04104C008622BF1524 +:04104D00600010EF40 +:04104E004785BFC54E +:04104F004789BD15FB +:041050000113BD05C6 +:041051000868DD81CD +:041052002211222322 +:0410530022812023B3 +:0410540020912E2396 +:04105500FE5FC0EF8B +:0410560010EFC02AAD +:041057004782532059 +:0410580016079A637A +:0410590075B76461A2 +:04105A004645000106 +:04105B003CC5859378 +:04105C004B04051329 +:04105D0010EF64E14B +:04105E0084235BE0AC +:04105F00D0EF4E047C +:04106000C62685AF6C +:04106100C42264C180 +:0410620017B714FDAB +:04106300A78300025D +:0410640067610D07AC +:041065005BC756838C +:041066008E638FE521 +:04106700071300F675 +:0410680057035BC708 +:0410690007630027F2 +:04106A00650912F70B +:04106B0071050513F3 +:04106C00F4FFB0EFEE +:04106D0047A2BFD9FE +:04106E00000175B751 +:04106F0085934645DA +:0410700085133E05A1 +:0410710010EF4B072A +:0410720047B256E04B +:04107300000175B74C +:0410740085934645D5 +:04107500851390054A +:0410760010EF4E87A2 +:04107700C0EF6CC09A +:041078004481FFBFF1 +:041079001713440104 +:04107A000713010453 +:04107B005793200760 +:04107C00C23E409799 +:04107D0067E1863E63 +:04107E004681472D33 +:04107F008513080CC1 +:0410800010EF49879D +:04108100E57939C014 +:04108200000175B73D +:041083003F458593CD +:04108400C0EF0808A9 +:04108500ED1DC8CFC6 +:04108600018147039A +:041087004683EF05A8 +:0410880007130191B8 +:041089009763055014 +:04108A00468302E6B1 +:04108B00470501A173 +:04108C0002D76263C2 +:04108D00159366054C +:04108E0095B2008493 +:04108F0046A006135E +:0410900000E684638F +:0410910005700613CD +:041092000874451287 +:04109300F1BFC0EFFA +:041094000485E1519D +:0410950047410405C6 +:04109600F8E417E380 +:04109700430010EF13 +:04109800453D45810C +:04109900CB1FF0EF8A +:04109A00C78367E1C0 +:04109B0067614AD768 +:04109C004DC7071322 +:04109D00C50397BA36 +:04109E004581000781 +:04109F008CA367E1D6 +:0410A000F0EF4EA778 +:0410A10047A2C93F5A +:0410A200000176379C +:0410A300061386A604 +:0410A40045C53B46BD +:0410A5004B0785135D +:0410A600574010EFB0 +:0410A70075B747B220 +:0410A80046450001B8 +:0410A9003C058593EA +:0410AA004E878513D5 +:0410AB005FA010EF43 +:0410AC00F29FC0EF00 +:0410AD00000F4537B4 +:0410AE0024050513FD +:0410AF00E43FB0EF7B +:0410B0002241208336 +:0410B10022012403F1 +:0410B200248345024C +:0410B300011321C143 +:0410B4008082228193 +:0410B5003B8010EF7D +:0410B600C03E57FDE4 +:0410B7005713B7D53F +:0410B8001793018504 +:0410B9008FD9018545 +:0410BA0000FF06B776 +:0410BB000085171382 +:0410BC008FD98F75C4 +:0410BD00071367416D +:0410BE008121F00795 +:0410BF008D5D8D793D +:0410C000C60980825B +:0410C100000217B75B +:0410C2000007A02360 +:0410C300F693470158 +:0410C4001637FFC517 +:0410C50007B300026B +:0410C600646300E57A +:0410C700898D02D736 +:0410C8009463470DD9 +:0410C900D68302E5E3 +:0410CA0017370007CD +:0410CB0012230002EA +:0410CC00C78300D7FF +:0410CD0002230027D3 +:0410CE0017B700F759 +:0410CF004B88000248 +:0410D000439C80823B +:0410D100C25C0711E5 +:0410D2004709B7F91A +:0410D30000E5996338 +:0410D4000007D70337 +:0410D500000217B747 +:0410D60000E792237A +:0410D7004705BFF911 +:0410D800FCE59DE3B3 +:0410D9000007C70342 +:0410DA00000217B742 +:0410DB0000E7822385 +:0410DC001151B7E90E +:0410DD001437C222E0 +:0410DE00C026000226 +:0410DF0084AA460198 +:0410E00004A00593D0 +:0410E10004040513EB +:0410E200C0EFC40691 +:0410E30085A6A12F0E +:0410E40005134601A9 +:0410E500C0EF040450 +:0410E6000593A40FBB +:0410E700051304A049 +:0410E80046050404B1 +:0410E9009F8FC0EF26 +:0410EA0004040513E2 +:0410EB0040A24412C9 +:0410EC004585448270 +:0410ED00C06F01319E +:0410EE001141A06F9D +:0410EF001437C422CC +:0410F000C226000212 +:0410F10084AEC02ADF +:0410F200059346011B +:0410F300051304A03D +:0410F400C606040424 +:0410F5009C8FC0EF1D +:0410F60046014782E6 :0410F70004040513D5 -:0410F800C0EFC4067B -:0410F90085A69BAF7E -:0410FA00051346058F -:0410FB00C0EF04043A -:0410FC0005939E8F2B -:0410FD00051302C015 -:0410FE00460504049B -:0410FF009A0FC0EF95 -:0411000004040513CB -:0411010040A24412B2 -:041102004585448259 -:04110300C06F013187 -:0411040011419AEF0C -:041105001437C422B5 -:04110600C2260002FB -:0411070084AEC02AC8 -:041108000593460104 -:04110900051302C008 -:04110A00C60604040D -:04110B00970FC0EF8B -:04110C0046014782CF -:04110D0004040513BE -:04110E00C0EF85BEEB -:04110F00051399CF5C -:04111000442204046D -:0411110085A640B2BD -:0411120046054492B8 -:04111300C06F014167 -:041114001537988F64 -:041115001151000272 -:0411160045814601C8 -:0411170004050513B3 -:04111800C0EFC4065A -:041119004529974F7E -:04111A00C97FB0EFEA -:04111B00450545E160 -:04111C00FA3FF0EFB7 -:04111D00450945E15A -:04111E00F9BFF0EF36 -:04111F00450D45E154 -:04112000F93FF0EFB4 -:04112100F0EF4505A1 -:0411220040A2F45F94 -:04112300351315214A -:041124000131001580 -:041125001151808262 -:04112600842AC22233 -:04112700C4064505B0 -:04112800F2BFF0EF33 -:04112900040E991DFA -:04112A0074138C4965 -:04112B0085A20FF496 -:04112C00F0EF450596 -:04112D0085A2F61F82 -:04112E00F0EF450990 -:04112F0085A2F59F01 -:0411300040A2441283 -:041131000131450D36 -:04113200F4BFF06FA7 +:0410F800C0EF85BE02 +:0410F90005139F4FED +:0410FA004422040484 +:0410FB0085A640B2D4 +:0410FC0046054492CF +:0410FD00C06F01417E +:0410FE0011519E0FDF +:0410FF004405C222C0 +:0411000000A4143300 +:041101000FF4741360 +:04110200451985A264 +:04110300F0EFC4063F +:0411040085A2FADFE7 +:0411050040A24412AE +:041106000131451D51 +:04110700F9FFF06F8D +:041108000506115176 +:041109007413C22277 +:04110A0085A20FF5B6 +:04110B00C4064505CC +:04110C00F8BFF0EF49 +:04110D00441285A261 +:04110E00450940A2AD +:04110F00F06F01314B +:041110001151F7DFA3 +:04111100C4064515B6 +:04111200F2BFF0EF49 +:041113000860071356 +:041114001363478199 +:04111500059304E555 +:0411160005130900B4 +:04111700F0EF0200F3 +:041118004581F5DF39 +:0411190002100513A8 +:04111A00F53FF0EFBE +:04111B0005134581F2 +:04111C00F0EF0220CE +:04111D00458DF49F69 +:04111E000230051383 +:04111F00F3FFF0EFFB +:0411200005134581ED +:04112100F0EF028069 +:041122000593F35FDF +:0411230005130300AD +:04112400F0EF0710D1 +:041125004785F29F69 +:04112600853E40A220 +:041127008082013190 +:0411280001A31141CD +:04112900153700A1D5 +:04112A00C4220002D9 +:04112B00842E4605C3 +:04112C00040505139E +:04112D0000310593F5 +:04112E00C0EFC60642 +:04112F008522966F10 +:04113000C3FFB0EF5A +:04113100442240B262 +:041132008082014175 :04113300C222115172 -:041134004505842ABF -:04113500F0EFC4060D -:041136004789EF5F97 -:041137000D8575139A -:0411380002F4136347 -:041139000015641326 -:04113A00450585A240 -:04113B00F27FF0EF60 -:04113C00450985A23A -:04113D00F1FFF0EFDF -:04113E00441285A230 -:04113F00450D40A278 -:04114000F06F01311A -:041141000416F11F80 -:0411420074138C494D -:0411430064130FF42E -:04114400BFD90044CB -:04114500C222115160 -:041146000002143758 -:041147004601C02677 -:04114800059384AADD -:04114900051305C0C5 -:04114A00C4060404CF -:04114B00870FC0EF5B -:04114C000FF4F59314 -:04114D00051346053B -:04114E00C0EF0404E6 -:04114F00059389CFAC -:04115000051305C0BE -:041151004605040447 -:04115200854FC0EF16 -:041153000404051378 -:0411540040A244125F -:041155004585448206 -:04115600C06F013134 -:041157001141862F8D -:041158001437C42262 -:04115900C2260002A8 -:04115A0084AEC02A75 -:04115B0005934601B1 -:04115C00051305C0B2 -:04115D00C6060404BA -:04115E00824FC0EF0D -:04115F00460147827C -:04116000040405136B -:041161000FF7F593FC -:0411620084EFC0EF67 -:041163000404051368 -:0411640040B244222F -:04116500449285A685 -:0411660001414605F8 -:0411670083AFC06F23 -:041168000513115109 -:04116900C406022096 -:04116A00C026C222B7 -:04116B00F69FF0EF0C -:04116C000FF57413F4 -:04116D00455945CDCE -:04116E00FA7FF0EF25 -:04116F0085136489F7 -:04117000B0EF710467 -:04117100458DB3DF16 -:04117200F0EF455DF8 -:041173008513F95F88 -:04117400B0EF710463 -:041175006593B2DFED -:041176000513080451 -:04117700F0EF022073 -:041178000513F81F44 -:04117900B0EF3E8015 -:04117A007593B19F19 -:04117B00441207F41F -:04117C00448240A2C7 -:04117D000220051334 -:04117E00F06F0131DC -:04117F001151F65FB5 -:041180006409C2221A -:0411810071040513DD -:04118200B0EFC40600 -:0411830045C5AF5F50 -:04118400F0EF4559EA -:041185000513F4DF7B -:04118600B0EF710451 -:04118700455DAE5FB5 -:04118800F0EF4589B6 -:041189000513F3DF78 -:04118A004412710496 -:04118B00013140A24C -:04118C00ACFFB06F95 -:04118D00C222115118 -:04118E0085AA842E7C -:04118F00C406454904 -:04119000F1FFF0EF8C -:04119100441285A2DD -:04119200454D40A2E5 -:04119300F06F0131C7 -:0411940085AAF11F18 -:0411950003D005136B -:04119600F07FF06F87 -:04119700454585AA9B -:04119800EFFFF06F06 -:04119900C406115126 -:04119A004783C222A3 -:04119B00842A00653D -:04119C009593456D75 -:04119D008DDD00479D -:04119E000FF5F593C1 -:04119F00EE3FF0EF40 -:0411A000006445831F -:0411A100F0EF4571B5 -:0411A2004583ED9FF5 -:0411A30045290034A6 -:0411A400ECFFF0EF7D -:0411A500004445833A -:0411A600F0EF4525FC -:0411A7004583EC5F31 -:0411A8004521005489 -:0411A900EBBFF0EFB9 -:0411AA000004458375 -:0411AB00F0EF4535E7 -:0411AC004583EB1F6D -:0411AD0045310014B4 -:0411AE00EA7FF0EFF5 -:0411AF000024458350 -:0411B00040A2441203 -:0411B1000131452D96 -:0411B200E97FF06F72 -:0411B300C422114100 -:0411B4004511842A33 -:0411B500C032C2265C -:0411B600C60684AE37 -:0411B700E39FF0EFD3 -:0411B80075934602E3 -:0411B900CE4D0F8583 -:0411BA007FF0079328 -:0411BB000A87EA6352 -:0411BC00E593451161 -:0411BD00F0EF00153A -:0411BE001513E69F80 -:0411BF0014130014F1 -:0411C0008041010564 -:0411C10000445593FE -:0411C2000FF5F5939D -:0411C300F0EF4505FF -:0411C4001593E51F7B -:0411C500F59300445A -:0411C60045090F05C3 -:0411C700E43FF0EF22 -:0411C800019C073748 -:0411C900CC07071335 -:0411CA0002975733FE -:0411CB00022556B7EC -:0411CC000FF6869301 -:0411CD00073347811C -:0411CE00F063028741 -:0411CF0026B702E657 -:0411D0008693042CD2 -:0411D1004785D7F681 -:0411D20000E6F963D7 -:0411D300080BF7B757 -:0411D400FBF787930B -:0411D50000E7B7B3C5 -:0411D60067610789BD -:0411D70013C7071320 -:0411D8004503973EF6 -:0411D90007130007F1 -:0411DA000533028057 -:0411DB00571302E5BF -:0411DC00953A00142C -:0411DD00028545330F -:0411DE007513471D21 -:0411DF0075930FF500 -:0411E00073630FF531 -:0411E100459D00A781 -:0411E20040B24422B1 -:0411E300058E44929F -:0411E4008DDD079AFC -:0411E5000F85F593EA -:0411E6000141450D71 -:0411E700DC3FF06F8A -:0411E800F0EF4511CE -:0411E900BFB9DBDFD0 -:0411EA00C2221151BB -:0411EB004569842AA4 -:0411EC00F0EFC40656 -:0411ED004785D63F1D -:0411EE000FA5759341 -:0411EF0000F41A638B -:0411F0000025E5935E -:0411F10040A24412C2 -:0411F2000131456919 -:0411F300D93FF06F81 -:0411F40000A5E593DA -:0411F5005583BFC59A -:0411F600115100A5EE -:0411F70081A1C222EE -:0411F8000513842A2D -:0411F900C40604B074 -:0411FA00D77FF0EFBC -:0411FB0000A4458384 -:0411FC0004A0051333 -:0411FD00D6BFF0EF7A -:0411FE0000C4558351 -:0411FF0004D0051300 -:04120000F0EF81A1E9 -:041201004583D5DF6D -:04120200051300C40C -:04120300F0EF04C044 -:041204005583D51F1A -:04120500051300E4E9 -:0412060081A104F0CE -:04120700D43FF0EFF1 -:0412080000E4458336 -:0412090004E00513E5 -:04120A00D37FF0EFAF -:04120B0000445583C3 -:04120C0005100513B1 -:04120D00F0EF81A1DC -:04120E004583D29FA3 -:04120F00051300447F -:04121000F0EF0500F6 -:041211005583D1DF51 -:04121200051300645C -:0412130081A1053080 -:04121400D0FFF0EF28 -:0412150000644583A9 -:041216000520051397 -:04121700D03FF0EFE5 -:041218000084558376 -:041219000550051364 -:04121A00F0EF81A1CF -:04121B004583CF5FD9 -:04121C000513008432 -:04121D00F0EF0540A9 -:04121E005583CE9F87 -:04121F0005130104AE -:0412200081A1057033 -:04122100CDBFF0EF5E -:0412220001044583FB -:04122300056005134A -:04122400CCFFF0EF1C -:0412250001245583C8 -:041226000590051317 -:04122700F0EF81A1C2 -:041228004583CC1F0F -:041229000513012484 -:04122A00F0EF05805C -:04122B005583CB5FBD -:04122C000513014461 -:04122D0081A105B0E6 -:04122E00CA7FF0EF94 -:04122F0001444583AE -:0412300040A2441282 -:0412310005A00513FC -:04123200F06F013127 -:041233001151C95F2D -:04123400842AC22224 -:0412350003F00513AA -:04123600F0EFC4060B -:041237007593C3BF29 -:041238008DC10F0550 -:0412390040A2441279 -:04123A0003F00513A5 -:04123B00F06F01311E -:04123C001151C71F66 -:04123D00842AC2221B -:04123E00C406456934 -:04123F00C19FF0EF6C -:04124000006415939E -:0412410075134412CB -:0412420040A203F5CE -:04124300F5938DC9C9 -:0412440045690FF5F4 -:04124500F06F013114 -:041246001131C49FFF -:04124700461D65DDFE -:041248005E458593E7 -:04124900C806850A44 -:04124A00355000EF2C -:04124B00C75FF0EF9A -:04124C00F0EF450179 -:04124D004585E77F6D -:04124E000350051331 -:04124F00C23FF0EFBB -:041250000513655DC0 -:04125100F0EF124563 -:041252004501E91F4A -:04125300FA7FF0EF3F -:04125400F0EF451959 -:041255000513D01F8E -:04125600F0EF044071 -:041257004581D03FBE -:04125800F0EF450569 -:04125900850ACD3FF6 -:04125A00CFDFF0EF03 -:04125B00015140C23B -:04125C00114180823A -:04125D00842AC422F9 -:04125E00C60645116A -:04125F00F0EFC02EBE -:041260004582B97F8B -:0412610002B404339C -:04126200007575930B -:041263007793451127 -:04126400078E01F4FC -:04126500F0EF8DDD3C -:041266005513BC9FC1 -:0412670040B24054FD -:041268007513442294 -:0412690001410FF53B -:04126A00115180821C -:04126B00842AC222ED -:04126C00C40645412E -:04126D00B61FF0EFC9 -:04126E0000341593A0 -:04126F00891D44127F -:041270008DC940A242 -:041271000FF5F593ED -:0412720001314541C0 -:04127300B93FF06F20 -:041274001151C1391A -:041275000513C02677 -:0412760084AE0260E0 -:0412770008000593D3 -:04127800C406C222C4 -:04127900F0EF8432DC -:04127A004785B79F4E -:04127B000094059343 -:04127C0000F489638E -:04127D00059347A1ED -:04127E00846305A4DC -:04127F00059300F4DF -:04128000441201848F -:04128100448240A2C1 -:041282000FF5F593DC -:04128300031005133C -:04128400F06F0131D5 -:041285004581B4DF0C -:0412860002600513EA -:041287001121BFDD95 -:04128800C626C8228C -:04128900C02ECA06A3 -:04128A00C436C23272 -:04128B00842A4785E5 -:04128C000E6384BAAF -:04128D0047A100F580 -:04128E0004F50D63F3 -:04128F00006705935C -:041290000FF5F593CE -:04129100F0EF451520 -:0412920045C1B19F02 -:041293000593A8090E -:04129400F5930027A7 -:0412950045150FF5F7 -:04129600B07FF0EF46 -:041297004519459917 -:04129800AFFFF0EFC5 -:04129900862685A27E -:04129A00F0EF450527 -:04129B0047C1F67FD2 -:04129C00036345B1F2 -:04129D0045A100F473 -:04129E000220051312 -:04129F00AE3FF0EF7F -:0412A000462244425C -:0412A100450245922B -:0412A20044B240D240 -:0412A300F06F016186 -:0412A4000593C3FFEC -:0412A500F593032793 -:0412A60045150FF5E6 -:0412A700AC3FF0EF79 -:0412A80002000593A8 -:0412A9001151BF6DB3 -:0412AA00C026C22276 -:0412AB0084AEC40643 -:0412AC0084324789B8 -:0412AD00E66345812E -:0412AE00959300977D -:0412AF0005E20064F0 -:0412B000171385E1AA -:0412B100179300256A -:0412B2008FD900458B -:0412B3008DDD8FC975 -:0412B4000FF5F593AA -:0412B500F0EF4565AC -:0412B6004541A89F67 -:0412B700A39FF0EF12 -:0412B8007593478D56 -:0412B90014630F8526 -:0412BA00E59300F4C4 -:0412BB004541005554 -:0412BC00A6FFF0EFAA -:0412BD0005934789C5 -:0412BE00F96305B01B -:0412BF0047910097BC -:0412C000052005936D -:0412C1000097F4633B -:0412C200053005935B -:0412C300F0EF4539CA -:0412C4000513A51F4A -:0412C500B0EF3E80C8 -:0412C6004551DE8F21 -:0412C7009F9FF0EF06 -:0412C80045C1478D48 -:0412C90000F40363C7 -:0412CA0045614581B4 -:0412CB00A33FF0EF5E -:0412CC0040A24412E6 -:0412CD004581448291 -:0412CE0003600513A1 -:0412CF00F06F01318A -:0412D0001151A21FF7 -:0412D100842AC22287 -:0412D200C4064551B8 -:0412D3009C9FF0EFFD -:0412D4007C634711DF -:0412D5007513008706 -:0412D600051308856F -:0412D7003513F7854F -:0412D80040A200151B -:0412D9000131441289 -:0412DA0047098082BE -:0412DB0000877763AE -:0412DC000905751378 -:0412DD00F7050513F9 -:0412DE007793B7DD6E -:0412DF00D5130FF51F -:0412E0008905001765 -:0412E1000113BFF93D -:0412E200D2A2F9415A -:0412E300646147916A -:0412E400D0A6D48636 -:0412E5000793DA3E53 -:0412E600CC361DC421 -:0412E7000317C683A0 -:0412E800C82A4721A8 -:0412E90000D71733E0 -:0412EA000327C6838D -:0412EB0000876713FE -:0412EC000713DC3ACE -:0412ED001733200093 -:0412EE00C68300D7DC -:0412EF00DE3A0337A9 -:0412F0001733674108 -:0412F100C68300D7D9 -:0412F200C0BA034734 -:0412F3000020073799 -:0412F40000D71733D5 -:0412F5000713C2BA5F -:0412F600C4BA033142 -:0412F7000713676111 -:0412F800C6BA21272A -:0412F900071367610F -:0412FA00C8BA213716 -:0412FB00071367610D -:0412FC00CABA214702 -:0412FD00071367610B -:0412FE00CCBA2157EE -:0412FF000713676109 -:04130000CEBA2167D9 -:041301000427C703F3 -:041302000437C78362 -:04130300C03A66E1A5 -:041304008793C43EC9 -:04130500C23E30C6EE -:04130600879367DD85 -:04130700CA2E15478E -:04130800020109A332 -:041309000413470181 -:04130A0086931DC4E5 -:04130B0042F130C6B5 -:04130C001793D03E25 -:04130D0087E10187EC -:04130E000793C63E3D -:04130F001563025010 -:0413100057FD00F78E -:04131100A691C63E9D -:04131200025707B3C4 -:0413130097B646152E -:04131400D3134BDCC8 -:0413150083F50187D4 -:0413160001F37493D8 -:0413170006F666630D -:041318009613558251 -:04131900CE320027A9 -:04131A004210962EB9 -:04131B0003B3860290 -:04131C00061302575B -:04131D00DE321000AC -:04131E00C0B26621D2 -:04131F002000061391 -:041320000593C2B2BD -:0413210086331C10E3 -:041322004A100076F7 -:0413230076138215A6 -:041324001B637FF6D2 -:04132500D43E06B6F6 -:04132600879367E161 -:0413270093BE30C77A -:04132800000177B792 -:0413290016C78593CB -:04132A00461D851EB9 -:04132B00D21ED63ABE -:04132C00113000EF8D -:04132D00539266E190 -:04132E00573257A239 -:04132F0030C68693AB -:04133000E90142F19C -:04133100E229462245 -:0413320007054792D2 -:04133300C23E07F1BE -:04133400D23EB78D61 -:04133500000177B785 -:04133600174785933D -:04133700851E461DAC -:0413380000EFD43AB4 -:0413390066E10E104B -:04133A00572257924D -:04133B0030C686939F -:04133C00E91942F178 -:04133D00460545A27A -:04133E0000C59863EB -:04133F000613B7F1E9 -:04134000DE32200079 -:04134100C0B266418F -:04134200F3334662D9 -:041343000DE300C4F2 -:041344004612FA0350 -:041345004E0C457293 -:0413460004B310904C -:04134700A60300A653 -:041348001088FE8487 -:041349000006460351 -:04134A00962A060ACF -:04134B00FD46260332 -:04134C00DA598E6D6F -:04134D00C5934552AD -:04134E008985FFF599 -:04134F00F8A596E384 -:04135000025705B388 -:0413510095B64542C6 -:041352008195498CAC -:041353007FF5F5939A -:04135400EBE305F9C9 -:041355004585F6A52F -:0413560000B40923B3 -:041357000004172354 -:04135800000408A3E2 -:0413590000040A235F -:04135A006589CC10C5 -:04135B0026B6076348 -:04135C0014C5E4636D -:04135D0008000593EC -:04135E0022B60B6345 -:04135F000EC5E26372 -:04136000016345C11F -:04136100EF6322B65E -:0413620045910AC5E2 -:041363001CB606634B -:041364001BE345A1A1 -:041365004605F2B691 -:0413660000C4062396 -:0413670004F4460341 -:041368001E061F63DB -:041369000633467190 -:04136A00963602C7EA -:04136B0000E65583C0 -:04136C00577006139D -:04136D001EB66563E0 -:04136E0001D79613FA -:04136F001EC05163E8 -:0413700006A3460D7D -:04137100460900C465 -:0413720000C4092387 -:041373000067861376 -:04137400CE118A15F7 -:041375009F63461517 -:0413760047F10AC76A -:0413770002F707333F -:041378004AF007939D -:04137900D70396BA46 -:04137A00E56300E641 -:04137B0047830AE7B3 -:04137C00CFD1045475 -:04137D00000406A3BF -:04137E00AA7D478974 -:04137F0002570633D8 -:0413800020D00593E1 -:041381004A10963642 -:041382007613821547 -:041383001DE37FF6F1 -:041384000613EEB6A8 -:041385000633FFF735 -:04138600458D025639 -:041387004A509636FC -:041388001A638275ED -:04138900460200B662 -:04138A00F493E601F1 -:04138B00BDE90EF4B6 -:04138C004582460947 -:04138D004602B5D18E -:04138E00F493E601ED -:04138F00B5E90FB4F9 -:04139000BFC546058A -:0413910002000793BC -:0413920000F60663F8 -:0413930004000793B8 -:04139400E6F61CE37A -:04139500301007937A -:0413960000F4162326 -:04139700AAA9479127 -:041398004000059379 -:0413990016B603631E -:04139A0002C5EB633A -:04139B0010000593A6 -:04139C0014B6076319 -:04139D002000059394 -:04139E00E4B618E3B6 -:04139F0016234709C1 -:0413A000470D00E411 -:0413A10000E7986366 -:0413A2000454478325 -:0413A3004785F7B5CE -:0413A40000F4072327 -:0413A5000564478311 -:0413A60000F408A3A4 -:0413A7000793A0FD0B -:0413A80089638006CF -:0413A900678512073B -:0413AA00E2F610E374 -:0413AB002020079364 -:0413AC0000F4162310 -:0413AD00A209478DBD -:0413AE00000805B777 -:0413AF0012B60A6305 -:0413B00004C5E3632A -:0413B100006365C1AF -:0413B200E36312B629 -:0413B300679102C577 -:0413B40010F60863C4 -:0413B50019E367A130 -:0413B6000793DEF6C5 -:0413B70016232020B9 -:0413B800479D00F459 -:0413B90000F4092310 -:0413BA000A2347B506 -:0413BB00B75D00F426 -:0413BC00000207B76D -:0413BD000EF60B63BA -:0413BE00000407B769 -:0413BF00DCF616E35F -:0413C000203007933F -:0413C10007B7B7753E -:0413C200096300407B -:0413C300E0630EF6DF -:0413C40007B702C79E -:0413C50000630010B1 -:0413C60007B70EF661 -:0413C70015E300200A -:0413C8004791DAF679 -:0413C90000F41623F3 -:0413CA0007800793FE -:0413CB0007B7BF7D24 -:0413CC000F630100AA -:0413CD0007B70CF65C -:0413CE0004630200B2 -:0413CF0007B70EF658 -:0413D00013E30080A3 -:0413D1000793D8F6B0 -:0413D200162320407E -:0413D300479100F44A -:0413D40000F40923F5 -:0413D500BF5147F9C4 -:0413D6000733467122 -:0413D700162302C710 -:0413D8008B950004ED -:0413D900D70396BAE6 -:0413DA00468500A69E -:0413DB0008A38B7D5B -:0413DC009B6300E42B -:0413DD00468300D76C -:0413DE00CE9904544C -:0413DF00092347890E -:0413E000478500F449 -:0413E10000F407A36A -:0413E20050A6D71129 -:0413E3004532541625 -:0413E4000113548617 -:0413E500808206C13B -:0413E60000F40723E5 -:0413E70006A3B7DDC5 -:0413E800B52D00041B -:0413E9002010079336 -:0413EA0000F41623D2 -:0413EB000793B5B1FE -:0413EC001623301084 -:0413ED00479900F428 -:0413EE0000F40923DB -:0413EF000793BDE1C2 -:0413F0001623201090 -:0413F100479500F428 -:0413F2000793BFC5D9 -:0413F300162310208D -:0413F400B5C900F483 -:0413F500202007931A -:0413F6000793BFC1D9 -:0413F700BDAD202048 -:0413F8002020079317 -:0413F900470DBFF9E4 -:0413FA000793BD593F -:0413FB00BF6D203072 -:0413FC002030079303 -:0413FD000793B59508 -:0413FE00B7E1203003 -:0413FF0020400793F0 -:0414000000F41623BB -:041401000923478DE7 -:04140200079300F458 -:04140300BDF10280B5 -:0414040020400793EA -:0414050000F41623B6 -:0414060009234795DA -:0414070047E100F4C5 -:041408000793B5E9A8 -:041409001623204046 -:04140A00479900F40A -:04140B0000F40923BD -:04140C00BD6547D1A2 -:04140D00179311110F -:04140E00CA22018568 -:04140F00CC06C82619 -:04141000842A87E1C2 -:04141100DD6384AE65 -:041412004581000709 -:041413000770051346 -:0414140001A337D524 -:04141500478500A166 -:0414160006A7EE63D4 -:0414170007F474134F -:0414180045912E9537 -:0414190026814501E2 -:04141A00D7932E81B5 -:04141B0002A30184A3 -:04141C00D79300F171 -:04141D0003230104A0 -:04141E00D79300F16F -:04141F0003A300849F -:04142000022300F1B2 -:04142100042300811F -:04142200071300911B -:041423000793040027 -:0414240009630950FF -:04142500071300E4C5 -:041426004785048072 -:0414270000E4146366 -:0414280008700793AE -:041429000048459999 -:04142A0000F104A326 -:04142B00451524ED52 -:04142C00842A2EB12F -:04142D0005134585D9 -:04142E0024F5003170 -:04142F0000310783FE -:041430000007D66378 -:0414310047852E8D30 -:04143200FEF506E3DA -:041433002641E0115D -:04143400003145033B -:04143500445240E2FB -:04143600017144C23A -:04143700011380829B -:04143800C02AFD8148 -:0414390005134581D1 -:04143A00D206049042 -:04143B00CE26D022C7 -:04143C00F45FF0EF7A -:04143D004501C9019B -:04143E005402509272 -:04143F00011344F25F -:041440008082028123 -:041441004515842A9F -:0414420084AA261141 -:0414430005134585C3 -:041444002C510071B6 -:0414450000714703E8 -:041446000FF0079309 -:0414470000F7166331 -:0414480047852E198D -:04144900FEF504E3C5 -:04144A002615E091F2 -:04144B0000714703E2 -:04144C000FE0079313 -:04144D00FCF711E3B4 -:04144E00002845C964 -:04144F00478224ADFF -:041450000047C78307 -:041451000027F71366 -:041452004503CF156A -:04145300478300E1EA -:04145400470300F159 -:04145500890D0121DB -:041456008D5D052281 -:0414570001014783C5 -:041458004403050A3A -:04145900839900D1A2 -:04145A0047838D5DDA -:04145B00831D0111DB -:04145C000786883D3A -:04145D008FD98B99FF -:04145E000505943EAE -:04145F0015331465C8 -:04146000BF9D0085A7 -:04146100CB898B9117 -:04146200010145033C -:0414630001114783A9 -:041464008D5D052273 -:04146500B7CD47C5F3 -:041466004501478174 -:041467000113BFF1BD -:0414680065D9FDC184 -:041469004629CC261E -:04146A00859384AA38 -:04146B0000680A8586 -:04146C00CE22D006B6 -:04146D00478D24E1A2 -:04146E0022C1C03E99 -:04146F0024A92C215F -:04147000006845A922 -:0414710045812AC9BE -:041472000004A023AF -:041473000400051359 -:04147400E65FF0EF50 -:04147500842A4785F9 -:041476000EF51B63F1 -:041477001AA005931F -:0414780004800513D4 -:04147900E51FF0EF8C -:04147A001763C22A08 -:04147B00459108850A -:04147C002A550028C5 -:04147D0000A1470380 -:04147E001A63479214 -:04147F0047030CF71C -:04148000079300B11D -:0414810014630AA046 -:0414820005130CF74B -:041483002AFD3E8080 -:041484004785241D57 -:0414850000F51963F2 -:04148600400005B766 -:041487000E900513AB -:04148800E15FF0EF41 -:041489002C01F575C8 -:04148A000663478529 -:04148B00440102F521 -:04148C0047822C392E -:04148D00F79317FDBD -:04148E00C03E0FF756 -:04148F00DC35CBD9A4 -:0414900082234785E7 -:04149100C09C008477 -:04149200F0EF8526CC -:04149300157DE95F7B -:041494002A69C48875 -:041495004581A041AC -:0414960007A0051393 -:04149700DD9FF0EFF6 -:041498004591F5790C -:041499002281002884 -:04149A000081478303 -:04149B00F79344314E -:04149C00FFDD040765 -:04149D00BF6D4411CA -:04149E00051345816C -:04149F00F0EF0E90CC -:0414A0000793DB7F54 -:0414A100656304106B -:0414A200440900A455 -:0414A3000E9007930D -:0414A4000FA005137D -:0414A5002A9DC23E7C -:0414A60047852A79D3 -:0414A70000F51763D2 -:0414A8004581451223 -:0414A900D91FF0EF68 -:0414AA002271F9654D -:0414AB004401E11106 -:0414AC0045812A79D3 -:0414AD0007B005136C -:0414AE00D7DFF0EFA5 -:0414AF004401C11122 -:0414B0002000059380 -:0414B100050005131A -:0414B200D6DFF0EFA2 -:0414B3004401D13DE2 -:0414B400F43DB78DBF -:0414B500351320CDFE -:0414B600508200144C -:0414B70044E2447255 -:0414B80002410113D9 -:0414B900451C8082CC -:0414BA00C822112112 -:0414BB00C626CA0671 -:0414BC00C03AC22E42 -:0414BD00E163440D96 -:0414BE00CF1902C779 -:0414BF00004547831A -:0414C0008BA184B6C2 -:0414C1000626E39187 -:0414C200051385B2D7 -:0414C300F0EF051031 -:0414C400C909D27F01 -:0414C5002045440971 -:0414C60040D2852269 -:0414C70044B24442A5 -:0414C80080820161BC -:0414C90006400513C1 -:0414CA00458520D55F -:0414CB0000B1051354 -:0414CC004703289D0D -:0414CD00079300B1D0 -:0414CE0016630FF0A2 -:0414CF0028E500F715 -:0414D00004E3478565 -:0414D1002221FEF5E1 -:0414D20000B147031B -:0414D3000FE007938C -:0414D400FCF712E32C -:0414D5000413478233 -:0414D6008C05202041 -:0414D70004428C1D22 -:0414D800C48180410A -:0414D900450185A69E -:0414DA004582283DE2 -:0414DB002825451269 -:0414DC00450185A29F -:0414DD004401280D91 -:0414DE002637BF7975 -:0414DF0005B7000647 -:0414E0001537019C1F -:0414E10006130002EC -:0414E2008593A80640 -:0414E3000513CC051C -:0414E400B06F0205DE -:0414E500862E9E0FA2 -:0414E600153785AA87 -:0414E70005130002E7 -:0414E800B06F0205DA -:0414E900862EA7EFB5 -:0414EA00153785AA83 -:0414EB0005130002E3 -:0414EC00B06F0205D6 -:0414ED008082A42F26 -:0414EE005783676158 -:0414EF00F7931B67ED -:0414F00007C2F7F741 -:0414F1001B2383C175 -:0414F20017371AF797 -:0414F30020230002B0 -:0414F40080820EF7ED -:0414F5005783676151 -:0414F600E7931B67F6 -:0414F7001B230807A4 -:0414F80017371AF791 -:0414F90020230002AA -:0414FA0080820EF7E7 -:0414FB000029363757 -:0414FC00019C05B793 -:0414FD00000215379D -:0414FE002E0606139D -:0414FF00CC05859300 -:0415000002050513C8 -:0415010096EFB06F42 -:04150200F73FF06F50 -:041503002783676172 -:04150400E385718783 -:041505008793679DC4 -:04150600053397878B -:04150700115102F587 -:041508002C23C406C6 -:04150900A0EF70A738 -:04150A0040A2CFDF4D -:04150B000131450164 -:04150C00450580828F -:04150D001151808276 -:04150E00A0EFC40680 -:04150F0067E1D0DFE1 -:041510007187A783B5 -:04151100353340A28C -:04151200013100F5AE -:0415130067E180828A -:041514007007AC238D -:041515004701808288 -:0415160000E6146374 -:041517008082450188 -:0415180000E507B330 -:0415190086B3070589 -:04151A00C78300E59E -:04151B00C68300077C -:04151C0083E3FFF670 -:04151D008533FED73D -:04151E00808240D7B0 -:04151F0000A5C7B3A9 -:0415200007338B8D75 -:04152100E78100C599 -:04152200E963478DA5 -:0415230087AA02C7CA -:041524000CE57163FE -:041525000005C68374 -:0415260005850785AB -:04152700FED78FA3B9 -:04152800FEE7EAE30D -:04152900C683808273 -:04152A00078500052C -:04152B008FA3058500 -:04152C00EAE3FED719 -:04152D004402FEE78F -:04152E0080820111A5 -:04152F00003576937A -:04153000CA9187AA2B -:041531000005C68368 -:04153200058507859F -:04153300FED78FA3AD -:041534000037F693F3 -:041535007693B7FDF5 -:041536008613FFC752 -:04153700F563FE0654 -:04153800117106C760 -:0415390049C0C022C3 -:04153A000005A30302 -:04153B000085A38301 -:04153C004D80CBC053 -:04153D000067A02380 -:04153E000045A303BE -:04153F004DC0CF804C -:041540000067A2237B -:0415410000C5A283BC -:041542000105A303F9 -:041543000245859345 -:04154400A403CFC06D -:04154500A423FFC517 -:04154600A623007761 -:04154700A82300577E -:04154800879300671E -:04154900AE23024784 -:04154A00EEE3FE8747 -:04154B00F2E3FAC706 -:04154C004190F8D7FB -:04154D00059107916C -:04154E00FEC7AE2303 -:04154F004190BFCD3B -:041550000591079169 -:04155100FEC7AE2300 -:04155200FED7EBE3F2 -:04155300F4E7E4E3F2 -:04155400808280828F -:04155500F7C10113C6 -:04155600C13ED6A616 -:0415570067E1DA86E8 -:04155800DCB6D8A283 -:04155900A483DEBACF -:04155A00DC630D87BA -:04155B0007930005ED -:04155C00C09C08B077 -:04155D0050D6557D92 -:04155E0054B65446E5 -:04155F00084101132B -:0415600007938082EB -:0415610018232080AB -:04156200C22A00F1A8 -:041563004781CA2AC8 -:041564008793C1990F -:04156500C63EFFF58A -:0415660018B4CC3EAB -:04156700842E57FD7A -:04156800004C852688 -:0415690000F1192351 -:04156A002601C03660 -:04156B00556357FD70 -:04156C00079300F5EC -:04156D00C09C08B066 -:04156E004792DC5D67 -:04156F0000078023CE -:04157000C605BF5D90 -:041571004701167D9B -:0415720000E507B3D6 -:0415730000E586B356 -:041574000007C78322 -:041575000006C68323 -:0415760000D79663A1 -:0415770000C7046342 -:04157800F3FD070573 -:0415790040D785339F -:04157A004501808225 -:04157B0087AA808239 -:04157C000585CA090E -:04157D00FFF5C703AC -:04157E00167D07854A -:04157F00FEE78FA351 -:04158000963EFB6533 -:0415810000C79363A9 -:0415820007858082D7 -:04158300FE078FA32D -:0415840095AABFD590 -:04158500856387AA49 -:04158600C70300B7E0 -:04158700E701000771 -:0415880040A78533C0 -:0415890007858082D0 -:04158A00C5D5B7FD0F -:04158B00FFC5A7836E -:04158C00C222115115 -:04158D00C026C406AA -:04158E00FFC58413FE -:04158F000007D3631B -:0415900084AA943E57 -:0415910015F000EF62 -:0415920027836761E3 -:04159300863A1587F8 -:041594002223EF819E -:041595002C230004FF -:041596004412148760 -:04159700852640A2C3 -:041598000131448257 -:041599001410006FBB -:04159A0002F4706384 -:04159B0007334014BE -:04159C00966300D47E -:04159D00439800E788 -:04159E00973643DC5D -:04159F00C05CC01854 -:0415A00014862C235E -:0415A10087BABFD96D -:0415A200C31943D84E -:0415A300FEE47DE302 -:0415A40086334394B3 -:0415A5001F6300D7E9 -:0415A600401000866B -:0415A700C39496B2A1 -:0415A80000D78633AF -:0415A900FAC71BE37F -:0415AA00435843104F -:0415AB00C39496B29D -:0415AC00B765C3D884 -:0415AD0000C475639E -:0415AE00C09C47B1E5 -:0415AF004010BF79B0 -:0415B00000C406B3BA -:0415B10000D71663E6 -:0415B2004358431443 -:0415B300C01496B218 -:0415B400C3C0C05898 -:0415B5008082B75920 -:0415B600C2261141F7 -:0415B70000358493E4 -:0415B800C60698F1DA -:0415B90004A1C422A3 -:0415BA00FB6347B1D7 -:0415BB0044B104F43F -:0415BC0004B4EA6326 -:0415BD0000EFC02A51 -:0415BE0067610AD087 -:0415BF0015872683E3 -:0415C0000613478245 -:0415C10084361587D0 -:0415C2006461E4314B -:0415C30015C4041334 -:0415C400EB014018DF -:0415C5004581853E99 -:0415C60000EFC03E34 -:0415C70047827F8058 -:0415C800853EC00894 -:0415C900C03E85A6F5 -:0415CA007EA000EF10 -:0415CB004782577D7F -:0415CC0006E51563B8 -:0415CD00C398473147 -:0415CE0000EF853E67 -:0415CF00A02906B099 -:0415D000FA04D8E35E -:0415D100C11C47B141 -:0415D20040B24501DD -:0415D30044924422D8 -:0415D40080820141CF -:0415D5008F05401826 -:0415D60002074E6357 -:0415D700F66345ADC5 -:0415D800C01800E552 -:0415D900C004943A7C -:0415DA004058A029AC -:0415DB00028692638F -:0415DC00853EC2186E -:0415DD00031000EF08 -:0415DE0000B405133D -:0415DF0000440713AA -:0415E00007B3996153 -:0415E100D3F140E51D -:0415E2008F09943E9B -:0415E300BF75C018F8 -:0415E400B7C5C2D8ED -:0415E500404086A25A -:0415E6000413BF85A6 -:0415E70098710035C2 -:0415E800FC8503E398 -:0415E90040A405B362 -:0415EA00C03E853E3C -:0415EB00577D279D64 -:0415EC001AE3478235 -:0415ED00BFBDFAE59F -:0415EE000085A303CE -:0415EF00C8261111E8 -:0415F000CA22CC0639 -:0415F10084AEC232D0 -:0415F2000866E36341 -:0415F30000C5D60356 -:0415F400480677131B -:0415F50082AACB3DBE -:0415F600470D48C88D -:0415F70007334080F6 -:0415F800498C02A771 -:0415F90007B34509E6 -:0415FA00C03E40B4FB -:0415FB0002A74433CC -:0415FC00001685133D -:0415FD007363953E41 -:0415FE00842A00A497 -:0415FF004006761319 -:04160000C63DC636E7 -:0416010085A2851623 -:04160200F0EFC4162B -:0416030042A2ECFF14 -:04160400473146B272 -:041605004602CD2D9F -:04160600C636488C10 -:04160700F0EFC42A12 -:04160800D603C5FF41 -:04160900432200C4B4 -:04160A00761346B25B -:04160B006613B7F6B5 -:04160C009623080613 -:04160D00478200C44C -:04160E000064A823A9 -:04160F00933EC8C07E -:04161000A0238C1D6A -:04161100C48000642D -:04161200F3638336C5 -:0416130083360066B4 -:041614004088459233 -:04161500C01A861A57 -:0416160044982701CC -:041617004501430244 -:0416180040670733ED -:041619004098C49899 -:04161A00A023933A3C -:04161B00A80D0064B2 -:04161C008622851687 -:04161D002F05C416BB -:04161E0042A2832A37 -:04161F00FD4546B28D -:041620008516488C57 -:04162100F0EFC01610 -:041622004282DA5FC7 -:04162300A023473188 -:04162400D70300E206 -:04162500557D00C42B -:04162600040767133B -:0416270000E4962322 -:04162800445240E206 -:04162900017144C245 -:04162A00D7038082E0 -:04162B00011300C5E2 -:04162C00C122F7815F -:04162D000793DEA69B -:04162E00C30601F1FD -:04162F00C02EC22ADD -:04163000080777131D -:04163100F41384B278 -:04163200CB1DFF8746 -:04163300EB0D4998DA -:041634000400059316 -:04163500F0EFC636D6 -:041636004782E03FC8 -:04163700C38846B26C -:04163800E919CB8859 -:0416390047B147125C -:04163A00C31C557DFB -:04163B00440A409A83 -:04163C00011354F64C -:04163D00808208811E -:04163E0007134782C5 -:04163F00CBD8040000 -:04164000020007138A -:0416410000E40CA312 -:041642000300071387 -:0416430000042A2352 -:0416440000E40D238E -:041645008726CA36F4 -:041646000250061335 -:0416470000074683CF -:041648009863C29948 -:0416490003330AC697 -:04164A000263409760 -:04164B0045820203CF -:04164C00869A451223 -:04164D00C83A8626EB -:04164E00F0EFC61AD9 -:04164F0056FDE7FF5E -:041650001CD5026340 -:041651004332485484 -:04165200969A4742DB -:041653004683C854AE -:04165400896300079F -:0416550004931A06DA -:04165600577D0017A5 -:041657000004202348 -:041658000004262341 -:041659002423C0582E -:04165A0001A30004E4 -:04165B002C23040434 -:04165C00C58304043A -:04165D0077B7000457 -:04165E00461500012C -:04165F005A8785130E -:0416600087132B7D44 -:04166100401400141D -:04166200F613E5395D -:04166300C6090106AD -:041664000200061367 -:0416650004C401A315 -:041666000086F613F1 -:041667000613C60997 -:0416680001A302B028 -:04166900C58304C46D -:04166A00061300045F -:04166B00816302A0F5 -:04166C00445404C519 -:04166D004581872606 -:04166E0042A9452523 -:04166F000007460327 -:041670000017031349 -:04167100FD06061359 -:0416720006C57963CD -:04167300C454C995FD -:041674000705A805B9 -:0416750077B7B7A1EB -:0416760086130001D6 -:0416770006335A8755 -:04167800450540C51F -:0416790000C5153360 -:04167A00C0148EC941 -:04167B00B75184BA25 -:04167C00059346523A -:04167D0042100046D1 -:04167E004963CA2EC4 -:04167F00C45002064B -:041680000007460316 -:0416810002E00693EA -:0416820006D6116314 -:041683000017460303 -:0416840002A0069327 -:0416850002D61B630B -:04168600070946D238 -:041687000046861380 -:04168800CA3242948C -:041689000206C16331 -:04168A00A081C05427 -:04168B0040C0063322 -:04168C000026E693BB -:04168D00C014C45071 -:04168E0086B3B7E187 -:04168F004585025635 -:0416900096B2871A6D -:0416910056FDBFA59E -:041692000705B7C5CC -:04169300000422230A -:0416940046814581C5 -:0416950042A94525FC -:041696000007460300 -:041697000017031322 -:04169800FD06061332 -:0416990006C57763A8 -:04169A004583F1E1B2 -:04169B0074B7000719 -:04169C00460D0001F6 -:04169D005B04851352 -:04169E0021D1C63A56 -:04169F00CD01473200 -:0416A0005B048493D0 -:0416A10006938D051A -:0416A20096B30400F7 -:0416A300400800A655 -:0416A4008D55070554 -:0416A5004583C008B1 -:0416A600753700078D -:0416A70046190001DF -:0416A8005B45051386 -:0416A900001704938F -:0416AA0000B40C2359 -:0416AB00C52D2949D7 -:0416AC0000000737FC -:0416AD000007071318 -:0416AE004014E70DF0 -:0416AF00F693475215 -:0416B000CE911006C1 -:0416B100CA3A071119 -:0416B20047A24858AB -:0416B300C858973E3E -:0416B40086B3B599AB -:0416B500458502560F -:0416B60096B2871A47 -:0416B7000721BFB593 -:0416B8004602B7DD52 -:0416B90056B74512C9 -:0416BA0008580001CB -:0416BB007B86869311 -:0416BC00009785A26C -:0416BD0000E7000042 -:0416BE00C42A00003A -:0416BF00577D47A26A -:0416C000FCE794E3CC -:0416C100557D47828A -:0416C20000C7D70383 -:0416C300040777138E -:0416C400DC071EE33E -:0416C500BBD94848FD -:0416C6004512460281 -:0416C700000156B711 -:0416C80086930858A5 -:0416C90085A27B86F5 -:0416CA00BFC12A056D -:0416CB00CA26110119 -:0416CC0084B683322B -:0416CD004994459067 -:0416CE00CE06CC2256 -:0416CF0087AE842A34 -:0416D00000C6D3631A -:0416D100202386B29A -:0416D200C60300D378 -:0416D300C601043711 -:0416D4002023068544 -:0416D500439400D367 -:0416D6000206F6937F -:0416D7002683C6910F -:0416D800068900037C -:0416D90000D32023F7 -:0416DA000007A283E0 -:0416DB00019783935D -:0416DC000062F29323 -:0416DD00060283631B -:0416DE00C6834390EC -:0416DF007613043743 -:0416E00036B3020615 -:0416E100EA4100D00A -:0416E2000437861330 -:0416E300852285A631 -:0416E400C23EC41A24 -:0416E5009702C03A6E -:0416E600086356FD42 -:0416E700479206D54B -:0416E8004511432243 -:0416E90026034394FD -:0416EA0047CC0003E6 -:0416EB0043018A9994 -:0416EC0097634702B7 -:0416ED00833300A69D -:0416EE00536340C53D -:0416EF0043010003B0 -:0416F0004B90479440 -:0416F10000D6546368 -:0416F20093368E910C -:0416F30007E9428140 -:0416F4000653126324 -:0416F500A81D4501E6 -:0416F60047D402854E -:0416F70000032603C3 -:0416F800DBE38E9111 -:0416F9004685F8D258 -:0416FA0085A6861E1D -:0416FB00C81A852262 -:0416FC00C416C63E0C -:0416FD00C03AC21E0F -:0416FE0056FD9702FC -:0416FF0043924702C9 -:0417000047B242A208 -:0417010019E3434263 -:04170200557DFCD540 -:04170300446240F20A -:04170400610544D265 -:0417050085B38082A6 -:04170600051300D7F0 -:0417070081A30300B7 -:04170800C58304A5EC -:0417090086130457E8 -:04170A00963E0016F1 -:04170B0001A30689A7 -:04170C00BF9904B6C7 -:04170D00863E468549 -:04170E00852285A605 -:04170F00C41AC6161C -:04171000C03AC23EDB -:0417110056FD9702E8 -:04171200FCD501E31E -:04171300432242B279 -:041714000285479271 -:04171500BFAD47021B -:04171600CC221101CF -:04171700C232842E28 -:0417180001844603FF -:04171900CE06CA2608 -:04171A00C02A84B6A7 -:04171B0006E006934B -:04171C000435859378 -:04171D001ED6086369 -:04171E0006C6E463B4 -:04171F0006300693F7 -:041720000AD604637E -:0417210000C6ED63AE -:041722002006046336 -:0417230005800693A4 -:0417240016D60C6366 -:041725000424031382 -:0417260004C40123D3 -:041727000693A87904 -:04172800066306400E -:04172900069300D64D -:04172A0015E306902D -:04172B004014FED692 -:04172C00000723038C -:04172D000806F613A1 -:04172E00004305135C -:04172F002683C24902 -:04173000C3080003E7 -:041731000001763706 -:041732000006D86372 -:0417330002D00713C6 -:0417340040D006B3E8 -:0417350004E401A324 -:041736005BC6061375 -:04173700A07D472921 -:0417380007300693DD -:041739001AD60A634F -:04173A0000C6EF6393 -:04173B0006F006931B -:04173C0006D600636A -:04173D000700069308 -:04173E00F8D61EE3D8 -:04173F00E6934014D9 -:04174000C0140206C9 -:041741000693A8095A -:0417420003630750E6 -:04174300069304D62F -:0417440011E3078026 -:041745000693F8D639 -:04174600763707806B -:0417470002A30001F8 -:04174800061304D4AC -:04174900A0FD5D069C -:04174A00031343142E -:04174B0086130424D9 -:04174C00C310004680 -:04174D00012342989A -:04174E00470504E463 -:04174F00F613AABD26 -:0417500026830406E2 -:04175100C3080003C6 -:0417520006C2DE35B8 -:04175300BF9D86C1EF -:0417540043144008F2 -:04175500080572937E -:0417560000468313B3 -:0417570000028663A3 -:0417580000672023E3 -:04175900A80142940D -:04175A0004057513FA -:04175B0000672023E0 -:04175C00D683D975E2 -:04175D00051300066A -:04175E00773706F0E3 -:04175F000D63000115 -:0417600006130CA6BA -:0417610047295BC7F2 -:04176200040401A3D7 -:04176300C40840482E -:0417640000054863D1 -:041765000004230356 -:04176600FFB3731347 -:0417670000642023D7 -:04176800832EE29951 -:04176900832ECD11ED -:04176A0002E6F5336B -:04176B009532137D23 -:04176C00000545032C -:04176D0000A30023B2 -:04176E0002E6D53387 -:04176F000AE6F26331 -:041770001E6346A10D -:04177100401800D745 -:04177200CB118B0507 -:04177300481840547E -:0417740000D74763F0 -:041775000300071353 -:04177600FEE30FA3DC -:0417770085B3137DA6 -:04177800C80C4065F4 -:04177900450246924D -:04177A0008108726A6 -:04177B00C41A85A265 -:04177C00D3DFF0EFD8 -:04177D004322577D2F -:04177E000CE51563FE -:04177F0040F2557D62 -:0417800044D24462A9 -:0417810080826105FC -:0417820004C402A3F6 -:0417830000017637B4 -:041784005BC6061327 -:0417850023034008F2 -:041786007293000753 -:0417870026830805A8 -:041788000311000346 -:041789000202816374 -:04178A0000672023B1 -:04178B0000157713BB -:04178C006513C70119 -:04178D00C008020589 -:04178E00F6B9474120 -:04178F007513400886 -:04179000C008FDF59B -:041791007293B79107 -:041792002023040507 -:041793008FE3006779 -:0417940006C2FC028B -:04179500BFD982C175 -:041796005BC7061314 -:04179700B72D472102 -:04179800B79986AACD -:0417990043144010A5 -:04179A0072934848B6 -:04179B0083130806A6 -:04179C008763004619 -:04179D002023000203 -:04179E004298006706 -:04179F00A809C308CA -:0417A000006720239B -:0417A10004067613B1 -:0417A200DA6D429822 -:0417A30000A7102368 -:0417A40000042823F2 -:0417A500B7B9832E1F -:0417A6004581431422 -:0417A700004686135F -:0417A800A303C310C4 -:0417A90040500006A6 -:0417AA00C41A851ABE -:0417AB004322284964 -:0417AC000533C5013B -:0417AD00C04840658B -:0417AE00C8184058BF -:0417AF00040401A38A -:0417B0004814B7150D -:0417B1004502459216 -:0417B2009482861A7D -:0417B30007E3577D74 -:0417B4004018F2E502 -:0417B500EB158B099C -:0417B600444847421A -:0417B700F2E551E323 -:0417B800BF31853A7E -:0417B900450245920E -:0417BA00861A4685C0 -:0417BB00C41AC63A4C -:0417BC0056FD9482C0 -:0417BD00F0D504E37C -:0417BE004322473249 -:0417BF004454070582 -:0417C0008E9146427E -:0417C100FED740E32C -:0417C2004701BFC15B -:0417C3000194031377 -:0417C4001151B7FD0B -:0417C500C026C22256 -:0417C60084AA64612C -:0417C700C406852EA1 -:0417C80070042E2358 -:0417C90057FD20FDAB -:0417CA0000F51663AD -:0417CB0071C427833B -:0417CC00C09CC39169 -:0417CD00441240A2E0 -:0417CE00013144821F -:0417CF00F59380828C -:0417D000962A0FF551 -:0417D10000C51463D8 -:0417D20080824501CB -:0417D3000005478343 -:0417D400FEB78DE3EC -:0417D500B7FD050552 -:0417D60004A5F36310 -:0417D70000C586B310 -:0417D80002D57F6354 -:0417D900FFF645933F -:0417DA0017FD47812F -:0417DB0000F593631F -:0417DC00873380824D -:0417DD00430300F6CC -:0417DE008733000746 -:0417DF00972A00C77E -:0417E000006700237B -:0417E1008733B7DDB6 -:0417E200468300F545 -:0417E30007330007C1 -:0417E400078500F580 -:0417E50000D7002306 -:0417E600FEF617E311 -:0417E7004781808234 -:0417E8008082BFE557 -:0417E900E581808294 -:0417EA00F06F85B265 -:0417EB001131F2EFD7 -:0417EC00C622C80643 -:0417ED00EA11C42613 -:0417EE00E72FF0EF02 -:0417EF0040C247812C -:0417F00044A2443299 -:0417F1000151853EDF -:0417F200842E80823F -:0417F30084AAC032D2 -:0417F4004602203D4C -:0417F50074E387A270 -:0417F60085B2FEC5F5 -:0417F700C23285264F -:0417F800EF8FF0EF90 -:0417F900DD6187AA7D -:0417FA0085A246126C -:0417FB00F0EFC02A21 -:0417FC0085A2C8EF0B -:0417FD00F0EF85265E -:0417FE004782E34FEC -:0417FF00A783B7C93C -:041800008513FFC588 -:04180100D563FFC7E5 -:0418020095AA00079C -:04180300953E419C31 -:04180400114180828C -:041805006461C42234 -:04180600160427831A -:04180700C226C60629 -:041808000413832A18 -:04180900EB85160451 -:04180A0045814501CE -:04180B0046814601CB -:04180C0002934701FB -:04180D0000730D60F7 -:04180E0084AA0000A8 -:04180F0000055C6311 -:0418100004B3288174 -:04181100C10440903E -:0418120040B2557D0E -:041813004492442295 -:04181400808201418C -:041815004008C008BF -:0418160046014581C1 -:041817004681951A57 -:0418180047814701BC -:041819000D600293C9 -:04181A000000007357 -:04181B00596384AADF -:04181C00C01A0005E9 -:04181D004302283129 -:04181E00409004B33F -:04181F0054FDC104AF -:04182000557D401C96 -:0418210092E3933E7D -:04182200C004FC649E -:04182300BF75853ECA -:04182400A50367E1D0 -:0418250080820D8729 -:0418260000000000BE -:0418270000000000BD -:0418280000000000BC -:0418290000000000BB -:04182A00FFFFFFFFBE -:04182B00FFFFFFFFBD -:04182C000000FFFFBA -:04182D000001052C85 -:04182E00000105327E -:04182F000001053877 -:041830000001053E70 -:04183100000104E0CE -:0418320000010500AC -:0418330000010500AB -:0418340000010500AA -:0418350000010500A9 -:0418360000010500A8 -:0418370000010500A7 -:0418380000010504A2 -:041839000001050A9B -:04183A0000012690F3 -:04183B000001278CF5 -:04183C000001278CF4 -:04183D00000127A0DF -:04183E00000127A0DE -:04183F00000127ACD1 -:04184000000127B0CC -:04184100000127BEBD -:04184200000127BEBC -:04184300000127D6A3 -:04184400000129383E -:041845000001293E37 -:041846000001293E36 -:04184700000129383B -:041848000001294230 -:04184900000127D69D -:04184A000001293838 -:04184B000001293E31 -:04184C000000000197 -:04184D00020503008D -:04184E000000000294 -:04184F000001621022 -:041850000001622011 -:041851000001622C04 -:0418520000016238F7 -:0418530000016244EA -:0418540000016250DD -:041855000001625CD0 -:0418560000016268C3 -:0418570000016274B6 -:0418580000016280A9 -:041859000001628C9C -:04185A0074204B4F5C -:04185B006E69206F23 -:04185C0000007469AB -:04185D00736C614601 -:04185E00727420651B -:04185F0065676769E9 -:041860000000007212 -:04186100656D6954F4 -:041862000074756F2A -:04186300252E752594 -:041864006D75322E3E -:04186500000000730C -:04186600252E752591 -:041867006D75322E3B -:0418680075252F7340 -:04186900322E252EC8 -:04186A0000736D7525 -:04186B004353534F41 -:04186C00776620205B -:04186D007525202E8F -:04186E00322E252EC3 -:04186F00000061759F -:0418700074696E49E0 -:04187100727265200A -:041872002020726F51 -:0418730000006425E8 -:0418740020202020F0 -:0418750053204F4E5F -:0418760000434E5984 -:0418770034313032A6 -:041878003130322DAC -:041879006D20203985 -:04187A0073717261B3 -:04187B000000000069 -:04187C00252073258B -:04187D00006325756A -:04187E00252E752579 -:04187F006B75322E25 -:0418800025207A485D -:041881002E252E756D -:041882007A487532F9 -:041883000000000061 -:0418840074736554C0 -:0418850074617020FA -:041886006E726574A5 -:04188700000000005D -:041888003A3156415A -:041889004247522060 -:04188A000000005307 -:04188B003A31564157 -:04188C00734752202C -:04188D000000004215 -:04188E003A31564154 -:04188F00625059202A -:041890000000725092 -:041891003A32564150 -:041892006250592027 -:04189300000072508F -:041894003A3256414D -:041895007347522023 -:04189600000000420C -:041897003A33564149 -:041898004247522051 -:0418990000005648AD -:04189A003A33564146 -:04189B00424752204E -:04189C0000000053F5 -:04189D003A33564143 -:04189E00734752201A -:04189F000000004203 -:0418A0003A33564140 -:0418A1006250592018 -:0418A2000000725080 -:0418A3007473614CAD -:0418A40065737520D3 -:0418A50000000064DB -:0418A600000000003E -:0418A700000000013C -:0418A800000000003C -:0418A900000000003B -:0418AA00000000003A -:0418AB000000010038 -:0418AC000101010035 -:0418AD000000010036 -:0418AE000000000036 -:0418AF000100000034 -:0418B000060B000023 -:0418B10001000044EE -:0418B2000000000032 -:0418B3000001000030 -:0418B4008080000C24 -:0418B5001A1A1A8061 -:0418B60000000A081C -:0418B70000012C0000 -:0418B80000012C9669 -:0418B90000012C9E60 -:0418BA0000012C8E6F -:0418BB0000012C9A62 -:0418BC0000012CA259 -:0418BD0000012C9268 -:0418BE0000012CD029 -:0418BF0000012CA652 -:0418C00000012CAA4D -:0418C10000012CAE48 -:0418C20000012CD025 -:0418C30000012CD024 -:0418C40000012CD023 -:0418C50000012CD022 -:0418C60000012CD021 -:0418C700000130826A -:0418C80000012CDA15 -:0418C90000012DB439 -:0418CA0000012DC428 -:0418CB0000012DDE0D -:0418CC0000012E1CCD -:0418CD0000012E00E8 -:0418CE0000012E32B5 -:0418CF0000012F18CD -:0418D00000012F6A7A -:0418D10000012F8C57 -:0418D200656E6F448C -:0418D3000000000011 -:0418D4006C69614694 -:0418D5000000646546 -:0418D600666F725077 -:0418D7002075252E25 -:0418D800007339253B -:0418D900756C3425D1 -:0418DA0063256325FA -:0418DB006C25202038 -:0418DC000000007593 -:0418DD00656E694C7F -:0418DE00746C756D44 -:0418DF00646F6D20A5 -:0418E00000003A6565 -:0418E1007365727049 -:0418E2002D31207311 -:0418E30000000035CC -:0418E40020787525CE -:0418E50075736E7534 -:0418E600726F70703D -:0418E70000646574C0 -:0418E800666F725065 -:0418E90020656C69A1 -:0418EA0064616F6C5A -:0418EB000000003ABF -:0418EC00736572703E -:0418ED0075252073CA -:0418EE000075252D2F -:0418EF000001648010 -:0418F000000164840B -:0418F1000001648806 -:0418F2000001648C01 -:0418F3000001638C01 -:0418F40000016490FB -:0418F50000016494F6 -:0418F60000016498F1 -:0418F7000001649CEC -:0418F80000016F84F8 -:0418F900000164A0E6 -:0418FA00000164A8DD -:0418FB00000164ACD8 -:0418FC00000164B4CF -:0418FD00000164B8CA -:0418FE00000164C0C1 -:0418FF00000164C8B8 -:04190000000164D0AE -:04190100000164D8A5 -:04190200000164E894 -:04190300000164F883 -:041904000001650871 -:041905000001651860 -:04190600000165284F -:04190700000165383E -:041908000001654035 -:04190900000165482C -:04190A003EA93E298B -:04190B003EE93E690A -:04190C003E993E19A9 -:04190D003ED93E5928 -:04190E003EC93E3957 -:04190F003E1D3E4DEE -:041910003E2D3EED3D -:041911003EAD3ECDDC -:041912003E653E6D83 -:041913001C483E012D -:041914001C501C182F -:041915001CC81CD0FE -:041916005ED85E58E1 -:0419170000003EB9D5 -:041918007365725031 -:041919000000007357 -:04191A00666E6F4343 -:04191B00006D726980 -:04191C006D73694D31 -:04191D006863746126 -:04191E006572202CA2 -:04191F000079727465 -:041920000000003192 -:041921000000003290 -:04192200000000338E -:04192300000000348C -:041924000000003689 -:041925000000003787 -:041926000000003885 -:041927000000003983 -:04192800554E454D86 +:041134005783646118 +:04113500C4064D841B +:04113600F793C02645 +:0411370007C2F9F7FB +:041138001C2383C130 +:0411390014B74CF4A7 +:04113A00A0230002EC +:04113B0045510EF418 +:04113C00C0FFB0EF51 +:04113D00051345D180 +:04113E00F0EF03804B +:04113F0045D1FA7F1D +:041140000390051300 +:04114100F9DFF0EFF3 +:04114200455145D1FD +:04114300F95FF0EF71 +:04114400051345D179 +:04114500F0EF0710B0 +:0411460045D1F8BFD8 +:0411470005E00513A7 +:04114800F81FF0EFAD +:04114900051345D174 +:04114A00F0EF06D0EC +:04114B0045D1F77F14 +:04114C00F0EF45314A +:04114D000593F6FF11 +:04114E004505320021 +:04114F00F65FF0EF68 +:04115000451945D127 +:04115100F5DFF0EFE7 +:0411520032000593CF +:04115300F0EF45096B +:041154005783F53F89 +:0411550040A24D84E3 +:041156000407E79310 +:041157004CF41C2315 +:04115800A02344127A +:0411590044820EF4CA +:04115A00808201315D +:04115B00C822112174 +:04115C0057836461F0 +:04115D00CA064D84ED +:04115E00F793C62617 +:04115F0007C2F9F7D3 +:04116000C02E83C159 +:041161004CF41C230B +:041162000002173739 +:041163000EF7202340 +:04116400059384AAC1 +:04116500450532000A +:04116600F09FF0EF17 +:041167004D845783D9 +:041168000002173733 +:04116900E79345C102 +:04116A001C23020739 +:04116B0020234CF4FD +:04116C0085260EF7CF +:04116D00316010EFEE +:04116E000FF57713EF +:04116F0085B7EB1144 +:0411700046450001EF +:0411710088C5859315 +:0411720010EF8526CF +:0411730047052DC03F +:0411740086B3478176 +:04117500C50300F4BA +:0411760045D1000659 +:04117700C23EC43A76 +:04117800EC1FF0EF89 +:041179004722479230 +:04117A00F69307855C +:04117B00E2E30FF7A5 +:04117C005783FEE6B1 +:04117D0014B74D84D2 +:04117E0045D1000255 +:04117F00FDF7F793EE +:0411800083C107C25E +:041181004CF41C23EB +:041182000EF4A023A4 +:041183000C00051344 +:04118400E91FF0EF80 +:041185004D845783BB +:0411860045C1450218 +:041187000207E793E1 +:041188004CF41C23E4 +:041189000EF4A0239D +:04118A002A2010EF18 +:04118B000FF5779352 +:04118C004502EB919C +:04118D00000185B721 +:04118E0085934645BA +:04118F0010EF88C510 +:0411900047852680E9 +:04119100C23E448195 +:0411920045D147827A +:041193000097873307 +:041194000007450308 +:04119500F0EF0485EE +:041196004792E4BFD9 +:041197000FF4F71347 +:04119800FEF763E318 +:041199004D845783A7 +:04119A00173740D2F1 +:04119B00E7930002D4 +:04119C001C23040705 +:04119D0044424CF488 +:04119E000EF7202305 +:04119F00016144B2F4 +:0411A00011518082E7 +:0411A1001437C2221B +:0411A200C026000261 +:0411A30084AA4601D3 +:0411A40002C00593ED +:0411A5000404051326 +:0411A600B0EFC406DC +:0411A70085A6F03FEA +:0411A80005134605E0 +:0411A900B0EF04049B +:0411AA000593F31F97 +:0411AB00051302C066 +:0411AC0046050404EC +:0411AD00EE9FB0EF12 +:0411AE00040405131D +:0411AF0040A2441204 +:0411B00045854482AB +:0411B100B06F0131E9 +:0411B2001141EF7F79 +:0411B3001437C42207 +:0411B400C22600024D +:0411B50084AEC02A1A +:0411B6000593460156 +:0411B700051302C05A +:0411B800C60604045F +:0411B900EB9FB0EF09 +:0411BA004601478221 +:0411BB000404051310 +:0411BC00B0EF85BE4D +:0411BD000513EE5FC9 +:0411BE0044220404BF +:0411BF0085A640B20F +:0411C000460544920A +:0411C100B06F0141C9 +:0411C2001537ED1FD1 +:0411C30011510002C4 +:0411C400458146011A +:0411C5000405051305 +:0411C600B0EFC406BC +:0411C7004529EBDFEC +:0411C8009DFFB0EFE8 +:0411C900450545E1B2 +:0411CA00FA3FF0EF09 +:0411CB00450945E1AC +:0411CC00F9BFF0EF88 +:0411CD00450D45E1A6 +:0411CE00F93FF0EF06 +:0411CF00F0EF4505F3 +:0411D00040A2F45FE6 +:0411D100351315219C +:0411D20001310015D2 +:0411D30011518082B4 +:0411D400842AC22285 +:0411D500C406450502 +:0411D600F2BFF0EF85 +:0411D700040E991D4C +:0411D80074138C49B7 +:0411D90085A20FF4E8 +:0411DA00F0EF4505E8 +:0411DB0085A2F61FD4 +:0411DC00F0EF4509E2 +:0411DD0085A2F59F53 +:0411DE0040A24412D5 +:0411DF000131450D88 +:0411E000F4BFF06FF9 +:0411E100C2221151C4 +:0411E2004505842A11 +:0411E300F0EFC4065F +:0411E4004789EF5FE9 +:0411E5000D857513EC +:0411E60002F4136399 +:0411E7000015641378 +:0411E800450585A292 +:0411E900F27FF0EFB2 +:0411EA00450985A28C +:0411EB00F1FFF0EF31 +:0411EC00441285A282 +:0411ED00450D40A2CA +:0411EE00F06F01316C +:0411EF000416F11FD2 +:0411F00074138C499F +:0411F10064130FF480 +:0411F200BFD900441D +:0411F300C2221151B2 +:0411F40000021437AA +:0411F5004601C026C9 +:0411F600059384AA2F +:0411F700051305C017 +:0411F800C406040421 +:0411F900DB9FB0EFD9 +:0411FA000FF4F59366 +:0411FB00051346058D +:0411FC00B0EF040448 +:0411FD000593DE5F19 +:0411FE00051305C010 +:0411FF004605040499 +:04120000D9DFB0EF93 +:0412010004040513C9 +:0412020040A24412B0 +:041203004585448257 +:04120400B06F013195 +:041205001141DABFFA +:041206001437C422B3 +:04120700C2260002F9 +:0412080084AEC02AC6 +:041209000593460102 +:04120A00051305C003 +:04120B00C60604040B +:04120C00D6DFB0EF8A +:04120D0046014782CD +:04120E0004040513BC +:04120F000FF7F5934D +:04121000D97FB0EFE3 +:0412110004040513B9 +:0412120040B2442280 +:04121300449285A6D6 +:041214000141460549 +:04121500D83FB06F9F +:04121600051311515A +:04121700C4060220E7 +:04121800C026C22208 +:04121900F69FF0EF5D +:04121A000FF5741345 +:04121B00455945CD1F +:04121C00FA7FF0EF76 +:04121D008513648948 +:04121E00B0EF7104B8 +:04121F00458D885F12 +:04122000F0EF455D49 +:041221008513F95FD9 +:04122200B0EF7104B4 +:041223006593875FE9 +:0412240005130804A2 +:04122500F0EF0220C4 +:041226000513F81F95 +:04122700B0EF3E8066 +:041228007593861F15 +:04122900441207F470 +:04122A00448240A218 +:04122B000220051385 +:04122C00F06F01312D +:04122D001151F65F06 +:04122E006409C2226B +:04122F00710405132E +:04123000B0EFC40651 +:0412310045C583DF4D +:04123200F0EF45593B +:041233000513F4DFCC +:04123400B0EF7104A2 +:04123500455D82DFB2 +:04123600F0EF458907 +:041237000513F3DFC9 +:0412380044127104E7 +:04123900013140A29D +:04123A00817FB06F91 +:04123B00C222115169 +:04123C0085AA842ECD +:04123D00C406454955 +:04123E00F1FFF0EFDD +:04123F00441285A22E +:04124000454D40A236 +:04124100F06F013118 +:0412420085AAF11F69 +:0412430003D00513BC +:04124400F07FF06FD8 +:04124500454585AAEC +:04124600EFFFF06F57 +:04124700C406115177 +:041248004783C222F4 +:04124900842A00658E +:04124A009593456DC6 +:04124B008DDD0047EE +:04124C000FF5F59312 +:04124D00EE3FF0EF91 +:04124E000064458370 +:04124F00F0EF457106 +:041250004583ED9F46 +:0412510045290034F7 +:04125200ECFFF0EFCE +:04125300004445838B +:04125400F0EF45254D +:041255004583EC5F82 +:0412560045210054DA +:04125700EBBFF0EF0A +:0412580000044583C6 +:04125900F0EF453538 +:04125A004583EB1FBE +:04125B004531001405 +:04125C00EA7FF0EF46 +:04125D0000244583A1 +:04125E0040A2441254 +:04125F000131452DE7 +:04126000E97FF06FC3 +:04126100C422114151 +:041262004511842A84 +:04126300C032C226AD +:04126400C60684AE88 +:04126500E39FF0EF24 +:041266007593460234 +:04126700CE4D0F85D4 +:041268007FF0079379 +:041269000A87EA63A3 +:04126A00E5934511B2 +:04126B00F0EF00158B +:04126C001513E69FD1 +:04126D001413001442 +:04126E0080410105B5 +:04126F00004455934F +:041270000FF5F593EE +:04127100F0EF450550 +:041272001593E51FCC +:04127300F5930044AB +:0412740045090F0514 +:04127500E43FF0EF73 +:04127600019C073799 +:04127700CC07071386 +:04127800029757334F +:04127900022556B73D +:04127A000FF6869352 +:04127B00073347816D +:04127C00F063028792 +:04127D0026B702E6A8 +:04127E008693042C23 +:04127F004785D7F6D2 +:0412800000E6F96328 +:04128100080BF7B7A8 +:04128200FBF787935C +:0412830000E7B7B316 +:04128400676107890E +:0412850045C707133F +:041286004503973E47 +:041287000713000742 +:0412880005330280A8 +:04128900571302E510 +:04128A00953A00147D +:04128B000285453360 +:04128C007513471D72 +:04128D0075930FF551 +:04128E0073630FF582 +:04128F00459D00A7D2 +:0412900040B2442202 +:04129100058E4492F0 +:041292008DDD079A4D +:041293000F85F5933B +:041294000141450DC2 +:04129500DC3FF06FDB +:04129600F0EF45111F +:04129700BFB9DBDF21 +:04129800C22211510C +:041299004569842AF5 +:04129A00F0EFC406A7 +:04129B004785D63F6E +:04129C000FA5759392 +:04129D0000F41A63DC +:04129E000025E593AF +:04129F0040A2441213 +:0412A000013145696A +:0412A100D93FF06FD2 +:0412A20000A5E5932B +:0412A3005583BFC5EB +:0412A400115100A53F +:0412A50081A1C2223F +:0412A6000513842A7E +:0412A700C40604B0C5 +:0412A800D77FF0EF0D +:0412A90000A44583D5 +:0412AA0004A0051384 +:0412AB00D6BFF0EFCB +:0412AC0000C45583A2 +:0412AD0004D0051351 +:0412AE00F0EF81A13B +:0412AF004583D5DFBF +:0412B000051300C45E +:0412B100F0EF04C096 +:0412B2005583D51F6C +:0412B300051300E43B +:0412B40081A104F020 +:0412B500D43FF0EF43 +:0412B60000E4458388 +:0412B70004E0051337 +:0412B800D37FF0EF01 +:0412B9000044558315 +:0412BA000510051303 +:0412BB00F0EF81A12E +:0412BC004583D29FF5 +:0412BD0005130044D1 +:0412BE00F0EF050048 +:0412BF005583D1DFA3 +:0412C00005130064AE +:0412C10081A10530D2 +:0412C200D0FFF0EF7A +:0412C30000644583FB +:0412C40005200513E9 +:0412C500D03FF0EF37 +:0412C60000845583C8 +:0412C70005500513B6 +:0412C800F0EF81A121 +:0412C9004583CF5F2B +:0412CA000513008484 +:0412CB00F0EF0540FB +:0412CC005583CE9FD9 +:0412CD000513010400 +:0412CE0081A1057085 +:0412CF00CDBFF0EFB0 +:0412D000010445834D +:0412D100056005139C +:0412D200CCFFF0EF6E +:0412D300012455831A +:0412D4000590051369 +:0412D500F0EF81A114 +:0412D6004583CC1F61 +:0412D70005130124D6 +:0412D800F0EF0580AE +:0412D9005583CB5F0F +:0412DA0005130144B3 +:0412DB0081A105B038 +:0412DC00CA7FF0EFE6 +:0412DD000144458300 +:0412DE0040A24412D4 +:0412DF0005A005134E +:0412E000F06F013179 +:0412E1001151C95F7F +:0412E200842AC22276 +:0412E30003F00513FC +:0412E400F0EFC4065D +:0412E5007593C3BF7B +:0412E6008DC10F05A2 +:0412E70040A24412CB +:0412E80003F00513F7 +:0412E900F06F013170 +:0412EA001151C71FB8 +:0412EB00842AC2226D +:0412EC00C406456986 +:0412ED00C19FF0EFBE +:0412EE0000641593F0 +:0412EF00751344121D +:0412F00040A203F520 +:0412F100F5938DC91B +:0412F20045690FF546 +:0412F300F06F013166 +:0412F4001131C49F51 +:0412F500461D65E14C +:0412F6008C4585930B +:0412F700C806850A96 +:0412F800355000EF7E +:0412F900C75FF0EFEC +:0412FA00F0EF4501CB +:0412FB004585E77FBF +:0412FC000350051383 +:0412FD00C23FF0EF0D +:0412FE000513655D12 +:0412FF00F0EF404587 +:041300004501E91F9B +:04130100FA7FF0EF90 +:04130200F0EF4519AA +:041303000513D01FDF +:04130400F0EF0440C2 +:041305004581D03F0F +:04130600F0EF4505BA +:04130700850ACD3F47 +:04130800CFDFF0EF54 +:04130900015140C28C +:04130A00114180828B +:04130B00842AC4224A +:04130C00C6064511BB +:04130D00F0EFC02E0F +:04130E004582B97FDC +:04130F0002B40433ED +:04131000007575935C +:041311007793451178 +:04131200078E01F44D +:04131300F0EF8DDD8D +:041314005513BC9F12 +:0413150040B240544E +:0413160075134422E5 +:0413170001410FF58C +:04131800115180826D +:04131900842AC2223E +:04131A00C40645417F +:04131B00B61FF0EF1A +:04131C0000341593F1 +:04131D00891D4412D0 +:04131E008DC940A293 +:04131F000FF5F5933E +:041320000131454111 +:04132100B93FF06F71 +:041322001151C1396B +:041323000513C026C8 +:0413240084AE026031 +:041325000800059324 +:04132600C406C22215 +:04132700F0EF84322D +:041328004785B79F9F +:041329000094059394 +:04132A0000F48963DF +:04132B00059347A13E +:04132C00846305A42D +:04132D00059300F430 +:04132E0044120184E0 +:04132F00448240A212 +:041330000FF5F5932D +:04133100031005138D +:04133200F06F013126 +:041333004581B4DF5D +:04133400026005133B +:041335001121BFDDE6 +:04133600C626C822DD +:04133700C02ECA06F4 +:04133800C436C232C3 +:04133900842A478536 +:04133A000E6384BA00 +:04133B0047A100F5D1 +:04133C0004F50D6344 +:04133D0000670593AD +:04133E000FF5F5931F +:04133F00F0EF451571 +:0413400045C1B19F53 +:041341000593A8095F +:04134200F5930027F8 +:0413430045150FF548 +:04134400B07FF0EF97 +:041345004519459968 +:04134600AFFFF0EF16 +:04134700862685A2CF +:04134800F0EF450578 +:0413490047C1F67F23 +:04134A00036345B143 +:04134B0045A100F4C4 +:04134C000220051363 +:04134D00AE3FF0EFD0 +:04134E0046224442AD +:04134F00450245927C +:0413500044B240D291 +:04135100F06F0161D7 +:041352000593C3FF3D +:04135300F5930327E4 +:0413540045150FF537 +:04135500AC3FF0EFCA +:0413560002000593F9 +:041357001151BF6D04 +:04135800C026C222C7 +:0413590084AEC40694 +:04135A008432478909 +:04135B00E66345817F +:04135C0095930097CE +:04135D0005E2006441 +:04135E00171385E1FB +:04135F0017930025BB +:041360008FD90045DC +:041361008DDD8FC9C6 +:041362000FF5F593FB +:04136300F0EF4565FD +:041364004541A89FB8 +:04136500A39FF0EF63 +:041366007593478DA7 +:0413670014630F8577 +:04136800E59300F415 +:0413690045410055A5 +:04136A00A6FFF0EFFB +:04136B000593478916 +:04136C00F96305B06C +:04136D00479100970D +:04136E0005200593BE +:04136F000097F4638C +:0413700005300593AC +:04137100F0EF45391B +:041372000513A51F9B +:04137300B0EF3E8019 +:041374004551B30F1D +:041375009F9FF0EF57 +:0413760045C1478D99 +:0413770000F4036318 +:041378004561458105 +:04137900A33FF0EFAF +:04137A0040A2441237 +:04137B0045814482E2 +:04137C0003600513F2 +:04137D00F06F0131DB +:04137E001151A21F48 +:04137F00842AC222D8 +:04138000C406455109 +:041381009C9FF0EF4E +:041382007C63471130 +:041383007513008757 +:0413840005130885C0 +:041385003513F785A0 +:0413860040A200156C +:0413870001314412DA +:04138800470980820F +:0413890000877763FF +:04138A0009057513C9 +:04138B00F70505134A +:04138C007793B7DDBF +:04138D00D5130FF570 +:04138E0089050017B6 +:04138F000113BFF98E +:04139000D2A2F941AB +:0413910064614791BB +:04139200D0A6D48687 +:041393000793DA3EA4 +:04139400CC364FC440 +:041395000317C683F1 +:04139600C82A4721F9 +:0413970000D7173331 +:041398000327C683DE +:04139900008767134F +:04139A000713DC3A1F +:04139B0017332000E4 +:04139C00C68300D72D +:04139D00DE3A0337FA +:04139E001733674159 +:04139F00C68300D72A +:0413A000C0BA034785 +:0413A10000200737EA +:0413A20000D7173326 +:0413A3000713C2BAB0 +:0413A400C4BA033193 +:0413A5000713676162 +:0413A600C6BA532749 +:0413A7000713676160 +:0413A800C8BA533735 +:0413A900071367615E +:0413AA00CABA534721 +:0413AB00071367615C +:0413AC00CCBA53570D +:0413AD00071367615A +:0413AE00CEBA5367F9 +:0413AF000427C70345 +:0413B0000437C783B4 +:0413B100C03A66E1F7 +:0413B2008793C43E1B +:0413B300C23E62C60E +:0413B400879367DDD7 +:0413B500CA2E4347B2 +:0413B600020109A384 +:0413B70004134701D3 +:0413B80086934FC405 +:0413B90042F162C6D5 +:0413BA001793D03E77 +:0413BB0087E101873E +:0413BC000793C63E8F +:0413BD001563025062 +:0413BE0057FD00F7E0 +:0413BF00A691C63EEF +:0413C000025707B316 +:0413C10097B6461580 +:0413C200D3134BDC1A +:0413C30083F5018726 +:0413C40001F374932A +:0413C50006F666635F +:0413C60096135582A3 +:0413C700CE320027FB +:0413C8004210962E0B +:0413C90003B38602E2 +:0413CA0006130257AD +:0413CB00DE321000FE +:0413CC00C0B2662124 +:0413CD0020000613E3 +:0413CE000593C2B20F +:0413CF0086331C1035 +:0413D0004A10007649 +:0413D10076138215F8 +:0413D2001B637FF624 +:0413D300D43E06B648 +:0413D400879367E1B3 +:0413D50093BE62C79A +:0413D600000177B7E4 +:0413D70044C78593EF +:0413D800461D851E0B +:0413D900D21ED63A10 +:0413DA00113000EFDF +:0413DB00539266E1E2 +:0413DC00573257A28B +:0413DD0062C68693CB +:0413DE00E90142F1EE +:0413DF00E229462297 +:0413E0000705479224 +:0413E100C23E07F110 +:0413E200D23EB78DB3 +:0413E300000177B7D7 +:0413E4004547859361 +:0413E500851E461DFE +:0413E60000EFD43A06 +:0413E70066E10E109D +:0413E800572257929F +:0413E90062C68693BF +:0413EA00E91942F1CA +:0413EB00460545A2CC +:0413EC0000C598633D +:0413ED000613B7F13B +:0413EE00DE322000CB +:0413EF00C0B26641E1 +:0413F000F33346622B +:0413F1000DE300C444 +:0413F2004612FA03A2 +:0413F3004E0C4572E5 +:0413F40004B310909E +:0413F500A60300A6A5 +:0413F6001088FE84D9 +:0413F70000064603A3 +:0413F800962A060A21 +:0413F900FD46260384 +:0413FA00DA598E6DC1 +:0413FB00C5934552FF +:0413FC008985FFF5EB +:0413FD00F8A596E3D6 +:0413FE00025705B3DA +:0413FF0095B6454218 +:041400008195498CFD +:041401007FF5F593EB +:04140200EBE305F91A +:041403004585F6A580 +:0414040000B4092304 +:0414050000041723A5 +:04140600000408A333 +:0414070000040A23B0 +:041408006589CC1016 +:0414090026B6076399 +:04140A0014C5E463BE +:04140B00080005933D +:04140C0022B60B6396 +:04140D000EC5E263C3 +:04140E00016345C170 +:04140F00EF6322B6AF +:0414100045910AC533 +:041411001CB606639C +:041412001BE345A1F2 +:041413004605F2B6E2 +:0414140000C40623E7 +:0414150004F4460392 +:041416001E061F632C +:0414170006334671E1 +:04141800963602C73B +:0414190000E6558311 +:04141A0057700613EE +:04141B001EB6656331 +:04141C0001D796134B +:04141D001EC0516339 +:04141E0006A3460DCE +:04141F00460900C4B6 +:0414200000C40923D8 +:0414210000678613C7 +:04142200CE118A1548 +:041423009F63461568 +:0414240047F10AC7BB +:0414250002F7073390 +:041426004AF00793EE +:04142700D70396BA97 +:04142800E56300E692 +:0414290047830AE704 +:04142A00CFD10454C6 +:04142B00000406A310 +:04142C00AA7D4789C5 +:04142D000257063329 +:04142E0020D0059332 +:04142F004A10963693 +:041430007613821598 +:041431001DE37FF642 +:041432000613EEB6F9 +:041433000633FFF786 +:04143400458D02568A +:041435004A5096364D +:041436001A6382753E +:04143700460200B6B3 +:04143800F493E60142 +:04143900BDE90EF407 +:04143A004582460998 +:04143B004602B5D1DF +:04143C00F493E6013E +:04143D00B5E90FB44A +:04143E00BFC54605DB +:04143F00020007930D +:0414400000F6066349 +:041441000400079309 +:04144200E6F61CE3CB +:0414430030100793CB +:0414440000F4162377 +:04144500AAA9479178 +:0414460040000593CA +:0414470016B603636F +:0414480002C5EB638B +:0414490010000593F7 +:04144A0014B607636A +:04144B0020000593E5 +:04144C00E4B618E307 +:04144D001623470912 +:04144E00470D00E462 +:04144F0000E79863B7 +:041450000454478376 +:041451004785F7B51F +:0414520000F4072378 +:041453000564478362 +:0414540000F408A3F5 +:041455000793A0FD5C +:041456008963800620 +:04145700678512078C +:04145800E2F610E3C5 +:0414590020200793B5 +:04145A0000F4162361 +:04145B00A209478D0E +:04145C00000805B7C8 +:04145D0012B60A6356 +:04145E0004C5E3637B +:04145F00006365C100 +:04146000E36312B67A +:04146100679102C5C8 +:0414620010F6086315 +:0414630019E367A181 +:041464000793DEF616 +:04146500162320200A +:04146600479D00F4AA +:0414670000F4092361 +:041468000A2347B557 +:04146900B75D00F477 +:04146A00000207B7BE +:04146B000EF60B630B +:04146C00000407B7BA +:04146D00DCF616E3B0 +:04146E002030079390 +:04146F0007B7B7758F +:0414700009630040CC +:04147100E0630EF630 +:0414720007B702C7EF +:041473000063001002 +:0414740007B70EF6B2 +:0414750015E300205B +:041476004791DAF6CA +:0414770000F4162344 +:04147800078007934F +:0414790007B7BF7D75 +:04147A000F630100FB +:04147B0007B70CF6AD +:04147C000463020003 +:04147D0007B70EF6A9 +:04147E0013E30080F4 +:04147F000793D8F601 +:0414800016232040CF +:04148100479100F49B +:0414820000F4092346 +:04148300BF5147F915 +:041484000733467173 +:04148500162302C761 +:041486008B9500043E +:04148700D70396BA37 +:04148800468500A6EF +:0414890008A38B7DAC +:04148A009B6300E47C +:04148B00468300D7BD +:04148C00CE9904549D +:04148D00092347895F +:04148E00478500F49A +:04148F0000F407A3BB +:0414900050A6D7117A +:041491004532541676 +:041492000113548668 +:04149300808206C18C +:0414940000F4072336 +:0414950006A3B7DD16 +:04149600B52D00046C +:041497002010079387 +:0414980000F4162323 +:041499000793B5B14F +:04149A0016233010D5 +:04149B00479900F479 +:04149C0000F409232C +:04149D000793BDE113 +:04149E0016232010E1 +:04149F00479500F479 +:0414A0000793BFC52A +:0414A10016231020DE +:0414A200B5C900F4D4 +:0414A300202007936B +:0414A4000793BFC12A +:0414A500BDAD202099 +:0414A6002020079368 +:0414A700470DBFF935 +:0414A8000793BD5990 +:0414A900BF6D2030C3 +:0414AA002030079354 +:0414AB000793B59559 +:0414AC00B7E1203054 +:0414AD002040079341 +:0414AE0000F416230D +:0414AF000923478D39 +:0414B000079300F4AA +:0414B100BDF1028007 +:0414B200204007933C +:0414B30000F4162308 +:0414B400092347952C +:0414B50047E100F417 +:0414B6000793B5E9FA +:0414B7001623204098 +:0414B800479900F45C +:0414B90000F409230F +:0414BA00BD6547D1F4 +:0414BB001793111161 +:0414BC00CA220185BA +:0414BD00CC06C8266B +:0414BE00842A87E114 +:0414BF00DD6384AEB7 +:0414C000458100075B +:0414C1000770051398 +:0414C20001A337D576 +:0414C300478500A1B8 +:0414C40006A7EE6326 +:0414C50007F47413A1 +:0414C60045912E9589 +:0414C7002681450134 +:0414C800D7932E8107 +:0414C90002A30184F5 +:0414CA00D79300F1C3 +:0414CB0003230104F2 +:0414CC00D79300F1C1 +:0414CD0003A30084F1 +:0414CE00022300F104 +:0414CF000423008171 +:0414D000071300916D +:0414D1000793040079 +:0414D2000963095051 +:0414D300071300E417 +:0414D40047850480C4 +:0414D50000E41463B8 +:0414D6000870079300 +:0414D70000484599EB +:0414D80000F104A378 +:0414D900451524EDA4 +:0414DA00842A2EB181 +:0414DB00051345852B +:0414DC0024F50031C2 +:0414DD000031078350 +:0414DE000007D663CA +:0414DF0047852E8D82 +:0414E000FEF506E32C +:0414E1002641E011AF +:0414E200003145038D +:0414E300445240E24D +:0414E400017144C28C +:0414E50001138082ED +:0414E600C02AFD819A +:0414E7000513458123 +:0414E800D206049094 +:0414E900CE26D02219 +:0414EA00F45FF0EFCC +:0414EB004501C901ED +:0414EC0054025092C4 +:0414ED00011344F2B1 +:0414EE008082028175 +:0414EF004515842AF1 +:0414F00084AA261193 +:0414F1000513458515 +:0414F2002C51007108 +:0414F300007147033A +:0414F4000FF007935B +:0414F50000F7166383 +:0414F60047852E19DF +:0414F700FEF504E317 +:0414F8002615E09144 +:0414F9000071470334 +:0414FA000FE0079365 +:0414FB00FCF711E306 +:0414FC00002845C9B6 +:0414FD00478224AD51 +:0414FE000047C78359 +:0414FF000027F713B8 +:041500004503CF15BB +:04150100478300E13B +:04150200470300F1AA +:04150300890D01212C +:041504008D5D0522D2 +:041505000101478316 +:041506004403050A8B +:04150700839900D1F3 +:0415080047838D5D2B +:04150900831D01112C +:04150A000786883D8B +:04150B008FD98B9950 +:04150C000505943EFF +:04150D001533146519 +:04150E00BF9D0085F8 +:04150F00CB898B9168 +:04151000010145038D +:0415110001114783FA +:041512008D5D0522C4 +:04151300B7CD47C544 +:0415140045014781C5 +:041515000113BFF10E +:0415160065D9FDC1D5 +:041517004629CC266F +:04151800859384AA89 +:04151900006836052B +:04151A00CE22D00607 +:04151B00478D24E1F3 +:04151C0022C1C03EEA +:04151D0024A92C21B0 +:04151E00006845A973 +:04151F0045812AC90F +:041520000004A02300 +:0415210004000513AA +:04152200E65FF0EFA1 +:04152300842A47854A +:041524000EF51B6342 +:041525001AA0059370 +:041526000480051325 +:04152700E51FF0EFDD +:041528001763C22A59 +:04152900459108855B +:04152A002A55002816 +:04152B0000A14703D1 +:04152C001A63479265 +:04152D0047030CF76D +:04152E00079300B16E +:04152F0014630AA097 +:0415300005130CF79C +:041531002AFD3E80D1 +:041532004785241DA8 +:0415330000F5196343 +:04153400400005B7B7 +:041535000E900513FC +:04153600E15FF0EF92 +:041537002C01F57519 +:04153800066347857A +:04153900440102F572 +:04153A0047822C397F +:04153B00F79317FD0E +:04153C00C03E0FF7A7 +:04153D00DC35CBD9F5 +:04153E008223478538 +:04153F00C09C0084C8 +:04154000F0EF85261D +:04154100157DE95FCC +:041542002A69C488C6 +:041543004581A041FD +:0415440007A00513E4 +:04154500DD9FF0EF47 +:041546004591F5795D +:0415470022810028D5 +:041548000081478354 +:04154900F79344319F +:04154A00FFDD0407B6 +:04154B00BF6D44111B +:04154C0005134581BD +:04154D00F0EF0E901D +:04154E000793DB7FA5 +:04154F0065630410BC +:04155000440900A4A6 +:041551000E9007935E +:041552000FA00513CE +:041553002A9DC23ECD +:0415540047852A7924 +:0415550000F5176323 +:041556004581451274 +:04155700D91FF0EFB9 +:041558002271F9659E +:041559004401E11157 +:04155A0045812A7924 +:04155B0007B00513BD +:04155C00D7DFF0EFF6 +:04155D004401C11173 +:04155E0020000593D1 +:04155F00050005136B +:04156000D6DFF0EFF3 +:041561004401D13D33 +:04156200F43DB78D10 +:04156300351320CD4F +:04156400508200149D +:0415650044E24472A6 +:04156600024101132A +:04156700451C80821D +:04156800C822112163 +:04156900C626CA06C2 +:04156A00C03AC22E93 +:04156B00E163440DE7 +:04156C00CF1902C7CA +:04156D00004547836B +:04156E008BA184B613 +:04156F000626E391D8 +:04157000051385B228 +:04157100F0EF051082 +:04157200C909D27F52 +:0415730020454409C2 +:0415740040D28522BA +:0415750044B24442F6 +:04157600808201610D +:041577000640051312 +:04157800458520D5B0 +:0415790000B10513A5 +:04157A004703289D5E +:04157B00079300B121 +:04157C0016630FF0F3 +:04157D0028E500F766 +:04157E0004E34785B6 +:04157F002221FEF532 +:0415800000B147036C +:041581000FE00793DD +:04158200FCF712E37D +:041583000413478284 +:041584008C05202092 +:0415850004428C1D73 +:04158600C48180415B +:04158700450185A6EF +:041588004582283D33 +:0415890028254512BA +:04158A00450185A2F0 +:04158B004401280DE2 +:04158C002637BF79C6 +:04158D0005B7000698 +:04158E001537019C70 +:04158F00061300023D +:041590008593A80691 +:041591000513CC056D +:04159200A06F02053F +:04159300862EF29F0F +:04159400153785AAD8 +:041595000513000238 +:04159600A06F02053B +:04159700862EFC7F21 +:04159800153785AAD4 +:041599000513000234 +:04159A00A06F020537 +:04159B008082F8BF93 +:04159C0057836761A9 +:04159D00F7934D87EC +:04159E0007C2F7F792 +:04159F001C2383C1C5 +:0415A00017374CF7B6 +:0415A1002023000201 +:0415A20080820EF73E +:0415A30057836761A2 +:0415A400E7934D87F5 +:0415A5001C230807F4 +:0415A60017374CF7B0 +:0415A70020230002FB +:0415A80080820EF738 +:0415A90000293637A8 +:0415AA00019C05B7E4 +:0415AB0000021537EE +:0415AC002E060613EE +:0415AD00CC05859351 +:0415AE00020505131A +:0415AF00EB7FA06FBF +:0415B000F73FF06FA2 +:0415B10027836765C0 +:0415B200E385A387A3 +:0415B3008793679D16 +:0415B40005339787DD +:0415B500115102F5D9 +:0415B6002C23C40618 +:0415B700A0EFA2A758 +:0415B80040A2A45F4A +:0415B90001314501B6 +:0415BA0045058082E1 +:0415BB0011518082C8 +:0415BC00A0EFC406D2 +:0415BD0067E5A55FDA +:0415BE00A387A783D5 +:0415BF00353340A2DE +:0415C000013100F500 +:0415C10067E58082D8 +:0415C200A207AC23AD +:0415C30047018082DA +:0415C40000E61463C6 +:0415C50080824501DA +:0415C60000E507B382 +:0415C70086B30705DB +:0415C800C78300E5F0 +:0415C900C6830007CE +:0415CA0083E3FFF6C2 +:0415CB008533FED78F +:0415CC00808240D702 +:0415CD0000A5C7B3FB +:0415CE0007338B8DC7 +:0415CF00E78100C5EB +:0415D000E963478DF7 +:0415D10087AA02C71C +:0415D2000CE5716350 +:0415D3000005C683C6 +:0415D40005850785FD +:0415D500FED78FA30B +:0415D600FEE7EAE35F +:0415D700C6838082C5 +:0415D800078500057E +:0415D9008FA3058552 +:0415DA00EAE3FED76B +:0415DB004402FEE7E1 +:0415DC0080820111F7 +:0415DD0000357693CC +:0415DE00CA9187AA7D +:0415DF000005C683BA +:0415E00005850785F1 +:0415E100FED78FA3FF +:0415E2000037F69345 +:0415E3007693B7FD47 +:0415E4008613FFC7A4 +:0415E500F563FE06A6 +:0415E600117106C7B2 +:0415E70049C0C02215 +:0415E8000005A30354 +:0415E9000085A38353 +:0415EA004D80CBC0A5 +:0415EB000067A023D2 +:0415EC000045A30310 +:0415ED004DC0CF809E +:0415EE000067A223CD +:0415EF0000C5A2830E +:0415F0000105A3034B +:0415F1000245859397 +:0415F200A403CFC0BF +:0415F300A423FFC569 +:0415F400A6230077B3 +:0415F500A8230057D0 +:0415F6008793006770 +:0415F700AE230247D6 +:0415F800EEE3FE8799 +:0415F900F2E3FAC758 +:0415FA004190F8D74D +:0415FB0005910791BE +:0415FC00FEC7AE2355 +:0415FD004190BFCD8D +:0415FE0005910791BB +:0415FF00FEC7AE2352 +:04160000FED7EBE343 +:04160100F4E7E4E343 +:0416020080828082E0 +:04160300F7C1011317 +:04160400C13ED6A667 +:0416050067E1DA8639 +:04160600DCB6D8A2D4 +:04160700A483DEBA20 +:04160800DC633F87D9 +:04160900079300053E +:04160A00C09C08B0C8 +:04160B0050D6557DE3 +:04160C0054B6544636 +:04160D00084101137C +:04160E00079380823C +:04160F0018232080FC +:04161000C22A00F1F9 +:041611004781CA2A19 +:041612008793C19960 +:04161300C63EFFF5DB +:0416140018B4CC3EFC +:04161500842E57FDCB +:04161600004C8526D9 +:0416170000F11923A2 +:041618002601C036B1 +:04161900556357FDC1 +:04161A00079300F53D +:04161B00C09C08B0B7 +:04161C004792DC5DB8 +:04161D00000780231F +:04161E00C605BF5DE1 +:04161F004701167DEC +:0416200000E507B327 +:0416210000E586B3A7 +:041622000007C78373 +:041623000006C68374 +:0416240000D79663F2 +:0416250000C7046393 +:04162600F3FD0705C4 +:0416270040D78533F0 +:041628004501808276 +:0416290087AA80828A +:04162A000585CA095F +:04162B00FFF5C703FD +:04162C00167D07859B +:04162D00FEE78FA3A2 +:04162E00963EFB6584 +:04162F0000C79363FA +:041630000785808228 +:04163100FE078FA37E +:0416320095AABFD5E1 +:04163300856387AA9A +:04163400C70300B731 +:04163500E7010007C2 +:0416360040A7853311 +:041637000785808221 +:04163800C5D5B7FD60 +:04163900FFC5A783BF +:04163A00C222115166 +:04163B00C026C406FB +:04163C00FFC584134F +:04163D000007D3636C +:04163E0084AA943EA8 +:04163F0015F000EFB3 +:041640002783676134 +:04164100863A478717 +:041642002223EF81EF +:041643002C23000450 +:04164400441246877F +:04164500852640A214 +:0416460001314482A8 +:041647001410006F0C +:0416480002F47063D5 +:04164900073340140F +:04164A00966300D4CF +:04164B00439800E7D9 +:04164C00973643DCAE +:04164D00C05CC018A5 +:04164E0046862C237D +:04164F0087BABFD9BE +:04165000C31943D89F +:04165100FEE47DE353 +:041652008633439404 +:041653001F6300D73A +:0416540040100086BC +:04165500C39496B2F2 +:0416560000D7863300 +:04165700FAC71BE3D0 +:0416580043584310A0 +:04165900C39496B2EE +:04165A00B765C3D8D5 +:04165B0000C47563EF +:04165C00C09C47B136 +:04165D004010BF7901 +:04165E0000C406B30B +:04165F0000D7166337 +:041660004358431494 +:04166100C01496B269 +:04166200C3C0C058E9 +:041663008082B75971 +:04166400C226114148 +:041665000035849335 +:04166600C60698F12B +:0416670004A1C422F4 +:04166800FB6347B128 +:0416690044B104F490 +:04166A0004B4EA6377 +:04166B0000EFC02AA2 +:04166C0067610AD0D8 +:04166D004787268302 +:04166E000613478296 +:04166F0084364787EF +:041670006461E4319C +:0416710047C4041353 +:04167200EB01401830 +:041673004581853EEA +:0416740000EFC03E85 +:0416750047827F80A9 +:04167600853EC008E5 +:04167700C03E85A646 +:041678007EA000EF61 +:041679004782577DD0 +:04167A0006E5156309 +:04167B00C398473198 +:04167C0000EF853EB8 +:04167D00A02906B0EA +:04167E00FA04D8E3AF +:04167F00C11C47B192 +:0416800040B245012E +:041681004492442229 +:041682008082014120 +:041683008F05401877 +:0416840002074E63A8 +:04168500F66345AD16 +:04168600C01800E5A3 +:04168700C004943ACD +:041688004058A029FD +:0416890002869263E0 +:04168A00853EC218BF +:04168B00031000EF59 +:04168C0000B405138E +:04168D0000440713FB +:04168E0007B39961A4 +:04168F00D3F140E56E +:041690008F09943EEC +:04169100BF75C01849 +:04169200B7C5C2D83E +:04169300404086A2AB +:041694000413BF85F7 +:041695009871003513 +:04169600FC8503E3E9 +:0416970040A405B3B3 +:04169800C03E853E8D +:04169900577D279DB5 +:04169A001AE3478286 +:04169B00BFBDFAE5F0 +:04169C000085A3031F +:04169D00C826111139 +:04169E00CA22CC068A +:04169F0084AEC23221 +:0416A0000866E36392 +:0416A10000C5D603A7 +:0416A200480677136C +:0416A30082AACB3D0F +:0416A400470D48C8DE +:0416A5000733408047 +:0416A600498C02A7C2 +:0416A70007B3450937 +:0416A800C03E40B44C +:0416A90002A744331D +:0416AA00001685138E +:0416AB007363953E92 +:0416AC00842A00A4E8 +:0416AD00400676136A +:0416AE00C63DC63639 +:0416AF0085A2851675 +:0416B000F0EFC4167D +:0416B10042A2ECFF66 +:0416B200473146B2C4 +:0416B3004602CD2DF1 +:0416B400C636488C62 +:0416B500F0EFC42A64 +:0416B600D603C5FF93 +:0416B700432200C406 +:0416B800761346B2AD +:0416B9006613B7F607 +:0416BA009623080665 +:0416BB00478200C49E +:0416BC000064A823FB +:0416BD00933EC8C0D0 +:0416BE00A0238C1DBC +:0416BF00C48000647F +:0416C000F363833617 +:0416C1008336006606 +:0416C2004088459285 +:0416C300C01A861AA9 +:0416C400449827011E +:0416C5004501430296 +:0416C600406707333F +:0416C7004098C498EB +:0416C800A023933A8E +:0416C900A80D006404 +:0416CA0086228516D9 +:0416CB002F05C4160D +:0416CC0042A2832A89 +:0416CD00FD4546B2DF +:0416CE008516488CA9 +:0416CF00F0EFC01662 +:0416D0004282DA5F19 +:0416D100A0234731DA +:0416D200D70300E258 +:0416D300557D00C47D +:0416D400040767138D +:0416D50000E4962374 +:0416D600445240E258 +:0416D700017144C297 +:0416D800D703808232 +:0416D900011300C534 +:0416DA00C122F781B1 +:0416DB000793DEA6ED +:0416DC00C30601F14F +:0416DD00C02EC22A2F +:0416DE00080777136F +:0416DF00F41384B2CA +:0416E000CB1DFF8798 +:0416E100EB0D49982C +:0416E2000400059368 +:0416E300F0EFC63628 +:0416E4004782E03F1A +:0416E500C38846B2BE +:0416E600E919CB88AB +:0416E70047B14712AE +:0416E800C31C557D4D +:0416E900440A409AD5 +:0416EA00011354F69E +:0416EB008082088170 +:0416EC000713478217 +:0416ED00CBD8040052 +:0416EE0002000713DC +:0416EF0000E40CA364 +:0416F00003000713D9 +:0416F10000042A23A4 +:0416F20000E40D23E0 +:0416F3008726CA3646 +:0416F4000250061387 +:0416F5000007468321 +:0416F6009863C2999A +:0416F70003330AC6E9 +:0416F80002634097B2 +:0416F9004582020321 +:0416FA00869A451275 +:0416FB00C83A86263D +:0416FC00F0EFC61A2B +:0416FD0056FDE7FFB0 +:0416FE001CD5026392 +:0416FF0043324854D6 +:04170000969A47422C +:041701004683C854FF +:0417020089630007F0 +:0417030004931A062B +:04170400577D0017F6 +:041705000004202399 +:041706000004262392 +:041707002423C0587F +:0417080001A3000435 +:041709002C23040485 +:04170A00C58304048B +:04170B0087B7000498 +:04170C00461500017D +:04170D008887851331 +:04170E0087132B7D95 +:04170F00401400146E +:04171000F613E539AE +:04171100C6090106FE +:0417120002000613B8 +:0417130004C401A366 +:041714000086F61342 +:041715000613C609E8 +:0417160001A302B079 +:04171700C58304C4BE +:0417180006130004B0 +:04171900816302A046 +:04171A00445404C56A +:04171B004581872657 +:04171C0042A9452574 +:04171D000007460378 +:04171E00001703139A +:04171F00FD060613AA +:0417200006C579631E +:04172100C454C9954E +:041722000705A8050A +:0417230087B7B7A12C +:041724008613000127 +:041725000633888778 +:04172600450540C570 +:0417270000C51533B1 +:04172800C0148EC992 +:04172900B75184BA76 +:04172A00059346528B +:04172B004210004622 +:04172C004963CA2E15 +:04172D00C45002069C +:04172E000007460367 +:04172F0002E006933B +:0417300006D6116365 +:041731000017460354 +:0417320002A0069378 +:0417330002D61B635C +:04173400070946D289 +:0417350000468613D1 +:04173600CA324294DD +:041737000206C16382 +:04173800A081C05478 +:0417390040C0063373 +:04173A000026E6930C +:04173B00C014C450C2 +:04173C0086B3B7E1D8 +:04173D004585025686 +:04173E0096B2871ABE +:04173F0056FDBFA5EF +:041740000705B7C51D +:04174100000422235B +:041742004681458116 +:0417430042A945254D +:041744000007460351 +:041745000017031373 +:04174600FD06061383 +:0417470006C57763F9 +:041748004583F1E103 +:0417490084B700075A +:04174A00460D000147 +:04174B008904851375 +:04174C0021D1C63AA7 +:04174D00CD01473251 +:04174E0089048493F3 +:04174F0006938D056B +:0417500096B3040048 +:04175100400800A6A6 +:041752008D550705A5 +:041753004583C00802 +:0417540085370007CE +:041755004619000130 +:0417560089450513A9 +:0417570000170493E0 +:0417580000B40C23AA +:04175900C52D294928 +:04175A00000007374D +:04175B000007071369 +:04175C004014E70D41 +:04175D00F693475266 +:04175E00CE91100612 +:04175F00CA3A07116A +:0417600047A24858FC +:04176100C858973E8F +:0417620086B3B599FC +:041763004585025660 +:0417640096B2871A98 +:041765000721BFB5E4 +:041766004602B7DDA3 +:0417670066B745120A +:04176800085800011C +:04176900A7068693B6 +:04176A00009785A2BD +:04176B0000E7000093 +:04176C00C42A00008B +:04176D00577D47A2BB +:04176E00FCE794E31D +:04176F00557D4782DB +:0417700000C7D703D4 +:0417710004077713DF +:04177200DC071EE38F +:04177300BBD948484E +:0417740045124602D2 +:04177500000166B752 +:0417760086930858F6 +:0417770085A2A7069A +:04177800BFC12A05BE +:04177900CA2611016A +:04177A0084B683327C +:04177B0049944590B8 +:04177C00CE06CC22A7 +:04177D0087AE842A85 +:04177E0000C6D3636B +:04177F00202386B2EB +:04178000C60300D3C9 +:04178100C601043762 +:041782002023068595 +:04178300439400D3B8 +:041784000206F693D0 +:041785002683C69160 +:0417860006890003CD +:0417870000D3202348 +:041788000007A28331 +:0417890001978393AE +:04178A000062F29374 +:04178B00060283636C +:04178C00C68343903D +:04178D007613043794 +:04178E0036B3020666 +:04178F00EA4100D05B +:041790000437861381 +:04179100852285A682 +:04179200C23EC41A75 +:041793009702C03ABF +:04179400086356FD93 +:04179500479206D59C +:041796004511432294 +:04179700260343944E +:0417980047CC000337 +:0417990043018A99E5 +:04179A009763470208 +:04179B00833300A6EE +:04179C00536340C58E +:04179D004301000301 +:04179E004B90479491 +:04179F0000D65463B9 +:0417A00093368E915D +:0417A10007E9428191 +:0417A2000653126375 +:0417A300A81D450137 +:0417A40047D402859F +:0417A5000003260314 +:0417A600DBE38E9162 +:0417A7004685F8D2A9 +:0417A80085A6861E6E +:0417A900C81A8522B3 +:0417AA00C416C63E5D +:0417AB00C03AC21E60 +:0417AC0056FD97024D +:0417AD00439247021A +:0417AE0047B242A25A +:0417AF0019E34342B5 +:0417B000557DFCD592 +:0417B100446240F25C +:0417B200610544D2B7 +:0417B30085B38082F8 +:0417B400051300D742 +:0417B50081A3030009 +:0417B600C58304A53E +:0417B700861304573A +:0417B800963E001643 +:0417B90001A30689F9 +:0417BA00BF9904B619 +:0417BB00863E46859B +:0417BC00852285A657 +:0417BD00C41AC6166E +:0417BE00C03AC23E2D +:0417BF0056FD97023A +:0417C000FCD501E370 +:0417C100432242B2CB +:0417C20002854792C3 +:0417C300BFAD47026D +:0417C400CC22110121 +:0417C500C232842E7A +:0417C6000184460351 +:0417C700CE06CA265A +:0417C800C02A84B6F9 +:0417C90006E006939D +:0417CA0004358593CA +:0417CB001ED60863BB +:0417CC0006C6E46306 +:0417CD000630069349 +:0417CE000AD60463D0 +:0417CF0000C6ED6300 +:0417D0002006046388 +:0417D10005800693F6 +:0417D20016D60C63B8 +:0417D30004240313D4 +:0417D40004C4012325 +:0417D5000693A87956 +:0417D6000663064060 +:0417D700069300D69F +:0417D80015E306907F +:0417D9004014FED6E4 +:0417DA0000072303DE +:0417DB000806F613F3 +:0417DC0000430513AE +:0417DD002683C24954 +:0417DE00C308000339 +:0417DF000001863748 +:0417E0000006D863C4 +:0417E10002D0071318 +:0417E20040D006B33A +:0417E30004E401A376 +:0417E40089C6061399 +:0417E500A07D472973 +:0417E600073006932F +:0417E7001AD60A63A1 +:0417E80000C6EF63E5 +:0417E90006F006936D +:0417EA0006D60063BC +:0417EB00070006935A +:0417EC00F8D61EE32A +:0417ED00E69340142B +:0417EE00C01402061B +:0417EF000693A809AC +:0417F0000363075038 +:0417F100069304D681 +:0417F20011E3078078 +:0417F3000693F8D68B +:0417F40086370780AD +:0417F50002A300014A +:0417F600061304D4FE +:0417F700A0FD8B06C0 +:0417F8000313431480 +:0417F900861304242B +:0417FA00C3100046D2 +:0417FB0001234298EC +:0417FC00470504E4B5 +:0417FD00F613AABD78 +:0417FE002683040634 +:0417FF00C308000318 +:0418000006C2DE3509 +:04180100BF9D86C140 +:041802004314400843 +:0418030008057293CF +:041804000046831304 +:0418050000028663F4 +:041806000067202334 +:04180700A80142945E +:04180800040575134B +:041809000067202331 +:04180A00D683D97533 +:04180B0005130006BB +:04180C00873706F024 +:04180D000D63000166 +:04180E0006130CA60B +:04180F00472989C715 +:04181000040401A328 +:04181100C40840487F +:041812000005486322 +:0418130000042303A7 +:04181400FFB3731398 +:041815000064202328 +:04181600832EE299A2 +:04181700832ECD113E +:0418180002E6F533BC +:041819009532137D74 +:04181A00000545037D +:04181B0000A3002303 +:04181C0002E6D533D8 +:04181D000AE6F26382 +:04181E001E6346A15E +:04181F00401800D796 +:04182000CB118B0558 +:0418210048184054CF +:0418220000D7476341 +:0418230003000713A4 +:04182400FEE30FA32D +:0418250085B3137DF7 +:04182600C80C406545 +:04182700450246929E +:0418280008108726F7 +:04182900C41A85A2B6 +:04182A00D3DFF0EF29 +:04182B004322577D80 +:04182C000CE515634F +:04182D0040F2557DB3 +:04182E0044D24462FA +:04182F00808261054D +:0418300004C402A347 +:0418310000018637F5 +:0418320089C606134A +:041833002303400843 +:0418340072930007A4 +:0418350026830805F9 +:041836000311000397 +:0418370002028163C5 +:041838000067202302 +:04183900001577130C +:04183A006513C7016A +:04183B00C0080205DA +:04183C00F6B9474171 +:04183D0075134008D7 +:04183E00C008FDF5EC +:04183F007293B79158 +:041840002023040558 +:041841008FE30067CA +:0418420006C2FC02DC +:04184300BFD982C1C6 +:0418440089C7061337 +:04184500B72D472153 +:04184600B79986AA1E +:0418470043144010F6 +:041848007293484807 +:0418490083130806F7 +:04184A00876300466A +:04184B002023000254 +:04184C004298006757 +:04184D00A809C3081B +:04184E0000672023EC +:04184F000406761302 +:04185000DA6D429873 +:0418510000A71023B9 +:041852000004282343 +:04185300B7B9832E70 +:041854004581431473 +:0418550000468613B0 +:04185600A303C31015 +:0418570040500006F7 +:04185800C41A851A0F +:0418590043222849B5 +:04185A000533C5018C +:04185B00C0484065DC +:04185C00C818405810 +:04185D00040401A3DB +:04185E004814B7155E +:04185F004502459267 +:041860009482861ACE +:0418610007E3577DC5 +:041862004018F2E553 +:04186300EB158B09ED +:04186400444847426B +:04186500F2E551E374 +:04186600BF31853ACF +:04186700450245925F +:04186800861A468511 +:04186900C41AC63A9D +:04186A0056FD948211 +:04186B00F0D504E3CD +:04186C00432247329A +:04186D0044540705D3 +:04186E008E914642CF +:04186F00FED740E37D +:041870004701BFC1AC +:0418710001940313C8 +:041872001151B7FD5C +:04187300C026C222A7 +:0418740084AA646579 +:04187500C406852EF2 +:04187600A2042E2377 +:0418770057FD20FDFC +:0418780000F51663FE +:04187900A3C427835A +:04187A00C09CC391BA +:04187B00441240A231 +:04187C000131448270 +:04187D00F5938082DD +:04187E00962A0FF5A2 +:04187F0000C5146329 +:04188000808245011C +:041881000005478394 +:04188200FEB78DE33D +:04188300B7FD0505A3 +:0418840004A5F36361 +:0418850000C586B361 +:0418860002D57F63A5 +:04188700FFF6459390 +:0418880017FD478180 +:0418890000F5936370 +:04188A00873380829E +:04188B00430300F61D +:04188C008733000797 +:04188D00972A00C7CF +:04188E0000670023CC +:04188F008733B7DD07 +:04189000468300F596 +:041891000733000712 +:04189200078500F5D1 +:0418930000D7002357 +:04189400FEF617E362 +:041895004781808285 +:041896008082BFE5A8 +:04189700E5818082E5 +:04189800F06F85B2B6 +:041899001131F2EF28 +:04189A00C622C80694 +:04189B00EA11C42664 +:04189C00E72FF0EF53 +:04189D0040C247817D +:04189E0044A24432EA +:04189F000151853E30 +:0418A000842E808290 +:0418A10084AAC03223 +:0418A2004602203D9D +:0418A30074E387A2C1 +:0418A40085B2FEC546 +:0418A500C2328526A0 +:0418A600EF8FF0EFE1 +:0418A700DD6187AACE +:0418A80085A24612BD +:0418A900F0EFC02A72 +:0418AA0085A2C8EF5C +:0418AB00F0EF8526AF +:0418AC004782E34F3D +:0418AD00A783B7C98D +:0418AE008513FFC5DA +:0418AF00D563FFC737 +:0418B00095AA0007EE +:0418B100953E419C83 +:0418B20011418082DE +:0418B3006461C42286 +:0418B400480427833A +:0418B500C226C6067B +:0418B6000413832A6A +:0418B700EB85480471 +:0418B8004581450120 +:0418B900468146011D +:0418BA00029347014D +:0418BB0000730D6049 +:0418BC0084AA0000FA +:0418BD0000055C6363 +:0418BE0004B32881C6 +:0418BF00C104409090 +:0418C00040B2557D60 +:0418C10044924422E7 +:0418C20080820141DE +:0418C3004008C00811 +:0418C4004601458113 +:0418C5004681951AA9 +:0418C600478147010E +:0418C7000D6002931B +:0418C80000000073A9 +:0418C900596384AA31 +:0418CA00C01A00053B +:0418CB00430228317B +:0418CC00409004B391 +:0418CD0054FDC10401 +:0418CE00557D401CE8 +:0418CF0092E3933ECF +:0418D000C004FC64F0 +:0418D100BF75853E1C +:0418D200A50367E122 +:0418D30080823F8749 +:0418D4000000000010 +:0418D500000000000F +:0418D600000000000E +:0418D700000000000D +:0418D800FFFFFFFF10 +:0418D900FFFFFFFF0F +:0418DA000000FFFF0C +:0418DB000001052CD7 +:0418DC0000010532D0 +:0418DD0000010538C9 +:0418DE000001053EC2 +:0418DF00000104E020 +:0418E00000010500FE +:0418E10000010500FD +:0418E20000010500FC +:0418E30000010500FB +:0418E40000010500FA +:0418E50000010500F9 +:0418E60000010504F4 +:0418E7000001050AED +:0418E80000012824AF +:0418E90000012920B1 +:0418EA0000012920B0 +:0418EB00000129349B +:0418EC00000129349A +:0418ED00000129408D +:0418EE000001294488 +:0418EF000001295279 +:0418F0000001295278 +:0418F1000001296A5F +:0418F20000012B3294 +:0418F30000012B388D +:0418F40000012B388C +:0418F50000012B3291 +:0418F60000012B3C86 +:0418F7000001296A59 +:0418F80000012B328E +:0418F90000012B3887 +:0418FA0000000001E9 +:0418FB0002050300DF +:0418FC0000000002E6 +:0418FD00000164C8BA +:0418FE00000164D8A9 +:0418FF00000164E49C +:04190000000164F08E +:04190100000164FC81 +:041902000001650873 +:041903000001651466 +:041904000001652059 +:041905000001652C4C +:04190600000165383F +:041907000001654432 +:0419080074204B4FAD +:041909006E69206F74 +:04190A0000007469FC +:04190B00736C614652 +:04190C00727420656C +:04190D00656767693A +:04190E000000007263 +:04190F00656D695445 +:041910000074756F7B +:04191100252E7525E5 +:041912006D75322E8F +:04191300000000735D +:04191400252E7525E2 +:041915006D75322E8C +:0419160075252F7391 +:04191700322E252E19 +:0419180000736D7576 +:041919004353534F92 +:04191A0077662020AC +:04191B007525202EE0 +:04191C00322E252E14 +:04191D0000006175F0 +:04191E0074696E4931 +:04191F00727265205B +:041920002020726FA2 +:041921000000642539 +:041922002020202041 +:0419230053204F4EB0 +:0419240000434E59D5 +:0419250034313032F7 +:041926003130322DFD +:041927006D202039D6 +:041928007371726104 :0419290000000000BA -:04192A0000004B4F1F -:04192B004B434142A7 -:04192C0000000000B7 -:04192D000000505511 -:04192E004E574F447D -:04192F0000000000B4 -:041930005446454C88 +:04192A0025207325DC +:04192B0000632575BB +:04192C00252E7525CA +:04192D006B75322E76 +:04192E0025207A48AE +:04192F002E252E75BE +:041930007A4875324A :0419310000000000B2 -:041932004847495287 -:04193300000000545C -:041934004F464E4983 +:041932007473655411 +:04193300746170204B +:041934006E726574F6 :0419350000000000AE -:041936005F44434C7B -:041937004B4341429B -:041938004847494C87 -:041939000000005456 -:04193A004E41435384 -:04193B00454E494C80 -:04193C00444F4D5F68 -:04193D000000004561 -:04193E004E41435380 -:04193F00454E494C7C -:041940005059545F47 -:04194100000000455D -:041942004E4143537C -:04194300454E494C78 -:04194400544E495F55 -:041945000000002B73 -:041946004E41435378 -:04194700454E494C74 -:04194800544E495F51 -:041949000000002D6D -:04194A00454E494C71 -:04194B00544C554D56 -:04194C00444F4D5F58 -:04194D000000004551 -:04194E005341485069 -:04194F0000002B4524 -:041950005341485067 -:0419510000002D4520 -:04195200464F52505A -:041953005F454C4957 -:041954004B544F4859 -:0419550000005945F0 -:041956006F727245F5 -:04195700000000721A -:0419580053206F4E5B -:041959006163204462 -:04195A00642064722F -:04195B00002E746581 -:04195C0061766E49F9 -:04195D002064696C2D -:04195E0064616568F3 -:04195F0000007265AD -:0419600061766E49F5 -:041961002064696C29 -:041962002072646823 -:0419630000435243A8 -:0419640061766E49F1 -:041965002064696C25 -:0419660061746164E3 -:041967004352432084 -:04196800000000007B -:0419690061647055F0 -:04196A00632065741D -:04196B0065636E61E1 -:04196C0064656C6CD6 -:04196D000000000076 -:04196E0073616C46EF -:04196F006572206815 -:041970006520646129 -:04197100000072728E -:0419720073616C46EB -:041973007265206811 -:041974002065736116 -:041975000072726525 -:0419760073616C46E7 -:0419770072772068FB -:041978002065746909 -:041979000072726521 -:04197A0073616C46E3 -:04197B006576206805 -:04197C002066697206 -:04197D006C696166CA -:04197E000000000065 -:04197F0061766E49D6 -:041980002064696C0A -:0419810067616D69C4 -:0419820000000065FC -:041983004353534F28 -:04198400000000005F -:04198500696C6156D2 -:0419860069746164BB -:041987006420676E03 -:041988000061746125 -:04198900622075253E -:04198A007365747994 -:04198B000000000058 -:04198C00252E75256A -:04198D002575322E5C -:04198E00007325734A -:04198F0061647055CA -:04199000676E6974A1 -:041991000057462095 -:0419920061656C70AF -:0419930077206573E1 -:041994002E746961E3 -:0419950000002E2EF2 -:0419960069726556B7 -:041997006E69796696 -:041998006C662067F2 -:04199900006873610E -:04199A0061656C70A7 -:04199B0072206573DE -:04199C00617473659A -:04199D000000747260 -:04199E0072746552A8 -:04199F00676E69798D -:0419A00064707520DA -:0419A1000065746108 -:0419A20061647055B7 -:0419A300203F657408 -:0419A4002C593D314C -:0419A5004E3D322061 -:0419A600000000003D -:0419A7006D726946AE -:0419A800657261778C -:0419A90064707520D1 -:0419AA00646574619B -:0419AB000000000038 -:0419AC0000013912EB -:0419AD00000138F20B -:0419AE00000138CC30 -:0419AF00000138E417 -:0419B000000139C435 -:0419B100000139C434 -:0419B20000013A8670 -:0419B30000013AAC49 -:0419B40000013AB83C -:0419B50000013AF4FF -:0419B60000013AC82A -:0419B700000139D81A -:0419B800000139D819 -:0419B90000013A1CD3 -:0419BA0000013A5698 -:0419BB0000013A5697 -:0419BC00642064251A -:0419BD0000000042E4 -:0419BE00252E752538 -:0419BF002075322E2F -:0419C000000073753B -:0419C1006C207525FC -:0419C20073656E6972 -:0419C3000000000020 -:0419C4006C206F4ED6 -:0419C500006B6E69DC -:0419C6000001818417 -:0419C700000000021A -:0419C800000137CC17 -:0419C90000016E109B -:0419CA0000016E1C8E -:0419CB0000016E2485 -:0419CC0070207525ED -:0419CD006C65786964 -:0419CE0000000073A2 -:0419CF00000181860C -:0419D0000000000E05 -:0419D10000013810C9 -:0419D200706D653C93 -:0419D300003E7974E5 -:0419D400203A75251B -:0419D5000000732576 -:0419D6002525752529 +:041936003A315641AB +:0419370042475220B1 +:041938000000005358 +:041939003A315641A8 +:04193A00734752207D +:04193B000000004266 +:04193C003A315641A5 +:04193D00625059207B +:04193E0000007250E3 +:04193F003A325641A1 +:041940006250592078 +:0419410000007250E0 +:041942003A3256419E +:041943007347522074 +:04194400000000425D +:041945003A3356419A +:0419460042475220A2 +:0419470000005648FE +:041948003A33564197 +:04194900424752209F +:04194A000000005346 +:04194B003A33564194 +:04194C00734752206B +:04194D000000004254 +:04194E003A33564191 +:04194F006250592069 +:0419500000007250D1 +:041951007473614CFE +:041952006573752024 +:04195300000000642C +:04195400000000008F +:04195500000000018D +:04195600000000008D +:04195700000000008C +:04195800000000008B +:041959000000010089 +:04195A000101010086 +:04195B000000010087 +:04195C000000000087 +:04195D000100000085 +:04195E00060B000074 +:04195F00010000443F +:041960000000000083 +:041961000001000081 +:041962008080000C75 +:041963001A1A1A80B2 +:0419640000000A086D +:0419650000012E0A45 +:0419660000012EA0AE +:0419670000012EA8A5 +:0419680000012E98B4 +:0419690000012EA4A7 +:04196A0000012EAC9E +:04196B0000012E9CAD +:04196C0000012EF256 +:04196D0000012EB097 +:04196E0000012EB492 +:04196F0000012EB88D +:0419700000012EF252 +:0419710000012EF251 +:0419720000012EF250 +:0419730000012EF24F +:0419740000012EF24E +:041975000001330C2E +:0419760000012EFC42 +:0419770000012FE854 +:0419780000012FF843 +:041979000001301227 +:04197A0000013050E8 +:04197B000001303403 +:04197C0000013066D0 +:04197D0000013172C2 +:04197E00000131C46F +:04197F00000131E64C +:04198000656E6F44DD +:041981000000000062 +:041982006C696146E5 +:041983000000646597 +:04198400666F7250C8 +:041985002075252E76 +:04198600007339258C +:04198700756C342522 +:04198800632563254B +:041989006C25202089 +:04198A0000000075E4 +:04198B00656E694CD0 +:04198C00746C756D95 +:04198D00646F6D20F6 +:04198E0000003A65B6 +:04198F00736572709A +:041990002D31207362 +:04199100000000351D +:04199200207875251F +:0419930075736E7585 +:04199400726F70708E +:041995000064657411 +:04199600666F7250B6 +:0419970020656C69F2 +:0419980064616F6CAB +:041999000000003A10 +:04199A00736572708F +:04199B00752520731B +:04199C000075252D80 +:04199D0000016738A6 +:04199E000001673CA1 +:04199F00000167409C +:0419A0000001674497 +:0419A1000001664497 +:0419A2000001674891 +:0419A3000001674C8C +:0419A4000001675087 +:0419A5000001675482 +:0419A6000001726466 +:0419A700000167587C +:0419A8000001676073 +:0419A900000167646E +:0419AA000001676C65 +:0419AB000001677060 +:0419AC000001677857 +:0419AD00000167804E +:0419AE000001678845 +:0419AF00000167903C +:0419B000000167A02B +:0419B100000167B01A +:0419B200000167C009 +:0419B300000167D0F8 +:0419B400000167E0E7 +:0419B500000167F0D6 +:0419B600000167F8CD +:0419B70000016800C3 +:0419B8003EA93E29DD +:0419B9003EE93E695C +:0419BA003E993E19FB +:0419BB003ED93E597A +:0419BC003EC93E39A9 +:0419BD003E1D3E4D40 +:0419BE003E2D3EED8F +:0419BF003EAD3ECD2E +:0419C0003E653E6DD5 +:0419C1001C483E017F +:0419C2001C501C1881 +:0419C3001CC81CD050 +:0419C4005ED85E5833 +:0419C50000003EB927 +:0419C6007365725083 +:0419C70000000073A9 +:0419C800666E6F4395 +:0419C900006D7269D2 +:0419CA006D73694D83 +:0419CB006863746178 +:0419CC006572202CF4 +:0419CD0000797274B7 +:0419CE0000000031E4 +:0419CF0000000032E2 +:0419D00000000033E0 +:0419D10000000034DE +:0419D20000000036DB +:0419D30000000037D9 +:0419D40000000038D7 +:0419D50000000039D5 +:0419D600554E454DD8 :0419D700000000000C -:0419D8006564695683 -:0419D9006E69206FA4 -:0419DA006F72702098 -:0419DB003E20206327 -:0419DC000000000007 -:0419DD00706D615375 -:0419DE00676E696C5B -:0419DF0074706F2091 -:0419E0003E20202E57 -:0419E1000000000002 -:0419E200636E795364 -:0419E30074706F208D -:0419E4002020202E71 -:0419E5003E20202060 -:0419E60000000000FD -:0419E7007074754F54 -:0419E8006F20747583 -:0419E900202E7470C8 -:0419EA003E2020205B -:0419EB0000000000F8 -:0419EC006E61635372 -:0419ED00656E696C4E -:0419EE0074706F2082 -:0419EF003E20202E48 -:0419F00000000000F3 -:0419F10074736F504C -:0419F2006F72702D73 -:0419F30020202E631F -:0419F4003E20202051 -:0419F50000000000EE -:0419F600706D6F435E -:0419F700626974614C -:0419F80074696C6939 -:0419F9003E202079F3 -:0419FA0000000000E9 -:0419FB006964754165 -:0419FC00706F206F79 -:0419FD006E6F69742C -:0419FE003E202073F4 -:0419FF0000000000E4 -:041A00007474655342 -:041A010073676E6930 -:041A020074706F206D -:041A03003E20202041 -:041A040000000000DE -:041A0500616F4C3C85 -:041A06007270206476 -:041A07006C69666F31 -:041A0800003E206517 -:041A09007661533C73 -:041A0A007270206571 -:041A0B006C69666F2D -:041A0C00003E206513 -:041A0D007365523C6F -:041A0E007320746568 -:041A0F00697474651D -:041A10003E73676E4C -:041A110000000000D1 -:041A12006B6E694C42 -:041A13006F7270205E -:041A1400693E2D6694 -:041A15007475706E06 +:0419D80000004B4F71 +:0419D9004B434142F9 +:0419DA000000000009 +:0419DB000000505563 +:0419DC004E574F44CF +:0419DD000000000006 +:0419DE005446454CDA +:0419DF000000000004 +:0419E00048474952D9 +:0419E10000000054AE +:0419E2004F464E49D5 +:0419E3000000000000 +:0419E4005F44434CCD +:0419E5004B434142ED +:0419E6004847494CD9 +:0419E70000000054A8 +:0419E8004E414353D6 +:0419E900454E494CD2 +:0419EA00444F4D5FBA +:0419EB0000000045B3 +:0419EC004E414353D2 +:0419ED00454E494CCE +:0419EE005059545F99 +:0419EF0000000045AF +:0419F0004E414353CE +:0419F100454E494CCA +:0419F200544E495FA7 +:0419F3000000002BC5 +:0419F4004E414353CA +:0419F500454E494CC6 +:0419F600544E495FA3 +:0419F7000000002DBF +:0419F800454E494CC3 +:0419F900544C554DA8 +:0419FA00444F4D5FAA +:0419FB0000000045A3 +:0419FC0053414850BB +:0419FD0000002B4576 +:0419FE0053414850B9 +:0419FF0000002D4572 +:041A0000464F5250AB +:041A01005F454C49A8 +:041A02004B544F48AA +:041A03000000594541 +:041A04006F72724546 +:041A0500000000726B +:041A060053206F4EAC +:041A070061632044B3 +:041A08006420647280 +:041A0900002E7465D2 +:041A0A0061766E494A +:041A0B002064696C7E +:041A0C006461656844 +:041A0D0000007265FE +:041A0E0061766E4946 +:041A0F002064696C7A +:041A10002072646874 +:041A110000435243F9 +:041A120061766E4942 +:041A13002064696C76 +:041A14006174616434 +:041A150043524320D5 :041A160000000000CC -:041A17006B6E694C3D -:041A1800706E692063 -:041A19003E2D747575 -:041A1A00666F727011 +:041A17006164705541 +:041A1800632065746E +:041A190065636E6132 +:041A1A0064656C6C27 :041A1B0000000000C7 -:041A1C0074696E4932 -:041A1D00206C61696F -:041A1E0075706E6908 -:041A1F00000000744F -:041A20006F74754129 -:041A2100657465641F -:041A22006920746360 -:041A23007475706EF8 -:041A240000000000BE -:041A25006F74754124 -:041A260031564120D4 -:041A2700472F5920CC -:041A28000000007347 -:041A29006F74754120 -:041A2A0032564120CF -:041A2B00472F5920C8 -:041A2C000000007343 -:041A2D006F7475411C -:041A2E0033564120CA -:041A2F00472F5920C4 -:041A3000000000733F -:041A31002044434CBE -:041A320074204C428E -:041A33006F656D6905 -:041A340000007475C5 -:041A3500706D493C4B -:041A36002074726F37 -:041A370074746573EB -:041A38003E20202EFE +:041A1C0073616C4640 +:041A1D006572206866 +:041A1E00652064617A +:041A1F0000007272DF +:041A200073616C463C +:041A21007265206862 +:041A22002065736167 +:041A23000072726576 +:041A240073616C4638 +:041A2500727720684C +:041A2600206574695A +:041A27000072726572 +:041A280073616C4634 +:041A29006576206856 +:041A2A002066697257 +:041A2B006C6961661B +:041A2C0000000000B6 +:041A2D0061766E4927 +:041A2E002064696C5B +:041A2F0067616D6915 +:041A3000000000654D +:041A31004353534F79 +:041A320000000000B0 +:041A3300696C615623 +:041A3400697461640C +:041A35006420676E54 +:041A36000061746176 +:041A3700622075258F +:041A380073657479E5 :041A390000000000A9 -:041A3A002E77463C81 -:041A3B00647075203E -:041A3C00206574614C -:041A3D003E20202007 -:041A3E0000000000A4 -:041A3F006E776F440B -:041A40006D61732D34 -:041A41006E696C70EE -:041A42000000006739 -:041A43007061775304 -:041A440066656C2047 -:041A450069722F741F -:041A46000074686759 -:041A47002D65725047 -:041A480020434441B2 -:041A49006E696167FA -:041A4A000000000098 -:041A4B006C6C754604 -:041A4C0020585420AA -:041A4D0075746573D4 -:041A4E000000007024 -:041A4F0020335641A9 -:041A500065746E69E2 -:041A510063616C72EF -:041A520078696665E4 -:041A5300000000008F -:041A540020335641A4 -:041A55002065737520 -:041A560020315641A4 -:041A570000424752B0 -:041A5800616665441A -:041A590020746C7514 -:041A5A00494D444866 -:041A5B004349562085 -:041A5C000000000086 -:041A5D00616E615005 -:041A5E00696E6F73CB -:041A5F006168206337 -:041A600000006B63B4 -:041A610069726F48EF -:041A6200746E6F7AB5 -:041A63006D206C6125 -:041A6400006B73613F -:041A650074726556DC -:041A66006C616369E3 -:041A670073616D201A -:041A68000000006B0F -:041A69006B73614DED -:041A6A006C6F63201A -:041A6B000000726F96 -:041A6C006B73614DEA -:041A6D006972622018 -:041A6E006E746867C3 -:041A6F000073736528 -:041A700065766552E0 -:041A71002065737207 -:041A72000046504C8E -:041A73005949443C4D -:041A740074616C200D -:041A75006574202E46 -:041A7600003E747347 -:041A77006E616353E6 -:041A7800656E696CC2 -:041A790000000073F6 -:041A7A00202E6C535B -:041A7B0065727473A9 -:041A7C006874676EB5 -:041A7D000000000065 -:041A7E00202E6C5357 -:041A7F0072627968AE -:041A80007320646902 -:041A8100002E72744D -:041A8200202E6C5353 -:041A83006874656DB1 -:041A84000000646F8B -:041A8500202E6C5350 -:041A860065746C61B6 -:041A870074616E72A6 -:041A880000676E691C -:041A8900202E6C534C -:041A8A0067696C61BB -:041A8B006E656D6EA9 -:041A8C0000000074E2 -:041A8D00202E6C5348 -:041A8E0020746C61F3 -:041A8F0065746E69A3 -:041A90006C6176729D -:041A91000000000051 -:041A9200202E6C5343 -:041A9300657079748D +:041A3A00252E7525BB +:041A3B002575322EAD +:041A3C00007325739B +:041A3D00616470551B +:041A3E00676E6974F2 +:041A3F0000574620E6 +:041A400061656C7000 +:041A41007720657332 +:041A42002E74696134 +:041A430000002E2E43 +:041A44006972655608 +:041A45006E697966E7 +:041A46006C66206743 +:041A4700006873615F +:041A480061656C70F8 +:041A4900722065732F +:041A4A0061747365EB +:041A4B0000007472B1 +:041A4C0072746552F9 +:041A4D00676E6979DE +:041A4E00647075202B +:041A4F000065746159 +:041A50006164705508 +:041A5100203F657459 +:041A52002C593D319D +:041A53004E3D3220B2 +:041A5400000000008E +:041A55006D726946FF +:041A560065726177DD +:041A57006470752022 +:041A580064657461EC +:041A59000000000089 +:041A5A0000013BACA0 +:041A5B0000013B7CCF +:041A5C0000013B56F4 +:041A5D0000013B6EDB +:041A5E0000013C5EE9 +:041A5F0000013C5EE8 +:041A600000013D2024 +:041A610000013D46FD +:041A620000013D52F0 +:041A630000013D8EB3 +:041A640000013D62DE +:041A650000013C72CE +:041A660000013C72CD +:041A670000013CB688 +:041A680000013CF04D +:041A690000013CF04C +:041A6A00642064256B +:041A6B000000004235 +:041A6C00252E752589 +:041A6D002075322E80 +:041A6E00000073758C +:041A6F006C2075254D +:041A700073656E69C3 +:041A71000000000071 +:041A72006C206F4E27 +:041A7300006B6E692D +:041A7400000184A445 +:041A7500000000026B +:041A760000013A56DB +:041A7700000170F00A +:041A7800000170FCFD +:041A790000017104F3 +:041A7A00702075253E +:041A7B006C657869B5 +:041A7C0000000073F3 +:041A7D00000184A63A +:041A7E000000000E56 +:041A7F0000013A9A8E +:041A8000706D653CE4 +:041A8100003E797436 +:041A8200203A75256C +:041A830000007325C7 +:041A8400252575257A +:041A8500000000005D +:041A860065646956D4 +:041A87006E69206FF5 +:041A88006F727020E9 +:041A89003E20206378 +:041A8A000000000058 +:041A8B00706D6153C6 +:041A8C00676E696CAC +:041A8D0074706F20E2 +:041A8E003E20202EA8 +:041A8F000000000053 +:041A9000636E7953B5 +:041A910074706F20DE +:041A92002020202EC2 +:041A93003E202020B1 :041A9400000000004E -:041A95004320203C8E -:041A96006F74737581 -:041A97006C53206DFF -:041A98003E20202E9E +:041A95007074754FA5 +:041A96006F207475D4 +:041A9700202E747019 +:041A98003E202020AC :041A99000000000049 -:041A9A007030343242 -:041A9B003838322F76 -:041A9C0072702070D4 -:041A9D000000636F73 -:041A9E007034383335 -:041A9F003030342F80 -:041AA00072702070D0 -:041AA1000000636F6F -:041AA200693038343B -:041AA3003637352F6E -:041AA40072702069D3 -:041AA5000000636F6B -:041AA6007030383430 -:041AA7003637352F6A -:041AA80072702070C8 -:041AA9000000636F67 -:041AAA006930363930 -:041AAB003830312F6F -:041AAC00702069300D -:041AAD0000636F72F1 -:041AAE00656E694CAC -:041AAF006D207832FC -:041AB0000065646FFA -:041AB100656E694CA9 -:041AB2006D207833F8 -:041AB3000065646FF7 -:041AB400656E694CA6 -:041AB5006D207834F4 -:041AB6000065646FF4 -:041AB700656E694CA3 -:041AB8006D207835F0 -:041AB9000065646FF1 -:041ABA00656E694CA0 -:041ABB0066207835F4 -:041ABC00616D726F77 -:041ABD0000000074B1 -:041ABE00783635320F -:041ABF00203034326D -:041AC0006570736179 -:041AC100000074634A -:041AC2006D205854E7 -:041AC3000065646FE7 -:041AC400494D4448FC -:041AC500435449201D -:041AC600000000001C -:041AC7006C616E419F -:041AC8007320676FB1 -:041AC90020636E79AF -:041ACA000046504C36 -:041ACB006C616E419B -:041ACC007320676FAD -:041ACD0020636E79AB -:041ACE0000687456E2 -:041ACF006E79734871 -:041AD0006F742063AC -:041AD1006172656C6D -:041AD2000065636EDA -:041AD3006E7973565F -:041AD40068742063AF -:041AD500687365725B -:041AD60000646C6FCD -:041AD7004C502D48FA -:041AD8007250204CDC -:041AD9006F432D65C5 -:041ADA0000747361C0 -:041ADB004C502D48F6 -:041ADC006F50204CDB -:041ADD00432D7473AE -:041ADE007473616F4D -:041ADF000000000003 -:041AE00070303834F6 -:041AE100206E6920EA -:041AE200706D61734F -:041AE3000072656CBC -:041AE40070303034FA -:041AE500206E6920E6 -:041AE600706D61734B -:041AE7000072656CB8 -:041AE8006F6C6C4172 -:041AE90056542077B8 -:041AEA0050482050F0 -:041AEB0078324C4CB5 -:041AEC0000000000F6 -:041AED006F6C6C416D -:041AEE007075207778 -:041AEF00706D617342 -:041AF0007832656C77 -:041AF10000000000F1 -:041AF2007664413C99 -:041AF3006974202EC4 -:041AF400676E696D43 -:041AF5003E2020204F -:041AF60000000000EC -:041AF7006564695663 -:041AF800504C206FBF -:041AF90000000046A3 -:041AFA00506250598D -:041AFB006E6920727E -:041AFC006C6F4320A8 -:041AFD0000617053C1 -:041AFE0072502F52A1 -:041AFF0066666F2088 -:041B00000074657395 -:041B010020592F47F1 -:041B02007366666F31 -:041B03000000746505 -:041B040062502F42BA -:041B050066666F2081 -:041B0600007465738F -:041B070072502F5297 -:041B08006961672088 -:041B09000000006E6A -:041B0A0020592F47E8 -:041B0B006E69616737 -:041B0C0000000000D5 -:041B0D0062502F42B1 -:041B0E006961672082 -:041B0F000000006E64 -:041B10002D6572507D -:041B110020434441E8 -:041B12006E69614750 -:041B130000000000CE -:041B14002D62755376 -:041B1500656E696C24 -:041B160073203120E7 -:041B170000007274E4 -:041B18002D62755372 -:041B1900656E696C20 -:041B1A0073203220E2 -:041B1B0000007274E0 -:041B1C002D6275536E -:041B1D00656E696C1C -:041B1E0073203320DD -:041B1F0000007274DC -:041B20002D6275536A -:041B2100656E696C18 -:041B220073203420D8 -:041B230000007274D8 -:041B24002D62755366 -:041B2500656E696C14 -:041B260073203520D3 -:041B270000007274D4 -:041B28002D62755362 -:041B2900756C6F6305 -:041B2A0031206E6D8B -:041B2B00727473203D -:041B2C0000000000B5 -:041B2D002D6275535D -:041B2E00756C6F6300 -:041B2F0032206E6D85 -:041B30007274732038 -:041B310000000000B0 -:041B32002D62755358 -:041B3300756C6F63FB -:041B340033206E6D7F -:041B35007274732033 -:041B360000000000AB -:041B37002D62755353 -:041B3800756C6F63F6 -:041B390034206E6D79 -:041B3A00727473202E -:041B3B0000000000A6 -:041B3C002D6275534E -:041B3D00756C6F63F1 -:041B3E0035206E6D73 -:041B3F007274732029 -:041B400000000000A1 -:041B41002D62755349 -:041B4200756C6F63EC -:041B430036206E6D6D -:041B44007274732024 -:041B4500000000009C -:041B460073202E4892 -:041B47006C706D61F0 -:041B480074617265ED -:041B49000000006533 -:041B4A0073202E488E -:041B4B007461722E21 -:041B4C00646120654B -:041B4D000000006A2A -:041B4E0073202E488A -:041B4F006C636E79DC -:041B500000006E65BE -:041B510062202E4898 -:041B5200706B6361F0 -:041B53006863726FE2 -:041B5400000000008D -:041B550061202E4895 -:041B560076697463D5 -:041B57000000006525 -:041B580073202E5672 -:041B59006C636E79D2 -:041B5A0000006E65B4 -:041B5B0062202E5680 -:041B5C00706B6361E6 -:041B5D006863726FD8 -:041B5E000000000083 -:041B5F0061202E567D -:041B600076697463CB -:041B6100000000651B -:041B6200706D6153EE -:041B6300676E696CD4 -:041B64006168702024 -:041B650000006573A4 -:041B660063616C4209 -:041B67000000006B0F -:041B680065756C42F1 -:041B69000000000078 -:041B6A0065657247F4 -:041B6B000000006E08 -:041B6C006E617943EA -:041B6D000000000074 -:041B6E000064655258 -:041B6F006567614DF8 -:041B70000061746E2E -:041B71006C6C6559DA -:041B72000000776F89 -:041B730074696857D2 -:041B74000000006508 -:041B75000066664F51 -:041B760072727543CF -:041B770020746E6503 -:041B780075706E69AD -:041B790000000074F4 -:041B7A00206C6C412E -:041B7B0075706E69AA -:041B7C00000073747E -:041B7D004273475216 -:041B7E000000000063 -:041B7F005062505907 -:041B800000000072EF -:041B810000007333BA -:041B8200007330318B -:041B83000073303388 -:041B84002D706F54FD -:041B85007466656CB1 -:041B8600000000005B -:041B8700746E6543D0 -:041B88000000726582 -:041B890074746F42BF -:041B8A00722D6D6FDC -:041B8B0074686769AA -:041B8C000000000055 -:041B8D002066664F19 -:041B8E002073662832 -:041B8F003639203D86 -:041B9000297A486BFB -:041B91000000000050 -:041B92002020783265 -:041B9300207366282D -:041B94003834203D84 -:041B9500297A486BF6 -:041B9600000000004B -:041B970000706F5417 -:041B980074746F42B0 -:041B990000006D6F6C -:041B9A0069726F48B5 -:041B9B00746E6F7A7B -:041B9C0000006C6178 -:041B9D0074726556A3 -:041B9E006C616369AA -:041B9F000000000042 -:041BA00069726F48AF -:041BA1002B202E7A4D -:041BA20072655620F2 -:041BA30000002E749C -:041BA400747375439E -:041BA50000006D6F60 -:041BA600746C754D99 -:041BA700696C70698C -:041BA8006974616398 -:041BA90000006E6F5B -:041BAA007462755399 -:041BAB00746361728C -:041BAC00006E6F69EF -:041BAD006F7475419B -:041BAE000000000033 -:041BAF0000006E4F75 -:041BB000494D44480F -:041BB100475228204F -:041BB20000002942C4 -:041BB300494D44480C -:041BB4004359282049 -:041BB50034724362E1 -:041BB600002934349A -:041BB7000049564447 -:041BB80000373A3880 -:041BB9007373615091 -:041BBA007572687464 -:041BBB000000000026 -:041BBC00656E694C9D -:041BBD002820783232 -:041BBE0029626F62C7 -:041BBF000000000022 -:041BC000656E694C99 -:041BC1000000783276 -:041BC200656E694C97 -:041BC3003220783222 -:041BC400337830340E -:041BC50000003036B6 -:041BC600656E694C93 -:041BC700322078331D -:041BC800337830340A -:041BC90000003036B2 -:041BCA00656E694C8F -:041BCB004720783304 -:041BCC0072656E656B -:041BCD000000636948 -:041BCE00656E694C8B -:041BCF00282078331F -:041BD0006563616C7C -:041BD1000000296483 -:041BD200656E694C87 -:041BD300282078341A -:041BD40029626F62B1 -:041BD500000000000C -:041BD600656E694C83 -:041BD700000078335F -:041BD800656E694C81 -:041BD900000078345C -:041BDA00656E694C7F -:041BDB000000783559 -:041BDC003032393139 -:041BDD0038303178F3 -:041BDE0000000030D3 -:041BDF00303036313B -:041BE00030323178F6 -:041BE10000000030D0 -:041BE2003032393133 -:041BE30030323178F3 -:041BE40000000030CD -:041BE500656E65477D -:041BE600206369729D -:041BE70000333A3459 -:041BE80078323135E9 -:041BE9002030343242 -:041BEA006974706F3B -:041BEB0000002E6D5B -:041BEC0078343833DE -:041BED00203034323E -:041BEE006974706F37 -:041BEF0000002E6D57 -:041BF00078303233E4 -:041BF100203034323A -:041BF2006974706F33 -:041BF30000002E6D53 -:041BF40078363532D8 -:041BF5002030343236 -:041BF6006974706F2F -:041BF70000002E6D4F -:041BF800656E65476A -:041BF900206369728A -:041BFA00393A36310D +:041A9A006E616353C3 +:041A9B00656E696C9F +:041A9C0074706F20D3 +:041A9D003E20202E99 +:041A9E000000000044 +:041A9F0074736F509D +:041AA0006F72702DC4 +:041AA10020202E6370 +:041AA2003E202020A2 +:041AA300000000003F +:041AA400706D6F43AF +:041AA500626974619D +:041AA60074696C698A +:041AA7003E20207944 +:041AA800000000003A +:041AA90069647541B6 +:041AAA00706F206FCA +:041AAB006E6F69747D +:041AAC003E20207345 +:041AAD000000000035 +:041AAE007474655394 +:041AAF0073676E6982 +:041AB00074706F20BF +:041AB1003E20202093 +:041AB2000000000030 +:041AB300616F4C3CD7 +:041AB40072702064C8 +:041AB5006C69666F83 +:041AB600003E206569 +:041AB7007661533CC5 +:041AB80072702065C3 +:041AB9006C69666F7F +:041ABA00003E206565 +:041ABB007365523CC1 +:041ABC0073207465BA +:041ABD00697474656F +:041ABE003E73676E9E +:041ABF000000000023 +:041AC0006B6E694C94 +:041AC1006F727020B0 +:041AC200693E2D66E6 +:041AC3007475706E58 +:041AC400000000001E +:041AC5006B6E694C8F +:041AC600706E6920B5 +:041AC7003E2D7475C7 +:041AC800666F727063 +:041AC9000000000019 +:041ACA0074696E4984 +:041ACB00206C6169C1 +:041ACC0075706E695A +:041ACD0000000074A1 +:041ACE006F7475417B +:041ACF006574656471 +:041AD00069207463B2 +:041AD1007475706E4A +:041AD2000000000010 +:041AD3006F74754176 +:041AD4003156412026 +:041AD500472F59201E +:041AD6000000007399 +:041AD7006F74754172 +:041AD8003256412021 +:041AD900472F59201A +:041ADA000000007395 +:041ADB006F7475416E +:041ADC00335641201C +:041ADD00472F592016 +:041ADE000000007391 +:041ADF002044434C10 +:041AE00074204C42E0 +:041AE1006F656D6957 +:041AE2000000747517 +:041AE3002044534FF9 +:041AE40062616E6568 +:041AE5000000656C2C +:041AE6002044534FF6 +:041AE700746174733F +:041AE800642073758E +:041AE9002E7073697F +:041AEA0000000000F8 +:041AEB00706D493C95 +:041AEC002074726F81 +:041AED007474657335 +:041AEE003E20202E48 +:041AEF0000000000F3 +:041AF0002E77463CCB +:041AF1006470752088 +:041AF2002065746196 +:041AF3003E20202051 +:041AF40000000000EE +:041AF5006E776F4455 +:041AF6006D61732D7E +:041AF7006E696C7038 +:041AF8000000006783 +:041AF900706177534E +:041AFA0066656C2091 +:041AFB0069722F7469 +:041AFC0000746867A3 +:041AFD002D65725091 +:041AFE0020434441FC +:041AFF006E69616744 +:041B000000000000E1 +:041B01006C6C75464D +:041B020020585420F3 +:041B0300757465731D +:041B0400000000706D +:041B050020335641F2 +:041B060065746E692B +:041B070063616C7238 +:041B0800786966652D +:041B090000000000D8 +:041B0A0020335641ED +:041B0B002065737569 +:041B0C0020315641ED +:041B0D0000424752F9 +:041B0E006166654463 +:041B0F0020746C755D +:041B1000494D4448AF +:041B110043495620CE +:041B120000000000CF +:041B1300616E61504E +:041B1400696E6F7314 +:041B15006168206380 +:041B160000006B63FD +:041B170069726F4838 +:041B1800746E6F7AFE +:041B19006D206C616E +:041B1A00006B736188 +:041B1B007472655625 +:041B1C006C6163692C +:041B1D0073616D2063 +:041B1E000000006B58 +:041B1F006B73614D36 +:041B20006C6F632063 +:041B21000000726FDF +:041B22006B73614D33 +:041B23006972622061 +:041B24006E7468670C +:041B25000073736571 +:041B26006576655229 +:041B27002065737250 +:041B28000046504CD7 +:041B29005949443C96 +:041B2A0074616C2056 +:041B2B006574202E8F +:041B2C00003E747390 +:041B2D006E6163532F +:041B2E00656E696C0B +:041B2F00000000733F +:041B3000202E6C53A4 +:041B310065727473F2 +:041B32006874676EFE +:041B330000000000AE +:041B3400202E6C53A0 +:041B350072627968F7 +:041B3600732064694B +:041B3700002E727496 +:041B3800202E6C539C +:041B39006874656DFA +:041B3A000000646FD4 +:041B3B00202E6C5399 +:041B3C0065746C61FF +:041B3D0074616E72EF +:041B3E0000676E6965 +:041B3F00202E6C5395 +:041B400067696C6104 +:041B41006E656D6EF2 +:041B4200000000742B +:041B4300202E6C5391 +:041B440020746C613C +:041B450065746E69EC +:041B46006C617672E6 +:041B4700000000009A +:041B4800202E6C538C +:041B490065707974D6 +:041B4A000000000097 +:041B4B004320203CD7 +:041B4C006F747375CA +:041B4D006C53206D48 +:041B4E003E20202EE7 +:041B4F000000000092 +:041B5000703034328B +:041B51003838322FBF +:041B5200727020701D +:041B53000000636FBC +:041B5400703438337E +:041B55003030342FC9 +:041B56007270207019 +:041B57000000636FB8 +:041B58006930383484 +:041B59003637352FB7 +:041B5A00727020691C +:041B5B000000636FB4 +:041B5C007030383479 +:041B5D003637352FB3 +:041B5E007270207011 +:041B5F000000636FB0 +:041B60006930363979 +:041B61003830312FB8 +:041B62007020693056 +:041B630000636F723A +:041B6400656E694CF5 +:041B65006D20783245 +:041B66000065646F43 +:041B6700656E694CF2 +:041B68006D20783341 +:041B69000065646F40 +:041B6A00656E694CEF +:041B6B006D2078343D +:041B6C000065646F3D +:041B6D00656E694CEC +:041B6E006D20783539 +:041B6F000065646F3A +:041B7000656E694CE9 +:041B7100662078353D +:041B7200616D726FC0 +:041B730000000074FA +:041B74007836353258 +:041B750020303432B6 +:041B760065707361C2 +:041B77000000746393 +:041B78006D20585430 +:041B79000065646F30 +:041B7A00494D444845 +:041B7B004354492066 +:041B7C000000000065 +:041B7D006C616E41E8 +:041B7E007320676FFA +:041B7F0020636E79F8 +:041B80000046504C7F +:041B81006C616E41E4 +:041B82007320676FF6 +:041B830020636E79F4 +:041B8400006874562B +:041B85006E797348BA +:041B86006F742063F5 +:041B87006172656CB6 +:041B88000065636E23 +:041B89006E797356A8 +:041B8A0068742063F8 +:041B8B0068736572A4 +:041B8C0000646C6F16 +:041B8D004C502D4843 +:041B8E007250204C25 +:041B8F006F432D650E +:041B90000074736109 +:041B91004C502D483F +:041B92006F50204C24 +:041B9300432D7473F7 +:041B94007473616F96 +:041B9500000000004C +:041B9600703038343F +:041B9700206E692033 +:041B9800706D617398 +:041B99000072656C05 +:041B9A007030303443 +:041B9B00206E69202F +:041B9C00706D617394 +:041B9D000072656C01 +:041B9E006F6C6C41BB +:041B9F005654207701 +:041BA0005048205039 +:041BA10078324C4CFE +:041BA200000000003F +:041BA3006F6C6C41B6 +:041BA40070752077C1 +:041BA500706D61738B +:041BA6007832656CC0 +:041BA700000000003A +:041BA8007664413CE2 +:041BA9006974202E0D +:041BAA00676E696D8C +:041BAB003E20202098 +:041BAC000000000035 +:041BAD0065646956AC +:041BAE00504C206F08 +:041BAF0000000046EC +:041BB00050625059D6 +:041BB1006E692072C7 +:041BB2006C6F4320F1 +:041BB300006170530A +:041BB40072502F52EA +:041BB50066666F20D1 +:041BB60000746573DF +:041BB70020592F473B +:041BB8007366666F7B +:041BB900000074654F +:041BBA0062502F4204 +:041BBB0066666F20CB +:041BBC0000746573D9 +:041BBD0072502F52E1 +:041BBE0069616720D2 +:041BBF000000006EB4 +:041BC00020592F4732 +:041BC1006E69616781 +:041BC200000000001F +:041BC30062502F42FB +:041BC40069616720CC +:041BC5000000006EAE +:041BC6002D657250C7 +:041BC7002043444132 +:041BC8006E6961479A +:041BC9000000000018 +:041BCA002D627553C0 +:041BCB00656E696C6E +:041BCC007320312031 +:041BCD00000072742E +:041BCE002D627553BC +:041BCF00656E696C6A +:041BD000732032202C +:041BD100000072742A +:041BD2002D627553B8 +:041BD300656E696C66 +:041BD4007320332027 +:041BD5000000727426 +:041BD6002D627553B4 +:041BD700656E696C62 +:041BD8007320342022 +:041BD9000000727422 +:041BDA002D627553B0 +:041BDB00656E696C5E +:041BDC00732035201D +:041BDD00000072741E +:041BDE002D627553AC +:041BDF00756C6F634F +:041BE00031206E6DD5 +:041BE1007274732087 +:041BE20000000000FF +:041BE3002D627553A7 +:041BE400756C6F634A +:041BE50032206E6DCF +:041BE6007274732082 +:041BE70000000000FA +:041BE8002D627553A2 +:041BE900756C6F6345 +:041BEA0033206E6DC9 +:041BEB00727473207D +:041BEC0000000000F5 +:041BED002D6275539D +:041BEE00756C6F6340 +:041BEF0034206E6DC3 +:041BF0007274732078 +:041BF10000000000F0 +:041BF2002D62755398 +:041BF300756C6F633B +:041BF40035206E6DBD +:041BF5007274732073 +:041BF60000000000EB +:041BF7002D62755393 +:041BF800756C6F6336 +:041BF90036206E6DB7 +:041BFA00727473206E :041BFB0000000000E6 -:041BFC004D352E3203 -:041BFD0028207A48DA -:041BFE002978616D74 -:041BFF0000000000E2 -:041C0000484D3031EA -:041C01006D28207AB0 -:041C020000296465EC -:041C0300484D3333E2 -:041C04006D28207AAD -:041C050000296E69DB -:041C060020414756DC -:041C070078303436C7 -:041C08004030303404 -:041C09000000303770 -:041C0A0020414756D8 -:041C0B0078303237C4 -:041C0C004030303400 -:041C0D00000030376C -:041C0E0020565444C4 -:041C0F0070303834C5 -:041C100000000000D0 -:041C110041534556A0 -:041C12003034362014 -:041C130030383478B9 -:041C14000030364026 -:041C15002E63655283 -:041C16003130362013 -:041C170000000000C9 -:041C18002E63655280 -:041C19003930372007 -:041C1A0000000000C6 -:041C1B00484D3539C2 -:041C1C004828207ABA -:041C1D0020565444B5 -:041C1E000029494907 -:041C1F00484D3533C4 -:041C20004828207AB6 -:041C210020565444B1 -:041C2200000029494C -:041C2300484D3631C1 -:041C24004528207AB5 -:041C250029565444A4 -:041C260000000000BA -:041C27007A484D3971 -:041C280044532820D9 -:041C290000295654E4 -:041C2A006D206425A0 -:041C2B00000000565F -:041C2C002020202034 -:041C2D000000752519 -:041C2E00000182EA45 -:041C2F00000000248D -:041C3000000137B2C6 -:041C310064206425A2 -:041C320000006765E2 -:041C3300252E7525C0 -:041C34000075322ED7 -:041C3500652064259D -:041C36006972746EED -:041C370000007365D1 -:041C38006F706D69F3 -:041C390064657472F8 -:041C3A0000000000A6 -:041C3B006F706D4910 -:041C3C00203F74725F -:041C3D002C593D31B0 -:041C3E004E3D3220C5 -:041C3F0000000000A1 -:041C400064616F4C20 -:041C410020676E6941 -:041C420074746573DE -:041C430073676E69EC +:041BFC0073202E48DC +:041BFD006C706D613A +:041BFE007461726537 +:041BFF00000000657D +:041C000073202E48D7 +:041C01007461722E6A +:041C02006461206594 +:041C03000000006A73 +:041C040073202E48D3 +:041C05006C636E7925 +:041C060000006E6507 +:041C070062202E48E1 +:041C0800706B636139 +:041C09006863726F2B +:041C0A0000000000D6 +:041C0B0061202E48DE +:041C0C00766974631E +:041C0D00000000656E +:041C0E0073202E56BB +:041C0F006C636E791B +:041C100000006E65FD +:041C110062202E56C9 +:041C1200706B63612F +:041C13006863726F21 +:041C140000000000CC +:041C150061202E56C6 +:041C16007669746314 +:041C17000000006564 +:041C1800706D615337 +:041C1900676E696C1D +:041C1A00616870206D +:041C1B0000006573ED +:041C1C0063616C4252 +:041C1D000000006B58 +:041C1E0065756C423A +:041C1F0000000000C1 +:041C2000656572473D +:041C21000000006E51 +:041C22006E61794333 +:041C230000000000BD +:041C240000646552A1 +:041C25006567614D41 +:041C26000061746E77 +:041C27006C6C655923 +:041C28000000776FD2 +:041C2900746968571B +:041C2A000000006551 +:041C2B000066664F9A +:041C2C007272754318 +:041C2D0020746E654C +:041C2E0075706E69F6 +:041C2F00000000743D +:041C3000206C6C4177 +:041C310075706E69F3 +:041C320000007374C7 +:041C3300427347525F +:041C340000000000AC +:041C35005062505950 +:041C36000000007238 +:041C37000000733204 +:041C38000000733500 +:041C390000733031D3 +:041C3A000000733300 +:041C3B0000733033CF +:041C3C002D706F5444 +:041C3D007466656CF8 +:041C3E0000000000A2 +:041C3F00746E654317 +:041C400000007265C9 +:041C410074746F4206 +:041C4200722D6D6F23 +:041C430074686769F1 :041C4400000000009C -:041C4500445253555D -:041C460000415441C4 -:041C47006573753C10 -:041C480000003E64F6 -:041C490000017054D2 -:041C4A000000200076 -:041C4B0020002CE564 -:041C4C00E926F4FD94 -:041C4D0038BC20007F +:041C45002066664F60 +:041C46002073662879 +:041C47003639203DCD +:041C4800297A486B42 +:041C49000000000097 +:041C4A0020207832AC +:041C4B002073662874 +:041C4C003834203DCB +:041C4D00297A486B3D :041C4E000000000092 -:041C4F0000017060C0 -:041C50000000200070 -:041C51002000323EFF -:041C5200F113FA048C -:041C53003B612000D1 -:041C5400000000008C -:041C550000014D0835 -:041C560000014D0834 -:041C570000014C6ECE -:041C580000014CFE3D -:041C590000014DFC3D -:041C5A0000014D0830 -:041C5B007830323774 -:041C5C0000303034F0 -:041C5D007830343671 -:041C5E0000303034EE -:041C5F0030303631BA -:041C60003034327872 -:041C6100C8000000B7 -:041C620007FE00F089 -:041C63000FCA20C0C4 -:041C640032100396A1 -:041C65000020000259 -:041C660030383231AF -:041C6700303432786B -:041C6800A0000000D8 -:041C6900061800F069 -:041C6A000FAA20C0DD -:041C6B0032100348E8 -:041C6C00000102026F -:041C6D00783036395C -:041C6E0000303432DC -:041C6F0078000000F9 -:041C7000049200F0EA -:041C71000F8020C000 -:041C720032100336F3 -:041C73000000040267 -:041C7400783231355C -:041C750000303432D5 -:041C7600400000002A -:041C770002AA00F0CD -:041C78000E4D20C02D -:041C790032100332F0 -:041C7A00004208100C -:041C7B00783438334E -:041C7C0000303432CE -:041C7D003000000033 -:041C7E00020000F070 -:041C7F000E3B20C038 -:041C800032100325F6 -:041C810000841020AB -:041C82007830323351 -:041C830000303432C7 -:041C84002800000034 -:041C850001AA00F0C0 -:041C86000E3120C03B -:041C87003210031FF5 -:041C880001082040EF -:041C89007836353242 -:041C8A0000303432C0 -:041C8B002000000035 -:041C8C00015500F00E -:041C8D000E2720C03E -:041C8E0032100319F4 -:041C8F00021040807F -:041C9000703034324A -:041C9100000000004F -:041C92005A080000EC -:041C9300035A00F000 -:041C94000F3920C024 -:041C95003210033EC8 -:041C96000000000E3C +:041C4F0000706F545E +:041C500074746F42F7 +:041C510000006D6FB3 +:041C520069726F48FC +:041C5300746E6F7AC2 +:041C540000006C61BF +:041C550074726556EA +:041C56006C616369F1 +:041C57000000000089 +:041C580069726F48F6 +:041C59002B202E7A94 +:041C5A007265562039 +:041C5B0000002E74E3 +:041C5C0074737543E5 +:041C5D0000006D6FA7 +:041C5E00746C754DE0 +:041C5F00696C7069D3 +:041C600069746163DF +:041C610000006E6FA2 +:041C620074627553E0 +:041C630074636172D3 +:041C6400006E6F6936 +:041C65006F747541E2 +:041C6600000000007A +:041C670000006E4FBC +:041C6800494D444856 +:041C69004752282096 +:041C6A00000029420B +:041C6B00494D444853 +:041C6C004359282090 +:041C6D003472436228 +:041C6E0000293434E1 +:041C6F00004956448E +:041C700000373A38C7 +:041C710073736150D8 +:041C720075726874AB +:041C7300000000006D +:041C7400656E694CE4 +:041C75002820783279 +:041C760029626F620E +:041C77000000000069 +:041C7800656E694CE0 +:041C790000007832BD +:041C7A00656E694CDE +:041C7B003220783269 +:041C7C003378303455 +:041C7D0000003036FD +:041C7E00656E694CDA +:041C7F003220783364 +:041C80003378303451 +:041C810000003036F9 +:041C8200656E694CD6 +:041C8300472078334B +:041C840072656E65B2 +:041C8500000063698F +:041C8600656E694CD2 +:041C87002820783366 +:041C88006563616CC3 +:041C890000002964CA +:041C8A00656E694CCE +:041C8B002820783461 +:041C8C0029626F62F8 +:041C8D000000000053 +:041C8E00656E694CCA +:041C8F0000007833A6 +:041C9000656E694CC8 +:041C910000007834A3 +:041C9200656E694CC6 +:041C930000007835A0 +:041C94003032393180 +:041C9500383031783A +:041C9600000000301A :041C97003030363182 -:041C9800303432783A -:041C9900C800004C33 -:041C9A0007FE00F051 -:041C9B0029CA27002B -:041C9C003210039669 -:041C9D000020000221 -:041C9E003038323177 -:041C9F003838327827 -:041CA000A0000000A0 -:041CA1000618012000 -:041CA2000FAA27005E -:041CA30032100348B0 -:041CA4000001020237 -:041CA5007830363924 -:041CA6000038383298 -:041CA70078000000C1 -:041CA8000492012081 -:041CA9000F80270081 -:041CAA0032100336BB -:041CAB00000004022F -:041CAC007832313524 -:041CAD004C30343251 -:041CAE0040000042B0 -:041CAF0002AA00F095 -:041CB000294D270093 -:041CB10032100332B8 -:041CB20000420810D4 -:041CB3007834383316 -:041CB4004C3034324A -:041CB50030000042B9 -:041CB600020000F038 -:041CB700293B27009E -:041CB80032100325BE -:041CB9000084102073 -:041CBA007830323319 -:041CBB004C30343243 -:041CBC0028000042BA -:041CBD0001AA00F088 -:041CBE0029312700A1 -:041CBF003210031FBD -:041CC00001082040B7 -:041CC100783635320A -:041CC2004C3034323C -:041CC30020000042BB -:041CC400015500F0D6 -:041CC50029272700A4 -:041CC60032100319BC -:041CC7000210408047 -:041CC8007038383206 -:041CC9000000000017 -:041CCA005A170000A5 -:041CCB000360012091 -:041CCC001345270095 -:041CCD003210033F8F -:041CCE000000000E04 -:041CCF0078303834FD -:041CD0000030363377 -:041CD1003C000000D3 -:041CD200025801684B -:041CD3000A3F2EE0B6 -:041CD400441003268F -:041CD5000000000EFD -:041CD60078303432FC -:041CD7000030363370 -:041CD80020000000E8 -:041CD900012C016871 -:041CDA000A182EE0D6 -:041CDB00441003129C -:041CDC000000810083 -:041CDD0070343833F4 +:041C9800303231783D +:041C99000000003017 +:041C9A00303239317A +:041C9B00303231783A +:041C9C000000003014 +:041C9D00656E6547C4 +:041C9E0020636972E4 +:041C9F0000333A34A0 +:041CA0007832313530 +:041CA1002030343289 +:041CA2006974706F82 +:041CA30000002E6DA2 +:041CA4007834383325 +:041CA5002030343285 +:041CA6006974706F7E +:041CA70000002E6D9E +:041CA800783032332B +:041CA9002030343281 +:041CAA006974706F7A +:041CAB0000002E6D9A +:041CAC00783635321F +:041CAD00203034327D +:041CAE006974706F76 +:041CAF0000002E6D96 +:041CB000656E6547B1 +:041CB10020636972D1 +:041CB200393A363154 +:041CB300000000002D +:041CB4004D352E324A +:041CB50028207A4821 +:041CB6002978616DBB +:041CB7000000000029 +:041CB800484D303132 +:041CB9006D28207AF8 +:041CBA000029646534 +:041CBB00484D33332A +:041CBC006D28207AF5 +:041CBD0000296E6923 +:041CBE002041475624 +:041CBF00783034360F +:041CC000403030344C +:041CC10000003037B8 +:041CC2002041475620 +:041CC300783032370C +:041CC4004030303448 +:041CC50000003037B4 +:041CC600205654440C +:041CC700703038340D +:041CC8000000000018 +:041CC90041534556E8 +:041CCA00303436205C +:041CCB003038347801 +:041CCC00003036406E +:041CCD002E636552CB +:041CCE00313036205B +:041CCF000000000011 +:041CD0002E636552C8 +:041CD100393037204F +:041CD200000000000E +:041CD300484D35390A +:041CD4004828207A02 +:041CD50020565444FD +:041CD600002949494F +:041CD700484D35330C +:041CD8004828207AFE +:041CD90020565444F9 +:041CDA000000294994 +:041CDB00484D363109 +:041CDC004528207AFD +:041CDD0029565444EC :041CDE000000000002 -:041CDF003E000000C3 -:041CE00002800180FD -:041CE1001D3234E09C -:041CE2004410033E69 -:041CE3000000000EEF -:041CE4003030363135 -:041CE50030303478EF -:041CE600C800000032 -:041CE70007D0019091 -:041CE8002278382006 -:041CE900501002F0A5 -:041CEA0000000200F4 -:041CEB0078303237E4 -:041CEC000030303460 -:041CED005A00000099 -:041CEE0003840190DA -:041CEF002240382037 -:041CF000501002602E -:041CF1000000000CE3 -:041CF20078303436DC -:041CF3000030303459 -:041CF400500000009C -:041CF5000320019037 -:041CF6002230382040 -:041CF7005010026027 -:041CF8000000000CDC -:041CF90078303436D5 -:041CFA000034383347 -:041CFB005000000095 -:041CFC000320018040 -:041CFD003F303D80B7 -:041CFE005010026020 -:041CFF000000000ED3 -:041D000069303834DA -:041D010000000000DE -:041D02005A0600007D -:041D0300035A00F08F -:041D04000F3941A0B2 -:041D05007210033E17 -:041D06000001020FC7 -:041D070070303834CC -:041D080000000000D7 -:041D09005A0200007A -:041D0A00035A01E097 -:041D0B001E3C41A099 -:041D0C009410063EEB -:041D0D000000000CC6 -:041D0E0078303436BF -:041D0F000030383434 -:041D1000500100007E -:041D1100032001E0CA -:041D1200213041A09B -:041D130094100260C6 -:041D14000000000CBF +:041CDF007A484D39B9 +:041CE0004453282021 +:041CE100002956542C +:041CE2006D206425E8 +:041CE30000000056A7 +:041CE400202020207C +:041CE5000000752561 +:041CE6000001860A69 +:041CE70000000024D5 +:041CE80000013A3C81 +:041CE90064206425EA +:041CEA00000067652A +:041CEB00252E752508 +:041CEC000075322E1F +:041CED0065206425E5 +:041CEE006972746E35 +:041CEF000000736519 +:041CF0006F706D693B +:041CF1006465747240 +:041CF20000000000EE +:041CF3006F706D4958 +:041CF400203F7472A7 +:041CF5002C593D31F8 +:041CF6004E3D32200D +:041CF70000000000E9 +:041CF80064616F4C68 +:041CF90020676E6989 +:041CFA007474657326 +:041CFB0073676E6934 +:041CFC0000000000E4 +:041CFD0044525355A5 +:041CFE00004154410C +:041CFF006573753C58 +:041D000000003E643D +:041D01000001733436 +:041D020000002000BD +:041D030020002CE5AB +:041D0400E926F4FDDB +:041D050038BC2000C6 +:041D060000000000D9 +:041D07000001734024 +:041D080000002000B7 +:041D09002000323E46 +:041D0A00F113FA04D3 +:041D0B003B61200018 +:041D0C0000000000D3 +:041D0D0000014FC0C2 +:041D0E0000014FC0C1 +:041D0F0000014F265A +:041D100000014FB6C9 +:041D1100000150B4C9 +:041D120000014FC0BD +:041D130078303237BB +:041D14000030303437 :041D150078303436B8 -:041D16000032313531 -:041D17005000000078 -:041D180003200200A2 -:041D19001C30470033 -:041D1A0094100260BF -:041D1B000000000CB8 -:041D1C0069363735B8 -:041D1D0000000000C2 -:041D1E005A15000052 -:041D1F00036001203C -:041D200013454E20F9 -:041D21007210033FFA -:041D22000001020FAB -:041D230070363735AA -:041D240000000000BB -:041D25005A1100004F -:041D26000360024014 -:041D270027444E20DF -:041D280084100540DE -:041D29000000000CAA -:041D2A0078303038A5 -:041D2B00003030361E -:041D2C00640000004F -:041D2D000420025834 -:041D2E0017584E8074 -:041D2F00101004800C -:041D300000000004AB -:041D310070303237A5 -:041D320000000000AD -:041D3300A004000008 -:041D3400067202D061 -:041D350014DC5DC09D -:041D36001810052854 -:041D370000000004A4 -:041D380034323031E0 -:041D39003836377889 -:041D3A008000000025 -:041D3B00054003005C -:041D3C001DA064C0C2 -:041D3D0010100688F4 -:041D3E00000000049D -:041D3F0030383231D5 -:041D40003230317894 -:041D4100A0000034CA -:041D420006980400FB -:041D430026F88540B9 -:041D44001010037008 -:041D45000000000496 -:041D46007830343687 -:041D47006930363990 -:041D48005000000047 -:041D4900032001E092 -:041D4A002130834081 -:041D4B00B41002606E -:041D4C000000000D86 -:041D4D0030383031C9 -:041D4E000000006928 -:041D4F00F00500009B -:041D50000898021CD1 -:041D510010948CA0BE -:041D5200B810052C94 -:041D53000000000D7F -:041D540030383031C2 -:041D5500000000701A -:041D5600F010000089 -:041D570008980438AC -:041D580024948CA0A3 -:041D59001810052C2D -:041D5A000000000481 -:041D5B0030303631BD -:041D5C003032317878 -:041D5D00C80000308A -:041D5E00087004B055 -:041D5F002EFF9C4077 -:041D6000101003F16B -:041D6100000000047A -:041D62007665642F0F -:041D63006370652F15 -:041D64006F635F71D9 -:041D65006F72746EB7 -:041D660072656C6CCA -:041D6700615F305F29 -:041D68006D5F6C76C9 -:041D690000006D65A4 -:041D6A002B302D23CA -:041D6B000000002054 -:041D6C00004C6C6853 -:041D6D0045676665FB -:041D6E0000004746E4 -:041D6F0033323130AA -:041D70003736353499 -:041D7100424139387A -:041D7200464544435B -:041D7300000000006C -:041D740033323130A5 -:041D75003736353494 -:041D76006261393835 -:041D770066656463D6 -:041D78000000000067 -:041D79001A808080CC -:041D7A0000081A1A29 -:041D7B000000000064 -:041D7C000000000063 -:041D7D000001758864 -:041D7E000000000061 -:041D7F000000000060 -:041D80000001035CFF -:041D81000001041C3D -:041D8200000101CE8D -:041D8300000101EA70 -:041D84000001023622 -:041D850000800000DA -:041D860000800000D9 -:041D87000000000058 -:041D88000000000057 -:041D89000000000056 -:041D8A000000000055 -:041D8B000000000054 -:041D8C000000000053 -:041D8D000000000052 -:041D8E000000000051 -:041D8F000000000050 -:041D9000000000004F -:041D9100000000004E -:041D9200000000004D -:041D9300000000004C -:041D9400000000004B -:041D9500000000004A +:041D16000030303435 +:041D17003030363101 +:041D180030343278B9 +:041D1900C8000000FE +:041D1A0007FE00F0D0 +:041D1B000FCA20C00B +:041D1C0032100396E8 +:041D1D0000200002A0 +:041D1E0030383231F6 +:041D1F0030343278B2 +:041D2000A00000001F +:041D2100061800F0B0 +:041D22000FAA20C024 +:041D2300321003482F +:041D240000010202B6 +:041D250078303639A3 +:041D26000030343223 +:041D27007800000040 +:041D2800049200F031 +:041D29000F8020C047 +:041D2A00321003363A +:041D2B0000000402AE +:041D2C0078323135A3 +:041D2D00003034321C +:041D2E004000000071 +:041D2F0002AA00F014 +:041D30000E4D20C074 +:041D31003210033237 +:041D32000042081053 +:041D33007834383395 +:041D34000030343215 +:041D3500300000007A +:041D3600020000F0B7 +:041D37000E3B20C07F +:041D3800321003253D +:041D390000841020F2 +:041D3A007830323398 +:041D3B00003034320E +:041D3C00280000007B +:041D3D0001AA00F007 +:041D3E000E3120C082 +:041D3F003210031F3C +:041D40000108204036 +:041D41007836353289 +:041D42000030343207 +:041D4300200000007C +:041D4400015500F055 +:041D45000E2720C085 +:041D4600321003193B +:041D470002104080C6 +:041D48007030343291 +:041D49000000000096 +:041D4A005A08000033 +:041D4B00035A00F047 +:041D4C000F3920C06B +:041D4D003210033E0F +:041D4E000000000E83 +:041D4F0030303631C9 +:041D50003034327881 +:041D5100C800004C7A +:041D520007FE00F098 +:041D530029CA270072 +:041D540032100396B0 +:041D55000020000268 +:041D560030383231BE +:041D5700383832786E +:041D5800A0000000E7 +:041D59000618012047 +:041D5A000FAA2700A5 +:041D5B0032100348F7 +:041D5C00000102027E +:041D5D00783036396B +:041D5E0000383832DF +:041D5F007800000008 +:041D600004920120C8 +:041D61000F802700C8 +:041D62003210033602 +:041D63000000040276 +:041D6400783231356B +:041D65004C30343298 +:041D660040000042F7 +:041D670002AA00F0DC +:041D6800294D2700DA +:041D690032100332FF +:041D6A00004208101B +:041D6B00783438335D +:041D6C004C30343291 +:041D6D003000004200 +:041D6E00020000F07F +:041D6F00293B2700E5 +:041D70003210032505 +:041D710000841020BA +:041D72007830323360 +:041D73004C3034328A +:041D74002800004201 +:041D750001AA00F0CF +:041D760029312700E8 +:041D77003210031F04 +:041D780001082040FE +:041D79007836353251 +:041D7A004C30343283 +:041D7B002000004202 +:041D7C00015500F01D +:041D7D0029272700EB +:041D7E003210031903 +:041D7F00021040808E +:041D8000703838324D +:041D8100000000005E +:041D82005A170000EC +:041D830003600120D8 +:041D840013452700DC +:041D85003210033FD6 +:041D86000000000E4B +:041D87007830383444 +:041D880000303633BE +:041D89003C0000001A +:041D8A000258016892 +:041D8B000A3F2EE0FD +:041D8C0044100326D6 +:041D8D000000000E44 +:041D8E007830343243 +:041D8F0000303633B7 +:041D9000200000002F +:041D9100012C0168B8 +:041D92000A182EE01D +:041D930044100312E3 +:041D940000008100CA +:041D9500703438333B :041D96000000000049 -:041D97000000000048 -:041D98000000000047 -:041D99000000000046 -:041D9A000000000045 -:041D9B000000000044 -:041D9C000000000043 -:041D9D000000000042 -:041D9E000000000041 -:041D9F000000000040 -:041DA000000000003F -:041DA100000000003E -:041DA200000000003D -:041DA300000000003C -:041DA400000000003B -:041DA500000000003A -:041DA6000000000039 -:041DA7000000000038 -:041DA80000010174C1 -:041DA90000800000B6 -:041DAA000100000034 -:041DAB000002010031 -:041DAC0000800000B3 -:041DAD000000000131 -:041DAE0000000080B1 -:041DAF00000100002F -:041DB000000001002E -:041DB100000000002E -:041DB200020E040910 -:041DB3003D0E00C819 -:041DB4003F6E0383F8 -:041DB5003ED03DAC33 -:041DB60000000383A3 -:041DB700026404B20C -:041DB8003C9300E96F -:041DB9003F56041677 -:041DBA003E9F3D49C2 -:041DBB00000004160A -:041DBC00017804E5C1 -:041DBD003CCE008197 -:041DBE003FAE0383AE -:041DBF003F333D4928 -:041DC0000000038399 -:041DC10001B405B8AC -:041DC2003C49009305 -:041DC3003F9F041624 -:041DC4003F103CD9B7 -:041DC5000000041600 -:041DC60000016DD4D7 -:041DC70000016DD8D2 -:041DC80000016DE8C1 -:041DC90000016210A3 -:041DCA000001622092 -:041DCB000001622C85 -:041DCC000001623878 -:041DCD00000162446B -:041DCE00000162505E -:041DCF000001625C51 -:041DD0000001626844 -:041DD1000001627437 -:041DD200000162802A -:041DD3000001628C1D -:041DD40000016F9407 -:041DD50000016FA0FA -:041DD60000016FB0E9 -:041DD70000016FC0D8 -:041DD80000016FD0C7 -:041DD90000016FE0B6 -:041DDA0000016F9401 -:041DDB0000016FA0F4 -:041DDC0000016FB0E3 -:041DDD0000016FC0D2 -:041DDE0000016FD0C1 -:041DDF0000016F7020 -:041DE00000016F7C13 -:041DE10000016F8806 -:041DE20000016DD4BB -:041DE30000016E0489 -:041DE40000016E0884 -:041DE50000016E0C7F -:041DE60000016D98F3 -:041DE70000016DA0EA -:041DE80000016DA8E1 -:041DE90000016DB0D8 -:041DEA0000016DB8CF -:041DEB0000016DBCCA -:041DEC0000016DC4C1 -:041DED0000016DCCB8 -:041DEE0000016D186B -:041DEF0000000002EE -:041DF000000182F27A -:041DF1000AF0012CC7 -:041DF200000134F0C8 -:041DF30000016D2856 -:041DF40000000002E9 -:041DF500000182F86F -:041DF60000130000D6 -:041DF700000134F0C3 -:041DF80000016D3841 -:041DF90000000002E4 -:041DFA00000182FA68 -:041DFB0000FF000ADB -:041DFC00000134F0BE -:041DFD0000016D4430 -:041DFE0000000002DF -:041DFF00000182E677 -:041E000000FF0001DE -:041E0100000134F0B8 -:041E020000016D541A -:041E030000000002D9 -:041E0400000182F067 -:041E0500078000C88A -:041E0600000134F0B3 -:041E070000016D6009 -:041E080000000002D4 -:041E0900000182EC66 -:041E0A0000070001CC -:041E0B00000134F0AE -:041E0C0000016D6CF8 -:041E0D0000000002CF -:041E0E00000182F657 -:041E0F00003F00018F -:041E1000000134F0A9 -:041E110000016D7CE3 -:041E120000000002CA -:041E1300000182EE5A -:041E140004B000A076 -:041E1500000134F0A4 -:041E160000016D88D2 -:041E170000000002C5 -:041E1800000182E85B -:041E1900001F0000A6 -:041E1A00000134F09F -:041E1B00000168FC5E -:041E1C0000000000C2 -:041E1D000001828EB0 -:041E1E0000010001BE -:041E1F0000018098A6 -:041E20000001690C48 -:041E210000000000BD -:041E22000001828FAA -:041E230000010001B9 -:041E2400000180A099 -:041E25000001691C33 -:041E260000000001B7 -:041E270000018290A4 -:041E2800001800009E -:041E290000013796E7 -:041E2A000001692C1E +:041D97003E0000000A +:041D98000280018044 +:041D99001D3234E0E3 +:041D9A004410033EB0 +:041D9B000000000E36 +:041D9C00303036317C +:041D9D003030347836 +:041D9E00C800000079 +:041D9F0007D00190D8 +:041DA000227838204D +:041DA100501002F0EC +:041DA200000002003B +:041DA300783032372B +:041DA40000303034A7 +:041DA5005A000000E0 +:041DA6000384019021 +:041DA700224038207E +:041DA8005010026075 +:041DA9000000000C2A +:041DAA007830343623 +:041DAB0000303034A0 +:041DAC0050000000E3 +:041DAD00032001907E +:041DAE002230382087 +:041DAF00501002606E +:041DB0000000000C23 +:041DB100783034361C +:041DB200003438338E +:041DB30050000000DC +:041DB4000320018087 +:041DB5003F303D80FE +:041DB6005010026067 +:041DB7000000000E1A +:041DB8006930383422 +:041DB9000000000026 +:041DBA005A060000C5 +:041DBB00035A00F0D7 +:041DBC000F3941A0FA +:041DBD007210033E5F +:041DBE000001020F0F +:041DBF007030383414 +:041DC000000000001F +:041DC1005A020000C2 +:041DC200035A01E0DF +:041DC3001E3C41A0E1 +:041DC4009410063E33 +:041DC5000000000C0E +:041DC6007830343607 +:041DC700003038347C +:041DC80050010000C6 +:041DC900032001E012 +:041DCA00213041A0E3 +:041DCB00941002600E +:041DCC000000000C07 +:041DCD007830343600 +:041DCE000032313579 +:041DCF0050000000C0 +:041DD00003200200EA +:041DD1001C3047007B +:041DD2009410026007 +:041DD3000000000C00 +:041DD4006936373500 +:041DD500000000000A +:041DD6005A1500009A +:041DD7000360012084 +:041DD80013454E2041 +:041DD9007210033F42 +:041DDA000001020FF3 +:041DDB0070363735F2 +:041DDC000000000003 +:041DDD005A11000097 +:041DDE00036002405C +:041DDF0027444E2027 +:041DE0008410054026 +:041DE1000000000CF2 +:041DE20078303038ED +:041DE3000030303666 +:041DE4006400000097 +:041DE500042002587C +:041DE60017584E80BC +:041DE7001010048054 +:041DE80000000004F3 +:041DE90070303237ED +:041DEA0000000000F5 +:041DEB00A004000050 +:041DEC00067202D0A9 +:041DED0014DC5DC0E5 +:041DEE00181005289C +:041DEF0000000004EC +:041DF0003432303128 +:041DF10038363778D1 +:041DF200800000006D +:041DF30005400300A4 +:041DF4001DA064C00A +:041DF500101006883C +:041DF60000000004E5 +:041DF700303832311D +:041DF80032303178DC +:041DF900A000003412 +:041DFA000698040043 +:041DFB0026F8854001 +:041DFC001010037050 +:041DFD0000000004DE +:041DFE0078303436CF +:041DFF0069303639D8 +:041E0000500000008E +:041E0100032001E0D9 +:041E020021308340C8 +:041E0300B4100260B5 +:041E04000000000DCD +:041E05003038303110 +:041E0600000000696F +:041E0700F0050000E2 +:041E08000898021C18 +:041E090010948CA005 +:041E0A00B810052CDB +:041E0B000000000DC6 +:041E0C003038303109 +:041E0D000000007061 +:041E0E00F0100000D0 +:041E0F0008980438F3 +:041E100024948CA0EA +:041E11001810052C74 +:041E120000000004C8 +:041E13003030363104 +:041E140030323178BF +:041E1500C8000030D1 +:041E1600087004B09C +:041E17002EFF9C40BE +:041E1800101003F1B2 +:041E190000000004C1 +:041E1A007665642F56 +:041E1B006370652F5C +:041E1C006F635F7120 +:041E1D006F72746EFE +:041E1E0072656C6C11 +:041E1F00615F305F70 +:041E20006D5F6C7610 +:041E210000006D65EB +:041E22002B302D2311 +:041E2300000000209B +:041E2400004C6C689A +:041E25004567666542 +:041E2600000047462B +:041E270033323130F1 +:041E280037363534E0 +:041E290042413938C1 +:041E2A0046454443A2 :041E2B0000000000B3 -:041E2C0000018289A6 -:041E2D0000010001AF -:041E2E00000180A08F -:041E2F000001693C09 +:041E2C0033323130EC +:041E2D0037363534DB +:041E2E00626139387C +:041E2F00666564631D :041E300000000000AE -:041E31000001828AA0 -:041E320000010001AA -:041E3300000180A08A -:041E340000016950F0 -:041E350000000000A9 -:041E36000001828B9A -:041E370000010001A5 -:041E3800000180A085 -:041E390000016960DB -:041E3A0000000001A3 -:041E3B00000182918F -:041E3C00001F000083 -:041E3D00000136C6A4 -:041E3E0000016974C2 +:041E31001A80808013 +:041E320000081A1A70 +:041E330000000000AB +:041E340000000000AA +:041E350000017868C8 +:041E360000000000A8 +:041E370000000000A7 +:041E38000001035C46 +:041E39000001041C84 +:041E3A00000101CED4 +:041E3B00000101EAB7 +:041E3C000001023669 +:041E3D000080000021 +:041E3E000080000020 :041E3F00000000009F -:041E40000001828C8F -:041E4100000100019B -:041E4200000180A07B -:041E430000016C50DE -:041E44000000000199 -:041E450000018260B6 -:041E46000010000088 -:041E47000001369EC2 -:041E480000016C60C9 -:041E49000000000194 -:041E4A0000018261B0 -:041E4B000010000083 -:041E4C000001369EBD -:041E4D0000016C70B4 -:041E4E00000000018F -:041E4F0000018262AA -:041E5000001000007E -:041E51000001369EB8 -:041E520000016C809F -:041E5300000000018A -:041E540000018263A4 -:041E55000010000079 -:041E56000001369EB3 -:041E570000016C908A -:041E58000000000185 -:041E5900000182649E -:041E5A000010000074 -:041E5B000001369EAE -:041E5C0000016CA075 -:041E5D000000000180 -:041E5E000001826598 -:041E5F00001000006F -:041E60000001369EA9 -:041E610000016CB45C -:041E6200000000017B -:041E63000001826692 -:041E6400001000006A -:041E65000001369EA4 -:041E660000016CC843 -:041E67000000000176 -:041E6800000182678C -:041E69000010000065 -:041E6A000001369E9F -:041E6B0000016CDC2A -:041E6C000000000171 -:041E6D000001826886 -:041E6E000010000060 -:041E6F000001369E9A -:041E700000016CF011 -:041E7100000000016C -:041E72000001826980 -:041E7300001000005B -:041E74000001369E95 -:041E750000016D04F7 -:041E76000000000167 -:041E77000001826A7A -:041E78000010000056 -:041E79000001369E90 -:041E7A00000167609C -:041E7B000000000360 -:041E7C0000018134AC -:041E7D000000000061 -:041E7E000000000060 -:041E7F000001677483 -:041E8000000000035B -:041E810000018114C7 -:041E8200000000005C -:041E8300000000005B -:041E8400000167886A -:041E85000000000356 -:041E86000001812CAA -:041E87000000000057 -:041E88000000000056 -:041E89000001679C51 -:041E8A000000000351 -:041E8B0000018104CD -:041E8C000000000052 -:041E8D000000000051 -:041E8E00000167B038 -:041E8F00000000034C -:041E90000001811CB0 -:041E9100000000004D -:041E9200000000004C -:041E9300000167C41F -:041E94000000000347 -:041E95000001810CBB -:041E96000000000048 -:041E97000000000047 -:041E9800000167D806 -:041E99000000000342 -:041E9A00000180ECD7 -:041E9B000000000043 -:041E9C000000000042 -:041E9D00000167ECED -:041E9E00000000033D -:041E9F00000180E4DA -:041EA000000000003E -:041EA100000000003D -:041EA20000016800D3 -:041EA3000000000338 -:041EA4000001812494 -:041EA5000000000039 -:041EA6000000000038 -:041EA70000016A6864 -:041EA8000000000036 -:041EA9000001827141 -:041EAA00000400012F -:041EAB0000017F783B -:041EAC0000016A784F -:041EAD000000000031 -:041EAE00000182723B -:041EAF00000400012A -:041EB00000017F8C22 -:041EB10000016A883A -:041EB200000000002C -:041EB3000001827335 -:041EB4000003000126 -:041EB50000017FA009 -:041EB60000016A9825 -:041EB7000000000027 -:041EB800000182742F -:041EB9000001000123 -:041EBA00000180B0F3 -:041EBB0000016AA810 -:041EBC000000000022 -:041EBD000001827529 -:041EBE00000100011E -:041EBF00000180A8F6 -:041EC00000016AB8FB -:041EC100000000001D -:041EC2000001826C2D -:041EC3000004000116 -:041EC4000001775052 -:041EC50000016AC4EA -:041EC6000000000018 -:041EC7000001826D27 -:041EC8000005000110 -:041EC9000001776439 -:041ECA0000016AD0D9 -:041ECB000000000013 -:041ECC000001826E21 -:041ECD00000400010C -:041ECE000001775048 -:041ECF0000016ADCC8 -:041ED000000000000E -:041ED1000001826F1B -:041ED2000004000107 -:041ED3000001775043 -:041ED40000016AE8B7 -:041ED5000000000009 -:041ED6000001827015 -:041ED7000002000104 -:041ED8000001777C12 -:041ED90000016AF8A2 -:041EDA000000000004 -:041EDB00000182760A -:041EDC000001000100 -:041EDD0000018090F0 -:041EDE0000016B088C -:041EDF0000000000FF -:041EE0000001827B00 -:041EE10000020001FA -:041EE20000017FE894 -:041EE30000016B107F -:041EE40000000000FA -:041EE5000001827CFA -:041EE60000010001F6 -:041EE700000180A0D6 -:041EE8000001698408 -:041EE90000000001F4 -:041EEA0000018277FA -:041EEB0000FF0000F4 -:041EEC00000137803A -:041EED0000016994F3 -:041EEE0000000001EF -:041EEF0000018278F4 -:041EF000003F0000AF -:041EF1000001378035 -:041EF200000169A4DE -:041EF30000000000EB -:041EF4000001827AED -:041EF50000070000E2 -:041EF60000017798D8 -:041EF700000169B0CD -:041EF80000000001E5 -:041EF90000018279E9 -:041EFA00000F0000D5 -:041EFB00000136C6E6 -:041EFC00000169C0B8 -:041EFD0000000001E0 -:041EFE000001828DD0 -:041EFF00001F0000C0 -:041F0000000136C6E0 -:041F0100000169CCA6 -:041F020000000004D7 -:041F0300000123387E -:041F04000001671859 -:041F050000000000D8 -:041F060000016B80EB -:041F070000000000D6 -:041F08000001827DD5 -:041F090000020001D1 -:041F0A0000017FB0A3 -:041F0B0000016B90D6 -:041F0C0000000000D1 -:041F0D000001827ECF -:041F0E0000010001CD -:041F0F00000180C08D -:041F100000016BA0C1 -:041F110000000000CC -:041F12000001827FC9 -:041F130000010001C8 -:041F1400000180A0A8 -:041F150000016BB4A8 -:041F160000000000C7 -:041F170000018280C3 -:041F180000010001C3 -:041F1900000180A0A3 -:041F1A0000016BC88F -:041F1B0000000003BF -:041F1C00000180DC64 -:041F1D00000170B897 -:041F1E000001347A10 -:041F1F00000169DC78 -:041F200000000000BD -:041F210000018258E1 -:041F220000020001B8 -:041F230000017FBC7E -:041F2400000169E867 -:041F250000000001B7 -:041F26000001825ED6 -:041F2700000F0000A7 -:041F28000001375A23 -:041F2900000169F852 -:041F2A0000000001B2 -:041F2B000001825AD5 -:041F2C00001C000095 -:041F2D00000136C2B7 -:041F2E0000016A083C -:041F2F0000000000AE -:041F30000001825BCF -:041F310000010001AA -:041F3200000180D05A -:041F330000016A142B -:041F340000000000A9 -:041F35000001825CC9 -:041F360000010001A5 -:041F3700000180A085 -:041F380000016A2416 -:041F390000000000A4 -:041F3A000001825FC1 -:041F3B0000010001A0 -:041F3C00000180C858 -:041F3D0000016A3401 -:041F3E00000000009F -:041F3F000001825DBE -:041F4000000100019B -:041F4100000180A07B -:041F420000016A48E8 -:041F4300000000009A -:041F440000018259BD -:041F45000003000194 -:041F460000017FC84F -:041F470000016A54D7 -:041F48000000000392 -:041F4900000180F41F +:041E4000000000009E +:041E4100000000009D +:041E4200000000009C +:041E4300000000009B +:041E4400000000009A +:041E45000000000099 +:041E46000000000098 +:041E47000000000097 +:041E48000000000096 +:041E49000000000095 +:041E4A000000000094 +:041E4B000000000093 +:041E4C000000000092 +:041E4D000000000091 +:041E4E000000000090 +:041E4F00000000008F +:041E5000000000008E +:041E5100000000008D +:041E5200000000008C +:041E5300000000008B +:041E5400000000008A +:041E55000000000089 +:041E56000000000088 +:041E57000000000087 +:041E58000000000086 +:041E59000000000085 +:041E5A000000000084 +:041E5B000000000083 +:041E5C000000000082 +:041E5D000000000081 +:041E5E000000000080 +:041E5F00000000007F +:041E60000001017408 +:041E610000800000FD +:041E6200010000007B +:041E63000002010078 +:041E640000800000FA +:041E65000000000178 +:041E660000000080F8 +:041E67000001000076 +:041E68000000010075 +:041E69000000000075 +:041E6A00020E040957 +:041E6B003D0E00C860 +:041E6C003F6E03833F +:041E6D003ED03DAC7A +:041E6E0000000383EA +:041E6F00026404B253 +:041E70003C9300E9B6 +:041E71003F560416BE +:041E72003E9F3D4909 +:041E73000000041651 +:041E7400017804E508 +:041E75003CCE0081DE +:041E76003FAE0383F5 +:041E77003F333D496F +:041E780000000383E0 +:041E790001B405B8F3 +:041E7A003C4900934C +:041E7B003F9F04166B +:041E7C003F103CD9FE +:041E7D000000041647 +:041E7E00000170AC43 +:041E7F00000170B03E +:041E8000000170C02D +:041E8100000164C830 +:041E8200000164D81F +:041E8300000164E412 +:041E8400000164F005 +:041E8500000164FCF8 +:041E860000016508EA +:041E870000016514DD +:041E880000016520D0 +:041E89000001652CC3 +:041E8A0000016538B6 +:041E8B0000016544A9 +:041E8C00000172746B +:041E8D00000172805E +:041E8E00000172904D +:041E8F00000172A03C +:041E9000000172B02B +:041E9100000172C01A +:041E92000001727465 +:041E93000001728058 +:041E94000001729047 +:041E9500000172A036 +:041E9600000172B025 +:041E97000001725084 +:041E98000001725C77 +:041E9900000172686A +:041E9A00000170AC27 +:041E9B00000170E8EA +:041E9C00000170E4ED +:041E9D00000170ECE4 +:041E9E00000170705F +:041E9F000001707856 +:041EA000000170804D +:041EA1000001708844 +:041EA200000170903B +:041EA3000001709436 +:041EA4000001709C2D +:041EA500000170A424 +:041EA60000016FF0D8 +:041EA7000000000235 +:041EA800000186129D +:041EA9000AF0012C0E +:041EAA000001377A82 +:041EAB0000017000C2 +:041EAC000000000230 +:041EAD000001861892 +:041EAE00001300001D +:041EAF000001377A7D +:041EB00000017010AD +:041EB100000000022B +:041EB2000001861A8B +:041EB30000FF000A22 +:041EB4000001377A78 +:041EB5000001701C9C +:041EB6000000000226 +:041EB700000186069A +:041EB80000FF000126 +:041EB9000001377A73 +:041EBA000001702C87 +:041EBB000000000221 +:041EBC00000186108B +:041EBD00078000C8D2 +:041EBE000001377A6E +:041EBF000001703876 +:041EC000000000021C +:041EC1000001860C8A +:041EC2000007000114 +:041EC3000001377A69 +:041EC4000001704465 +:041EC5000000000217 +:041EC600000186167B +:041EC700003F0001D7 +:041EC8000001377A64 +:041EC9000001705450 +:041ECA000000000212 +:041ECB000001860E7E +:041ECC0004B000A0BE +:041ECD000001377A5F +:041ECE00000170603F +:041ECF00000000020D +:041ED000000186087F +:041ED100001F0000EE +:041ED2000001377A5A +:041ED30000016BD4CB +:041ED400000000000A +:041ED500000185AED5 +:041ED6000001000106 +:041ED700000183B8CB +:041ED80000016BE4B6 +:041ED9000000000005 +:041EDA00000185AFCF +:041EDB000001000101 +:041EDC00000183C0BE +:041EDD0000016BF4A1 +:041EDE0000000001FF +:041EDF00000185B0C9 +:041EE00000180000E6 +:041EE10000013A20A2 +:041EE20000016C048B +:041EE30000000000FB +:041EE400000185A9CB +:041EE50000010001F7 +:041EE600000183C0B4 +:041EE70000016C1476 +:041EE80000000000F6 +:041EE900000185AAC5 +:041EEA0000010001F2 +:041EEB00000183C0AF +:041EEC0000016C285D +:041EED0000000000F1 +:041EEE00000185ABBF +:041EEF0000010001ED +:041EF000000183C0AA +:041EF10000016C3848 +:041EF20000000001EB +:041EF300000185B1B4 +:041EF400001F0000CB +:041EF500000139505F +:041EF60000016C4C2F +:041EF70000000000E7 +:041EF800000185ACB4 +:041EF90000010001E3 +:041EFA00000183C0A0 +:041EFB0000016F284B +:041EFC0000000001E1 +:041EFD0000018580DB +:041EFE0000100000D0 +:041EFF00000139287D +:041F000000016F3835 +:041F010000000001DB +:041F020000018581D4 +:041F030000100000CA +:041F04000001392877 +:041F050000016F4820 +:041F060000000001D6 +:041F070000018582CE +:041F080000100000C5 +:041F09000001392872 +:041F0A0000016F580B +:041F0B0000000001D1 +:041F0C0000018583C8 +:041F0D0000100000C0 +:041F0E00000139286D +:041F0F0000016F68F6 +:041F100000000001CC +:041F110000018584C2 +:041F120000100000BB +:041F13000001392868 +:041F140000016F78E1 +:041F150000000001C7 +:041F160000018585BC +:041F170000100000B6 +:041F18000001392863 +:041F190000016F8CC8 +:041F1A0000000001C2 +:041F1B0000018586B6 +:041F1C0000100000B1 +:041F1D00000139285E +:041F1E0000016FA0AF +:041F1F0000000001BD +:041F200000018587B0 +:041F210000100000AC +:041F22000001392859 +:041F230000016FB496 +:041F240000000001B8 +:041F250000018588AA +:041F260000100000A7 +:041F27000001392854 +:041F280000016FC87D +:041F290000000001B3 +:041F2A0000018589A4 +:041F2B0000100000A2 +:041F2C00000139284F +:041F2D0000016FDC64 +:041F2E0000000001AE +:041F2F000001858A9E +:041F3000001000009D +:041F3100000139284A +:041F320000016A1828 +:041F330000000003A7 +:041F340000018454D0 +:041F350000000000A8 +:041F360000000000A7 +:041F370000016A2C0F +:041F380000000003A2 +:041F390000018434EB +:041F3A0000000000A3 +:041F3B0000000000A2 +:041F3C0000016A40F6 +:041F3D00000000039D +:041F3E000001844CCE +:041F3F00000000009E +:041F4000000000009D +:041F410000016A54DD +:041F42000000000398 +:041F430000018424F1 +:041F44000000000099 +:041F45000000000098 +:041F460000016A68C4 +:041F47000000000393 +:041F48000001843CD4 +:041F49000000000094 :041F4A000000000093 -:041F4B000000000092 -:041F4C000001681414 -:041F4D00000000048C -:041F4E000001212E3F -:041F4F000001673CEA -:041F5000000000008D -:041F510000016824FF -:041F52000000000487 -:041F530000012190D8 -:041F54000001673CE5 -:041F55000000000088 -:041F560000016834EA -:041F57000000000482 -:041F5800000129DC7F +:041F4B0000016A7CAB +:041F4C00000000038E +:041F4D000001842CDF +:041F4E00000000008F +:041F4F00000000008E +:041F500000016A9092 +:041F51000000000389 +:041F52000001840CFA +:041F5300000000008A +:041F54000000000089 +:041F550000016AA479 +:041F56000000000384 +:041F570000018404FD +:041F58000000000085 :041F59000000000084 -:041F5A000000000083 -:041F5B0000016848D1 -:041F5C000000000180 -:041F5D000001829964 -:041F5E00000A010173 -:041F5F00000137E660 -:041F60000001685CB8 -:041F6100000000007C -:041F6200000181DA1F -:041F63000001000178 -:041F6400000180A058 -:041F6500000168709F -:041F66000000000077 -:041F6700000181856F -:041F6800000A00016A -:041F690000017724D8 -:041F6A00000168808A -:041F6B000000000072 -:041F6C00000182549A -:041F6D00000200016D -:041F6E0000017718DF -:041F6F000001689471 -:041F7000000000006D -:041F7100000181B832 -:041F72000001000169 -:041F7300000180B831 -:041F7400000168A45C -:041F75000000000068 -:041F76000001808B5B -:041F77000001000164 -:041F7800000180B82C -:041F7900000168B447 -:041F7A000000000063 -:041F7B000001818C54 -:041F7C00000100015F -:041F7D00000180B827 -:041F7E00000168C432 -:041F7F00000000005E -:041F8000000181C615 -:041F81000003000158 -:041F8200000177885B -:041F8300000168D41D -:041F84000000000455 -:041F850000013E8A8F -:041F86000000000057 -:041F87000000000056 -:041F8800000168E804 -:041F89000000000450 -:041F8A00000130948E -:041F8B000000000052 -:041F8C000000000051 -:041F8D0000016B1CC8 -:041F8E00000000004F -:041F8F000001828546 -:041F90000003000149 -:041F910000017FD8F4 -:041F920000016B2CB3 -:041F93000000000149 -:041F94000001828244 -:041F9500001F000029 -:041F960000013736D9 -:041F970000016B3C9E -:041F98000000000144 -:041F9900000182833E -:041F9A0000FF000044 -:041F9B00000136F219 -:041F9C0000016B4C89 -:041F9D00000000013F -:041F9E000001828438 -:041F9F0000C80A006C -:041FA000000136F214 -:041FA10000016B5C74 -:041FA200000000013A -:041FA3000001828730 -:041FA4000005000034 -:041FA500000136DC25 -:041FA60000016B6C5F -:041FA7000000000135 -:041FA800000182882A -:041FA900000500002F -:041FAA00000136DC20 -:041FAB0000016BDCEA -:041FAC000000000031 -:041FAD000001828627 -:041FAE000005000129 -:041FAF0000017FF4BA -:041FB00000016BE8D9 -:041FB100000000002C -:041FB2000001828127 -:041FB3000002000127 -:041FB4000001800C9C -:041FB50000016BF8C4 -:041FB6000000000126 -:041FB7000001829211 -:041FB80000FF000026 -:041FB900000136C627 -:041FBA0000016C04B2 -:041FBB000000000121 -:041FBC00000182930B -:041FBD0000FF000021 -:041FBE00000136C622 -:041FBF0000016C10A1 -:041FC000000000011C -:041FC1000001829405 -:041FC20000FF00001C -:041FC300000136C61D -:041FC40000016C1C90 -:041FC5000000000117 -:041FC60000018295FF -:041FC70000FF000017 -:041FC800000136C618 -:041FC90000016C287F -:041FCA000000000112 -:041FCB0000018296F9 -:041FCC0000FF000012 -:041FCD00000136C613 -:041FCE0000016C346E -:041FCF00000000010D -:041FD00000018297F3 -:041FD10000FF00000D -:041FD200000136C60E -:041FD30000016C405D -:041FD4000000000108 -:041FD50000018298ED -:041FD600000F0000F8 -:041FD700000136C609 -:041FD800000180FC88 -:041FD9000000000004 -:041FDA000000000003 -:041FDB000000000002 -:041FDC000000000001 -:041FDD000000000000 -:041FDE0000016EE4AC -:041FDF0000016F008E -:041FE00000016F5835 -:041FE10000016F602C -:041FE20000016F6823 -:041FE30000016EE4A7 -:041FE40000016F0089 -:041FE50000016F0880 -:041FE60000016F186F -:041FE70000016F285E -:041FE80000016EE4A2 -:041FE90000016EF095 -:041FEA0000016F384B -:041FEB0000016F483A -:041FEC0000016EB4CE -:041FED000001703847 -:041FEE00000170443A -:041FEF0000016DD4AC -:041FF00000016EB4CA -:041FF10000016EBCC1 -:041FF20000016E6814 -:041FF30000016E7407 -:041FF40000016E80FA -:041FF50000016E90E9 -:041FF60000016FF087 -:041FF7000001700075 -:041FF8000001700C68 -:041FF90000016DD4A2 -:041FFA0000016EC0B4 -:041FFB0000016ECCA7 -:041FFC0000016EDC96 -:041FFD0000016EB4BD -:041FFE0000016DD49D -:041FFF000001706C01 -:042000000001707CEF -:042001000001708CDE -:042002000001709CCD -:042003000001705414 -:042004000001706007 -:0420050000016EB4B4 -:0420060000000000D6 -:0420070000000000D5 +:041F5A0000016AB860 +:041F5B00000000037F +:041F5C0000018444B8 +:041F5D000000000080 +:041F5E00000000007F +:041F5F0000016D40D0 +:041F6000000000007D +:041F61000001859165 +:041F62000004000176 +:041F63000001829067 +:041F640000016D50BB +:041F65000000000078 +:041F6600000185925F +:041F67000004000171 +:041F6800000182A44E +:041F690000016D60A6 +:041F6A000000000073 +:041F6B000001859359 +:041F6C00000300016D +:041F6D00000182B835 +:041F6E0000016D7091 +:041F6F00000000006E +:041F70000001859453 +:041F7100000100016A +:041F7200000183D017 +:041F730000016D807C +:041F74000000000069 +:041F7500000185954D +:041F76000001000165 +:041F7700000183C81A +:041F780000016D9067 +:041F79000000000064 +:041F7A000001858C51 +:041F7B00000400015D +:041F7C0000017A30B6 +:041F7D0000016D9C56 +:041F7E00000000005F +:041F7F000001858D4B +:041F80000005000157 +:041F810000017A449D +:041F820000016DA845 +:041F8300000000005A +:041F84000001858E45 +:041F85000004000153 +:041F860000017A30AC +:041F870000016DB434 +:041F88000000000055 +:041F89000001858F3F +:041F8A00000400014E +:041F8B0000017A30A7 +:041F8C0000016DC023 +:041F8D000000000050 +:041F8E000001859039 +:041F8F00000200014B +:041F900000017A5C76 +:041F910000016DD00E +:041F9200000000004B +:041F9300000185962E +:041F94000001000147 +:041F9500000183B014 +:041F960000016DE0F9 +:041F97000000000046 +:041F98000001859B24 +:041F99000002000141 +:041F9A0000018300BF +:041F9B0000016DE8EC +:041F9C000000000041 +:041F9D000001859C1E +:041F9E00000100013D +:041F9F00000183C0FA +:041FA00000016C5C74 +:041FA100000000013B +:041FA200000185971E +:041FA30000FF00003B +:041FA40000013A0AF4 +:041FA50000016C6C5F +:041FA6000000000136 +:041FA7000001859818 +:041FA800003F0000F6 +:041FA90000013A0AEF +:041FAA0000016C7C4A +:041FAB000000000032 +:041FAC000001859A11 +:041FAD000007000029 +:041FAE0000017A783C +:041FAF0000016C8839 +:041FB000000000012C +:041FB100000185990D +:041FB200000F00001C +:041FB30000013950A0 +:041FB40000016C9824 +:041FB5000000000127 +:041FB600000185ADF4 +:041FB700001F000007 +:041FB800000139509B +:041FB90000016CA413 +:041FBA00000000041F +:041FBB00000124A459 +:041FBC00000169D0E7 +:041FBD000000000020 +:041FBE0000016E5858 +:041FBF00000000001E +:041FC0000001859DFA +:041FC1000002000119 +:041FC200000182C8D0 +:041FC30000016E6843 +:041FC4000000000019 +:041FC5000001859EF4 +:041FC6000001000115 +:041FC700000183E0B2 +:041FC80000016E782E +:041FC9000000000014 +:041FCA000001859FEE +:041FCB000001000110 +:041FCC00000183C0CD +:041FCD0000016E8C15 +:041FCE00000000000F +:041FCF00000185A0E8 +:041FD000000100010B +:041FD100000183C0C8 +:041FD20000016EA0FC +:041FD3000000000307 +:041FD400000183FC89 +:041FD50000017398FC +:041FD60000013704CB +:041FD70000016CB4E5 +:041FD8000000000005 +:041FD9000001857806 +:041FDA000002000100 +:041FDB00000182D4AB +:041FDC0000016CC0D4 +:041FDD0000000001FF +:041FDE000001857EFB +:041FDF00000F0000EF +:041FE000000139E4DF +:041FE10000016CD0BF +:041FE20000000001FA +:041FE3000001857AFA +:041FE400001C0000DD +:041FE5000001394C72 +:041FE60000016CE0AA +:041FE70000000000F6 +:041FE8000001857BF4 +:041FE90000010001F2 +:041FEA00000183F07F +:041FEB0000016CEC99 +:041FEC0000000000F1 +:041FED000001857CEE +:041FEE0000010001ED +:041FEF00000183C0AA +:041FF00000016CFC84 +:041FF10000000000EC +:041FF2000001857FE6 +:041FF30000010001E8 +:041FF400000183E87D +:041FF50000016D0C6E +:041FF60000000000E7 +:041FF7000001857DE3 +:041FF80000010001E3 +:041FF900000183C0A0 +:041FFA0000016D2055 +:041FFB0000000000E2 +:041FFC0000018579E2 +:041FFD0000030001DC +:041FFE00000182E07C +:041FFF0000016D2C44 +:0420000000000003D9 +:042001000001841442 +:0420020000000000DA +:0420030000000000D9 +:0420040000016ACCA1 +:0420050000000004D3 +:0420060000012210A3 +:04200700000169F477 :0420080000000000D4 -:0420090000000000D3 -:04200A0000000000D2 -:04200B0000000000D1 -:04200C0000000000D0 +:0420090000016ADC8C +:04200A0000000004CE +:04200B00000122723C +:04200C00000169F472 :04200D0000000000CF -:04200E0000000000CE -:04200F0000000000CD -:0420100000000000CC +:04200E0000016AEC77 +:04200F0000000004C9 +:0420100000012BE6BA :0420110000000000CB :0420120000000000CA -:0420130000000000C9 -:0420140000000000C8 -:0420150000000000C7 -:0420160000000000C6 -:0420170000000000C5 -:0420180000000000C4 +:0420130000016B005D +:0420140000000001C7 +:04201500000185B988 +:04201600000A0101BA +:0420170000013A701A +:0420180000016B1444 :0420190000000000C3 -:04201A0000000000C2 -:04201B0000000000C1 -:04201C0000000000C0 -:04201D0000000000BF -:04201E0000016090CD -:04201F000001807CC0 -:042020000001807CBF -:04202100001080101B -:042022000100800039 -:042023000002200097 -:0420240000016F9CAC -:0420250000016EE068 -:0420260000016E3413 -:0420270000016E48FE -:0420280000016DD472 -:0420290000016EBC88 -:04202A0000016EE45F -:04202B0000016EF052 -:04202C0000016EE45D -:04202D0000016F003F -:04202E0000016DF44C -:04202F0000016DFC43 -:042030000001701823 -:042031000001702812 -:0420320000016E5CDF -:0420330000016E60DA -:0420340000016E98A1 -:0420350000016EA890 -:04203600000180180D -:04203700000000099C -:04203800000177B874 -:0420390000000003A0 -:04203A000001786CBD -:04203B00000000059C -:04203C00000178A87F -:04203D000000000B94 -:04203E000001790C18 -:04203F000000000994 -:04204000000179E83A -:042041000000000D8E -:0420420000017A9C83 -:042043000000000693 -:0420440000017BA07C -:042045000000000592 -:0420460000017C1801 -:04204700000000098C -:0420480000017C7C9B -:042049000000000D86 -:04204A0000017D30E4 -:04204B00000000068B -:04204C0000017E34DD -:04204D000000000986 -:04204E0000017EAC63 -:04204F00C896554B8F +:04201A00000184FA43 +:04201B0000010001BF +:04201C00000183C07C +:04201D0000016B282B +:04201E0000000000BE +:04201F00000184A593 +:04202000000A0001B1 +:0420210000017A043C +:0420220000016B3816 +:0420230000000000B9 +:0420240000018574BE +:0420250000020001B4 +:04202600000179F844 +:0420270000016B4CFD +:0420280000000000B4 +:04202900000184DA54 +:04202A0000010001B0 +:04202B00000183D855 +:04202C0000016B5CE8 +:04202D0000000000AF +:04202E00000183A387 +:04202F0000010001AB +:04203000000183D850 +:0420310000016B6CD3 +:0420320000000000AA +:04203300000184AC78 +:0420340000010001A6 +:04203500000183D84B +:0420360000016B7CBE +:0420370000000000A5 +:04203800000184E639 +:04203900000300019F +:04203A0000017A68BF +:04203B0000016B8CA9 +:04203C0000000000A0 +:04203D00000183A873 +:04203E00000100019C +:04203F00000183C059 +:0420400000016B9898 +:04204100000000009B +:04204200000184D63F +:042043000003000195 +:042044000001828095 +:0420450000016BAC7F +:042046000000000492 +:042047000001414211 +:042048000000000094 +:042049000000000093 +:04204A0000016BC066 +:04204B00000000048D +:04204C000001331E3E +:04204D00000000008F +:04204E00000000008E +:04204F0000016DF42B +:04205000000000008C +:04205100000185A560 +:042052000003000186 +:04205300000182F016 +:0420540000016E0415 +:042055000000000186 +:04205600000185A25E +:04205700001F000066 +:04205800000139C08A +:0420590000016E1400 +:04205A000000000181 +:04205B00000185A358 +:04205C0000FF000081 +:04205D000001397CC9 +:04205E0000016E24EB +:04205F00000000017C +:04206000000185A452 +:0420610000C80A00A9 +:042062000001397CC4 +:0420630000016E34D6 +:042064000000000177 +:04206500000185A74A +:042066000005000071 +:0420670000013966D5 +:0420680000016E44C1 +:042069000000000172 +:04206A00000185A844 +:04206B00000500006C +:04206C0000013966D0 +:04206D0000016EB44C +:04206E00000000006E +:04206F00000185A641 +:042070000005000166 +:042071000001830CDB +:0420720000016EC03B +:042073000000000069 +:04207400000185A141 +:042075000002000164 +:0420760000018324BE +:0420770000016ED026 +:042078000000000163 +:04207900000185B22B +:04207A0000FF000063 +:04207B0000013950D7 +:04207C0000016EDC15 +:04207D00000000015E +:04207E00000185B325 +:04207F0000FF00005E +:0420800000013950D2 +:0420810000016EE804 +:042082000000000159 +:04208300000185B41F +:0420840000FF000059 +:0420850000013950CD +:0420860000016EF4F3 +:042087000000000154 +:04208800000185B519 +:0420890000FF000054 +:04208A0000013950C8 +:04208B0000016F00E1 +:04208C00000000014F +:04208D00000185B613 +:04208E0000FF00004F +:04208F0000013950C3 +:0420900000016F0CD0 +:04209100000000014A +:04209200000185B70D +:0420930000FF00004A +:0420940000013950BE +:0420950000016F18BF +:042096000000000145 +:04209700000185B807 +:04209800000F000035 +:0420990000013950B9 +:04209A000001841CA1 +:04209B000000000041 +:04209C000000000040 +:04209D00000000003F +:04209E00000000003E +:04209F00000000003D +:0420A000000170DCEF +:0420A100000170E0EA +:0420A200000170E4E5 +:0420A300000170AC1C +:0420A400000171C402 +:0420A500000171E0E5 +:0420A600000172388B +:0420A7000001724082 +:0420A8000001724879 +:0420A900000171C4FD +:0420AA00000171E0E0 +:0420AB00000171E8D7 +:0420AC00000171F8C6 +:0420AD0000017208B4 +:0420AE00000171C4F8 +:0420AF00000171D0EB +:0420B00000017218A1 +:0420B1000001722890 +:0420B2000001719424 +:0420B300000173189D +:0420B4000001732490 +:0420B500000170AC0A +:0420B6000001719420 +:0420B7000001719C17 +:0420B800000171486A +:0420B900000171545D +:0420BA000001716050 +:0420BB00000171703F +:0420BC00000172D0DD +:0420BD00000172E0CC +:0420BE00000172ECBF +:0420BF00000170AC00 +:0420C000000171A00A +:0420C100000171ACFD +:0420C200000171BCEC +:0420C3000001719413 +:0420C400000170ACFB +:0420C5000001734C57 +:0420C6000001735C46 +:0420C7000001736C35 +:0420C8000001737C24 +:0420C900000173346B +:0420CA00000173405E +:0420CB00000171940B +:0420CC000000000010 +:0420CD00000000000F +:0420CE00000000000E +:0420CF00000000000D +:0420D000000000000C +:0420D100000000000B +:0420D200000000000A +:0420D3000000000009 +:0420D4000000000008 +:0420D5000000000007 +:0420D6000000000006 +:0420D7000000000005 +:0420D8000000000004 +:0420D9000000000003 +:0420DA000000000002 +:0420DB000000000001 +:0420DC000000000000 +:0420DD0000000000FF +:0420DE0000000000FE +:0420DF0000000000FD +:0420E00000000000FC +:0420E10000000000FB +:0420E20000000000FA +:0420E30000000000F9 +:0420E400000163484C +:0420E50000018394DF +:0420E60000018394DE +:0420E7000010801055 +:0420E8000100800073 +:0420E90000024000B1 +:0420EA0000000001F1 +:0420EB0000022000CF +:0420EC000001727C01 +:0420ED00000171C0BD +:0420EE000001711468 +:0420EF000001712853 +:0420F000000170ACCF +:0420F1000001719CDD +:0420F200000171C4B4 +:0420F300000171D0A7 +:0420F400000171C4B2 +:0420F500000171E095 +:0420F600000170CCA9 +:0420F700000170D4A0 +:0420F800000172F879 +:0420F9000001730867 +:0420FA000001713C34 +:0420FB00000171402F +:0420FC0000017178F6 +:0420FD0000017188E5 +:0420FE00000183302A +:0420FF0000000009D4 +:0421000000017A98C8 +:0421010000000003D7 +:0421020000017B4C11 +:0421030000000005D3 +:0421040000017B88D3 +:042105000000000BCB +:0421060000017BEC6D +:0421070000000009CB +:0421080000017CC88E +:042109000000000DC5 +:04210A0000017D7CD7 +:04210B0000000006CA +:04210C0000017E80D0 +:04210D0000000005C9 +:04210E0000017EF856 +:04210F0000000009C3 +:0421100000017F5CEF +:042111000000000FBB +:042112000001801038 +:0421130000000006C2 +:042114000001813C09 +:0421150000000009BD +:04211600000181B48F +:04211700C896554BC6 :00000001FF diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 5ebf09e..f3e3ec4 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -71,6 +71,7 @@ alt_u8 stable_frames; alt_u8 update_cur_vm; alt_u8 profile_sel, profile_sel_menu, input_profiles[AV_LAST], lt_sel, def_input, profile_link, lcd_bl_timeout; +alt_u8 osd_enable, osd_enable_pre=1, osd_status_timeout, osd_status_timeout_pre; alt_u8 auto_input, auto_av1_ypbpr, auto_av2_ypbpr = 1, auto_av3_ypbpr; char row1[LCD_ROW_LEN+1], row2[LCD_ROW_LEN+1], menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; @@ -84,13 +85,18 @@ alt_u32 pclk_out; alt_u32 read_it2(alt_u32 regaddr); volatile sc_regs *sc = (volatile sc_regs*)SC_CONFIG_0_BASE; +volatile osd_regs *osd = (volatile osd_regs*)OSD_GENERATOR_0_BASE; inline void lcd_write_menu() { + strncpy((char*)osd->osd_chars.row1, menu_row1, LCD_ROW_LEN); + strncpy((char*)osd->osd_chars.row2, menu_row2, LCD_ROW_LEN); lcd_write((char*)&menu_row1, (char*)&menu_row2); } inline void lcd_write_status() { + strncpy((char*)osd->osd_chars.row1, row1, LCD_ROW_LEN); + strncpy((char*)osd->osd_chars.row2, row2, LCD_ROW_LEN); lcd_write((char*)&row1, (char*)&row2); } @@ -552,7 +558,7 @@ void update_sc_config() // Configure TVP7002 and scan converter logic based on the video mode void program_mode() { - alt_u8 h_syncinlen, v_syncinlen, hdmitx_pclk_level; + alt_u8 h_syncinlen, v_syncinlen, hdmitx_pclk_level, osd_x_size, osd_y_size; alt_u32 h_hz, v_hz_x100, h_synclen_px; // Mark as stable (needed after sync up to avoid unnecessary mode switch) @@ -575,8 +581,10 @@ void program_mode() sniprintf(row1, LCD_ROW_LEN+1, "%s %u%c", avinput_str[cm.avinput], (unsigned)cm.totlines, cm.progressive ? 'p' : 'i'); sniprintf(row2, LCD_ROW_LEN+1, "%u.%.2ukHz %u.%.2uHz", (unsigned)(h_hz/1000), (unsigned)((h_hz%1000)/10), (unsigned)(v_hz_x100/100), (unsigned)(v_hz_x100%100)); - if (!menu_active) + if (!menu_active) { + osd->osd_config.status_refresh = 1; lcd_write_status(); + } //printf ("Get mode id with %u %u %f\n", totlines, progressive, hz); cm.id = get_mode_id(cm.totlines, cm.progressive, v_hz_x100/100, target_typemask); @@ -603,6 +611,16 @@ void program_mode() set_csc(cm.cc.ypbpr_cs); cm.sample_sel = tvp_set_hpll_phase(video_modes[cm.id].sampler_phase, cm.sample_mult); + if (cm.fpga_vmultmode == FPGA_V_MULTMODE_1X) { + osd_x_size = (video_modes[cm.id].v_active > 700) ? 1 : 0; + osd_y_size = osd_x_size; + } else { + osd_x_size = 1 - cm.tx_pixelrep; + osd_y_size = 0; + } + osd->osd_config.x_size = osd_x_size; + osd->osd_config.y_size = osd_y_size; + update_sc_config(); TX_SetPixelRepetition(cm.tx_pixelrep, ((cm.cc.tx_mode!=TX_DVI) && (cm.tx_pixelrep == cm.hdmitx_pixr_ifr)) ? 1 : 0); @@ -742,6 +760,16 @@ int init_hw() read_userdata(INIT_CONFIG_SLOT, 0); read_userdata(profile_sel, 0); + // Setup OSD + osd_enable = osd_enable_pre; + osd_status_timeout = osd_status_timeout_pre; + osd->osd_config.x_size = 0; + osd->osd_config.y_size = 0; + osd->osd_config.x_offset = 3; + osd->osd_config.y_offset = 3; + osd->osd_config.enable = osd_enable; + osd->osd_config.status_timeout = osd_status_timeout; + // Setup remote keymap if (!(IORD_ALTERA_AVALON_PIO_DATA(PIO_1_BASE) & PB1_BIT)) setup_rc(); @@ -856,11 +884,13 @@ int main() #else strncpy(row2, "** DEBUG BUILD *", LCD_ROW_LEN+1); #endif + osd->osd_config.status_refresh = 1; lcd_write_status(); usleep(500000); } else { sniprintf(row1, LCD_ROW_LEN+1, "Init error %d", init_stat); strncpy(row2, "", LCD_ROW_LEN+1); + osd->osd_config.status_refresh = 1; lcd_write_status(); while (1) {} } @@ -1025,8 +1055,10 @@ int main() cm.clkcnt = 0; //TODO: proper invalidate strncpy(row1, avinput_str[cm.avinput], LCD_ROW_LEN+1); strncpy(row2, " NO SYNC", LCD_ROW_LEN+1); - if (!menu_active) + if (!menu_active) { + osd->osd_config.status_refresh = 1; lcd_write_status(); + } if (man_input_change) { // record last input if it was selected manually if (def_input == AV_LAST) @@ -1054,6 +1086,12 @@ int main() printf("Changing AV3 RGB source\n"); cm.cc.av3_alt_rgb = tc.av3_alt_rgb; } + if ((osd_enable != osd_enable_pre) || (osd_status_timeout != osd_status_timeout_pre)) { + osd_enable = osd_enable_pre; + osd_status_timeout = osd_status_timeout_pre; + osd->osd_config.enable = osd_enable; + osd->osd_config.status_timeout = osd_status_timeout; + } if (cm.avinput != AV_TESTPAT) { status = get_status(target_tvp_sync); @@ -1072,8 +1110,10 @@ int main() //ths_source_sel(THS_STANDBY, 0); strncpy(row1, avinput_str[cm.avinput], LCD_ROW_LEN+1); strncpy(row2, " NO SYNC", LCD_ROW_LEN+1); - if (!menu_active) + if (!menu_active) { + osd->osd_config.status_refresh = 1; lcd_write_status(); + } alt_timestamp_start();// reset auto input timer auto_input_ctr = 0; auto_input_current_ctr = 0; diff --git a/software/sys_controller/ossc/av_controller.h b/software/sys_controller/ossc/av_controller.h index efb5e76..f403883 100644 --- a/software/sys_controller/ossc/av_controller.h +++ b/software/sys_controller/ossc/av_controller.h @@ -23,6 +23,7 @@ #include "avconfig.h" #include "sysconfig.h" #include "sc_config_regs.h" +#include "osd_generator_regs.h" // sys_ctrl bits #define LT_ACTIVE (1<<15) diff --git a/software/sys_controller/ossc/controls.c b/software/sys_controller/ossc/controls.c index f3d462c..926d124 100644 --- a/software/sys_controller/ossc/controls.c +++ b/software/sys_controller/ossc/controls.c @@ -48,6 +48,7 @@ extern alt_u8 profile_sel, profile_sel_menu; extern alt_u8 lcd_bl_timeout; extern alt_u8 update_cur_vm, vm_edit; extern volatile sc_regs *sc; +extern volatile osd_regs *osd; alt_u32 remote_code; alt_u8 remote_rpt, remote_rpt_prev; @@ -149,6 +150,7 @@ int parse_control() case RC_BTN0: man_target_input = AV3_YPBPR; break; case RC_MENU: menu_active = !menu_active; + osd->osd_config.menu_active = menu_active; profile_sel_menu = profile_sel; if (menu_active) @@ -173,6 +175,7 @@ int parse_control() sc_status.fpga_vsyncgen ? '*' : ' ', (unsigned long)sc_status2.pcnt_frame); } + osd->osd_config.menu_active = 1; lcd_write_menu(); break; case RC_LCDBL: @@ -185,6 +188,7 @@ int parse_control() case RC_LM_MODE: strncpy(menu_row1, "Linemult mode:", LCD_ROW_LEN+1); strncpy(menu_row2, "press 1-5", LCD_ROW_LEN+1); + osd->osd_config.menu_active = 1; lcd_write_menu(); while (1) { @@ -214,6 +218,7 @@ int parse_control() usleep(WAITLOOP_SLEEP_US); } + osd->osd_config.menu_active = 0; lcd_write_status(); menu_active = 0; break; @@ -232,6 +237,7 @@ int parse_control() Prof_Hotkey_Prompt: strncpy(menu_row1, "Profile load:", LCD_ROW_LEN+1); sniprintf(menu_row2, LCD_ROW_LEN+1, "press %u-%u", prof_x10*10, ((prof_x10*10+9) > MAX_PROFILE) ? MAX_PROFILE : (prof_x10*10+9)); + osd->osd_config.menu_active = 1; lcd_write_menu(); while (1) { @@ -262,6 +268,8 @@ Prof_Hotkey_Prompt: btn_vec_prev = btn_vec; usleep(WAITLOOP_SLEEP_US); } + + osd->osd_config.menu_active = 0; lcd_write_status(); menu_active = 0; break; diff --git a/software/sys_controller/ossc/firmware.h b/software/sys_controller/ossc/firmware.h index 355d498..06d0061 100644 --- a/software/sys_controller/ossc/firmware.h +++ b/software/sys_controller/ossc/firmware.h @@ -24,13 +24,13 @@ #include "sysconfig.h" #define FW_VER_MAJOR 0 -#define FW_VER_MINOR 84 +#define FW_VER_MINOR 85 #define PROFILE_VER_MAJOR 0 -#define PROFILE_VER_MINOR 84 +#define PROFILE_VER_MINOR 85 #define INITCFG_VER_MAJOR 0 -#define INITCFG_VER_MINOR 83 +#define INITCFG_VER_MINOR 85 #ifdef ENABLE_AUDIO #define FW_SUFFIX1 "a" diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index be8897d..eb4d5a1 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -44,7 +44,9 @@ extern alt_u16 rc_keymap[REMOTE_MAX_KEYS]; extern alt_u8 vm_sel, profile_sel_menu, lt_sel, def_input, profile_link, lcd_bl_timeout; extern alt_u8 auto_input, auto_av1_ypbpr, auto_av2_ypbpr, auto_av3_ypbpr; extern alt_u8 update_cur_vm; +extern alt_u8 osd_enable_pre, osd_status_timeout_pre; extern char target_profile_name[PROFILE_NAME_LEN+1]; +extern volatile osd_regs *osd; alt_u16 tc_h_samplerate, tc_h_samplerate_adj, tc_h_synclen, tc_h_bporch, tc_h_active, tc_v_synclen, tc_v_bporch, tc_v_active, tc_sampler_phase; alt_u8 menu_active; @@ -73,6 +75,7 @@ static const char *sl_id_desc[] = { LNG("Top","ウエ"), LNG("Bottom","シタ") static const char *audio_dw_sampl_desc[] = { LNG("Off (fs = 96kHz)","オフ (fs = 96kHz)"), "2x (fs = 48kHz)" }; static const char *lt_desc[] = { "Top-left", "Center", "Bottom-right" }; static const char *lcd_bl_timeout_desc[] = { "Off", "3s", "10s", "30s" }; +static const char *osd_status_desc[] = { "2s", "5s", "10s", "Off" }; static const char *rgsb_ypbpr_desc[] = { "RGsB", "YPbPr" }; static const char *auto_input_desc[] = { "Off", "Current input", "All inputs" }; static const char *mask_color_desc[] = { "Black", "Blue", "Green", "Cyan", "Red", "Magenta", "Yellow", "White" }; @@ -222,6 +225,8 @@ MENU(menu_settings, P99_PROTECT({ \ { "Auto AV2 Y/Gs", OPT_AVCONFIG_SELECTION, { .sel = { &auto_av2_ypbpr, OPT_WRAP, SETTING_ITEM(rgsb_ypbpr_desc) } } }, { "Auto AV3 Y/Gs", OPT_AVCONFIG_SELECTION, { .sel = { &auto_av3_ypbpr, OPT_WRAP, SETTING_ITEM(rgsb_ypbpr_desc) } } }, { "LCD BL timeout", OPT_AVCONFIG_SELECTION, { .sel = { &lcd_bl_timeout, OPT_WRAP, SETTING_ITEM(lcd_bl_timeout_desc) } } }, + { "OSD enable", OPT_AVCONFIG_SELECTION, { .sel = { &osd_enable_pre, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, + { "OSD status disp.", OPT_AVCONFIG_SELECTION, { .sel = { &osd_status_timeout_pre, OPT_WRAP, SETTING_ITEM(osd_status_desc) } } }, #ifndef DEBUG { "", OPT_FUNC_CALL, { .fun = { import_userdata, NULL } } }, { LNG("","<ファームウェアアップデート>"), OPT_FUNC_CALL, { .fun = { fw_update, NULL } } }, @@ -279,6 +284,7 @@ void display_menu(alt_u8 forcedisp) navlvl--; } else { menu_active = 0; + osd->osd_config.menu_active = 0; lcd_write_status(); return; } diff --git a/software/sys_controller/ossc/userdata.c b/software/sys_controller/ossc/userdata.c index 5b3bf0e..66214a8 100644 --- a/software/sys_controller/ossc/userdata.c +++ b/software/sys_controller/ossc/userdata.c @@ -39,6 +39,7 @@ extern alt_u8 profile_sel; extern alt_u8 def_input, profile_link; extern alt_u8 lcd_bl_timeout; extern alt_u8 auto_input, auto_av1_ypbpr, auto_av2_ypbpr, auto_av3_ypbpr; +extern alt_u8 osd_enable_pre, osd_status_timeout_pre; extern SD_DEV sdcard_dev; extern char menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; @@ -75,6 +76,8 @@ int write_userdata(alt_u8 entry) ((ude_initcfg*)databuf)->auto_av1_ypbpr = auto_av1_ypbpr; ((ude_initcfg*)databuf)->auto_av2_ypbpr = auto_av2_ypbpr; ((ude_initcfg*)databuf)->auto_av3_ypbpr = auto_av3_ypbpr; + ((ude_initcfg*)databuf)->osd_enable = osd_enable_pre; + ((ude_initcfg*)databuf)->osd_status_timeout = osd_status_timeout_pre; memcpy(((ude_initcfg*)databuf)->keys, rc_keymap, sizeof(rc_keymap)); retval = write_flash_page(databuf, sizeof(ude_initcfg), (USERDATA_OFFSET+entry*SECTORSIZE)/PAGESIZE); if (retval != 0) @@ -167,6 +170,8 @@ int read_userdata(alt_u8 entry, int dry_run) auto_av1_ypbpr = ((ude_initcfg*)databuf)->auto_av1_ypbpr; auto_av2_ypbpr = ((ude_initcfg*)databuf)->auto_av2_ypbpr; auto_av3_ypbpr = ((ude_initcfg*)databuf)->auto_av3_ypbpr; + osd_enable_pre = ((ude_initcfg*)databuf)->osd_enable; + osd_status_timeout_pre = ((ude_initcfg*)databuf)->osd_status_timeout; profile_link = ((ude_initcfg*)databuf)->profile_link; profile_sel = input_profiles[AV_TESTPAT]; // Global profile lcd_bl_timeout = ((ude_initcfg*)databuf)->lcd_bl_timeout; diff --git a/software/sys_controller/ossc/userdata.h b/software/sys_controller/ossc/userdata.h index ede1a44..1b4b553 100644 --- a/software/sys_controller/ossc/userdata.h +++ b/software/sys_controller/ossc/userdata.h @@ -59,6 +59,8 @@ typedef struct { alt_u8 auto_av1_ypbpr; alt_u8 auto_av2_ypbpr; alt_u8 auto_av3_ypbpr; + alt_u8 osd_enable; + alt_u8 osd_status_timeout; alt_u16 keys[REMOTE_MAX_KEYS]; } __attribute__((packed, __may_alias__)) ude_initcfg; diff --git a/software/sys_controller_bsp/drivers/inc/osd_generator_regs.h b/software/sys_controller_bsp/drivers/inc/osd_generator_regs.h new file mode 120000 index 0000000..2581731 --- /dev/null +++ b/software/sys_controller_bsp/drivers/inc/osd_generator_regs.h @@ -0,0 +1 @@ +../../../../ip/osd_generator/inc/osd_generator_regs.h \ No newline at end of file diff --git a/software/sys_controller_bsp/libhal_bsp.a b/software/sys_controller_bsp/libhal_bsp.a index 93d7853..f30992d 100644 Binary files a/software/sys_controller_bsp/libhal_bsp.a and b/software/sys_controller_bsp/libhal_bsp.a differ diff --git a/software/sys_controller_bsp/system.h b/software/sys_controller_bsp/system.h index 660f1f4..2f3957c 100644 --- a/software/sys_controller_bsp/system.h +++ b/software/sys_controller_bsp/system.h @@ -283,11 +283,11 @@ #define JTAG_UART_0_IRQ 1 #define JTAG_UART_0_IRQ_INTERRUPT_CONTROLLER_ID 0 #define JTAG_UART_0_NAME "/dev/jtag_uart_0" -#define JTAG_UART_0_READ_DEPTH 64 +#define JTAG_UART_0_READ_DEPTH 16 #define JTAG_UART_0_READ_THRESHOLD 8 #define JTAG_UART_0_SPAN 8 #define JTAG_UART_0_TYPE "altera_avalon_jtag_uart" -#define JTAG_UART_0_WRITE_DEPTH 64 +#define JTAG_UART_0_WRITE_DEPTH 16 #define JTAG_UART_0_WRITE_THRESHOLD 8 @@ -390,6 +390,15 @@ #define SC_CONFIG_0_BASE 0x22000 #define SC_CONFIG_0_SPAN 16 +/* + * osd_generator configuration + * + */ + +#define ALT_MODULE_CLASS_osd_generator_0 osd_generator +#define OSD_GENERATOR_0_BASE 0x24000 +#define OSD_GENERATOR_0_SPAN 16 + /* * timer_0 configuration diff --git a/sys.qsys b/sys.qsys index d12a9fe..88eda43 100644 --- a/sys.qsys +++ b/sys.qsys @@ -177,6 +177,27 @@ type = "String"; } } + element osd_generator_0 + { + datum _sortIndex + { + value = "13"; + type = "int"; + } + } + element osd_generator_0.avalon_s + { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } + datum baseAddress + { + value = "147456"; + type = "String"; + } + } element pio_0 { datum _sortIndex @@ -314,6 +335,11 @@ internal="master_0.master_reset" type="reset" dir="start" /> + - + NO_INTERACTIVE_WINDOWS - + + @@ -514,6 +541,15 @@ + + + + + + + diff --git a/sys.sopcinfo b/sys.sopcinfo index d6fb66c..3e99f89 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1561995903 + 1570056576 false true false @@ -402,6 +402,12 @@ parameters are a RESULT of the module parameters. --> clock_sink sc_config_0.clock_sink + + false + osd_generator_0 + clock_sink + osd_generator_0.clock_sink + the requested settings for a module instance. --> embeddedsw.CMacro.READ_DEPTH - 64 + 16 embeddedsw.CMacro.READ_THRESHOLD @@ -3364,7 +3370,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.WRITE_DEPTH - 64 + 16 embeddedsw.CMacro.WRITE_THRESHOLD @@ -3404,7 +3410,7 @@ the requested settings for a module instance. --> int - 64 + 16 false true true @@ -3460,7 +3466,7 @@ the requested settings for a module instance. --> int - 64 + 16 false true true @@ -5923,6 +5929,572 @@ parameters are a RESULT of the module parameters. --> + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clock + false + + clk_i + Input + 1 + clk + + + + + + java.lang.String + clock_sink + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + rst_i + Input + 1 + reset + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + DYNAMIC + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 64 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clock_sink + false + true + true + true + + + java.lang.String + reset_sink + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + false + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + false + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + avalon_s_address + Input + 4 + address + + + avalon_s_writedata + Input + 32 + writedata + + + avalon_s_readdata + Output + 32 + readdata + + + avalon_s_byteenable + Input + 4 + byteenable + + + avalon_s_write + Input + 1 + write + + + avalon_s_read + Input + 1 + read + + + avalon_s_chipselect + Input + 1 + chipselect + + + avalon_s_waitrequest_n + Output + 1 + waitrequest_n + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + vclk + Input + 1 + vclk + + + xpos + Input + 11 + xpos + + + ypos + Input + 11 + ypos + + + osd_enable + Output + 1 + osd_enable + + + osd_color + Output + 1 + osd_color + + + @@ -8450,6 +9022,14 @@ parameters are a RESULT of the module parameters. --> 139264 64 + + false + osd_generator_0 + avalon_s + osd_generator_0.avalon_s + 147456 + 64 + false hw_crc32_0 @@ -10422,6 +11002,57 @@ parameters are a RESULT of the module parameters. --> sc_config_0 avalon_s + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00024000 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + pulpino_0 + avalon_master_lsu + osd_generator_0 + avalon_s + sc_config_0 clock_sink + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_27 + clk + osd_generator_0 + clock_sink + sc_config_0 reset_sink + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_27 + clk_reset + osd_generator_0 + reset_sink + 1 clock_source @@ -11855,7 +12540,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 12 + 13 clock_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -11863,7 +12548,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 12 + 13 reset_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -11871,7 +12556,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 13 + 14 avalon_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -11903,7 +12588,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 6 + 7 conduit_end com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -11950,6 +12635,14 @@ parameters are a RESULT of the module parameters. --> On-Chip Memory (RAM or ROM) 17.1 + + 1 + osd_generator + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + osd_generator + 1.0 + 2 altera_avalon_pio @@ -11991,7 +12684,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 14 + 15 avalon com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection @@ -11999,7 +12692,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 12 + 13 clock com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection @@ -12015,7 +12708,7 @@ parameters are a RESULT of the module parameters. --> 17.1 - 12 + 13 reset com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection diff --git a/tools/bin2hex.c b/tools/bin2hex.c index df206fb..6cee25e 100644 --- a/tools/bin2hex.c +++ b/tools/bin2hex.c @@ -1,53 +1,63 @@ #include #include +#include #include #include #include #include #include -#define MEMBLK 1024 - int main(int argc, char **argv) { - unsigned char block[4]; + unsigned char block[256]; unsigned int csum; - - int fd_i; - FILE *fd_o; - struct stat fileinfo; - - unsigned int i; - - if (argc != 3) { - printf("usage: %s binfile hexfile\n", argv[0]); - return -1; - } - - if ((fd_i = open(argv[1], O_RDONLY)) == -1 || fstat(fd_i, &fileinfo) == -1) { - printf("Couldn't open file\n"); - return -1; - } + + int fd_i, bc; + FILE *fd_o; + struct stat fileinfo; + + unsigned int i, j; + + if (argc != 4) { + printf("usage: %s width binfile hexfile\n", argv[0]); + return -1; + } + + bc = atoi(argv[1]); + if ((bc < 1) || (bc > 255)) { + printf("byte count per line must be 1-255"); + return -1; + } + + if ((fd_i = open(argv[2], O_RDONLY)) == -1 || fstat(fd_i, &fileinfo) == -1) { + printf("Couldn't open file\n"); + return -1; + } printf("size: %u bytes\n", fileinfo.st_size); - - if ((fd_o = fopen(argv[2], "w")) == NULL) { - printf("invalid outfile\n"); - return -1; - } - - for (i=0; i>8)+((i/4)&0xff)+block[3]+block[2]+block[1]+block[0]; + + if ((fd_o = fopen(argv[3], "w")) == NULL) { + printf("invalid outfile\n"); + return -1; + } + + for (i=0; i>8)+((i/bc)&0xff); + for (j=0; j