diff --git a/README.md b/README.md index aac8b03..79c7d51 100644 --- a/README.md +++ b/README.md @@ -26,11 +26,11 @@ Architecture SW toolchain build procedure -------------------------- -1. Download, configure, build and install RISC-V toolchain with Newlib + multilib support: +1. Download, configure, build and install RISC-V toolchain with Newlib + RV32EMC support: ~~~~ git clone --recursive https://github.com/riscv/riscv-gnu-toolchain cd riscv-gnu-toolchain -./configure --prefix=/opt/riscv --enable-multilib +./configure --prefix=/opt/riscv --with-arch=rv32emc --with-abi=ilp32e sudo make # sudo needed if installing under default /opt/riscv location ~~~~ 2. Compile custom binary to IHEX converter: diff --git a/ossc.qsf b/ossc.qsf index bb4c272..0ce1aba 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -224,7 +224,7 @@ set_global_assignment -name ENABLE_SIGNALTAP OFF set_global_assignment -name USE_SIGNALTAP_FILE output_files/ossc_la.stp set_global_assignment -name FITTER_EFFORT "AUTO FIT" -set_global_assignment -name SEED 15 +set_global_assignment -name SEED 16 diff --git a/software/ossc_sw.project b/software/ossc_sw.project index ae32caf..8837972 100644 --- a/software/ossc_sw.project +++ b/software/ossc_sw.project @@ -136,7 +136,7 @@ make APP_CFLAGS_DEBUG_LEVEL="-DDEBUG" generate_hex make ENABLE_AUDIO=y APP_CFLAGS_DEBUG_LEVEL="-DDEBUG" generate_hex - cd ../sys_controller_bsp && touch public.mk Makefile + cd ../sys_controller_bsp && touch bsp_timestamp make clean make APP_CFLAGS_DEBUG_LEVEL="-DDEBUG" @@ -182,7 +182,7 @@ make generate_hex make OSDLANG=JP make ENABLE_AUDIO=y - cd ../sys_controller_bsp && touch public.mk Makefile + cd ../sys_controller_bsp && touch bsp_timestamp make clean make diff --git a/software/sys_controller/Makefile b/software/sys_controller/Makefile index 02bc1f1..8e83516 100644 --- a/software/sys_controller/Makefile +++ b/software/sys_controller/Makefile @@ -169,7 +169,7 @@ C_SRCS += ossc/utils.c C_SRCS += ulibSD/sd_io.c C_SRCS += ulibSD/spi_io.c CXX_SRCS := -ASM_SRCS := crt0.boot.S +ASM_SRCS := crt0.boot_E.S # Path to root of object file tree. @@ -652,11 +652,11 @@ build_post_process : # included makefile fragment. # ifeq ($(DEFAULT_CROSS_COMPILE),) -DEFAULT_CROSS_COMPILE := riscv64-unknown-elf- +DEFAULT_CROSS_COMPILE := riscv32-unknown-elf- endif ifeq ($(DEFAULT_STACKREPORT),) -DEFAULT_STACKREPORT := riscv64-unknown-elf-size +DEFAULT_STACKREPORT := riscv32-unknown-elf-size endif ifeq ($(DEFAULT_DOWNLOAD),) @@ -755,7 +755,7 @@ ifeq ($(MKDIR),) MKDIR := $(DEFAULT_MKDIR) endif -RV_OBJCOPY = riscv64-unknown-elf-objcopy +RV_OBJCOPY = riscv32-unknown-elf-objcopy #------------------------------------------------------------------------------ # PATTERN RULES TO BUILD OBJECTS diff --git a/software/sys_controller/crt0.boot_E.S b/software/sys_controller/crt0.boot_E.S new file mode 100644 index 0000000..eaf071d --- /dev/null +++ b/software/sys_controller/crt0.boot_E.S @@ -0,0 +1,87 @@ +// Copyright 2017 ETH Zurich and University of Bologna. +// Copyright and related rights are licensed under the Solderpad Hardware +// License, Version 0.51 (the “License”); you may not use this file except in +// compliance with the License. You may obtain a copy of the License at +// http://solderpad.org/licenses/SHL-0.51. Unless required by applicable law +// or agreed to in writing, software, hardware and materials distributed under +// this License is distributed on an “AS IS” BASIS, WITHOUT WARRANTIES OR +// CONDITIONS OF ANY KIND, either express or implied. See the License for the +// specific language governing permissions and limitations under the License. + +#include "pulpino.h" + +#define EXCEPTION_STACK_SIZE 72 + + +/* ========================================================= [ entry ] === */ + .section .text + +default_exc_handler: + jal x0, default_exc_handler + +reset_handler: + /* set all registers to zero */ + mv x1, x0 + mv x2, x1 + mv x3, x1 + mv x4, x1 + mv x5, x1 + mv x6, x1 + mv x7, x1 + mv x8, x1 + mv x9, x1 + mv x10, x1 + mv x11, x1 + mv x12, x1 + mv x13, x1 + mv x14, x1 + mv x15, x1 + + /* stack initilization */ + la x2, _stack_start + +_start: + .global _start + + /* clear BSS */ + la x14, _bss_start + la x15, _bss_end + + bge x14, x15, zero_loop_end + +zero_loop: + sw x0, 0(x14) + addi x14, x14, 4 + ble x14, x15, zero_loop +zero_loop_end: + + +main_entry: + /* jump to alt_main program entry point */ + jal alt_main + +/* =================================================== [ exceptions ] === */ +/* This section has to be down here, since we have to disable rvc for it */ + + .section .vectors, "ax" + .option norvc; + + // external interrupts are handled by the same callback + // until compiler supports IRQ routines + .org 0x00 + .rept 31 + nop + .endr + jal x0, default_exc_handler + + // reset vector + .org 0x80 + jal x0, reset_handler + + // illegal instruction exception + .org 0x84 + jal x0, default_exc_handler + + // ecall handler + .org 0x88 + jal x0, default_exc_handler diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 1d45149..1e15479 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -42,7548 +42,7376 @@ :04002900858685063D :04002A00868686063A :04002B008786870637 -:04002C008886880634 -:04002D008986890631 -:04002E008A868A062E -:04002F008B868B062B -:040030008C868C0628 -:040031008D868D0625 -:040032008E868E0622 -:040033008F868F061F -:040034000000911720 -:04003500F3010113BF -:0400360000007D1732 -:040037005B8D0D13BD -:0400380000008D97A0 -:04003900A24D8D93B4 -:04003A0001BD57634A -:04003B00000D202371 -:04003C00DDE30D11E2 -:04003D0000EFFFAD24 -:04003E00114100402C -:04003F00C6064501AB -:040040002835283502 -:04004100A60367DDCE -:0400420067DD690706 -:040043006947A583E1 -:0400440040B267DD82 -:040045006987A5031F -:04004600206F0141E5 -:04004700110119008A -:04004800C62ACE06F0 -:040049004A4040EFFA -:04004A0040F245B289 -:04004B00655D862A3F -:04004C0005134681D1 -:04004D0061055D8567 -:04004E00A9FDA01D4B -:04004F0067DD808267 -:04005000000207376C -:040051006AE7A02397 -:0400520007B7655D2A -:04005300675D019C48 -:04005400CC078793BB -:04005500DD4505136D -:0400560068F72E23F6 -:040057004118A6A105 -:0400580000C586B3A6 -:04005900E4637541A6 -:04005A00853200D516 -:04005B00435C808200 -:04005C00DBF58FE958 -:04005D00C7830585CB -:04005E00C31CFFF5CB -:04005F00C939B7EDF7 -:040060000C05270361 -:04006100979311411F -:04006200C42200852F -:04006300C606C226E5 -:040064000037E793E7 -:04006500842A84AEB7 -:040066002703C75C49 -:04006700431C0C0426 -:04006800E7958B8508 -:040069004501431CEE -:04006A004027D71341 -:04006B004037D693B1 -:04006C008AA18B1DBD -:04006D008F5587859F -:04006E008FD98BC1DA -:04006F0000F48463B2 -:04007000FD20051357 -:04007100442240B233 -:040072000141449272 -:04007300450580823D -:04007400B7E121A52A -:040075008082552907 -:04007600C91957A9A4 -:04007700CA09C99158 -:0400780057ED5918CF -:04007900C709C218D9 -:04007A000345051322 -:04007B004781C18870 -:04007C008082853EBB -:04007D00C13957A985 -:04007E000405C26350 -:04007F000C45270302 -:0400800002E5FE6334 -:040081000D052683C0 -:04008200FFF68713EB -:04008300E71D8F6D79 -:0400840002D5D5B319 -:04008500010007B7B8 -:04008600F007879365 -:040087008DFD05A244 -:040088000C052783B9 -:040089000025E593D6 -:04008A002683C7CC36 -:04008B0047810C0598 -:04008C008B054A98FE -:04008D004785C701DB -:04008E0057EDCA9CC4 -:04008F008082853EA8 -:040090001005CE6326 -:04009100DC227139C3 -:04009200DE06D84A64 -:04009300D64EDA2645 -:04009400D256D4521A -:04009500892AD05A8A -:040096005529843232 -:040097000C064363AD -:040098000C090163EB -:040099002783CEDD0E -:04009A007C630C492E -:04009B00FA630AF604 -:04009C0027830AF5B7 -:04009D008E0D0D09AE -:04009E0040C786339E -:04009F000AE66363A7 -:0400A0000A074163A7 -:0400A1008DFD17FDBD -:0400A2006A05EDC935 -:0400A30000022AB776 -:0400A40049816B2102 -:0400A500802A0A1390 -:0400A600110A8A931E -:0400A700020B0B132A -:0400A8004501E31912 -:0400A90057FDA8BD9A -:0400AA007513CE3EBE -:0400AB00CD09003447 -:0400AC008F89479160 -:0400AD00F36384BABB -:0400AE0084BE00E725 -:0400AF0077938C09AE -:0400B000CB810034CC -:0400B100A8B1552974 -:0400B2004491478DA1 -:0400B30000E7E3631C -:0400B400087C84BA86 -:0400B500013685B3D8 -:0400B6008626953EC7 -:0400B700C436C63A4B -:0400B80015C040EF40 -:0400B900473246A2E2 -:0400BA008537087C02 -:0400BB006841000890 -:0400BC00009788B36E -:0400BD0044050513DE -:0400BE0010180813FB -:0400BF0003179D6323 -:0400C0000B892783FE -:0400C10099A6467244 -:0400C200C39097A2AE -:0400C3000C0927837A -:0400C40086138F050B -:0400C5004B9C010748 -:0400C600CF9D8B89B6 -:0400C700C21C478987 -:0400C80050F2556D30 -:0400C90054D2546257 -:0400CA0059B259428C -:0400CB005A925A22C9 -:0400CC0061215B0251 -:0400CD00C5838082E5 -:0400CE00078500079B -:0400CF00034586332C -:0400D000036585B38C -:0400D100015676332B -:0400D2008E4D8DE9D9 -:0400D30003060633E7 -:0400D4008FA3824133 -:0400D500B75DFEC74E -:0400D600B7990411C1 -:0400D70080825529A5 -:0400D8007179C9452C -:0400D900C85AD4220B -:0400DA00D226D6064E -:0400DB00CE4ED04AEB -:0400DC00CA56CC52E2 -:0400DD00C462C65ED5 -:0400DE008B32842AB3 -:0400DF00C61D5529BC -:0400E0000B842783E3 -:0400E1000BC4270322 -:0400E20097AE8A3615 -:0400E30096BE84AE93 -:0400E40000E7FE63D0 -:0400E50000E6FC63D2 -:0400E6000D042A8358 -:0400E700DAB34B81BC -:0400E8002783035512 -:0400E900EF630CC4F1 -:0400EA00450100FAD2 -:0400EB00542250B299 -:0400EC0059025492CF -:0400ED004A6249F228 -:0400EE004B424AD265 -:0400EF004C224BB2A2 -:0400F0008082614564 -:0400F100FE0A03E31D -:0400F2000D0429834D -:0400F30009B34C0100 -:0400F400F9B34130EB -:0400F5008463009987 -:0400F6008C33013412 -:0400F70085CE41343D -:0400F8003D09852217 -:0400F9002903F56181 -:0400FA0009330D04B5 -:0400FB007363418961 -:0400FC008952012AFA -:0400FD0006B3874A75 -:0400FE008626017BD6 -:0400FF00852285CE03 -:04010000F54D358103 -:04010100412A0A3352 -:0401020094CA9BCA36 -:04010300BF510A8559 -:040104008082552977 -:04010500C54957A9E8 -:040106002783C64144 -:0401070027030B853A -:0401080095BE0BC5D0 -:0401090000B6883381 -:04010A00FB6357A993 -:04010B00796306E529 -:04010C00114106E8AF -:04010D008436C4224E -:04010E00853686B2FA -:04010F00C606862278 -:040110007FD030EF7D -:04011100658586AAD0 -:04011200000225378B -:0401130088B7682120 -:04011400634100083B -:040115008593460187 -:040116000513802528 -:0401170008131105B3 -:0401180088930208BE -:040119000313440880 -:04011A004863101313 -:04011B0040B2008668 -:04011C0047814422B1 -:04011D000141853ED9 -:04011E008E3380821A -:04011F00470300C6CC -:040120000605000EC2 -:0401210002B707B367 -:040122000307073395 -:0401230077338FE9B6 -:040124008FD9011757 -:04012500026787B333 -:04012600002383C16E -:04012700B7F100FE2E -:040128008082853E0E -:040129002703CD4D8E -:04012A0057B50C05B4 -:04012B002783CB5506 -:04012C00EBA90C85AA -:04012D0046B1471878 -:04012E000FF777133D -:04012F00FEB707937D -:0401300002F6E96387 -:04013100078A66D5FE -:040132006506869345 -:04013300439C97B69C -:040134000793878224 -:0401350026832000FD -:0401360026030D058A -:0401370085B30C453B -:04013800166302F652 -:04013900258300B664 -:04013A0086630CC507 -:04013B00282304F57C -:04013C0057B50205AC -:04013D000793A0B5CF -:04013E00BFF14000CD -:04013F0087936785B6 -:04014000BFD18007A4 -:0401410047D94358FF -:040142000FF7771329 -:0401430000F70F634F -:040144000F6347E11D -:0401450046D100F7A8 -:04014600136357B533 -:04014700079304D73F -:04014800BF5502009D -:040149000400079314 -:04014A000793B77DE3 -:04014B00B76508008C -:04014C001000079305 -:04014D001141B74D58 -:04014E002C23C60692 -:04014F0065DD0CE579 -:04015000DD5C470526 -:040151002A23D9186C -:04015200DD100205B5 -:040153008593C1349B -:0401540022015E0521 -:04015500478140B2EC -:040156000141853EA0 -:0401570057A98082A2 -:040158008082853EDE -:04015900063347958D -:04015A00242302F662 -:04015B0047850005CF -:04015C00D5B3C91C32 -:04015D0015FD02C5C5 -:04015E000FF5F7930F -:04015F00C11C81A19D -:040160000FF5F5930F -:040161000793C14CF3 -:04016200C51C0800B0 -:04016300058680820B -:0401640095B28A05C1 -:040165000793C54CEB -:040166000713090072 -:04016700C91C0105A9 -:040168008B89431C20 -:040169004908FFF54D -:04016A008905811D65 -:04016B000713808274 -:04016C0007930105EF -:04016D00E19906808E -:04016E0002000793F1 -:04016F00431CC91C48 -:04017000FFF58B8983 -:0401710080824548FB -:040172000713C54C5E -:0401730007930105E8 -:04017400E21105008F -:04017500C91C47C199 -:040176008B89431C12 -:040177004908FFF53F -:040178008905811D57 -:0401790047818082B8 -:04017A0002C0069326 -:04017B0000C7C36393 -:04017C000813808262 -:04017D00C91401059B -:04017E00000827034B -:04017F00FF6D8B097C -:040180002803C599F2 -:04018100873300C5FB -:04018200002300F561 -:0401830007850107E4 -:040184004781BFF1FF -:04018500C36346F119 -:04018600808200C7AC -:0401870000F58733C5 -:040188000007470322 -:040189000105081351 -:04018A00C914C55877 -:04018B00000827033E -:04018C00FF6D8B096F -:04018D00B7C5078566 -:04018E00053347ED01 -:04018F00479D02F591 -:0401900002F55533EC -:0401910000A7E4637C -:040192008082450121 -:0401930007850001DB -:04019400C119BFD5F9 -:04019500EF91451C85 -:04019600A78367DDF7 -:04019700C3955DC7E8 -:04019800C606114145 -:0401990040B2978257 -:04019A00C11C47D964 -:04019B0001415529A0 -:04019C00419C808280 -:04019D00C11CC14C74 -:04019E00C3C8419CF5 -:04019F004501C188CD -:0401A0006561808293 -:0401A100B00505138D -:0401A200C11C47D95C -:0401A30080825529D8 -:0401A40000B5673308 -:0401A500FFF00393D1 -:0401A6000037771394 -:0401A70010071063CA -:0401A8007F7F87B717 -:0401A900F7F787934A -:0401AA000005260323 -:0401AB000005A68322 -:0401AC0000F672B334 -:0401AD0000F66333C2 -:0401AE0000F282B326 -:0401AF000062E2B355 -:0401B00010729263D4 -:0401B10008D61663F3 -:0401B20000452603DB -:0401B3000045A683DA -:0401B40000F672B32C -:0401B50000F66333BA -:0401B60000F282B31E -:0401B7000062E2B34D -:0401B8000C729E63C4 -:0401B90006D61663ED -:0401BA000085260393 -:0401BB000085A68392 -:0401BC0000F672B324 -:0401BD0000F66333B2 -:0401BE0000F282B316 -:0401BF000062E2B345 -:0401C0000C729863C2 -:0401C10004D61663E7 -:0401C20000C526034B -:0401C30000C5A6834A -:0401C40000F672B31C -:0401C50000F66333AA -:0401C60000F282B30E -:0401C7000062E2B33D -:0401C8000C729263C0 -:0401C90002D61663E1 -:0401CA000105260302 -:0401CB000105A68301 -:0401CC0000F672B314 -:0401CD0000F66333A2 -:0401CE0000F282B306 -:0401CF000062E2B335 -:0401D0000A729C63B0 -:0401D10001450513CC -:0401D20001458593CB -:0401D300F4D60EE36D -:0401D40001061713F6 -:0401D50001069793F5 -:0401D60000F71E63AD -:0401D70001065713B3 -:0401D8000106D793B2 -:0401D90040F70533B3 -:0401DA000FF5759315 -:0401DB000205906326 -:0401DC000000806738 -:0401DD0001075713AC -:0401DE000107D793AB -:0401DF0040F70533AD -:0401E0000FF575930F -:0401E100000594631E -:0401E2000000806732 -:0401E3000FF7771388 -:0401E4000FF7F79387 -:0401E50040F70533A7 -:0401E600000080672E -:0401E70000054603C6 -:0401E8000005C683C5 -:0401E90000150513E5 -:0401EA0000158593E4 -:0401EB0000D61463C3 -:0401EC00FE0616E312 -:0401ED0040D60533C0 -:0401EE000000806726 -:0401EF0000450513AF -:0401F00000458593AE -:0401F100FCD61CE339 -:0401F20000000513F1 -:0401F3000000806721 -:0401F400008505136A -:0401F5000085859369 -:0401F600FCD612E33E -:0401F70000000513EC -:0401F800000080671C -:0401F90000C5051325 -:0401FA0000C5859324 -:0401FB00FAD618E335 -:0401FC0000000513E7 -:0401FD000000806717 -:0401FE0001050513DF -:0401FF0001058593DE -:04020000F8D61EE32B -:0402010000000513E1 -:040202000000806711 -:04020300D422717917 -:04020400D04AD226E4 -:04020500D606CE4EFD -:0402060089B2892A06 -:0402070084BA8436FB -:040208006637EDADBB -:0402090006130001D7 -:04020A009D63660684 -:04020B0056B70609D3 -:04020C0086930001D4 -:04020D00EC256886EE -:04020E00000157375D -:04020F0068870713E2 -:04021000000155375D -:0402110085CA87A66D -:0402120068C50513A3 -:040213003C5020EF4C -:04021400120D07B709 -:04021500282787937C -:040216000793C03E4C -:0402170084630400F8 -:04021800079300093F -:04021900041EF800C7 -:04021A000087E793DF -:04021B0000846413E4 -:04021C00858A888DBA -:04021D0002A34505EE -:04021E000323008135 -:04021F0003A3012113 -:040220000223009124 -:04022100C40200F122 -:040222002921C602C6 -:04022300542250B25F -:040224005902549295 -:04022500614549F2F4 -:040226006637808235 -:0402270006130001B9 -:04022800B76168C68C -:04022900000156B7C3 -:04022A006846869309 -:04022B005737B76921 -:04022C0007130001B3 -:04022D00B7696847FE -:04022E004702110171 -:04022F00080007B705 -:040230008FF9CE066E -:04023100110A073770 -:040232001047071357 -:04023300C03E8FD961 -:0402340000414783BB -:040235000037E79314 -:0402360000F10223AE -:04023700C111479515 -:040238009713478D44 -:0402390047830027D0 -:04023A00858A004170 -:04023B009B8D45054D -:04023C0002238FD931 -:04023D00578300F1F2 -:04023E00F7930061D1 -:04023F00132370070E -:04024000295100F14F -:04024100610540F221 -:040242001141808264 -:040243001437C42286 -:04024400C2260002CC -:0402450084AA460140 -:0402460004C0059358 -:040247000404051393 -:0402480031B5C60600 -:040249000FF4F59326 -:04024A000513460151 -:04024B003969040405 -:04024C0004C0059352 -:04024D00040405138D -:04024E003991460597 -:04024F00040405138B -:0402500040B2442252 -:040251004585449209 -:04025200B195014120 -:04025300C42211416F -:040254000002143759 -:04025500C04AC226B3 -:04025600892A84AEBF -:0402570005934601C4 -:04025800051304C0C6 -:04025900C6060404CD -:04025A007593311D4A -:04025B0046010FF950 -:04025C00040405137E -:04025D0005133991BB -:04025E00442204042E -:04025F00490240B25E -:04026000449285A699 -:04026100014146050C -:040262001141B18114 -:040263003FB5C606D7 -:04026400751340B21C -:0402650001410FF54F -:040266001141808240 -:04026700377DC60613 -:04026800450140B25A -:04026900808201414D -:04026A00CC22110190 -:04026B00C84ACA268D -:04026C0084AACE068C -:04026D004401892E91 -:04026E0000C449631C -:04026F00446240F2B3 -:04027000494244D2E9 -:0402710061054501DD -:0402720007B38082CC -:04027300C5830089B6 -:0402740085330007C7 -:040275007513008479 -:04027600C6320FF588 -:0402770004053F85B6 -:04027800BFD9463272 -:040279003E80079329 -:04027A0002F5053351 -:04027B00F4EFF06F3D -:04027C004581114166 -:04027D00C606453D2F -:04027E00C226C422AE -:04027F003F71C04AC1 -:04028000051345819C -:040281003F510610D3 -:04028200061004134B -:0402830000016937D6 -:040284000680049359 -:040285000FF47513EA -:04028600862A3F8DF8 -:04028700051385A234 -:04028800040581895F -:040289001ED020EF74 -:04028A00FE9416E3E5 -:04028B00442240B217 -:04028C00490244924D -:04028D008082014129 -:04028E00C422114134 -:04028F000413645D93 -:0402900045836B44F3 -:0402910045150024EB -:040292003F81C606DC -:040293000024478379 -:0402940003D00593FB -:04029500839D4511EF -:040296000027971393 -:0402970000C44783D5 -:040298008FD99BED72 -:0402990000F4062344 -:04029A0045053F0DCA -:04029B0045F53FA541 -:04029C0037254511AC -:04029D0003000593C2 -:04029E00061005132E -:04029F0005933F394B -:0402A00045250B20C5 -:0402A10005933F1969 -:0402A20045290F805B -:0402A300059337394F -:0402A400452D037071 -:0402A500458137193F -:0402A6003701453D9A -:0402A7000513458175 -:0402A8003DE50C9094 -:0402A9000513458173 -:0402AA003DC50CA0A2 -:0402AB000513458171 -:0402AC0035E50CB078 -:0402AD00051345816F -:0402AE0035C50CC086 -:0402AF00051345816D -:0402B0003DE10CD050 -:0402B100051345816B -:0402B2003DC10CE05E -:0402B3000513458169 -:0402B40035E10CF034 -:0402B5000513458167 -:0402B60035C10D0041 -:0402B7000044458337 -:0402B80040B24422EA -:0402B9000E1005130B -:0402BA00BD450141FC -:0402BB00D42271795F -:0402BC00D04AD2262C -:0402BD000413CE4E0A -:0402BE00D6063E80A2 -:0402BF0089AA47853C -:0402C0005433892EFC -:0402C100648D0285C1 -:0402C20000F595634B -:0402C3008493648933 -:0402C40045858004E8 -:0402C500C632453DBB -:0402C60045813549F0 -:0402C70003300513E8 -:0402C800D5933DADE0 -:0402C9000513008495 -:0402CA003D8503402B +:04002C000000911728 +:04002D00F5010113C5 +:04002E000000771740 +:04002F0032870713FA +:0400300000008797AE +:040031008507879325 +:0400320000F7576319 +:04003300000720237F +:04003400DDE30711F0 +:0400350000EFFEE7F3 +:040036001151004024 +:04003700C4064501B5 +:04003800200520057A +:04003900A60367DDD6 +:04003A0067DD3E0739 +:04003B003E47A58314 +:04003C0040A267DD9A +:04003D003E87A50352 +:04003E00206F0131FD +:04003F00A3A10AE08F +:0400400067DD808276 +:04004100000207377B +:040042003EE7A823CA +:0400430007B7655D39 +:04004400675D019C57 +:04004500CC078793CA +:04004600A8450513B1 +:040047003EF7262337 +:04004800675DAE49F9 +:040049003EC7270384 +:04004A00A78367DD44 +:04004B00557D3F0799 +:04004C004721CB116C +:04004D006741C3D86C +:04004E00C798177DBB +:04004F004711C7D8B6 +:040050004501C3D8CB +:0400510067DD808265 +:040052003EC7A7837B +:04005300CF91557D77 +:04005400A70367DDBA +:0400550028233F0716 +:040056004B1C000738 +:0400570007C24B4849 +:0400580083C1054219 +:0400590045138D5D61 +:04005A008082FFF5AC +:04005B00A50367DDB5 +:04005C0080823EC799 +:04005D002703C93973 +:04005E0011510C052B +:04005F0000859793EE +:04006000C026C222D2 +:04006100E793C40657 +:0400620084AE003731 +:04006300C75C842AC8 +:040064000C0427035E +:040065008B85431C28 +:04006600431CE795BB +:04006700D713450165 +:04006800D6934027C4 +:040069008B1D403774 +:04006A0087858AA15B +:04006B008BC18F5561 +:04006C0084638FD941 +:04006D00051300F483 +:04006E0040A2FD208F +:04006F004482441271 +:040070008082013158 +:0400710021414505DF +:040072005529B7E174 +:0400730057A9808287 +:04007400C991C9194C +:040075005918CA0943 +:04007600C21857ED68 +:040077000513C7099D +:04007800C1880345F3 +:04007900853E4781F8 +:04007A0057A9808280 +:04007B00C263C13962 +:04007C00270304054D +:04007D00FE630C45CD +:04007E00268302E5EE +:04007F0087130D05D1 +:040080008F6DFFF68B +:04008100D5B3E71DEF +:0400820007B702D5E5 +:04008300879301005E +:0400840005A2F007DA +:0400850027838DFD43 +:04008600E5930C05ED +:04008700C7CC0025BD +:040088000C052683BA +:040089004A984781C9 +:04008A00C7018B051A +:04008B00CA9C47853F +:04008C00853E57ED69 +:04008D00C163808249 +:04008E00717912056D +:04008F00D606D4229B +:04009000832AD226C7 +:040091005529843237 +:0400920002064863B7 +:0400930002030663FB +:040094002783C68573 +:0400950071630C4344 +:04009600FF6302F60C +:04009700278300F5C6 +:040098008E0D0D03B9 +:0400990040C78633A3 +:04009A0000E66863B1 +:04009B0000074663B1 +:04009C008DFD17FDC2 +:04009D00CDF14281DE +:04009E00542250B2E6 +:04009F0061455492D1 +:0400A00057FD808206 +:0400A1007513D03EC5 +:0400A200CD09003450 +:0400A3008F89479169 +:0400A400F36384BAC4 +:0400A50084BE00E72E +:0400A60077938C09B7 +:0400A700CB810034D5 +:0400A800BFD955293E +:0400A9004491478DAA +:0400AA0000E7E36325 +:0400AB00101C84BAE7 +:0400AC00005685B3C2 +:0400AD00953E8626D0 +:0400AE00CC3ACE1A60 +:0400AF00C836CA166F +:0400B000129040EF7B +:0400B10007B3101071 +:0400B200C03E0096B6 +:0400B3008793678543 +:0400B400C23E8027A1 +:0400B500000227B767 +:0400B6001107879314 +:0400B70046C2C43E3B +:0400B80042D267A128 +:0400B90043724762E5 +:0400BA00020787931F +:0400BB008537C63E81 +:0400BC00478200086F +:0400BD0002F61463D0 +:0400BE000B83278306 +:0400BF0092A65602AD +:0400C000C39097A2B0 +:0400C1000C03278382 +:0400C20086138F050D +:0400C3004B9C01074A +:0400C400CF9D8B89B8 +:0400C500C21C478989 +:0400C600BFB9556DFC +:0400C7000006478365 +:0400C80043A2459278 +:0400C90085B30605F0 +:0400CA00F5B302B7D1 +:0400CB0043B20075C7 +:0400CC00027787B37D +:0400CD004405039350 +:0400CE000077F7B30D +:0400CF008DDD63C19F +:0400D00010138393F3 +:0400D100027585B37C +:0400D2000FA381C136 +:0400D300B755FEB669 +:0400D400FB05041113 +:0400D500B70D45011D +:0400D60080825529A6 +:0400D700FDC1011353 +:0400D800CE22D0065E +:0400D900C232CC263D +:0400DA00842AC15D56 +:0400DB00C24D552994 +:0400DC000B84270367 +:0400DD0087AE83B6B1 +:0400DE008633972EA0 +:0400DF00268300E68E +:0400E00077630BC473 +:0400E100756308D764 +:0400E200270308D612 +:0400E300C0020D0446 +:0400E40002E5D4B3AA +:0400E5000CC427031D +:0400E60000E4E463EB +:0400E700A88D45019A +:0400E800FE038EE3A2 +:0400E9000D0422835D +:0400EA0002B3470115 +:0400EB00F2B34050DC +:0400EC00846300F237 +:0400ED0087330057FE +:0400EE00859640575C +:0400EF00CA3A852262 +:0400F000C63EC81E22 +:0400F1003515C416E7 +:0400F2002303E521DE +:0400F30047520D045F +:0400F40042A243C21F +:0400F50040E30333AE +:0400F600F36347B2B7 +:0400F700831E006301 +:0400F80046924602E4 +:0400F9008596871A47 +:0400FA00852296B213 +:0400FB00C81E863E57 +:0400FC00C43EC61A1E +:0400FD00ED0935894B +:0400FE0047824332C0 +:0400FF00048543C26F +:04010000C03E979ACC +:0401010083B347A2DB +:04010200979A406325 +:040103005529B76162 +:04010400447250826F +:04010500011344E2BC +:0401060080820241B0 +:04010700C94157A9EA +:040108002783C6592A +:0401090027030B8538 +:04010A0095BE0BC5CE +:04010B0000B6833384 +:04010C00FE6357A98E +:04010D007C6306E524 +:04010E00873206E34B +:04010F0086361151CE +:04011000C222853A48 +:040111008436C40666 +:0401120040EFC026D4 +:04011300872A79E0DE +:0401140025B76605A0 +:04011500652100025E +:040116000613468105 +:040117008593802626 +:0401180005131105B5 +:0401190082B70205A2 +:04011A00C9630008AD +:04011B0040A2008678 +:04011C0047814412C1 +:04011D00853E448255 +:04011E0080820131A9 +:04011F0000D70333CF +:040120000003438312 +:04012100440284937D +:0401220087B3068514 +:0401230083B302C3DD +:040124008FED02A3B6 +:040125000093F3B39D +:040126000077E7B3C4 +:04012700839363C19A +:0401280087B3101376 +:0401290083C1027715 +:04012A0000F30023BB +:04012B00853EBF7DD1 +:04012C00CD4D8082B3 +:04012D000C05270393 +:04012E00CB5557B5A1 +:04012F000C85278391 +:040130004718EBA9D8 +:04013100771346B149 +:0401320007930FF729 +:04013300E963FEB7C7 +:0401340066D902F690 +:040135008693078A1C +:0401360097B68206F0 +:040137008782439CDC +:040138002000079309 +:040139000D05268307 +:04013A000C45260347 +:04013B0002F685B390 +:04013C0000B6166390 +:04013D000CC5258345 +:04013E0004F58663DB +:04013F00020528236A +:04014000A0B557B55A +:0401410040000793E0 +:040142006785BFF11D +:040143008007879317 +:040144004358BFD18C +:04014500771347D90C +:040146000F630FF73D +:0401470047E100F795 +:0401480000F70F634A +:0401490057B546D18F +:04014A0004D7136360 +:04014B000200079314 +:04014C000793BF5501 +:04014D00B77D040076 +:04014E00080007930B +:04014F000793B765F6 +:04015000B74D100097 +:04015100C40611517E +:040152000CE52C2369 +:04015300470565DD1A +:04015400D918DD5C7D +:0401550002052A2352 +:04015600C134DD10C3 +:0401570032858593D5 +:0401580040A222019E +:04015900853E478117 +:04015A00808201316D +:04015B00853E57A9DD +:04015C0047958082C1 +:04015D0002F606336D +:04015E000005242351 +:04015F00C91C4785EB +:0401600002C5D5B34C +:04016100F79315FDFE +:0401620081A10FF573 +:04016300F593C11C33 +:04016400C14C0FF586 +:0401650008000793F4 +:040166008082C51CB2 +:040167008A0505867A +:04016800C54C95B23B +:0401690009000793EF +:04016A000105071371 +:04016B00431CC91C4C +:04016C00FFF58B8987 +:04016D00811D49089F +:04016E0080828905FD +:04016F00010507136C +:04017000068007936B +:040171000793E19976 +:04017200C91C0200A2 +:040173008B89431C15 +:040174004548FFF506 +:04017500C54C808273 +:040176000105071365 +:0401770005000793E5 +:0401780047C1E21188 +:04017900431CC91C3E +:04017A00FFF58B8979 +:04017B00811D490891 +:04017C0080828905EF +:04017D00069347811D +:04017E00C36302C095 +:04017F00808200C7B3 +:04018000010503135F +:040181002703C91473 +:040182008B090003E2 +:04018300C599FF6DAE +:0401840000C523038C +:0401850000F58733C7 +:0401860000670023EB +:04018700BFF1078538 +:0401880046F1478174 +:0401890000C7C36385 +:04018A0087338082B5 +:04018B00470300F531 +:04018C000313000752 +:04018D00C55801054B +:04018E002703C91466 +:04018F008B090003D5 +:040190000785FF6D73 +:0401910047EDB7C5BA +:0401920002F505333A +:040193005533479DFC +:04019400E46302F529 +:04019500450100A779 +:040196000001808262 +:04019700BFD5078544 +:04019800451CC11928 +:0401990067DDEF919E +:04019A003247A783BE +:04019B001151C395A6 +:04019C009782C4067C +:04019D0047D940A25C +:04019E005529C11C02 +:04019F008082013128 +:0401A000C14C419C71 +:0401A100419CC11CA0 +:0401A200C188C3C885 +:0401A3008082450110 +:0401A4000513656179 +:0401A50047D990C5E1 +:0401A6005529C11CFA +:0401A70067338082B8 +:0401A80053FD00B54E +:0401A900E7798B0D5A +:0401AA007F7F87B715 +:0401AB00F7F7879348 +:0401AC004194411029 +:0401AD0000F672B333 +:0401AE0000F66333C1 +:0401AF00E2B392BE67 +:0401B00097630062EF +:0401B10018630C7251 +:0401B200415006D6DC +:0401B30072B341D40E +:0401B400633300F6BB +:0401B50092BE00F600 +:0401B6000062E2B34E +:0401B7000A729863CD +:0401B80004D61B63EB +:0401B9004594451014 +:0401BA0000F672B326 +:0401BB0000F66333B4 +:0401BC00E2B392BE5A +:0401BD0091630062E8 +:0401BE001E630A7240 +:0401BF00455002D6CF +:0401C00072B345D4FD +:0401C100633300F6AE +:0401C20092BE00F6F3 +:0401C3000062E2B341 +:0401C40008729A63C0 +:0401C50002D61163EA +:0401C60049944910FF +:0401C70000F672B319 +:0401C80000F66333A7 +:0401C900E2B392BE4D +:0401CA0093630062D9 +:0401CB000551087260 +:0401CC000FE305D167 +:0401CD001713F6D638 +:0401CE0097930106FC +:0401CF001C630106A6 +:0401D000571300F7CA +:0401D100D7930106B9 +:0401D20005330106EA +:0401D300759340F7E9 +:0401D400E9910FF5A9 +:0401D5008341808260 +:0401D600053383C1A9 +:0401D700759340F7E5 +:0401D800E1910FF5AD +:0401D9007713808296 +:0401DA00F7930FF791 +:0401DB0005330FF7E2 +:0401DC00808240F7E6 +:0401DD0000054603D0 +:0401DE000005C683CF +:0401DF000585050588 +:0401E00000D61363CF +:0401E1000533FA6583 +:0401E200808240D601 +:0401E300059105116C +:0401E400FED612E34E +:0401E50080824501CE +:0401E60005A1052149 +:0401E700FCD61CE343 +:0401E80080824501CB +:0401E90005B1053126 +:0401EA00FCD616E346 +:0401EB0080824501C8 +:0401EC0005C1054103 +:0401ED00FCD610E349 +:0401EE0080824501C5 +:0401EF00120D07B72F +:0401F00087931111CF +:0401F100C03E2827BD +:0401F2000793CC069D +:0401F300E219F80015 +:0401F4000400079369 +:0401F5000323069E3C +:0401F600E79300A1EA +:0401F700E693008704 +:0401F8008B0D0086E5 +:0401F9004505858AA9 +:0401FA0000F10223EB +:0401FB0000D102A38A +:0401FC0000E103A378 +:0401FD00C602C40270 +:0401FE0040E226C1F4 +:0401FF008082017188 +:04020000470211118F +:04020100080007B733 +:040202008FF9CC069E +:04020300110A07379E +:040204001047071385 +:04020500C03E8FD98F +:0402060000414783E9 +:040207000037E79342 +:0402080000F10223DC +:04020900C111479543 +:04020A009713478D72 +:04020B0047830027FE +:04020C00858A00419E +:04020D009B8D45057B +:04020E0002238FD95F +:04020F00578300F120 +:04021000F7930061FF +:04021100132370073C +:04021200219900F13D +:04021300017140E253 +:040214001151808282 +:040215001437C222B6 +:04021600C0260002FC +:0402170084AA46016E +:0402180004C0059386 +:0402190004040513C1 +:04021A003B0DC406CE +:04021B000FF4F59354 +:04021C00051346017F +:04021D00338504041D +:04021E0004C0059380 +:04021F0004040513BB +:040220003B2946052B +:0402210004040513B9 +:0402220040A24412A0 +:040223004585448247 +:04022400B32D0131C4 +:04022500C42211419D +:040226000002143787 +:04022700C02AC22601 +:04022800460184AE59 +:0402290004C0059375 +:04022A0004040513B0 +:04022B0031FDC606D5 +:04022C0046014782BE +:04022D0004040513AD +:04022E000FF7F5933E +:04022F0005133B294F +:04023000442204045C +:0402310085A640B2AC +:0402320046054492A7 +:04023300B3210141B1 +:04023400C40611519A +:0402350040A23FBDE7 +:040236000FF5751338 +:04023700808201318F +:04023800C406115196 +:0402390040A23F455B +:04023A000131450148 +:04023B00113180827B +:04023C00C426C622EC +:04023D0084AAC806C1 +:04023E00440187AE42 +:04023F0000C448634C +:04024000443240C242 +:04024100450144A28D +:040242008082015164 +:040243000087873376 +:0402440000074583E7 +:040245000084853379 +:040246000FF5751328 +:04024700C03EC232C1 +:0402480004053F95D5 +:040249004782461290 +:04024A000793BFD186 +:04024B0005333E80B9 +:04024C00F06F02F558 +:04024D001151FCCF80 +:04024E00453D458164 +:04024F00374DC4065D +:04025000458140A202 +:04025100061005137B +:04025200BF5901315E +:04025300C222115161 +:040254000413645DCE +:040255004583404459 +:040256004515002426 +:040257003749C40659 +:0402580000244783B4 +:0402590003D0059336 +:04025A00839D45112A +:04025B0000279713CE +:04025C0000C4478310 +:04025D008FD99BEDAD +:04025E0000F406237F +:04025F004505379585 +:0402600045F5376DBC +:040261003FA945115B +:0402620003000593FD +:040263000610051369 +:0402640005933F813E +:0402650045250B2000 +:04026600059337A124 +:0402670045290F8096 +:040268000593378142 +:04026900452D0370AC +:04026A0045813F2566 +:04026B003F0D453DC1 +:04026C0005134581B0 +:04026D00372D0C908D +:04026E0005134581AE +:04026F00370D0CA09B +:0402700005134581AC +:040271003F290CB065 +:0402720005134581AA +:040273003F090CC073 +:0402740005134581A8 +:0402750037290CD049 +:0402760005134581A6 +:0402770037090CE057 +:0402780005134581A4 +:040279003DED0CF05B +:04027A0005134581A2 +:04027B003DCD0D0068 +:04027C000044458372 +:04027D0040A2441245 +:04027E000E10051346 +:04027F00B5CD0131C7 +:04028000C82211215E +:040281000413C62676 +:04028200CA063E80EA +:04028300872A4685FB +:04028400543387AEBA +:04028500648D0285FD +:0402860000D59563A7 +:04028700849364896F +:040288004585800424 +:04028900C432453DF9 +:04028A00C03AC23E76 +:04028B0045813D5517 +:04028C000330051323 +:04028D00D59335755B +:04028E0005130084D0 +:04028F00354D0340A6 +:04029000051345818C +:040291003D69035070 +:040292000FF475935D +:04029300030005134C +:0402940055933D4100 +:04029500F593008459 +:0402960005130FF548 +:0402970035490310D2 +:040298000104559375 +:040299000FF5F593D5 +:04029A000320051325 +:04029B0045813D95C7 +:04029C0035BD453DEA +:04029D00051345817F +:04029E00359D0C502E +:04029F0064DD4702D1 +:0402A0008693479268 +:0402A100C698404477 +:0402A20084934705F5 +:0402A3004409404486 +:0402A4008363462208 +:0402A500442900E701 +:0402A6008223470563 +:0402A70083A300E449 +:0402A8004685008403 +:0402A9000363473D67 +:0402AA00470100D632 +:0402AB0000E482A346 +:0402AC000C000593AA +:0402AD000E00051327 +:0402AE00351DC03EFC +:0402AF004705478236 +:0402B000059005139D +:0402B1000AE799635C +:0402B20075933521EA +:0402B300E5930FC5FB +:0402B4000513001519 +:0402B5003529059052 +:0402B6003BDD4511D6 +:0402B7000EB5759378 +:0402B8003BFD4511B4 +:0402B9000044C583B5 +:0402BA000E1005130A +:0402BB0005933BD597 +:0402BC0005130E40D8 +:0402BD0033ED0E20EF +:0402BE000054C583A0 +:0402BF000E300513E5 +:0402C0003BF989BDC0 +:0402C1000E80059313 +:0402C2000E400513D2 +:0402C30045853BD161 +:0402C40033F9453D88 +:0402C5000513458157 +:0402C60033D909100F +:0402C7000513458155 +:0402C8003B7D092051 +:0402C900051345854F +:0402CA003B5D09305F :0402CB000513458151 -:0402CC0035A5035001 -:0402CD000FF4759322 -:0402CE000300051311 -:0402CF0055933DB94D -:0402D000F59300841E -:0402D10005130FF50D -:0402D2003D81031057 -:0402D300010455933A -:0402D4000FF5F5939A -:0402D50003200513EA -:0402D60045813589A0 -:0402D7003D35453D2F -:0402D8000513458144 -:0402D9003D150C5073 -:0402DA00879364DDC5 -:0402DB00A4236B44A9 -:0402DC00478501371A -:0402DD006B44849357 -:0402DE004632440957 -:0402DF0000F90363BC -:0402E00047854429E1 -:0402E10000F4822380 -:0402E200008483A36E -:0402E30047BD4705C7 -:0402E40000E60363CA -:0402E50082A3478128 -:0402E600059300F488 -:0402E70005130C00EF -:0402E8003BE50E00E4 -:0402E900051347852D -:0402EA001B630590FD -:0402EB003BF10AF9E0 -:0402EC000FC5759332 -:0402ED000015E59380 -:0402EE00059005135F -:0402EF0045113BF981 -:0402F000759333E9E6 -:0402F10045110EB5F0 -:0402F200C5833BC9BC -:0402F30005130044AB -:0402F40033E10E10D4 -:0402F5000E4005931F -:0402F6000E200513BE -:0402F700C5833B7D03 -:0402F8000513005496 -:0402F90089BD0E307D -:0402FA0005933B4DE0 -:0402FB0005130E8059 -:0402FC0033650E4018 -:0402FD00453D4585B1 -:0402FE004581334DB6 -:0402FF0009100513CA -:0403000045813B698F -:0403010009200513B7 -:0403020045853B49A9 -:0403030009300513A5 -:040304004581336993 -:040305000940051393 -:04030600659333497F -:0403070005130C04CA -:040308003BA5098088 -:040309000FF4459315 -:04030A00E5930592E0 -:04030B00F59300B5B1 -:04030C0005130FB511 -:04030D00339509908B -:04030E00453D4581A3 -:04030F0005933BB95E -:0403100005130C10B5 -:040311003B910E000E -:04031200FC10079341 -:0403130000F483234C -:04031400542250B26D -:0403150059025492A3 -:04031600450549F25E -:04031700808261453A -:040318007593332D79 -:04031900BF890FC5C4 -:04031A004511114137 -:04031B003B31C606A6 -:04031C000085659360 -:04031D000FF5F59350 -:04031E00330545114D -:04031F00059340B250 -:0403200005130300BE -:040321000141061080 -:040322001141BB09C1 -:04032300C6064511B4 -:0403240040B239EDBD -:040325000045659397 -:040326000FF5F59347 -:04032700014145113A -:040328001141B9EDD9 -:04032900C422C6061E -:04032A00E919C226E5 -:04032B0005134581F0 -:04032C0031E50CD0DB -:04032D0040B2450590 -:04032E00449244228F -:04032F008082014186 -:040330004501842ED1 -:040331004585D9ED38 -:0403320039C1453D4B -:0403330000344583CA -:040334000580051328 -:0403350031D14481FD -:0403360000444583B7 -:040337000590051315 -:040338004583396D53 -:040339000513005454 -:04033A00394505A09C -:04033B000064458392 -:04033C0005B00513F0 -:04033D004583315D66 -:04033E00051300742F -:04033F00397105C04B -:04034000008445836D -:0403410005E00513BB -:04034200458339496D -:04034300051300940A -:04034400316105F02E -:0403450000A4458348 -:040346000600051395 -:04034700458339BDF4 -:04034800051300B4E5 -:0403490039950610CC -:04034A0000C4458323 -:04034B000620051370 -:04034C00458331AD07 -:04034D00051300D4C0 -:04034E0031850630BF -:04034F0000E44583FE -:04035000064005134B -:04035100458339990E -:04035200051300F49B -:0403530031B106506E -:0403540000340793D7 -:04035500C583044117 -:040356000785000710 -:04035700F4938C8D02 -:040358001AE30FF4A1 -:040359005537FEF422 -:04035A000513000186 -:04035B0020EF6E051C -:04035C0065376A2077 -:04035D000513000183 -:04035E0020EFAB459C -:04035F0085936960B9 -:04036000F59306F417 -:0403610005130FF57C -:04036200390105D088 -:04036300453D45814E -:04036400458D312969 -:040365001141BF295A -:04036600C422C606E1 -:040367004581E911D2 -:040368000CE005138D -:0403690045053EDD2B -:04036A00442240B237 -:04036B00808201414A -:04036C004501842E95 -:04036D004585D9F5F4 -:04036E0036C5453D0E -:04036F00003445838E -:0403700006800513EB -:0403710045833ED9A9 -:04037200051300442B -:0403730036F10690C9 -:040374000064458359 -:0403750006B00513B6 -:04037600458336C9BC -:0403770005130074F6 -:040378003E6506C018 -:040379000034458384 -:04037A000044478371 -:04037B0006D0051390 -:04037C00059397AEA0 -:04037D008D9D07103B -:04037E00005447835D -:04037F0047838D9D86 -:040380008D9D0064EB -:04038100007447833A -:04038200F5938D9DC5 -:0403830036710FF5CB -:04038400453D45812D -:04038500458D365913 -:040386001141B76109 -:040387004581C422C6 -:04038800453D842A41 -:040389003E95C606D1 -:04038A000C1005133B -:04038B0034333EB910 -:04038C0075930080E5 -:04038D008DC10FE52A -:04038E000C10051337 -:04038F0044223EB90D -:04039000458D40B2A5 -:040391000C600513E4 -:04039200BE810141E6 -:04039300D226717984 -:0403940084AECE4E17 -:0403950045F589AAF7 -:04039600CC524511EF -:04039700C636CA5646 -:04039800D422D6068F -:04039900C85AD04A24 -:04039A003E058AB2E0 -:04039B006A5D46B29F -:04039C006B4A07138E -:04039D0097938A8523 -:04039E00468300167C -:04039F000A1300C776 -:0403A0009AF56B4A15 -:0403A10006238EDDC4 -:0403A2008A8900D76D -:0403A3004505C299B1 -:0403A4004903376969 -:0403A5005537003A8E -:0403A60085A6000127 -:0403A7000513864A6A -:0403A80020EF6F05CE -:0403A900051356E002 -:0403AA0034C507004F -:0403AB000034FB130C -:0403AC0074134785FA -:0403AD000B630035A9 -:0403AE0047890EFB72 -:0403AF000EFB0B63D3 -:0403B00000897793B6 -:0403B1005537CB8968 -:0403B200051300012E -:0403B300641370C59A -:0403B40020EF020430 -:0403B500779353E007 -:0403B600CB890019D6 -:0403B70000015537B5 -:0403B8007245051372 -:0403B90001046413C4 -:0403BA00528020EF5E -:0403BB00002977930B -:0403BC005537CB895D -:0403BD000513000123 -:0403BE00641373450C -:0403BF0020EF0084A7 -:0403C000791351203C -:0403C1000A63004982 -:0403C20055370009A2 -:0403C300051300011D -:0403C40064137485C5 -:0403C50020EF0044E1 -:0403C60085A24FA01D -:0403C7000700051313 -:0403C800A7BFF0EFEC -:0403C9000C0B1263A4 -:0403CA0000015537A2 -:0403CB00758505131C -:0403CC004E0020EFD0 -:0403CD00003AF613E9 -:0403CE000F634785ED -:0403CF00CE5906F607 -:0403D00013634789E3 -:0403D10094130AF681 -:0403D2005537018416 -:0403D3008461000140 -:0403D4007705051391 -:0403D50020EF847D14 -:0403D60074134BA0B1 -:0403D70055370A0488 -:0403D8004589000152 -:0403D9007B85051308 -:0403DA004A8020EF46 -:0403DB000304F49390 -:0403DC000200079381 -:0403DD001AF480632B -:0403DE00030007937E -:0403DF0006F4896334 -:0403E000695D47C14B -:0403E1001AF49B630C -:0403E200000155378A -:0403E3007DC50513BC -:0403E400480020EFBE -:0403E5000593460D29 -:0403E60005135E8914 -:0403E700F0EF0730FC -:0403E80065DDA0BF70 -:0403E9008593464969 -:0403EA00A0A5ED8558 -:0403EB00040464138F -:0403EC006413BF01D6 -:0403ED00B729080420 -:0403EE00000155377E -:0403EF0078850513F5 -:0403F000450020EFB5 -:0403F1000184979359 -:0403F200F41387E198 -:0403F300D8E3040443 -:0403F4006413F8078F -:0403F5007413FA047F -:0403F600B7510FF4F8 -:0403F7000001553775 -:0403F8007A0505136A -:0403F90042C020EFEF -:0403FA0044814401F5 -:0403FB005537A805C5 -:0403FC0005130001E4 -:0403FD0020EF7CC5AC -:0403FE0065DD41A0D8 -:0403FF008593460D8F -:0404000005135EC5BD -:04040100F0EF0730E1 -:0404020065DD9A3FDB -:04040300859346494E -:040404000513EEC529 -:04040500F0EF0760AD -:040406004489993F4D -:0404070007200513B2 -:0404080096BFF0EFBC -:0404090001C5759321 -:04040A008DC18C45CF -:04040B0007200513AE -:04040C0096BFF0EFB8 -:04040D0000CA478357 -:04040E008B8945850C -:04040F004581E391AF -:040410000C000513C4 -:04041100957FF0EFF4 -:04041200451145D576 -:0404130094FFF0EF73 -:04041400051345C1C6 -:04041500F0EF0610EE -:040416006537945F53 -:0404170005130001C8 -:0404180020EF80C58C -:0404190047893AE0F5 -:04041A000EF99B63D9 -:04041B0008800593BD -:04041C00062005139E -:04041D00927FF0EFEB -:04041E00051345C1BC -:04041F00F0EF0630C4 -:04042000059391DFD0 -:040421000513084077 -:04042200F0EF0640B1 -:040423004505911FDB -:04042400955FF0EF01 -:04042500F0EF45119E -:0404260075938F5FDC -:04042700451101D5A5 -:040428008FBFF0EFA3 -:040429000640051371 -:04042A0093DFF0EF7D -:04042B00F0EF451198 -:04042C0075938DDF58 -:04042D00451101551F -:04042E008E3FF0EF1E -:04042F00064004136C -:04043000F0EF45396B -:0404310089418C9FD2 -:04043200147DE9014B -:040433004505044235 -:04043400F0EF804124 -:04043500F46D913F92 -:040436004531458186 -:040437008BFFF0EF58 -:040438000400059324 -:04043900F0EF453566 -:04043A0045858B5F0A -:04043B00F0EF453960 -:04043C0045818ADF8D -:04043D00F0EF45395E -:04043E00F0EF8A5FF2 -:04043F0050B28F7FA9 -:04044000549254225C -:0404410049F2590221 -:040442004AD24A62EE -:0404430045054B42DE -:04044400808261450C -:040445000001553726 -:040446007EC5051357 -:040447002F4020EF33 -:04044800460D65DD1B -:040449005EC5859374 -:04044A00073005135F -:04044B0087DFF0EF68 -:04044C00464965DDDB -:04044D00EC45859362 -:04044E005537BDE978 -:04044F000513000190 -:0404500020EF7FC555 -:04045100460D2CE048 -:040452005E89059327 -:040453000730051356 -:04045400859FF0EFA1 -:04045500464965DDD2 -:04045600EB0585939A -:0404570045E1BD5D61 -:040458000620051362 -:04045900837FF0EFBE -:04045A00051345C180 -:04045B00F0EF063088 -:04045C0045B182DF45 -:04045D001141BF0981 -:04045E00C226C422CC -:04045F0084AE842AB9 -:040460004581453D50 -:04046100F0EFC606EC -:040462000513815F9E -:04046300F0EF059021 -:040464007593FFCFBE -:04046500E49902F51F -:040466008DC1041A26 -:040467000FF5F59305 -:040468000105E59312 -:0404690040B2442237 -:04046A0005134492A0 -:04046B0001410590B6 -:04046C00FEAFF06F80 -:04046D00879367DD2D -:04046E00675DDD47A2 -:04046F006CF72223E1 -:040470000C87A7034B -:04047100F3800513FC -:04047200A683CB0D85 -:0404730007130D4717 -:04047400946310007D -:04047500A60302E6F2 -:04047600A5830D0746 -:0404770065370C4792 -:04047800114100012D -:040479000086569310 -:04047A0082C505131F -:04047B0020EFC606A2 -:04047C0040B2222048 -:04047D0001414501F3 -:04047E008082808276 -:04047F0086AE67DD01 -:04048000A50385AAA1 -:0404810011416C4772 -:04048200F0EFC606CB -:04048300C119A0AF4C -:04048400F3700513F9 -:04048500014140B23F -:040486007179808286 -:04048700D226D42283 -:04048800D606D04A7A -:040489007793CE4E49 -:04048A0086AA0FF639 -:04048B008432872E02 -:04048C0000861913BA -:04048D00E7A964DD9A -:04048E0000865993F8 -:04048F006537C42ADF -:04049000C62E000173 -:040491008745051383 -:0404920020EF85CE04 -:04049300A5031C6041 -:0404940085CA6C4465 -:04049500FA1FE0EF7B -:0404960046A2862ACA -:04049700C10D47321A -:0404980000016537C3 -:04049900051385CEF4 -:04049A0020EF888542 -:04049B0005131A60CB -:04049C0050B2F36007 -:04049D0054925422FF -:04049E0049F25902C4 -:04049F0080826145B1 -:0404A0006C44A50300 -:0404A100F00475935B -:0404A20005A2864ADF -:0404A300FB5FE0EF2C -:0404A400862AD16D66 -:0404A50000016537B6 -:0404A600051385A213 -:0404A70020EF8B05B2 -:0404A8000513172001 -:0404A900B7F1F35064 -:0404AA00CC2211014E -:0404AB00C64EC84A27 -:0404AC00CE06C45262 -:0404AD00892ACA26A8 -:0404AE0089B2842E5D -:0404AF0010000A131C -:0404B0004501E81109 -:0404B100446240F26F -:0404B200494244D2A5 -:0404B3004A2249B2DE -:0404B40080826105DC -:0404B500746384A246 -:0404B6000493008A21 -:0404B700864E10005D -:0404B800854A85A646 -:0404B900FD793F1D6D -:0404BA008C059926EE -:0404BB00BFC9098527 -:0404BC00655D65DD38 -:0404BD0071058593AD -:0404BE006D050513B0 -:0404BF0035A0206FD5 -:0404C000655D65DD34 -:0404C10077058593A3 -:0404C2006E4505136B -:0404C30034A0206FD2 -:0404C400C4221141FC -:0404C500842AC606B9 -:0404C600F0EFC2266B -:0404C7004581971FB5 -:0404C800F0EF45010B -:0404C900E061A75FE8 -:0404CA000713675D50 -:0404CB0043547247DD -:0404CC00019C07B7D1 -:0404CD00CC0787933E -:0404CE0002D7D7B3C7 -:0404CF0000A7060379 -:0404D000468345E931 -:0404D10044030107D8 -:0404D200653700E7A3 -:0404D300051300010C -:0404D40004058FC5C7 -:0404D500849364DDCB -:0404D600063378C4AD -:0404D70087B302B62F -:0404D80066E102D700 -:0404D90080C68693C0 -:0404DA00D68396B27D -:0404DB0087B300E6FD -:0404DC00468302D77A -:0404DD00068500C7C9 -:0404DE0002D787B307 -:0404DF0002F40433EC -:0404E00020EF85A2E2 -:0404E100C60308E066 -:0404E200C583027458 -:0404E3008522026408 -:0404E400F5CFF0EF71 -:0404E5000264C503E5 -:0404E600D20FF0EF52 -:0404E700453D4585C5 -:0404E800DFAFF0EFA3 -:0404E9003E80051339 -:0404EA00D93FE0EF27 -:0404EB0003500513A2 -:0404EC00D5AFF0EFA9 -:0404ED000513842A45 -:0404EE00F0EF0360C8 -:0404EF000512D50F0E -:0404F0008C498011A2 -:0404F100037005137C -:0404F200D42FF0EF24 -:0404F30000C5159398 -:0404F40044228DC150 -:0404F500449240B23B -:0404F6000001653765 -:0404F7009105051353 -:0404F800206F01412F -:0404F90040B202E02B -:0404FA0044924422C2 -:0404FB0080820141B9 -:0404FC00C04A1141A0 -:0404FD004505892AFE -:0404FE00C422C60648 -:0404FF00F0EFC22632 -:04050000F0EFA1DF98 -:040501004581867F2B -:04050200F0EF4501D0 -:040503003693899F03 -:040504004601001993 -:0405050045054581E2 -:04050600A35FF0EF10 -:04050700020915636D -:0405080064DD645DED -:040509007244041321 -:04050A0078C484939A -:04050B0000F44703AE -:04050C000164C6833D -:04050D0045814601DD -:04050E00F0EF4501C4 -:04050F00C783BD2FB2 -:0405100006A30164D9 -:04051100854A02F421 -:04051200442235E169 -:04051300449240B21C -:040514004501490252 -:04051500F06F014141 -:0405160067DD9C5FA2 -:04051700724787930D -:0405180043D8114172 -:040519000437C422BD -:04051A000413019C29 -:04051B005433CC0485 -:04051C00C22602E40D -:04051D008703C60684 -:04051E0046E900A703 -:04051F00879367E176 -:0405200084AA80C762 -:040521000001653739 -:04052200C5450513B3 -:0405230002D70733C1 -:04052400D78397BA28 -:04052500043300E7B4 -:0405260085A202F4B4 -:04052700775010EF0A -:0405280067DDE8B1F2 -:040529006F57C783BE -:04052A008E63472174 -:04052B00474102E75B -:04052C0000E78A63F7 -:04052D009A63471175 -:04052E00450102E79A -:04052F00031020EFA6 -:04053000A8214505B4 -:0405310001C9C7B77E -:0405320037F787937D -:040533000087E363F7 -:04053400852644BD17 -:04053500019020EF22 -:040536004422450D09 -:04053700449240B2F8 -:04053800206F0141EE -:0405390045013560E3 -:04053A004501B7F5CB -:04053B00001020EF9D -:04053C00B7E54501D9 -:04053D00871367DDDC -:04053E00468378C7B1 -:04053F00470902075F -:0405400078C78413E1 -:040541008363453D4E -:04054200450100E689 -:040543007E0020EF27 -:0405440002044783E3 -:04054500450D470910 -:04054600FCF771E36A -:040547008D1D4515AC -:040548000FF5751323 -:04054900715DBF5DC4 -:04054A00C686678971 -:04054B00C2A6C4A2DE -:04054C00DE4EC0CAF5 -:04054D00DA56DC524C -:04054E00D65ED85A43 -:04054F00D266D4623A -:04055000CE6ED06A31 -:040551007107879314 -:040552000002173755 -:040553000C072683E8 -:040554000006D46366 -:04055500FBFD17FD96 -:0405560031F020EF71 -:0405570087936BDD3E -:04055800CD83724B92 -:04055900872A00B736 -:04055A00724B841349 -:04055B00000D8A63A2 -:04055C00000217B7CB -:04055D000C07A803DC -:04055E000108581325 -:04055F0000387D9350 -:04056000037005130C -:0405610020EFC63A87 -:04056200892A35604D -:0405630003800513F9 -:0405640034C020EF90 -:0405650019936785FA -:0405660087930085F2 -:04056700F9B3F007ED -:04056800579300F9AC -:040569008B85006519 -:04056A00051384AA47 -:04056B0004A3039052 -:04056C0020EF00F488 -:04056D00C42A32A0CA -:04056E0003A00513CE -:04056F00320020EF47 -:04057000000217B7B7 -:040571000C07AB03C5 -:04057200E9B3478919 -:040573005B130129EC -:040574007B13012BC9 -:040575008AAA7FFBD4 -:0405760047320B05F8 -:0405770002FD866398 -:0405780000B4478301 -:040579000793E78974 -:04057A00FF6318F013 -:04057B004785013778 -:04057C0000FD996382 -:04057D00BA1389DA4A -:04057E004A131909FA -:04057F004C81001A91 -:04058000F493A8093F -:04058100D8E50204B3 -:040582000C89BA1313 -:04058300001A4A13FD -:0405840047834C85D8 -:04058500695D00B4F8 -:0405860009136C5D8C -:0405870004936A4926 -:040588006D5D78CC61 -:040589001C07946354 -:04058A003A070F63BA -:04058B003A0A0D63B8 -:04058C0000090783D8 -:04058D006537458DFC -:04058E008D9D00013E -:04058F009485051337 -:040590005D1010EFFB -:0405910000090783D3 -:040592005C63470956 -:04059300478518F789 -:040594000009002337 -:0405950000F405A3C6 -:040596009A1349115A -:0405970047A2008AED -:04059800A6036A85C7 -:040599008A93724B84 -:04059A007A33F00AB6 -:04059B006A33015A64 -:04059C00079300FAC7 -:04059D0040580016AC -:04059E00EC636ADDC3 -:04059F008793013706 -:0405A000E8630019F3 -:0405A100166300C716 -:0405A200478300EAA1 -:0405A3008F630084DE -:0405A40065373597EB -:0405A500886E00015B -:0405A60086D287DA98 -:0405A700051385CEE5 -:0405A80010EF9705B4 -:0405A90086A356F0DF -:0405AA00C703760A03 -:0405AB00478300C4BE -:0405AC001A63023498 -:0405AD00C70308F781 -:0405AE00478300D4AB -:0405AF00146302448B -:0405B000C70308F77E -:0405B100478300E498 -:0405B2001E6302546E -:0405B300C70306F77D -:0405B400478300F485 -:0405B5001863026461 -:0405B600C70306F77A -:0405B7004783010471 -:0405B8001263027454 -:0405B900C70306F777 -:0405BA0047830074FF -:0405BB001C6301E4D8 -:0405BC00C70304F776 -:0405BD0047830084EC -:0405BE00166301F4CB -:0405BF00C70304F773 -:0405C00047830094D9 -:0405C10010630204BD -:0405C200C70304F770 -:0405C300478300A4C6 -:0405C4001A630214A0 -:0405C500C70302F76F -:0405C600478300B4B3 -:0405C7001463022493 -:0405C800C70302F76C -:0405C90047830194CF -:0405CA001E630304A5 -:0405CB00C70300F76B -:0405CC00478301A4BC -:0405CD001863031498 -:0405CE00C70300F768 -:0405CF004783024418 -:0405D000096303B404 -:0405D100470900F7DF -:0405D200736387CAFE -:0405D300478900E96B -:0405D4000FF7F91311 -:0405D5000174C703E3 -:0405D60002E4478371 -:0405D70002F70863BC -:0405D80000A40783F1 -:0405D90087B3476934 -:0405DA00676102E76C -:0405DB0080C70713BB -:0405DC00D70397BAF0 -:0405DD000793010778 -:0405DE00196320D0AD -:0405DF00470900F7D1 -:0405E000736387CAF0 -:0405E100478900E95D -:0405E2000FF7F91303 -:0405E300785D478375 -:0405E4000703C3B195 -:0405E50046E900A43F -:0405E600073367E18F -:0405E700879302D71D -:0405E800450380C780 -:0405E9001593010461 -:0405EA0081C1010AC0 -:0405EB0097BA460174 -:0405EC0000E7D7034A -:0405ED0002E50533EB -:0405EE0003044703B8 -:0405EF0081410542FF -:0405F000D603C7095E -:0405F10082050187F7 -:0405F20020EF8A0567 -:0405F30013632CC0A2 -:0405F40049050009AC -:0405F500733BA2238F -:0405F6000144222377 -:0405F7000194042344 -:0405F8000785A83596 -:0405F90000F90023E2 -:0405FA00BD85490171 -:0405FB001C63C319A1 -:0405FC0005831E0A4B -:0405FD006537000955 -:0405FE0005130001E0 -:0405FF00059595C504 -:04060000411010EFA6 -:040601000009078362 -:04060200D9635771F0 -:0406030000231CE7CD -:0406040005A3000941 -:040605004911000493 -:04060600E40A11E30E -:0406070078CC470361 -:0406080001744783AF -:0406090006F71E636F -:04060A000014C7030E -:04060B00018447839C -:04060C0006F7186372 -:04060D000024C703FB -:04060E000194478389 -:04060F0006F7126375 -:040610000034C703E8 -:0406110001A4478376 -:0406120004F71C636A -:040613000044C703D5 -:0406140001B4478363 -:0406150004F716636D -:040616000054C703C2 -:0406170001C4478350 -:0406180004F7106370 -:040619000124C703EE -:04061A00029447837C -:04061B0002F71A6365 -:04061C000134C703DB -:04061D0002A4478369 -:04061E0002F7146368 -:04061F000144C703C8 -:0406200002B4478356 -:0406210000F71E635D -:040622000114C703F5 -:040623000284478383 -:0406240000F7186360 -:040625000254C703B1 -:0406260003C447833F -:0406270000F7056370 -:04062800000913634F -:04062900C5034905B7 -:04062A00478301847D -:04062B008B6302F4E7 -:04062C00458300A75B -:04062D0020EF0104B5 -:04062E0008A34F408E -:04062F00136300A4AD -:04063000490500096F -:0406310001C4C50338 -:0406320003344783C3 -:0406330000A7846335 -:0406340052E020EF81 -:0406350001D4C50324 -:0406360003444783AF -:0406370000A7846331 -:0406380013C020EFDC -:0406390001E4C50310 -:04063A00035447839B -:04063B0000A784632D -:04063C00136020EF38 -:04063D000214C503DB -:04063E000384478367 -:04063F000224C58349 -:0406400000A7966316 -:040641000394478354 -:0406420000B7846316 -:040643000F2020EF75 -:0406440001B4C50335 -:0406450003244783C0 -:0406460000A78B631B -:04064700053347E14F -:0406480067DD02F573 -:040649008A478793C2 -:04064A0020EF953ECA -:04064B00C5032CA017 -:04064C0047830204DA -:04064D00846303744B -:04064E00F0EF00A722 -:04064F00C503B21F0E -:04065000478301F4E7 -:040651008463036457 -:0406520020EF00A7EE -:0406530065DD3D40E4 -:04065400461D69DDF9 -:0406550076458593CE -:040656007B59851334 -:040657002B1020EF55 -:040658008513C50938 -:0406590020EF7B59BA -:04065A00C7030C8046 -:04065B00478302646B -:04065C00186303D448 -:04065D00C70300F7D8 -:04065E004783027458 -:04065F00066303E447 -:04066000C50300F7D7 -:04066100F0EF015461 -:0406620067DD98BFF9 -:040663007867C7836A -:04066400C503CF817A -:040665004783028441 -:04066600876303F4AF -:04066700155100A782 -:040668008561056241 -:04066900367010EFE8 -:04066A0078CC0593B0 -:04066B0001740513FE -:04066C00031006135E -:04066D00289020EFC2 -:04066E00442640B628 -:04066F00780D02A35D -:040670004496854ADD -:0406710059F24906EB -:040672005AD25A629C -:040673005BB25B42D9 -:040674005C925C2216 -:040675004DF25D02E3 -:0406760080826161BC -:04067700002317FD48 -:04067800490100F93B -:040679000023BD1588 -:04067A00BFE50009CF -:04067B0076DAC783E1 -:04067C008CE34705BF -:04067D000785CAE73C -:04067E000FF7F793E8 -:04067F0076FA86A3DE -:04068000CAE795E34D -:0406810087CA4709D4 -:0406820000E97363B5 -:04068300F913478997 -:04068400B9610FF752 -:04068500D22671798F -:04068600CC5264DD11 -:04068700D606C4626D -:04068800D04AD4225E -:04068900CA56CE4E31 -:04068A00C65EC85A26 -:04068B00724487939B -:04068C0000A785033B -:04068D0066E1466973 -:04068E0002C5063368 -:04068F000107C70395 -:040690000177C783A4 -:0406910080C6859307 -:0406920084934809FC -:040693008C1372440E -:0406940095B280C6D5 -:040695000145C60352 -:0406960000C5DA03BE -:040697000135C983DD -:040698001B07956344 -:040699000184C9030C -:04069A0079130905C2 -:04069B00D7830FF9F9 -:04069C0044110144C0 -:04069D0080078693B9 -:04069E006685C28526 -:04069F0080068593B9 -:0406A0001CF5E963F9 -:0406A10002000693BA -:0406A2001ED78F636D -:0406A3001AF6E563FB -:0406A400836346C165 -:0406A500843A00D7BC -:0406A600C68367DDC3 -:0406A70047A16F57A1 -:0406A80000F6956360 -:0406A90006420606F9 -:0406AA00C78382413F -:0406AB004B050124D6 -:0406AC0000C7F76329 -:0406AD0040F60B33D5 -:0406AE005B130B428D -:0406AF0047E9010B0B -:0406B00002F507B395 -:0406B10046898F01E6 -:0406B2000294CA8361 -:0406B30000016537A6 -:0406B400C64505131F -:0406B500D58397E270 -:0406B600C78300A74F -:0406B7000AB301275A -:0406B80097DA035476 -:0406B90002E585B31E -:0406BA0002D5C5B3ED -:0406BB0002E7873398 -:0406BC0001059B9306 -:0406BD00010BDB93BF -:0406BE0005C29ADEF9 -:0406BF000AC281C129 -:0406C000010ADA93BE -:0406C1001B93975E92 -:0406C200DB930107BE -:0406C300865E010B43 -:0406C400101010EF13 -:0406C50000D4C70393 -:0406C6000537478528 -:0406C7001593200067 -:0406C800C70301E77C -:0406C9001363022491 -:0406CA00450100F7EF -:0406CB0000A486837E -:0406CC00C60347E931 -:0406CD0086B30104EB -:0406CE00073702F6F2 -:0406CF0007130010FD -:0406D0000442800759 -:0406D100096E0ACED6 -:0406D20050B209AE6B -:0406D300C78396E261 -:0406D4000B330126BD -:0406D5004C2202CBE6 -:0406D60002C787B31D -:0406D70007AE0B520D -:0406D800D7038FF9BC -:0406D900073300A63D -:0406DA00062A02C723 -:0406DB007FF777131B -:0406DC008FD98F4DD6 -:0406DD000FF00737DC -:0406DE0000EB7B337F -:0406DF000167E7B315 -:0406E00000021737C6 -:0406E10028238FC972 -:0406E200C7830AF7C9 -:0406E3004B42011471 -:0406E4008FD107B6F5 -:0406E50064338C5D91 -:0406E6006433015424 -:0406E7002023017457 -:0406E800C7830A8733 -:0406E900C60300C480 -:0406EA00C68301C4FE -:0406EB0007F60156B7 -:0406EC008FD1066A3A -:0406ED0002A4C6039A -:0406EE00542206C6C6 -:0406EF008FD106524F -:0406F000E9338FD586 -:0406F1006933012741 -:0406F200693301491E -:0406F300282301397E -:0406F400C783092788 -:0406F500C68303C4F1 -:0406F600590202B4EF -:0406F70006AA07BA8E -:0406F800C6838FD551 -:0406F90049F201B40D -:0406FA008FD54A62EC -:0406FB0001A4C6830D -:0406FC004BB24AD2E1 -:0406FD008FD506A6E9 -:0406FE000194C6831A -:0406FF000692549279 -:0407000020238FD54E -:04070100614508F74F -:040702004685808226 -:0407030090E3490135 -:04070400D783E6D7DA -:04070500C90301859E -:040706008B8500C41B -:0407070008E3C789B3 -:04070800490DE409AA -:0407090004E3B5A9A7 -:04070A00C903E40932 -:04070B0019710175EA -:04070C00012039335C -:04070D000693BD2D65 -:04070E00440D100086 -:04070F00E4D78EE3BA -:04071000200006932C -:04071100E4D799E3AD -:040712000284C78313 -:040713008C1D4411E4 -:040714006589A081D2 -:0407150004B7816341 -:0407160000F5E8639F -:04071700E2D79DE3A5 -:040718000284C7830D -:04071900B7E54415E7 -:04071A0084636691FD -:04071B0066A104D7F8 -:04071C00E2D793E3AA -:04071D000284C78308 -:04071E008C1D4419D1 -:04071F000FF474134C -:040720000224C78365 -:04072100C783A821C1 -:04072200440D0284FC -:0407230004068C1D1F -:040724000FF4741347 -:04072500C783B511C0 -:04072600843A0224EB -:04072700DE079EE368 -:0407280009B11A21D8 -:0407290009C20A42B5 -:04072A00010A5A1353 -:04072B000109D99354 -:04072C00C783B3E5E7 -:04072D004415022449 -:04072E001101B7D529 -:04072F0067DDC64E6E -:04073000470569DD33 -:0407310076E786A33E -:0407320072498793EE -:0407330043C4CA26CB -:04073400CE06CC22FF -:04073500C452C84A98 -:04073600C05AC2568D -:040737007249A583DB -:040738000087C783EC -:04073900724984136A -:04073A0020048663AE -:04073B0020058463AE -:04073C00019C0937DC -:04073D00A0EEC8372B -:04073E00CC090913C6 -:04073F00B0080813E3 -:04074000029959338E -:0407410002B858336F -:0407420054B3C79154 -:0407430006130298FF -:04074400A0310700D9 -:0407450054B308069B -:0407460006130298FC -:04074700653706907C -:040748000513000194 -:0407490010EFB645B2 -:04074A0008136EA082 -:04074B0007930640CA -:04074C00404C3E805F -:04074D00000165370B -:04074E00B745051393 -:04074F000304DAB312 -:0407500002F95B331C -:04075100F4B3875620 -:04075200865A0304BC -:0407530002F97933FB -:0407540086CA87A624 -:040755006BC010EF76 -:0407560003B00513D4 -:04075700381010EF57 -:0407580005138A2AD1 -:0407590010EF03C0DA -:04075A00468337702B -:04075B00761300947D -:04075C007A1301F516 -:04075D0065370FFAF3 -:04075E0085D200013F -:04075F00BAC50513FF -:04076000690010EF2D -:040761000164478365 -:0407620000844603C6 -:0407630000279713C1 -:04076400879367D937 -:0407650097BA91C7E7 -:04076600A70343940E -:040767000793724939 -:04076800E21907008B -:04076900069007935C -:04076A0000016637ED -:04076B000613655DAF -:04076C0045C5BD863C -:04076D006E450513BD -:04076E0075C020EF43 -:04076F00573347298C -:04077000663702E9FD -:04077100655D0001C1 -:04077200882687D678 -:04077300061386DA09 -:0407740045C5BE06B3 -:0407750077050513EC -:0407760073C020EF3D -:04077700C78367E1EC -:04077800E3998097EA -:04077900D1CFF0EFFD -:04077A00C68364DDF1 -:04077B0045837844F6 -:04077C00A50300844D -:04077D0086567249E1 -:04077E002C8020EFBC -:04077F0000A40523AA -:040780001B6357FDA3 -:04078100653700F5E3 -:04078200051300015A -:0407830010EFBF852F -:040784004791602019 -:0407850000F4052354 -:0407860000A4058343 -:0407870049E967DDF8 -:040788006CB78523A2 -:04078900033585B3FC -:04078A000913696185 -:04078B00C78380C9D7 -:04078C006ADD784466 -:04078D0000016537CB -:04078E00C2C50513C8 -:04078F00C70395CA3D -:040790008F7D0165F3 -:040791006EEA8AA3DF -:0407920000E5D48327 -:040793000104470313 -:0407940002E484B344 -:0407950084B3405891 -:04079600D4B3034491 -:04079700862602E4CC -:040798005B0010EF03 -:0407990000A407832E -:04079A00010445838E -:04079B006F5AC503C9 -:04079C00033787B3E5 -:04079D0000445603BB -:04079E00993E4681B9 -:04079F0000E9578393 -:0407A00002F585B326 -:0407A1000304478383 -:0407A20081C105C24A -:0407A3005683C78929 -:0407A40082850189C0 -:0407A500F7138A8537 -:0407A60010EF0FF44D -:0407A70045037E7018 -:0407A800F0EF0374F7 -:0407A9004583DB8F1A -:0407AA0045030104FE -:0407AB0010EF02F455 -:0407AC0008A36FD05F -:0407AD00F0EF00A4C5 -:0407AE004783B5FFC9 -:0407AF00450302C438 -:0407B000458100E49B -:0407B1004583E79104 -:0407B2008D8900F439 -:0407B3000015B593E5 -:0407B400AA6FF0EF49 -:0407B50003A44783CF -:0407B60002C4450331 -:0407B7004462C78D44 -:0407B80044D240F2F5 -:0407B90049B24942B6 -:0407BA004A924A22F3 -:0407BB0061054B0287 -:0407BC00D00FF06FFB -:0407BD0069116485D5 -:0407BE0077048493A5 -:0407BF00D5490913FC -:0407C000E0078DE3DE -:0407C100E115B52960 -:0407C20000F44703F5 -:0407C30002D4468393 -:0407C40040F2446259 -:0407C500494244D28F -:0407C6004A2249B2C8 -:0407C7004B024A9205 -:0407C8004581460120 -:0407C900E06F610577 -:0407CA0040F28E7FEC -:0407CB0044D244626E -:0407CC0049B24942A3 -:0407CD004A924A22E0 -:0407CE0061054B0274 -:0407CF0011418082D2 -:0407D00064DDC226FC -:0407D1006CD4C5031C -:0407D200C606C42271 -:0407D30028E010EF1B -:0407D400E121842A71 -:0407D5006CD4C68397 -:0407D600472967DD6B -:0407D70072D780A3B2 -:0407D800C78367DD8F -:0407D90085637BC7F2 -:0407DA00675D00E770 -:0407DB006CF707238D -:0407DC00C70367DD0B -:0407DD0047817227B7 -:0407DE0067DDC7010B -:0407DF006CE7C78379 -:0407E0000713675D37 -:0407E10097BA70074C -:0407E2008023453DEE -:0407E30010EF00D73C -:0407E400852210401A -:0407E500442240B2B8 -:0407E60001414492F7 -:0407E70011418082BA -:0407E80064DDC226E4 -:0407E9006CD4C50304 -:0407EA00C606C42259 -:0407EB000E6010EF9D -:0407EC00E51D842A59 -:0407ED006CD4C6837F -:0407EE0080A367DDA0 -:0407EF0067DD72D779 -:0407F0007227C703A2 -:0407F100C701478174 -:0407F200C78367DD75 -:0407F300675D73A724 -:0407F4007007071370 -:0407F500453D97BA2D -:0407F60000D7802385 -:0407F7000B6010EF94 -:0407F80040B2852264 -:0407F90044924422C0 -:0407FA0080820141B7 -:0407FB00C70367DDEC -:0407FC0046E96CA7B7 -:0407FD00862367DD0B -:0407FE00073376E760 -:0407FF0067E102D7D5 -:0408000080C7879393 -:04080100D68397BA49 -:04080200675D00E747 -:0408030070D7162371 -:040804000147C6835F -:040805001423675DF4 -:04080600C68378D756 -:04080700675D012701 -:040808006CD7142372 -:0408090000A7D683EB -:04080A001E23675DE5 -:04080B00C6836ED75B -:04080C00675D0157CC -:04080D006ED71B2364 -:04080E000137C68365 -:04080F001123675DED -:04081000D70378D7BB -:0408110067DD00C7D8 -:040812006EE79D23CD -:0408130067DD80829B -:0408140076C7C603DA -:04081500DF0367DDB9 -:0408160067DD70C763 -:040817007887DE837D -:04081800CE0367DDC7 -:04081900675D6C8724 -:04081A00D30367DDC0 -:04081B0007136FC789 -:04081C0067DD7247DB -:04081D00D88386AA4C -:04081E0045036F67B8 -:04081F0067DD00B7DA -:040820007827C8036A -:04082100D58367DD37 -:0408220067E16FA774 -:0408230080C7879370 -:040824000703C929D4 -:04082500166300A7AF -:04082600476904C753 -:0408270002E60733AB -:040828005503973E9F -:040829001A6300E767 -:04082A00450303E59A -:04082B001663014708 -:04082C00450303D5A8 -:04082D00126301272A -:04082E00550303C5A6 -:04082F001E6300A79D -:040830004503006517 -:040831001A630157EE -:040832004503011564 -:040833001663013710 -:040834005703010560 -:04083500066300C78F -:04083600675D00B743 -:0408370002A34505CE -:04083800476978A7ED -:0408390002E606339A -:04083A000513655DE0 -:04083B0097B27105FA -:04083C00000166371A -:04083D0000B7962347 -:04083E0001E7972314 -:04083F0001D78A2330 -:0408400001C7892340 -:040841000067952394 -:0408420001178AA36D -:04084300010789A37D -:0408440073C606135E -:04084500206F45C516 -:0408460011413FE03D -:04084700C422C606FB -:04084800143747C555 -:040849002023000266 -:04084A0020230EF465 -:04084B0028230E044C -:04084C0065090A042C -:04084D000804282350 -:04084E007105051318 -:04084F00FFFFD0EFE8 -:040850001D1007135D -:040851009C2367DDA0 -:0408520015376EE701 -:040853000793000304 -:0408540020231D1030 -:0408550005130EF485 -:04085600D0EFD40506 -:040857002637FE1F23 -:0408580005B70006DA -:040859000613019CE5 -:04085A008593A806D4 -:04085B000513CC05B0 -:04085C00E0EF0404C1 -:04085D0010EFBF2FAA -:04085E0010EF440053 -:04085F00ED0967A098 -:0408600000016537F7 -:040861009D050513D9 -:04086200288010EFEB -:04086300852254791D -:04086400442240B238 -:04086500808201414B -:0408660010EF450149 -:04086700079374205F -:040868001A630FF010 -:04086900653700F5FA -:04086A000513000171 -:04086B0010EF9F45A6 -:04086C005475262079 -:04086D0010EFBFE9E0 -:04086E00450939F00F -:04086F00FCEFE0EFCB -:040870000A6347CD03 -:04087100653700F5F2 -:040872000513000169 -:0408730010EFA1855C -:040874005471242077 -:04087500E0EFBF6D84 -:0408760010EF863FBA -:04087700C919352046 -:0408780000016537DF -:04087900A3C50513FB -:04087A00228010EFD9 -:04087B00470567DDE9 -:04087C0078E7832373 -:04087D00FC1FE0EF8D -:04087E00C909842AF6 -:04087F0000016537D8 -:04088000A4C50513F3 -:0408810020C010EF94 -:04088200B751547D99 -:0408830065D9291DED -:040884000613655D95 -:040885008593031044 -:040886000513D10580 -:0408870020EF73B536 -:0408880065D921E02D -:040889000613655D90 -:04088A0085930360EF -:04088B000513EC85E0 -:04088C0020EF7C05D8 -:04088D00453D20A025 -:04088E007A3000EFCD -:04088F00C50367DD59 -:0408900000EF7217EC -:0408910017B779908C -:04089200A783000236 -:0408930097130D07A3 -:04089400436300E7D3 -:040895002125000712 -:04089600F0EF450139 -:04089700BF05996F91 -:0408980000016637BE -:040899001141655D47 -:04089A00A746061354 -:04089B00051345C537 -:04089C00C606710516 -:04089D002A0020EF1E -:04089E00878FF0EF61 -:04089F00000F4537CA -:0408A0002405051313 -:0408A100EB7FD0EF2A -:0408A200557D40B28E -:0408A300808201410D -:0408A400C606114132 -:0408A500A27FF0EF4F -:0408A600730010EFDC -:0408A70040B267DD17 -:0408A8007A17C503F3 -:0408A900F06F0141AA -:0408AA00715D94AF39 -:0408AB00D65ED85AE3 -:0408AC00C4A2C68696 -:0408AD00C0CAC2A655 -:0408AE00DC52DE4EEC -:0408AF00D462DA56DF -:0408B000D06AD266D2 -:0408B1003D91CE6E39 -:0408B2006BDD6B5D32 -:0408B3001005476382 -:0408B40000016537A3 -:0408B500A80505137A -:0408B600138010EFAC -:0408B700000166379F -:0408B80005200713FD -:0408B900061346815B -:0408BA0045C5AB86FF -:0408BB006E4B051368 -:0408BC00224020EFC7 -:0408BD00000165B71A -:0408BE008593464593 -:0408BF008513B505E3 -:0408C00020EF770BA3 -:0408C100E0EF13A0B1 -:0408C200A537FFBF98 -:0408C3000513000712 -:0408C400645D120558 -:0408C500D0EF64DD2F -:0408C6004901E25FA3 -:0408C7006CE14A0195 -:0408C800724404135F -:0408C90084936D5D4A -:0408CA0017B778C420 -:0408CB00A7830002FD -:0408CC0006B70D0757 -:0408CD0065E10003DE -:0408CE000107971374 -:0408CF00A02383419E -:0408D000C71380ECDE -:0408D10083E1FFF7C9 -:0408D200F6138F7515 -:0408D30066E10FF7D4 -:0408D40080C5842334 -:0408D50080E6A223F4 -:0408D600CF8165DD8C -:0408D700FFE607939E -:0408D8000FF7F7938C -:0408D9007663450DF0 -:0408DA00C78300F5DB -:0408DB0094637FC5DE -:0408DC00A02300C78E -:0408DD0067DD800C47 -:0408DE007EC58E2322 -:0408DF007F87A60366 -:0408E0007EE7AC23E0 -:0408E10021A1E24926 -:0408E200C7836AE17D -:0408E300C62A809A07 -:0408E4004501C78182 -:0408E500249000EF6C -:0408E600C70366DD01 -:0408E70047836CE6F1 -:0408E8008A630164BA -:0408E90047BD14E70C -:0408EA0078FD022370 -:0408EB00FF63478DD3 -:0408EC00479504E741 -:0408ED0006E7F063C7 -:0408EE004D894C05DF -:0408EF0007934A0918 -:0408F000F793FFF784 -:0408F10045A10FF717 -:0408F20004F5EA63BC -:0408F300078A65D932 -:0408F4008D858593D6 -:0408F500439C97AEDB -:0408F6006637878258 -:0408F70086AA0001CC -:0408F800ACC6061371 -:0408F900051345C5D9 -:0408FA0020EF6E4B32 -:0408FB0055B712A03B -:0408FC00464500016C -:0408FD0070858593EA -:0408FE00770B8513DC -:0408FF001E8020EF48 -:04090000F01FE0EF15 -:04090100A223A0018C -:04090200BFB58006F7 -:040903004D854C0DC5 -:04090400B7754A0178 -:040905004D814C09CB -:040906004901BFE5FF -:04090700879369D990 -:04090800070A91C980 -:04090900430C973EC6 -:04090A00000165374C -:04090B00ADC505135E -:04090C007E1000EF6A -:04090D00C78367DD58 -:04090E008993722730 -:04090F0066DD91C947 -:04091000C783C39D39 -:04091100675D6CE6CC -:040912007007071350 -:04091300C50397BAC7 -:0409140067DD000794 -:040915007217C7038B -:0409160000A70763CC -:0409170072A780A3A0 -:0409180057B000EFE5 -:04091900C78366DD4D -:04091A0005A36CE6DF -:04091B004705000488 -:04091C0000F40B23B5 -:04091D000374478395 -:04091E007663458D2A -:04091F00459500F703 -:04092000F5938D9D21 -:04092100856E0FF5DB -:040922003FA010EFF3 -:040923004DE010EFA4 -:04092400FFAFE0EF52 -:04092500C78367DD40 -:04092600C7817867A6 -:0409270010EF8562E6 -:0409280085CA046018 -:0409290010EF8552F4 -:04092A00478326F0E9 -:04092B0046450164D8 -:04092C006E4B0513F6 -:04092D0099BE078ADE -:04092E000009A58394 -:04092F00000422237B -:04093000124020EF62 -:04093100000165B7A5 -:04093200859346451E -:040933008513AF85F4 -:0409340020EF770B2E -:04093500C783112043 -:04093600E399809A27 -:04093700E25FE0EFAC -:04093800C70367DDAD -:0409390047A96CC797 -:04093A0000F7176348 -:04093B00C78147B277 -:04093C0000EF453D46 -:04093D00C7033A10A2 -:04093E004783015496 -:04093F00036302C488 -:04094000470102F772 -:0409410046014681A4 -:0409420045014581A5 -:04094300B00FE0EF22 -:040944000154C50392 -:04094500EDDFE0EF13 -:040946000154C7830E -:040947000004222363 -:0409480002F406238C -:040949000154C7830B -:04094A00C583EB95E1 -:04094B004783016479 -:04094C00856302D4E9 -:04094D00653702B751 -:04094E00051300018C -:04094F0000EFB045C0 -:0409500047036D30BC -:04095100C68300F465 -:0409520046010164F5 -:040953004501458194 -:04095400ABCFE0EF56 -:040955000164C783EF -:0409560002F406A3FE -:04095700016447836D -:0409580085CACBADD4 -:04095900E0EF8552F4 -:04095A004789FC1FAE -:04095B000AF50F6327 -:04095C000A63479152 -:04095D00478502F5D3 -:04095E0004F51D631C -:04095F0000B4478316 -:040960006537CBA983 -:040961000513000179 -:0409620000EFB405E9 -:04096300F0EF6870D9 -:04096400A081C86F37 -:04096500B55949092E -:04096600B549490D39 -:04096700022347C15F -:04096800490578FDC8 -:040969004783BDA55E -:04096A00CB8D00B47D -:04096B0000016537EB -:04096C00B185051339 -:04096D0065D000EF62 -:04096E005783675DE7 -:04096F00E7936F8714 -:040970001C2300271D -:0409710017376EF7CF -:04097200202300023C -:04097300F0EF0EF79C -:040974000513CC3F5C -:04097500D0EF12C0ED -:04097600BB81B65F2C -:0409770000016537DF -:04097800B24505136C -:0409790062D000EF59 -:04097A000004222330 -:04097B0037E010EF62 -:04097C000164478348 -:04097D0005134645D3 -:04097E0097136E4B12 -:04097F0067D900270D -:0409800091C7879301 -:04098100438C97BA52 -:040982007DD010EF25 -:04098300000165B753 -:0409840085934645CC -:040985008513AF85A2 -:0409860010EF770BEC -:04098700C7837CB0F6 -:04098800FBC5809A91 -:04098900CDDFE0EFEF -:04098A004783B76D7B -:04098B00D3D500B40C -:04098C0000016537CA -:04098D00B305051396 -:04098E005D9000EF89 -:04098F00E7EFF0EFAF -:0409900065D9BF491D -:040991001141655D4E -:040992000310061335 -:04099300D105859372 -:0409940078C505130A -:0409950010EFC60693 -:0409960065DD5E704D -:04099700061365617D -:0409980085932F20F4 -:040999000513A7C5D6 -:04099A0010EF80C515 -:04099B0040B25D30D9 -:04099C00470567DDC7 -:04099D0078E782A3D2 -:04099E0001414501CD -:04099F00715D808284 -:0409A000C2A6C4A285 -:0409A10064D9DE4EE9 -:0409A200645D69DD4A -:0409A300DC52C0CA98 -:0409A400C686D266CB -:0409A500D85ADA56EC -:0409A600D462D65EE3 -:0409A700CE6ED06AD6 -:0409A8004C81490134 -:0409A900E5C484938A -:0409AA0004138A4E5A -:0409AB0065B77C04AC -:0409AC0046450001BB -:0409AD00F005859339 -:0409AE006D09851337 -:0409AF00729010EF43 -:0409B000002C97136D -:0409B100430C972636 -:0409B2004645655DF4 -:0409B30071050513B2 -:0409B400715010EF7F -:0409B500C1DFE0EFCF -:0409B60047016AC1CA -:0409B700FFFA8D13A3 -:0409B8006BE16B6123 -:0409B90000016DB715 -:0409BA0016B76C5DA3 -:0409BB00A58300020E -:0409BC0005370D06E8 -:0409BD00F63300030A -:0409BE00202301A54C -:0409BF00A68380CBC0 -:0409C000C6930D06C7 -:0409C1008EE9FFF6C6 -:0409C20080DBA22311 -:0409C3000263C21DEC -:0409C40096930326DD -:0409C50096A2001CDA -:0409C6009023E32D6A -:0409C700464500B6EB -:0409C800F08D859396 -:0409C9006D0A05139B -:0409CA006BD010EFEF -:0409CB00BC5FE0EF3E -:0409CC0026034705B2 -:0409CD00A6837F8CF2 -:0409CE00E20D804B6B -:0409CF0003569063D8 -:0409D000040C9F6311 -:0409D100061365D9CB -:0409D20085930360A6 -:0409D3008522EC8508 -:0409D40010EFC63624 -:0409D50046B24EB028 -:0409D6004CED470994 -:0409D7007EDC2C2373 -:0409D8004689C63A4C -:0409D900800B290363 -:0409DA0002D70E63CF -:0409DB000513650992 -:0409DC00D0EF7105E2 -:0409DD0047329C9F62 -:0409DE00D683BF8D70 -:0409DF0047090006BE -:0409E000FAD609E357 -:0409E100000167B7F3 -:0409E200859346456E -:0409E3000513F10700 -:0409E40010EF6D0A99 -:0409E500E0EF6530AA -:0409E6004701B5BF51 -:0409E7001CF9BF59DF -:0409E800BF6D47098F -:0409E90047690C85C9 -:0409EA00F19753E34B -:0409EB0040B64426A8 -:0409EC0049064496DE -:0409ED005A6259F2FF -:0409EE005B425AD23C -:0409EF005C225BB279 -:0409F0005D025C92B6 -:0409F100453D4DF241 -:0409F200006F6161D0 -:0409F300711D0C90D6 -:0409F40000B10793B4 -:0409F50067DDCA3EB2 -:0409F60079878793E3 -:0409F70067DDCC3EAE -:0409F80079978793D1 -:0409F90067DDCE3EAA -:0409FA0079A78793BF -:0409FB0067DDD03EA6 -:0409FC0079B78793AD -:0409FD00D43ED23ED4 -:0409FE00879367DD97 -:0409FF00D63E79C7A0 -:040A00000F0327B702 -:040A0100F0178793D0 -:040A02000793C63E52 -:040A03001823303054 -:040A0400478D00F129 -:040A050000F10923D0 -:040A0600A58367E17C -:040A0700CAA68007F4 -:040A0800CCA2CE8628 -:040A0900C6CEC8CAC3 -:040A0A00C2D6C4D2BA -:040A0B00DE5EC0DA11 -:040A0C0005A3DC6200 -:040A0D0084BE0001A2 -:040A0E0067E1C99142 -:040A0F008087C60313 -:040A10000001653745 -:040A1100DB050513E9 -:040A12003C9000EF25 -:040A13002583646172 -:040A1400C9818044D0 -:040A15000001653740 -:040A1600051381C182 -:040A170000EFDC45CB -:040A1800675D3B30AB -:040A19008004A6832C -:040A1A000493478179 -:040A1B0045E97C0726 -:040A1C007C07071339 -:040A1D000007560375 -:040A1E0000D61C637F -:040A1F00626347695E -:040A2000675910F70B -:040A21000713078A26 -:040A220097BAD44764 -:040A23008782439CE7 -:040A24008A630709D1 -:040A250007853AB750 -:040A26004789BFF14C -:040A2700D70366DDAE -:040A280047136F867B -:040A29009C231007F3 -:040A2A0026836EE6CB -:040A2B009713804459 -:040A2C005D6300F610 -:040A2D0067DD00077A -:040A2E0073A7C703E0 -:040A2F00478546258C -:040A300000C7066392 -:040A31000017079310 -:040A32000FF7F79330 -:040A330000E697132F -:040A340000075E63F6 -:040A35004683675D30 -:040A3600458578C7B3 -:040A3700E56346012C -:040A3800068500D55A -:040A39000FF6F613AB -:040A3A0078C7062350 -:040A3B004501472901 -:040A3C0000E78663E6 -:040A3D000723675DC7 -:040A3E0045056CF707 -:040A3F00D70367DD95 -:040A400066E16F8775 -:040A41008096C68352 -:040A420007429B4D7F -:040A43009C2383412C -:040A4400EA816EE7EE -:040A4500C68366DD21 -:040A4600068A70A606 -:040A47009C238F5508 -:040A4800D7036EE77B -:040A490040F66F877D -:040A4A0017B7446630 -:040A4B00A0230002E2 -:040A4C0044D60EE797 -:040A4D0049B6494617 -:040A4E004A964A2654 -:040A4F005BF24B0605 -:040A500061255C625E -:040A5100478D8082CB -:040A52004791BF9178 -:040A53004795BF8183 -:040A54004799B7B156 -:040A5500479DB7A161 -:040A560047A1B7916C -:040A570047A5B78177 -:040A58006761BF35DE -:040A590080974783B8 -:040A5A000017B69338 -:040A5B0080D704A399 -:040A5C004683675D09 -:040A5D00675D721748 -:040A5E006CD706A3A8 -:040A5F004505E789D9 -:040A600047A929B1C8 -:040A6100E0EFBF21E2 -:040A6200BFE597BF96 -:040A630017B7695DFB -:040A64000913000270 -:040A6500A4837249AB -:040A660007830C07EF -:040A6700476900A932 -:040A680000016637EC -:040A690002E787B366 -:040A6A0007136761A6 -:040A6B00655D80C77E -:040A6C00DD4606134A -:040A6D00051345C563 -:040A6E00973E6D053D -:040A6F00C68367DDF6 -:040A700010EF7217FA -:040A71004783353052 -:040A7200C7B900B947 -:040A73008793678579 -:040A74008FE5800783 -:040A75007FF4F69381 -:040A760000F0373322 -:040A770096B30685A7 -:040A780096BA00E644 -:040A79000700071358 -:040A7A000713C39902 -:040A7B0080C10690A0 -:040A7C000793888DC7 -:040A7D00E09902A05A -:040A7E0002000793D8 -:040A7F000002163724 -:040A8000060628033B -:040A81006637655D12 -:040A82000613000156 -:040A830045C5DE0681 -:040A840071050513E0 -:040A8500301010EF2E -:040A86008D9FE0EF71 -:040A8700675DB79D53 -:040A88006F8757839A -:040A89000107C79307 -:040A8A006EF71C23C4 -:040A8B0067DDBF99CB -:040A8C0078C7C7035D -:040A8D004681460553 -:040A8E0000E66563B6 -:040A8F00769307054E -:040A900086230FF7B3 -:040A9100BF3578D71E -:040A9200871367DD82 -:040A9300470378C7D6 -:040A940046050017FC -:040A950078C7879304 -:040A960065634681CD -:040A9700070500E669 -:040A98000FF776934B -:040A990000D780A35F -:040A9A00675DBF29AC -:040A9B0078C707937E -:040A9C000047C783C5 -:040A9D0078C70713FC -:040A9E0017FDC781F8 -:040A9F000FF7F793C3 -:040AA00000F7022336 -:040AA100675DBDFDD3 -:040AA20078C70713F7 -:040AA300004747833E -:040AA400F36346B9F9 -:040AA50047B900F657 -:040AA600B7DD07852C -:040AA700000165B72E -:040AA8004645655DFD -:040AA900DF0585934D -:040AAA006D050513BE -:040AAB00339010EF85 -:040AAC00000165B729 -:040AAD0046456B5DF2 -:040AAE00E005859347 -:040AAF00710B0513AF -:040AB000325010EFC1 -:040AB1006A5D69C150 -:040AB20069096AE183 -:040AB300825FE0EF8F -:040AB40000021BB76A -:040AB5004C6D19FD6E -:040AB600724A0A1363 -:040AB70080CA8A93D4 -:040AB80071090913A4 -:040AB9000D0BA70377 -:040ABA00468187A644 -:040ABB000137773355 -:040ABC000007D60356 -:040ABD0000E60663E6 -:040ABE000789068519 -:040ABF00FF869AE331 -:040AC00000AA058300 -:040AC100471547E9A5 -:040AC20002F587B3FF -:040AC300C78397D678 -:040AC4007E630177D5 -:040AC500653700F79A -:040AC6000513000113 -:040AC70000EFE0C597 -:040AC800E0EF0F301C -:040AC90067E1FDEFF5 -:040ACA00800784A37A -:040ACB004711BD9979 -:040ACC0004D74563A3 -:040ACD00973E181820 -:040ACE00FDC7470316 -:040ACF0040D7573382 -:040AD000CB098B05BE -:040AD1001818078A60 -:040AD200A78397BAA5 -:040AD3008023FE4737 -:040AD400BFC100D7C7 -:040AD500000166377F -:040AD6000613068578 -:040AD70045C5E30628 -:040AD800710B051386 -:040AD9001B1010EFEF -:040ADA00F88FE0EFC2 -:040ADB000007A53734 -:040ADC0012050513E7 -:040ADD00DC6FD0EF0B -:040ADE0047B1B76DF8 -:040ADF00FAF683E3BD -:040AE000D0EF854A84 -:040AE100BFB9DB8F2F -:040AE200871367DD32 -:040AE300470378C786 -:040AE40046790187C7 -:040AE50078C78793B4 -:040AE600656346817D -:040AE700070500E619 -:040AE8000FF77693FB -:040AE90000D78C2383 -:040AEA0067DDBBE920 -:040AEB0078C787132E -:040AEC000187470334 -:040AED0078C78793AC -:040AEE00D76D46FD7D -:040AEF00B7CD177DEB -:040AF000000165B7E5 -:040AF1004645655DB4 -:040AF200E4058593FF -:040AF3006D05051375 -:040AF400215010EF8E -:040AF500000165B7E0 -:040AF6004645655DAF -:040AF700E5058593F9 -:040AF800710505136C -:040AF900201010EFCA -:040AFA00690969C15C -:040AFB00F04FE0EFE9 -:040AFC0000021A37A3 -:040AFD004AED19FDA8 -:040AFE004BB14B2588 -:040AFF00710909135D -:040B00000D0A268331 -:040B0100478187267B -:040B02000136F6B30F -:040B0300000756038E -:040B040000D60A63AA -:040B05000709078550 -:040B0600FF579AE318 -:040B0700D0EF854A5C -:040B0800BFF9D1CF91 -:040B090000FB4C633E -:040B0A0007854729EB -:040B0B0002E7E7B363 -:040B0C0006A3675D78 -:040B0D00F0EF6CF7A2 -:040B0E00B5E5B08F0A -:040B0F00FF7790E3F9 -:040B10004785B5CD93 -:040B110047A9B9A196 -:040B120086AAB18D71 -:040B13000001663740 -:040B14000613655D02 -:040B150045C57386D9 -:040B1600710505134D -:040B17000B90106FC0 -:040B180046500693AA -:040B190002D506B348 -:040B1A0006400793F7 -:040B1B000001663738 -:040B1C000613655DFA -:040B1D0045C50A06BA -:040B1E007105051345 -:040B1F0002F6C6B361 -:040B20000950106FF9 -:040B2100663786AA03 -:040B2200655D00010C -:040B230005C60613EA -:040B2400051345C5AB -:040B2500106F7105D7 -:040B260046B707F0D7 -:040B27008693000FA2 -:040B28000533240667 -:040B2900678902D501 -:040B2A0096478793D0 -:040B2B003E800713EE -:040B2C00006336B775 -:040B2D00EA068693BB -:040B2E000001663725 -:040B2F00050606139E -:040B300057B345C5AD -:040B3100F7B302F51F -:040B3200472902E766 -:040B330002D556B3DE -:040B34000513655DE3 -:040B3500D73371053C -:040B3600106F02E753 -:040B3700069303B06E -:040B380006B346704A -:040B3900079302D547 -:040B3A0066370640D4 -:040B3B00655D0001F3 -:040B3C007306061323 -:040B3D00051345C592 -:040B3E00C6B37105C4 -:040B3F00106F02F63B -:040B400086AA017010 -:040B41000001663712 -:040B42000613655DD4 -:040B430045C5088616 -:040B4400710505131F -:040B45000010106F1D -:040B460027100693DB -:040B470002D506B31A -:040B480006400793C9 -:040B4900000166370A -:040B4A000613655DCC -:040B4B0045C50A860C -:040B4C007105051317 -:040B4D0002F6C6B333 -:040B4E007DC0106FE7 -:040B4F0027100693D2 -:040B5000053305055F -:040B5100069302D530 -:040B520066370640BC -:040B53000613000184 -:040B540045C50A8603 -:040B550002D546B3CC -:040B56000513655DC1 -:040B5700106F7105A5 -:040B580016937B6015 -:040B590086E10185AB +:0402CC00337D094035 +:0402CD000C04659325 +:0402CE00098005138B +:0402CF0045933355CB +:0402D00005920FF490 +:0402D10000B5E593FC +:0402D2000FB5F593DC +:0402D3000990051376 +:0402D40045813B41E4 +:0402D5003369453D07 +:0402D6000C10059370 +:0402D7000E000513FD +:0402D8000793334114 +:0402D9008323FC106F +:0402DA0040D200F41A +:0402DB0044B24442A3 +:0402DC000161450572 +:0402DD003BA9808237 +:0402DE000FC5759340 +:0402DF001151BF9961 +:0402E000C4064511FA +:0402E100659333B13D +:0402E200F59300850B +:0402E30045110FF5BD +:0402E40040A23B8178 +:0402E500030005937A +:0402E60006100513E6 +:0402E700B3890131A5 +:0402E800451111515A +:0402E900332DC406E7 +:0402EA00659340A236 +:0402EB00F593004542 +:0402EC0045110FF5B4 +:0402ED00B32D0131FB +:0402EE00C4061151E0 +:0402EF00E911C2222D +:0402F000051345812C +:0402F1003B290CD0C9 +:0402F20040A24505DC +:0402F300013144127F +:0402F400842E808252 +:0402F500D9F54501F1 +:0402F600453D4585B8 +:0402F70045833311F7 +:0402F80005130034B6 +:0402F90039ED058056 +:0402FA0000444583F4 +:0402FB000590051352 +:0402FC00458339C538 +:0402FD000513005491 +:0402FE0031DD05A049 +:0402FF0000644583CF +:0403000005B005132C +:04030100458339F106 +:04030200051300746B +:0403030039C905C02F +:0403040000844583A9 +:0403050005E00513F7 +:04030600458331E119 +:040307000513009446 +:04030800397D05F046 +:0403090000A4458384 +:04030A0006000513D1 +:04030B004583395598 +:04030C00051300B421 +:04030D00316D061038 +:04030E0000C445835F +:04030F0006200513AC +:0403100045833145AB +:04031100051300D4FC +:04031200395906301F +:0403130000E445833A +:040314000640051387 +:04031500458331717A +:04031600051300F4D7 +:040317003149065012 +:040318000034079313 +:0403190004414581D5 +:04031A000007C7030E +:04031B008D9907852C +:04031C000FF5F59351 +:04031D00FEF41AE3ED +:04031E0006F58593C8 +:04031F000FF5F5934E +:0403200005D00513EC +:04032100458139B128 +:040322003999453D83 +:04032300BF15458D30 +:04032400C4061151A9 +:04032500E911C222F6 +:0403260005134581F5 +:0403270031890CE02C +:0403280040A24505A5 +:040329000131441248 +:04032A00842E80821B +:04032B00D9F54501BA +:04032C00453D458581 +:04032D00458331359E +:04032E00051300347F +:04032F00310D068006 +:0403300000444583BD +:04033100069005131A +:0403320045833921A5 +:04033300051300644A +:04033400313906B0A5 +:040335000074458388 +:0403360006C00513E5 +:0403370045833111B8 +:0403380047830034C3 +:040339000513004464 +:04033A0097AE06D0A4 +:04033B00071005930F +:04033C0047838D9DC9 +:04033D008D9D00543E +:04033E00006447838D +:04033F0047838D9DC6 +:040340008D9D00741B +:040341000FF5F5932C +:0403420045813EE1D2 +:040343003EC9453D2D +:04034400B761458DCB +:04034500C22211516E +:04034600842A45813F +:04034700C406453D66 +:04034800051336C1A2 +:04034900366D0C10F1 +:04034A0000803433C8 +:04034B000FE57593B2 +:04034C0005138DC147 +:04034D00366D0C10ED +:04034E0040A2441273 +:04034F000513458DC0 +:0403500001310C600B +:040351001121BE7147 +:04035200C22AC626CF +:04035300451184AE1E +:04035400C82245F581 +:040355008432C036F8 +:040356003659CA0644 +:04035700675D468216 +:040358004047061301 +:0403590097938A8567 +:04035A0046830016C0 +:04035B009AF500C649 +:04035C0007938EDD98 +:04035D0006234047EC +:04035E00C03E00D6C7 +:04035F00C2998A892C +:040360003F494505C7 +:0403610005134782B7 +:04036200C783070046 +:04036300C43E00375D +:04036400F7133681D4 +:040365004685003495 +:040366000035759356 +:04036700076347A23F +:04036800468908D7E3 +:0403690008D7076347 +:04036A000087F6937F +:04036B00E593C299BB +:04036C00F6930205FD +:04036D00C29900171A +:04036E000105E5930D +:04036F000027F693DA +:04037000E593C299B6 +:040371008B910085E7 +:04037200E593C399B3 +:040373000513004529 +:04037400C43A070080 +:0403750047223631B4 +:040376001A071963E6 +:040377004785880D21 +:0403780004F40C631A +:04037900126347893B +:04037A0097931AF447 +:04037B0087E1018491 +:04037C00D463440101 +:04037D00041300075E +:04037E00F4930A00EA +:04037F0007930304D9 +:040380008B63020089 +:04038100079314F4D6 +:04038200836303008E +:0403830047C104F476 +:04038400906365DD40 +:04038500460D16F417 +:040386003305859323 +:040387000730051323 +:0403880065DD34F902 +:0403890085934649C9 +:04038A00A83DB8854D +:04038B000405E593ED +:04038C00E593BFA591 +:04038D00BF8D080513 +:04038E0001849793BC +:04038F00F41387E1FB +:04039000DCE30404A2 +:040391006413FA07F0 +:040392007413FA04E2 +:04039300B7750FF437 +:04039400460D65DDD0 +:0403950033458593D4 +:040396000730051314 +:04039700A93FF0EF9B +:04039800464965DD90 +:04039900B9C58593CA +:04039A0007600513E0 +:04039B00A83FF0EF98 +:04039C000513448978 +:04039D00F0EF072056 +:04039E007593A5BFEF +:04039F008C4501C5C3 +:0403A00005138DC1F3 +:0403A100F0EF072052 +:0403A2004782A5BF2A +:0403A300C783458542 +:0403A4008B8900C77A +:0403A5004581E3911A +:0403A6000C0005132F +:0403A700A45FF0EF70 +:0403A800451145D5E1 +:0403A900A3DFF0EFEF +:0403AA00051345C131 +:0403AB00F0EF061059 +:0403AC004712A33F12 +:0403AD001D634789FC +:0403AE0005930CF7B0 +:0403AF0005130880AA +:0403B000F0EF062044 +:0403B10045C1A1FFA2 +:0403B20006300513F9 +:0403B300A15FF0EF67 +:0403B4000840059365 +:0403B50006400513E6 +:0403B600A09FF0EF25 +:0403B700F0EF450519 +:0403B8004511A4DF68 +:0403B9009EDFF0EFE4 +:0403BA0001D5759361 +:0403BB00F0EF451109 +:0403BC0005139F3F47 +:0403BD00F0EF064017 +:0403BE004511A35FE3 +:0403BF009D5FF0EF5F +:0403C00001557593DB +:0403C100F0EF451103 +:0403C20004139DBFC4 +:0403C3004539064072 +:0403C4009C1FF0EF9B +:0403C500E901894180 +:0403C6000442147D5C +:0403C7008041450527 +:0403C800A0BFF0EFF3 +:0403C9004581F46D09 +:0403CA00F0EF4531DA +:0403CB0005939B7F7C +:0403CC0045350400AF +:0403CD009ADFF0EFD4 +:0403CE0045394585E3 +:0403CF009A5FF0EF52 +:0403D00045394581E5 +:0403D10099DFF0EFD1 +:0403D2009EFFF0EFAB +:0403D300444240D28E +:0403D400450544B2E5 +:0403D50080820161C0 +:0403D600460D65DD8E +:0403D7003345859392 +:0403D80007300513D2 +:0403D90098BFF0EFEA +:0403DA00464965DD4E +:0403DB00B74585930A +:0403DC00460DBDE528 +:0403DD0033058593CC +:0403DE0007300513CC +:0403DF00973FF0EF65 +:0403E000464965DD48 +:0403E100B605859345 +:0403E2004401B5C558 +:0403E300B5D54481C7 +:0403E400051345E1D7 +:0403E500F0EF06200F +:0403E60045C194BFBA +:0403E70006300513C4 +:0403E800941FF0EF7F +:0403E900B73D45B126 +:0403EA00C2221151C9 +:0403EB00842AC0267A +:0403EC00453D84AE59 +:0403ED00C40645817C +:0403EE00929FF0EFFB +:0403EF00059005135D +:0403F000911FF0EF7A +:0403F10002F5759309 +:0403F200041AE4996C +:0403F300F5938DC130 +:0403F400E5930FF589 +:0403F50044120105A8 +:0403F600448240A25B +:0403F7000590051355 +:0403F800F06F013170 +:0403F90067DD8FFF2E +:0403FA00A8478793F6 +:0403FB002A23675DED +:0403FC00A70340F71C +:0403FD0005130C8751 +:0403FE00CB01F380BC +:0403FF000D47A703FC +:04040000100007934E +:0404010000F713638A +:0404020080824501AE +:0404030086AE67DD7D +:04040400A50385AA1D +:040405001151414709 +:04040600F0EFC40649 +:04040700C119C02F28 +:04040800F370051375 +:04040900013140A2DB +:04040A0011218082BA +:04040B008432C8224D +:04040C00CA06C62630 +:04040D000FF47793DE +:04040E00872E86AA05 +:04040F0064DD062280 +:04041000A503C385F8 +:04041100759341445A +:0404120005A2F0044B +:040413009EAFF0EFB9 +:040414000513C119F2 +:0404150040D2F3508E +:0404160044B2444266 +:04041700808201617D +:04041800A503C22A4C +:04041900C42E414468 +:04041A00C03285B2B5 +:04041B0097EFF0EF78 +:04041C0046924602BC +:04041D00D57147222C +:04041E00F36005136F +:04041F001131BFE9EF +:04042000C806C62222 +:0404210087AAC426BC +:040422008732842E6B +:040423004501E41992 +:04042400443240C25C +:04042500015144A29B +:040426000693808237 +:0404270084A210009B +:040428000086F463F3 +:040429001000049328 +:04042A0085A6863AE3 +:04042B00C23A853E0E +:04042C003FA5C03EEA +:04042D004782FD7194 +:04042E008C054712E0 +:04042F00070597A680 +:040430001121B7F1EE +:04043100C626C822F1 +:04043200842ECA0644 +:040433004481C0320E +:04043400ED6347012C +:040435004782008476 +:040436000463450115 +:04043700051300F7B2 +:0404380040D2F3407B +:0404390044B2444243 +:04043A00808201615A +:04043B0040940733AF +:04043C001000061393 +:04043D0000E67463FE +:04043E001000071390 +:04043F0085BA8636BE +:04044000C436852613 +:040441003719C23A6B +:040442004712FD69F7 +:04044300B61346A204 +:0404440085BA001461 +:04044500C236853600 +:0404460077C020EF6C +:040447008493872AE9 +:0404480046921004C4 +:040449001141B77D29 +:04044A00645DC42207 +:04044B000513C02AAB +:04044C00C60641841B +:04044D007D6030EFAF +:04044E00E9094582F1 +:04044F00200007136F +:04045000460146819A +:0404510041840513CA +:0404520010B030EFC7 +:04045300442240B24D +:040454008082014160 +:04045500C822112187 +:04045600CA06C626E6 +:0404570083AE842AC2 +:04045800C03684B274 +:040459004501E099E0 +:04045A000713A815C7 +:04045B0083262000D4 +:04045C00009774632E +:04045D002000031365 +:04045E0017134582A9 +:04045F0067DD010351 +:04046000468183410D +:040461008513862257 +:04046200C41E4187EC +:0404630030EFC21A9A +:0404640043120C50E3 +:04046500C90143A2E4 +:0404660040A005337A +:04046700444240D2F9 +:04046800016144B238 +:040469004502808246 +:04046A00859A861ECB +:04046B00C21AC41ECF +:04046C00F56D35F9FC +:04046D0043A2431251 +:04046E005713040517 +:04046F0093BA0083B9 +:04047000406484B3AD +:0404710065DDB74549 +:040472008593655DAC +:04047300051346C562 +:04047400206F42C5EE +:0404750065DD12D05F +:040476008593655DA8 +:0404770005134D0517 +:04047800206F4405A8 +:04047900115111D03C +:04047A00C406C222D0 +:04047B00F0EF842AF0 +:04047C0045819B3FDC +:04047D00F0EF450156 +:04047E00E435A9BFF9 +:04047F000713675D9B +:04048000434848079E +:04048100019C07B71C +:04048200CC07879389 +:0404830002A7D7B342 +:0404840000A70603C4 +:04048500450345F1F5 +:0404860066DD010727 +:0404870056C686933C +:040488000413645D98 +:0404890006334EC424 +:04048A00458302B6EE +:04048B0087B30264CD +:04048C0096B202A77B +:04048D0000E6D503AD +:04048E0002744603AB +:04048F0002A787B386 +:0404900000C7450359 +:0404910087B3050523 +:04049200450302A775 +:04049300050500E774 +:0404940002F5053335 +:04049500FACFF0EFBB +:0404960002644503B4 +:0404970040A2441229 +:04049800F06F0131CF +:0404990040A2D9EFB5 +:04049A0001314412D6 +:04049B0011518082F9 +:04049C0084AAC02648 +:04049D00C406450547 +:04049E00F0EFC22297 +:04049F00F0EFA9BF12 +:0404A0004581901FE3 +:0404A100F0EF450132 +:0404A200B693933F3B +:0404A30046010014FA +:0404A4004505458144 +:0404A500AB3FF0EF8A +:0404A600645DE49D10 +:0404A700879367DDF3 +:0404A80004134EC724 +:0404A900C6834804BA +:0404AA00470301679C +:0404AB00460100F412 +:0404AC004501458140 +:0404AD00D08FF0EF0D +:0404AE00879367DDEC +:0404AF00C7834EC7EA +:0404B00007A3016736 +:0404B100852602F4A6 +:0404B20044123F3978 +:0404B300448240A29D +:0404B40001314501CC +:0404B500A41FF06F21 +:0404B600C406115116 +:0404B700ED2DC22243 +:0404B800C78367DDB2 +:0404B900472145177B +:0404BA0004E78C6364 +:0404BB0089634741C9 +:0404BC00471100E7FD +:0404BD0004E79E634F +:0404BE00621020EFB9 +:0404BF00A0A14505AE +:0404C0000713675D5A +:0404C1004350480755 +:0404C200019C07B7DB +:0404C300CC07879348 +:0404C40002C7D7B3E1 +:0404C50000A7060383 +:0404C600675D45F138 +:0404C70056C70713FA +:0404C800063386AAC7 +:0404C900973202B6AE +:0404CA0000E75703ED +:0404CB0002E787B30A +:0404CC0001C9C73764 +:0404CD0037F70713E3 +:0404CE0000F763636D +:0404CF00853646BD6B +:0404D0005D9020EF2C +:0404D1004412450D7F +:0404D200013140A212 +:0404D3001950206F2D +:0404D4005C9020EF29 +:0404D500BFC5450159 +:0404D600871367DD44 +:0404D70046834EC743 +:0404D80047090207C7 +:0404D9004EC7841373 +:0404DA008363453DB6 +:0404DB00450100E6F1 +:0404DC005A9020EF23 +:0404DD00020447834B +:0404DE00450D470978 +:0404DF00FCF775E3CE +:0404E0008D1D451514 +:0404E1000FF575138B +:0404E2001111BF7DB8 +:0404E300CC06678953 +:0404E400C826CA223A +:0404E5007107879381 +:0404E60000021737C2 +:0404E7000C07268355 +:0404E8000006D463D3 +:0404E900FBFD17FD03 +:0404EA00014030EFAE +:0404EB00879362DDB4 +:0404EC00C783480278 +:0404ED00842A00B7A6 +:0404EE0048028493A9 +:0404EF001737C7995B +:0404F000278300025C +:0404F10083C10C07B0 +:0404F20005138B8DD6 +:0404F300C63E03708E +:0404F40018F020EFED +:0404F5000513C02A01 +:0404F60020EF038070 +:0404F70045821850D2 +:0404F80016936605EC +:0404F9000613008561 +:0404FA008EF1F00689 +:0404FB00006556132F +:0404FC008A058ECD12 +:0404FD000513C22AF7 +:0404FE00C43603906D +:0404FF0000C484A30E +:0405000015F020EFE3 +:040501000513C02AF4 +:0405020020EF03A043 +:040503001637155042 +:0405040047B20002F8 +:040505000C062603B7 +:04050600438245895E +:0405070062DD46A2C9 +:0405080002B78B6348 +:0405090000B4C583F2 +:04050A00E589471226 +:04050B0018F005934C +:04050C0002D5F363BE +:04050D009D63458520 +:04050E00569300B749 +:04050F00F693012638 +:0405100006857FF6E7 +:040511001906B5937F +:040512000015C59378 +:04051300A80947816B +:040514000207771350 +:04051500B593DB655A +:04051600C5930C86F7 +:0405170047850015FF +:0405180000B4C30365 +:04051900675D665D57 +:04051A003F4606133F +:04051B004EC70713AD +:04051C001A031A6341 +:04051D003C04026335 +:04051E003C058063B5 +:04051F00000605834A +:040520005C634309CC +:04052100002318B3E8 +:040522004605000684 +:0405230000C485A3E8 +:04052400A6034411D5 +:040525001313480262 +:0405260065050085E2 +:04052700F0050513C3 +:0405280000A3733386 +:0405290000160513A0 +:04052A0000736333C4 +:04052B006D6365DDBA +:04052C00851300D55E +:04052D0069630016E8 +:04052E0040D000C5F4 +:04052F0000661663E9 +:040530000084C6037A +:0405310036F60D632A +:040532004C0586A34B +:0405330000C7458335 +:040534000254C603A4 +:0405350008C59A63F8 +:0405360000D7458322 +:040537000264C60391 +:0405380008C59463FB +:0405390000E745830F +:04053A000274C6037E +:04053B0006C59E63F0 +:04053C0000F74583FC +:04053D000284C6036B +:04053E0006C59863F3 +:04053F0001074583E8 +:040540000294C60358 +:0405410006C59263F6 +:040542000077458376 +:040543000204C603E5 +:0405440004C59C63EB +:040545000087458363 +:040546000214C603D2 +:0405470004C59663EE +:040548000097458350 +:040549000224C603BF +:04054A0004C59063F1 +:04054B0000A745833D +:04054C000234C603AC +:04054D0002C59A63E6 +:04054E0000B745832A +:04054F000244C60399 +:0405500002C59463E9 +:040551000197458346 +:040552000324C603B5 +:0405530000C59E63DE +:0405540001A7458333 +:040555000334C603A2 +:0405560000C59863E1 +:04055700024745838F +:0405580003D4C603FF +:0405590000C58963ED +:04055A008622458927 +:04055B0000B4736312 +:04055C0074134609C5 +:04055D0045830FF6CD +:04055E00C603017758 +:04055F0088630304A6 +:04056000860302C547 +:0405610045F100A4BC +:0405620002B60633A4 +:04056300859365DD3A +:04056400962E56C5B4 +:0405650001065583B3 +:0405660020D0061388 +:0405670000C59963CF +:040568008622458919 +:0405690000B4736304 +:04056A0074134609B7 +:04056B00665D0FF6C4 +:04056C004E5646039E +:04056D008603CE2112 +:04056E0045F100A4AF +:04056F00063363DD0F +:04057000839302B6B9 +:04057100C50356C3A5 +:04057200C08301043D +:0405730015930324B5 +:0405740081C101033D +:04057500D60393B264 +:04057600053300E366 +:04057700460102C572 +:040578008141054276 +:040579000000866395 +:04057A000183A60350 +:04057B008A05820566 +:04057C00C21AC43E9D +:04057D0020EFC03675 +:04057E00675D121093 +:04057F00431246825B +:0405800062DD47A24F +:040581004EC7071347 +:040582004405E0113B +:0405830048D2A02397 +:040584000064A2234A +:0405850000F48423D7 +:040586000585A02D1A +:0405870000B6002397 +:04058800BD854401E8 +:040589009963C01999 +:04058A000303200542 +:04058B0050F1000625 +:04058C001E135F6378 +:04058D000006002341 +:04058E00000485A33D +:04058F009AE3441196 +:0405900067DDE4053A +:040591004EC7C60388 +:040592000194C68387 +:0405930006D61E6307 +:040594000017460303 +:0405950001A4C68374 +:0405960006D618630A +:0405970000274603F0 +:0405980001B4C68361 +:0405990006D612630D +:04059A0000374603DD +:04059B0001C4C6834E +:04059C0004D61C6302 +:04059D0000474603CA +:04059E0001D4C6833B +:04059F0004D6166305 +:0405A00000574603B7 +:0405A10001E4C68328 +:0405A20004D6106308 +:0405A30001274603E3 +:0405A40002B4C68354 +:0405A50002D61A63FD +:0405A60001374603D0 +:0405A70002C4C68341 +:0405A80002D6146300 +:0405A90001474603BD +:0405AA0002D4C6832E +:0405AB0000D61E63F5 +:0405AC0001174603EA +:0405AD0002A4C6835B +:0405AE0000D61863F8 +:0405AF0002574603A6 +:0405B00003E4C68317 +:0405B10000D6046309 +:0405B2004405E0110B +:0405B3000187450374 +:0405B4000314C683E3 +:0405B50000A68D63AC +:0405B6000104C583F4 +:0405B7002E1020EFF3 +:0405B80088A367DDD0 +:0405B900871300A400 +:0405BA00E0114EC737 +:0405BB0045034405AB +:0405BC00C68301C72A +:0405BD0087630354F9 +:0405BE0020EF00A684 +:0405BF0067DD2FB015 +:0405C0004EC7871388 +:0405C10001D7450316 +:0405C2000364C68385 +:0405C30000A68763A4 +:0405C40078C020EFEC +:0405C500871367DD54 +:0405C60045034EC7D4 +:0405C700C68301E7FF +:0405C80087630374CE +:0405C90020EF00A679 +:0405CA0067DD780071 +:0405CB004EC787137D +:0405CC0002174503CA +:0405CD0003A4C6833A +:0405CE000227458338 +:0405CF0000A6966389 +:0405D00003B4C68327 +:0405D10000B6876386 +:0405D200736020EF43 +:0405D300871367DD46 +:0405D40045034EC7C6 +:0405D500C68301B721 +:0405D6008E630344E9 +:0405D70046E100A653 +:0405D80002D5053310 +:0405D900869366D9C6 +:0405DA00953663C629 +:0405DB000B5020EFB2 +:0405DC00871367DD3D +:0405DD0045034EC7BD +:0405DE00C6830207C7 +:0405DF008763039497 +:0405E000F0EF00A692 +:0405E10067DDB57F9E +:0405E2004EC7871366 +:0405E30001F74503D4 +:0405E4000384C68343 +:0405E50000A6846385 +:0405E6001A5020EF98 +:0405E70065DD66DD8B +:0405E80051568513D0 +:0405E9008593461D93 +:0405EA0030EF4C257D +:0405EB0067DD418007 +:0405EC00871366DD2E +:0405ED00C9014EC72B +:0405EE0051568513CA +:0405EF006F2020EF6A +:0405F000871367DD29 +:0405F10046034EC7A8 +:0405F200C683026753 +:0405F300186303F492 +:0405F400460300D6E4 +:0405F500C683027740 +:0405F600096304048D +:0405F700450300D6E2 +:0405F800F0EF0157C8 +:0405F90067DDA05FBB +:0405FA004EC787134E +:0405FB00C68366DD70 +:0405FC00CE814E66F8 +:0405FD000287450329 +:0405FE000414C70317 +:0405FF0000A70763E7 +:040600000562155129 +:0406010020EF856100 +:0406020067DD1AC0D6 +:040603004EC78593C6 +:0406040001948513C5 +:0406050003100613C5 +:040606003D0030EF94 +:0406070040E2852226 +:0406080067DD445214 +:040609004E0782A373 +:04060A00017144C274 +:04060B00137D808259 +:04060C000066002361 +:04060D00B5214401CE +:04060E0000060023BF +:04060F00C603BFE57A +:0406100045054CD57B +:04061100C8A604E390 +:040612007613060550 +:0406130086A30FF6B5 +:040614001DE34CC5D1 +:040615004589C6A6A7 +:040616007363862262 +:04061700460900B4DC +:040618000FF6741352 +:040619001101B1A575 +:04061A00CE22675D28 +:04061B000693CC2650 +:04061C008503480703 +:04061D0047F100A6FB +:04061E0007B3635D5E +:04061F00061302F5C7 +:04062000041356C3A6 +:04062100C58356C374 +:0406220007130106B3 +:0406230097B248073B +:0406240000C7D60332 +:040625000147C28344 +:04062600C603C03215 +:04062700C6220137AF +:04062800C603C23211 +:040629004689019667 +:04062A0004D615637A +:04062B0001A746835A +:04062C00F6930685B6 +:04062D004B500FF629 +:04062E000C6367856D +:04062F00ED6322F65F +:04063000079304C761 +:040631000D63040051 +:04063200031320F698 +:04063300F06302006E +:04063400031306C7DF +:0406350047910800E1 +:0406360004660E63E5 +:040637008006031323 +:040638000963478D7E +:04063900033704037C +:04063A0087AE000483 +:04063B001C6677635F +:04063C004305A09141 +:04063D0010E34681FF +:04063E004F90FC6677 +:04063F0000C7468327 +:04064000C6018A0560 +:04064100468DDACD3B +:04064200D6D5B77DD5 +:040643000177C683F2 +:0406440036B316F1C2 +:04064500B74500D0E5 +:04064600000207B7F0 +:040647001EF6016337 +:0406480016C7EE6380 +:040649004799630961 +:04064A0000660663DD +:04064B00479163412F +:04064C00FA661BE34C +:04064D004383635D23 +:04064E0043214513EC +:04064F00006396634B +:0406500002C202865A +:040651000102D2933D +:0406520001274383B6 +:04065300F763430501 +:040654008333005399 +:0406550003424072AA +:040656000103531336 +:04065700053342F134 +:0406580044320255D1 +:0406590040F583B332 +:04065A00024744838C +:04065B00540395228D +:04065C0082B300A5C0 +:04065D00C42202832E +:04065E00C2B34409D6 +:04065F0044030282CC +:04066000843302B726 +:0406610002C2028748 +:040662000102D2932C +:0406630004429416A3 +:04066400C8228041E7 +:040665000125450323 +:0406660000A30433B6 +:04066700027403B363 +:0406680094139396BE +:0406690042830103C4 +:04066A00804100D7F4 +:04066B004385CA22D7 +:04066C00043702FA53 +:04066D009363200073 +:04066E0044010074CF +:04066F0003B76489E0 +:040670000363100010 +:04067100438100962B +:0406720002A5853325 +:040673000010063736 +:0406740080060613E3 +:0406750006EE07C2C4 +:04067600052E44E227 +:0406770046228D7119 +:0406780002C58633FE +:04067900026585B3DE +:04067A007FF676137E +:04067B00005666338C +:04067C0005378E4967 +:04067D0013370FF030 +:04067E0005D200029F +:04067F008E4D8DE926 +:0406800063B38C5183 +:0406810028230074B6 +:0406820043830A7331 +:040683004603011712 +:0406840045F1010734 +:04068500062A03B688 +:0406860000C3E3B317 +:04068700E7B346424D +:04068800447200F3C5 +:040689000136139390 +:04068A000077E3B35F +:04068B00E3B347D2BC +:04068C00202300F334 +:04068D0047830A7322 +:04068E00460300C758 +:04068F0007F601E782 +:040690008FD1066A96 +:0406910002C7460353 +:040692008FD10652AC +:0406930000A70603B3 +:0406940002B6063371 +:04069500962E45B2A6 +:0406960001564603C0 +:040697008FD10646B3 +:0406980047828EDD2A +:0406990047928EDD19 +:04069A008EDD07AE3C +:04069B0008D3282335 +:04069C0003E74783A6 +:04069D0002D74683B7 +:04069E0006AA07BAE7 +:04069F0046838FD52A +:0406A0008FD501D71A +:0406A10001C74683C4 +:0406A20001B7470352 +:0406A3008FD506A643 +:0406A4008FD90712D1 +:0406A50008F3202313 +:0406A60080826105E8 +:0406A700001007B781 +:0406A80006F60363EC +:0406A900002007B76F +:0406AA00E2F61FE372 +:0406AB0002A743035C +:0406AC0087B3479930 +:0406AD00F793406718 +:0406AE0043030FF7FC +:0406AF001BE3024700 +:0406B0004402E60317 +:0406B100FE840313AD +:0406B2000103141319 +:0406B300C0228041A0 +:0406B40003134412D6 +:0406B500141300C456 +:0406B600804101037B +:0406B700BD99C22205 +:0406B80002A743034F +:0406B90087B3478D2F +:0406BA000786406708 +:0406BB000FF7F793AB +:0406BC004303B591AE +:0406BD00479102A7B8 +:0406BE00406787B357 +:0406BF004303BFC56D +:0406C000479502A7B1 +:0406C1004795BFD5C5 +:0406C2001111BF4D06 +:0406C300CA2267DD03 +:0406C400645D470525 +:0406C5004CE786A3D5 +:0406C600480407934A +:0406C7000047A283C3 +:0406C800CC06C8266E +:0406C900480404934A +:0406CA001A0282632B +:0406CB0048042703B5 +:0406CC0018070E639A +:0406CD00A0EEC7B71D +:0406CE00B007879357 +:0406CF0002E7D7B3B4 +:0406D0000084C703D8 +:0406D100019C03B7CE +:0406D200CC0383933F +:0406D3000253D3B348 +:0406D40016070C6396 +:0406D5000257D2B343 +:0406D60003B0051355 +:0406D700C21EC61663 +:0406D8001FE020EF10 +:0406D9000513C42A17 +:0406DA0020EF03C04A +:0406DB00C7831F4072 +:0406DC00C6030184CC +:0406DD0043920084C0 +:0406DE000027971347 +:0406DF00879367D9BD +:0406E00097BA89C775 +:0406E1002703439414 +:0406E200079348042E +:0406E30042B2070018 +:0406E4000793E2197D +:0406E50066370690DE +:0406E600655D00014D +:0406E700950606135B +:0406E800051345C5EC +:0406E900C2164405EC +:0406EA0030EFC61E09 +:0406EB0042921160C6 +:0406EC00079343B27B +:0406ED00069306402A +:0406EE00655D3E8088 +:0406EF0002F2D73309 +:0406F000051345C5E4 +:0406F100F6334D058A +:0406F200C23A02D333 +:0406F30002F2F2B36A +:0406F400472987BA51 +:0406F50002E657338F +:0406F6000001663762 +:0406F7000613C01610 +:0406F800D6B395865A +:0406F90030EF02D309 +:0406FA0067DD0DA00B +:0406FB005697C783C4 +:0406FC00F0EFE3999F +:0406FD0067DDDE4F88 +:0406FE004E47C6831A +:0406FF00C583461257 +:040700002503008449 +:0407010020EF480499 +:0407020067DD00109F +:0407030086BE577DDA +:040704000CE5056398 +:0407050000A48523A4 +:0407060000A48703C1 +:04070700467167DDF3 +:0407080042E783231E +:0407090002C70733E9 +:04070A00879367DD8D +:04070B00C50356C705 +:04070C00C6834E460C +:04070D0040D00104D3 +:04070E000324C2837B +:04070F00C70397BACB +:040710008D79016777 +:0407110008A3675D75 +:04071200D58344A7A0 +:04071300472200E792 +:0407140002D585B3D2 +:040715000FF7771350 +:0407160007334681DE +:0407170005C202B75E +:04071800573381C111 +:04071900064202C7CB +:04071A008563824130 +:04071B004F940002F5 +:04071C008A858285C3 +:04071D000FF7771348 +:04071E005F0020EF69 +:04071F000394C50377 +:04072000E58FF0EF82 +:040721000104C58387 +:040722000314C503F4 +:04072300530020EF70 +:0407240000A488A302 +:04072500BD3FF0EFF5 +:0407260002E4C7839F +:0407270000E4C50322 +:04072800E79145818F +:0407290000F4C58390 +:04072A00B5938D896D +:04072B00F0EF0015D6 +:04072C00C783AFAF21 +:04072D00C50303C439 +:04072E00C78502E495 +:04072F0040E244520E +:04073000017144C24D +:04073100DAAFF06FDC +:04073200B569078618 +:0407330063916285E7 +:040734007702829333 +:04073500D543839392 +:040736004791B541F1 +:0407370000F4852322 +:04073800ED09BF25E3 +:04073900C70344525C +:04073A00C68300F47E +:04073B0040E202F4A2 +:04073C00460144C26C +:04073D000171458180 +:04073E00AC5FE06F5D +:04073F00445240E2FE +:04074000017144C23D +:040741001151808250 +:0407420064DDC0268C +:040743004294C50314 +:04074400C406C22203 +:04074500021010EF9F +:04074600E121842AFF +:040747004294C6838F +:04074800472967DDF9 +:0407490046D78EA35E +:04074A00C78367DD1D +:04074B00856351C7AA +:04074C00675D00E7FE +:04074D0042F705A3C7 +:04074E00C70367DD99 +:04074F00478147E7B0 +:0407500067DDC70199 +:0407510042B7C78361 +:040752000713675DC5 +:0407530097BA45C745 +:040754008023453D7C +:0407550010EF00D7CA +:04075600852269800F +:04075700441240A266 +:0407580001314482A5 +:040759001151808238 +:04075A0064DDC02674 +:04075B004294C503FC +:04075C00C406C222EB +:04075D0067A010EF92 +:04075E00E51D842AE7 +:04075F004294C68377 +:040760008EA367DD20 +:0407610067DD46D733 +:0407620047E7C7039B +:04076300C701478102 +:04076400C78367DD03 +:04076500675D4987FC +:0407660045C7071369 +:04076700453D97BABB +:0407680000D7802313 +:0407690064A010EF89 +:04076A0040A2852202 +:04076B00448244126E +:04076C008082013155 +:04076D00C70367DD7A +:04076E0046F14267A7 +:04076F00862367DD99 +:0407700007334CE718 +:0407710067DD02D767 +:0407720056C787934C +:04077300D68397BAD8 +:04077400675D00E7D6 +:0407750046D714232C +:040776000147C683EE +:040777001423675D83 +:04077800C6834ED70F +:04077900675D012790 +:04077A0042D712232D +:04077B0000A7D6837A +:04077C001D23675D75 +:04077D00C68344D714 +:04077E00675D01575B +:04077F0044D719231F +:040780000137C683F4 +:040781001123675D7C +:04078200D7034ED774 +:0407830067DD00C767 +:0407840044E79B2388 +:0407850067DD80822A +:04078600C603115144 +:0407870067DD4CC717 +:04078800D483C2262E +:0407890067DD46875B +:04078A00D403C422AE +:04078B0067DD4E8751 +:04078C004247C3839A +:04078D00D28367DDCF +:04078E0067DD45A737 +:04078F004527D30324 +:04079000C58367DDD9 +:04079100675D4E272B +:04079200D78367DDC5 +:04079300071345679C +:0407940086AA4807E2 +:0407950000B7450361 +:0407960067DDC03E1D +:0407970056C7879327 +:040798000703C93159 +:04079900176300A73B +:04079A00477104C7D8 +:04079B0002E6073338 +:04079C005503973E2C +:04079D001B6300E7F3 +:04079E004503029578 +:04079F001763014794 +:0407A0004503028586 +:0407A10013630127B6 +:0407A2005503027584 +:0407A3001F6300A729 +:0407A40045030055B4 +:0407A5001B6301577A +:0407A60045030065A2 +:0407A700176301379C +:0407A800570300B53E +:0407A900450200C73E +:0407AA0000A706633B +:0407AB004505675D3C +:0407AC004EA702A3AF +:0407AD000633477157 +:0407AE00655D02E69D +:0407AF0046C5051323 +:0407B00089A397B2D0 +:0407B1008A2300B7E0 +:0407B2008923008710 +:0407B3008AA300779E +:0407B4004702006791 +:0407B5009723442220 +:0407B60044920097D2 +:0407B700005795232F +:0407B80000E796239D +:0407B900000166379E +:0407BA005FC60613FD +:0407BB00013145C5FE +:0407BC005D10206F3D +:0407BD00C40611510C +:0407BE0047C5C22247 +:0407BF0000021437E9 +:0407C0000EF42023F0 +:0407C1000E042023DF +:0407C2000A042823DA +:0407C3002823650979 +:0407C400051308040D +:0407C500E0EF7105EB +:0407C60007139E8FE8 +:0407C70067DD1D10BD +:0407C80044E79A2345 +:0407C90000031537DD +:0407CA001D10079364 +:0407CB000EF42023E5 +:0407CC00D405051338 +:0407CD009CAFE0EF0E +:0407CE0000062637C4 +:0407CF00019C05B7CD +:0407D0000404051305 +:0407D100A80606135D +:0407D200CC0585933A +:0407D300E26FE0EF02 +:0407D40030F010EF02 +:0407D500549010EF3D +:0407D600C941547948 +:0407D70010EF4501D9 +:0407D8000793601013 +:0407D90054750FF054 +:0407DA0008F50163BA +:0407DB001F8020EF6C +:0407DC00E0EF4509FC +:0407DD0047CD95FF70 +:0407DE0018635471D7 +:0407DF00E0EF06F54C +:0407E00010EF9CFF7B +:0407E100C5092530F1 +:0407E200470567DD83 +:0407E3004EE7832337 +:0407E400856FF0EF3E +:0407E500ED39842A3C +:0407E60065D92D950F +:0407E7000613655D33 +:0407E80085930310E2 +:0407E90005139F85D0 +:0407EA0020EF49951E +:0407EB0065D943F099 +:0407EC000613655D2E +:0407ED00859303608D +:0407EE000513B685B4 +:0407EF0020EF5205A0 +:0407F000453D42B091 +:0407F100570010EFAE +:0407F200C50367DDF7 +:0407F30010EF47D7E5 +:0407F40017B756607D +:0407F500A7830002D4 +:0407F60097130D0741 +:0407F700436300E771 +:0407F800259D000734 +:0407F900F0EF4501D7 +:0407FA008522A88F1D +:0407FB00441240A2C2 +:0407FC0080820131C5 +:0407FD00BFD5547D93 +:0407FE00C78367DD69 +:0407FF00110142772B +:040800000785CC227A +:040801000FF7F79363 +:0408020007C207B270 +:04080300C63E83C1A9 +:040804005783645D55 +:0408050047324544ED +:04080600CA26CE062A +:0408070067218FD9FD +:040808001A238FD947 +:04080900173744F465 +:04080A00663700024B +:04080B0064DD0001A7 +:04080C000EF72023A0 +:04080D008C860613BC +:04080E00851345C544 +:04080F0020EF46C4CC +:04081000F0EF48308D +:040811006741984F54 +:040812004785177D82 +:040813001737C83A91 +:040814002683000235 +:0408150046420D0743 +:04081600C2368EF167 +:04081700C2D5E3DD86 +:04081800869366DD80 +:04081900D6035206AA +:04081A00459201669C +:04081B004544578376 +:04081C000EB61E6393 +:04081D0016FD76F559 +:04081E001A238FF515 +:04081F00202344F45A +:0408200086230EF726 +:04082100F0EF4604AA +:040822002537940FD3 +:0408230005130006B3 +:04082400E0EFA80554 +:04082500578386CFA0 +:0408260047324544CC +:0408270005136691BE +:040828008FD93E80A6 +:040829001A238FD52A +:04082A00173744F444 +:04082B002023000284 +:04082C0020EF0EF7B4 +:04082D0020EF2C701C +:04082E0047852ED0FC +:04082F0000F5186355 +:04083000000217B7F4 +:04083100C43E5BBCAA +:04083200FE07D7E303 +:040833002EF020EF94 +:0408340000815703E5 +:040835006637EF151E +:0408360006130001A4 +:0408370045C58D46E0 +:0408380046C485131A +:040839003DD020EF9F +:04083A008DEFF0EF5F +:04083B0045445703D6 +:04083C0017FD77F13C +:04083D001A238FF9F2 +:04083E00173744F430 +:04083F002023000270 +:0408400065090EF741 +:040841007105051325 +:04084200FF7FD0EF75 +:04084300B7814792A0 +:04084400176347C22D +:04084500663700F71B +:040846000613000194 +:04084700B7C18E4661 +:04084800658547A2D9 +:0408490083C115FD55 +:04084A00064006134B +:04084B0056B38FED24 +:04084C00773302C735 +:04084D009C6302C7DF +:04084E00663700B752 +:04084F00061300018B +:0408500045C58EC646 +:0408510046C4851301 +:04085200379020EFCC +:04085300F5B3BF71C9 +:04085400851302C73F +:04085500D7B346C40B +:04085600663702C738 +:04085700C02E0001AE +:040858008F8606136E +:0408590020EF45C582 +:04085A00BFBD35B039 +:04085B000186D683B9 +:04085C009DE34612C0 +:04085D0007D2F6C602 +:04085E001A2383D105 +:04085F0040F244F42B +:0408600020234462AB +:0408610044D20EF778 +:0408620061054501E6 +:04086300115180822D +:04086400F0EFC406E7 +:0408650010EF979F5A +:0408660067DD4B30CF +:04086700C50340A2E3 +:0408680001315017F3 +:040869008CAFF06FF1 +:04086A00FD41011338 +:04086B00D222D406BB +:04086C00D0EFD026D3 +:04086D00842AFBBF1F +:04086E00D3DFF0EFF5 +:04086F0064DD86AA14 +:04087000C663655D99 +:0408710066371206CE +:040872000713000167 +:040873004681052095 +:0408740090C6061311 +:04087500051345C55D +:0408760020EF440526 +:0408770065B72E70C3 +:0408780046450001F0 +:0408790093C585930B +:04087A004D04851391 +:04087B001FD020EF7B +:04087C00FE7FE0EF2C +:04087D000007A53794 +:04087E001205051347 +:04087F00F03FD0EF87 +:04088000F23FD0EF84 +:0408810000A4551367 +:0408820012C0079306 +:0408830002F507B3C0 +:04088400C602645DE7 +:04088500C002C402E7 +:040886000413C20293 +:04088700CC3E480417 +:04088800C83E479986 +:04088900000217B79B +:04088A000D07A7832C +:04088B00655D665DE4 +:04088C0001079713B6 +:04088D00C69383414A +:04088E002023FFF72D +:04088F0083E156E6C5 +:040890000003073723 +:04089100F5938F75D7 +:0408920066DD0FF719 +:0408930056E6A22360 +:0408940056B504232E +:0408950086368532EC +:04089600CF8166DDCB +:04089700FFE587935F +:040898000FF7F793CC +:040899007663430D32 +:04089A00C78300F31D +:04089B00946355C647 +:04089C00202300B75E +:04089D0067DD5605B8 +:04089E0054B68E239B +:04089F005587A68350 +:0408A00054E7AC234A +:0408A10066DDEAD155 +:0408A2004E76C78344 +:0408A300C3F164DD5C +:0408A4000184478301 +:0408A500675DCFDDDF +:0408A60000B44783D0 +:0408A7005697460317 +:0408A800EBC58FD13C +:0408A900EA3FD0EF63 +:0408AA00646347E25A +:0408AB0047320AF5D1 +:0408AC000630079378 +:0408AD0008E7EF6306 +:0408AE00C68366DDBA +:0408AF0047854E76B5 +:0408B000876346050F +:0408B10047C200F644 +:0408B200FFA7861303 +:0408B30000C0363318 +:0408B40001844783F1 +:0408B50017FD46A144 +:0408B6000FF7F793AE +:0408B70004F6E963F7 +:0408B800078A66D96C +:0408B9008546869357 +:0408BA00439C97B60E +:0408BB006637878293 +:0408BC00061300011E +:0408BD0045C5920695 +:0408BE0044050513D5 +:0408BF001C5020EFBA +:0408C000000165B717 +:0408C1008593464590 +:0408C2008513EA456B +:0408C30020EF4D04D1 +:0408C400E0EF24D06D +:0408C500A001EC5F43 +:0408C600560622238D +:0408C70067DDB7AD85 +:0408C8004587C78316 +:0408C90000F037B351 +:0408CA0085A3078972 +:0408CB0047B242F4FA +:0408CC000785474213 +:0408CD000FF7F79397 +:0408CE004799C63E42 +:0408CF0000F70763C4 +:0408D0000017079373 +:0408D1000FF7F79393 +:0408D200D0EFC83E5D +:0408D3004785DD9FD9 +:0408D4002915C43EE0 +:0408D500C78367DD91 +:0408D600CA2A56973D +:0408D7004501C7818F +:0408D8005E1000EFBF +:0408D90042B4C783DB +:0408DA0001844683CC +:0408DB0000F684633C +:0408DC00E711475287 +:0408DD00CB0D4722D6 +:0408DE0000B4468399 +:0408DF0066DDC69577 +:0408E00047E6C7031D +:0408E100C30DC43A45 +:0408E200869366DDB6 +:0408E30097B645C6B9 +:0408E4000007C50341 +:0408E500C68367DD82 +:0408E600C40247D72A +:0408E70000A686637E +:0408E80046A78EA3EE +:0408E900190010EFF3 +:0408EA0042B4C6034B +:0408EB0001844783BA +:0408EC0012C7836349 +:0408ED00473D67DD3F +:0408EE004EE782232C +:0408EF0085BE470D6E +:0408F00006C7736361 +:0408F100F4634795D0 +:0408F200478906C765 +:0408F3004509470567 +:0408F4000793C23E66 +:0408F500F793FFF680 +:0408F60046A10FF711 +:0408F70004F6ED63B3 +:0408F800078A66D92C +:0408F90087868693D5 +:0408FA00439C97B6CE +:0408FB004785878224 +:0408FC0067DDFE0DA9 +:0408FD003377C78303 +:0408FE000017B79395 +:0408FF00B735079171 +:04090000D605479938 +:0409010042B4C783B2 +:04090200479DB70D49 +:0409030067DDBF39B4 +:0409040042A7C783BC +:0409050000F037B314 +:04090600BF0107A185 +:0409070016E3479913 +:040908004785F00629 +:04090900470DB719C6 +:04090A00C2024505DB +:04090B004709B75D84 +:04090C00BFE54501FD +:04090D004783C0025A +:04090E000C2303941F +:04090F0005A300C478 +:040910004685000414 +:04091100F663458DB7 +:04091200459500F611 +:04091300F5938D9D2E +:04091400CE3A0FF5D3 +:040915000C3010EFA3 +:04091600193010EF95 +:04091700F44FE0EFCA +:04091800C78367DD4D +:0409190047724E676C +:04091A00853AC781D2 +:04091B00520010EF87 +:04091C0045124582B9 +:04091D0067D010EFA0 +:04091E000184478386 +:04091F004645655D87 +:040920000027971302 +:04092100879367D978 +:0409220097BA89C730 +:040923000513438CE9 +:040924002223440541 +:0409250020EF0004BB +:0409260065B70C5055 +:04092700655D000109 +:040928008593464528 +:04092900051393051A +:04092A0020EF4D0568 +:04092B0067DD0B1069 +:04092C005697C78390 +:04092D00E0EFE3997B +:04092E0047D2D21FBB +:04092F0067DDCF8928 +:040930004287C70330 +:04093100156347A95A +:04093200453D00F748 +:04093300723000EF2F +:04093400C53FD0EFFC +:0409350067DDC602B2 +:040936004EC787130E +:04093700015746839B +:0409380002E447038B +:040939004EC787938B +:04093A0002E68863E6 +:04093B0046814701A9 +:04093C0045814601AA +:04093D00E0EF4501A1 +:04093E0064DDAC6F59 +:04093F004EC4879388 +:040940000157C50393 +:04094100D6BFE0EF4E +:040942004EC4879385 +:040943000157C7038E +:040944000004222366 +:0409450002E407239E +:040946000157C7038B +:04094700C683E70D6F +:0409480047030167F9 +:04094900006302F451 +:04094A00470302D786 +:04094B00460100F46D +:04094C00450145819B +:04094D00A88FE0EFA0 +:04094E00879367DD47 +:04094F00C7834EC745 +:0409500007A3016791 +:04095100478302F4E2 +:04095200CFB101849C +:040953004512458282 +:04095400E3BFE0EF2E +:040955000563478966 +:0409560047910AF5C6 +:0409570002F505633D +:04095800126347855A +:04095900478304F5D7 +:04095A00CF9500B481 +:04095B00AFAFF0EF5B +:04095C004789A81D02 +:04095D00B5C1C03E22 +:04095E00BFED478D15 +:04095F00822347C1E7 +:0409600047854EF584 +:040961004783BFC544 +:04096200C39D00B47D +:040963005783675DF2 +:04096400E793454789 +:040965001A2300272A +:04096600173744F704 +:040967002023000247 +:04096800F0EF0EF7A7 +:040969000513BEDFD5 +:04096A00D0EF12C0F8 +:04096B00B99DB55F1E +:04096C00000422233E +:04096D00037010EF14 +:04096E000184478336 +:04096F004645655D37 +:0409700000279713B2 +:04097100879367D928 +:0409720097BA89C7E0 +:040973000513438C99 +:0409740020EF440527 +:0409750065B778806A +:04097600655D0001BA +:0409770085934645D9 +:0409780005139305CB +:0409790020EF4D0519 +:04097A0067DD77407E +:04097B005697C78341 +:04097C00E0EFE3992C +:04097D00D0EFBE5F9A +:04097E00C802B2DF1A +:04097F00B765C60290 +:0409800000B44783F5 +:04098100F0EFD3CDF3 +:04098200BF71D04F22 +:04098300655D65D970 +:0409840006131151F4 +:040985008593031043 +:0409860005139F8531 +:04098700C4064EC58F +:040988005C8020EF80 +:04098900655D65D96A +:04098A0039C0061357 +:04098B0068458593A3 +:04098C0056C5051334 +:04098D005B4020EFBC +:04098E0067DD40A23F +:04098F0082A34705F3 +:0409900045014EE7E8 +:04099100808201312E +:04099200111167597F +:04099300AFC7079350 +:04099400CC06C8269F +:04099500C002CA22B0 +:04099600C43E448196 +:0409970065B7645D7F +:0409980046450001CF +:04099900BA05859383 +:04099A0042C405133B +:04099B006EE020EFFB +:04099C00971347A2C4 +:04099D00655D002470 +:04099E00430C973E31 +:04099F0005134645B1 +:0409A00020EF46C539 +:0409A100E0EF6D8096 +:0409A2004681B41FB7 +:0409A3001737C2221E +:0409A40025830002A5 +:0409A50067C10D0712 +:0409A600F63317FD10 +:0409A700645D00F596 +:0409A80056C42023EE +:0409A9000D0727030C +:0409AA00000305370A +:0409AB00471367DDAA +:0409AC008F69FFF759 +:0409AD0056E7A22344 +:0409AE00CA15C63E62 +:0409AF000863478210 +:0409B000675D02F687 +:0409B1000014951386 +:0409B20052070713CE +:0409B300EABD972AD8 +:0409B4001023479233 +:0409B50065B700B76B +:0409B60046450001B1 +:0409B700BA858593E5 +:0409B80042C785139A +:0409B900676020EF64 +:0409BA00ADFFE0EFBE +:0409BB00675D4685A9 +:0409BC00260347B215 +:0409BD00A3035587B4 +:0409BE0087BA564757 +:0409BF006741E605A1 +:0409C00002E31263D9 +:0409C10065D9E0BD57 +:0409C2000613655D56 +:0409C30085930360B5 +:0409C4000513B685DC +:0409C500C01A5205FD +:0409C6004D0020EFD1 +:0409C7004689430218 +:0409C80067DD44EDB6 +:0409C90056042703A6 +:0409CA005467AC239F +:0409CB00C03AC63632 +:0409CC008F634709E5 +:0409CD00650902E6D0 +:0409CE007105051397 +:0409CF009C3FD0EF8A +:0409D000B7B146B2C3 +:0409D10000075703C1 +:0409D20002E346896D +:0409D3004792FAE667 +:0409D400000165B702 +:0409D500859346457B +:0409D6008513BB05C5 +:0409D70020EF42C704 +:0409D800E0EF5FC02D +:0409D9004681A65F4E +:0409DA0014F9B759FC +:0409DB00BF5D46892D +:0409DC0047690485DE +:0409DD00EE9754E35A +:0409DE0040E244525D +:0409DF00453D44C28C +:0409E000006F017132 +:0409E100011346D0E8 +:0409E2000793FC413A +:0409E300CA3E00B157 +:0409E400879367DDB1 +:0409E500CC3E4F872E +:0409E600879367DDAF +:0409E700CE3E4F971A +:0409E800879367DDAD +:0409E900D03E4FA706 +:0409EA00879367DDAB +:0409EB00D23E4FB7F2 +:0409EC0067DDD43EB1 +:0409ED004FC78793D6 +:0409EE0027B7D63E13 +:0409EF0087930F03D8 +:0409F000C63EF017F8 +:0409F1003030079308 +:0409F2001823DA22CA +:0409F300645D00F14E +:0409F4000923478DFF +:0409F500079300F173 +:0409F600C783480467 +:0409F700D826018776 +:0409F80005A3DC0671 +:0409F900472500018D +:0409FA004804041396 +:0409FB008663448546 +:0409FC00849300E7F9 +:0409FD00F493001758 +:0409FE0067DD0FF4AE +:0409FF00A603675D87 +:040A0000071356077B +:040A010047815207D0 +:040A0200568345E9E9 +:040A03001C63000769 +:040A0400476900D668 +:040A05000EF766631F +:040A0600078A67599B +:040A0700A2C7071368 +:040A0800439C97BABA +:040A090007098782D0 +:040A0A0038B78E6308 +:040A0B00BFF10785AB +:040A0C0066DD4785D7 +:040A0D004546D70380 +:040A0E001007471373 +:040A0F0044E69A23FC +:040A10002703675DF4 +:040A1100169356479B +:040A1200D36300F7B3 +:040A130087A60006AC +:040A140000E716934E +:040A15000006DE6396 +:040A16004683675D4F +:040A170045854EC7FC +:040A1800E56346014B +:040A1900068500D579 +:040A1A000FF6F613CA +:040A1B004EC7062399 +:040A1C004501472920 +:040A1D0000E7866305 +:040A1E0005A3675D68 +:040A1F00450542F750 +:040A2000D70367DDB4 +:040A210066DD454702 +:040A22005696C6839B +:040A230007429B4D9E +:040A24009A2383414D +:040A2500EA8144E737 +:040A2600C68366DD40 +:040A2700068A46668F +:040A28009A238F5529 +:040A2900D70344E7C4 +:040A2A0050E245470A +:040A2B0017B7545253 +:040A2C00A023000201 +:040A2D0054C20EE7BA +:040A2E0003C10113EC +:040A2F0047898082F1 +:040A3000478DBF8DA2 +:040A31004791B7BD75 +:040A32004795B7AD80 +:040A33004799B79D8B +:040A3400479DB78D96 +:040A350047A1BFB95D +:040A360047A5BFA968 +:040A3700675DBF999F +:040A38005697478303 +:040A39000017B69359 +:040A3A0056D704A3E4 +:040A3B004683675D2A +:040A3C00675D47D7D4 +:040A3D0042D704A3F5 +:040A3E004505E791F2 +:040A3F00045000EF70 +:040A4000BF0547A9FE +:040A41008D3FE0EF16 +:040A42000683BFE583 +:040A4300477100A453 +:040A4400000217B7DE +:040A450002E686B38C +:040A46000713675DCE +:040A4700A78356C764 +:040A480066370C07FA +:040A4900655D0001E6 +:040A4A00A986061360 +:040A4B00051345C585 +:040A4C00C23E42C59F +:040A4D0066DD973695 +:040A4E0047D6C6833E +:040A4F00384020EF1C +:040A500000B44703A4 +:040A51004792CB29D4 +:040A5200061366051C +:040A53008E7D80060E +:040A54007FF7F6939F +:040A550000C0373373 +:040A560096B30685C8 +:040A570096BA00E665 +:040A58000700071379 +:040A59000713C219A4 +:040A5A0083C10690BE +:040A5B000037F61357 +:040A5C0002A007935A +:040A5D000793E21900 +:040A5E001637020045 +:040A5F00523000020F +:040A600045C5655DC6 +:040A61006637C03202 +:040A62000613000176 +:040A63000513AA4687 +:040A640020EF46C574 +:040A6500E0EF32E0AC +:040A6600B79D831F96 +:040A67005783675DED +:040A6800C7934547A4 +:040A69001A23010744 +:040A6A00BF9944F7F5 +:040A6B00C70367DD79 +:040A6C0046054EC726 +:040A6D0065634681F6 +:040A6E00070500E692 +:040A6F000FF7769374 +:040A70004ED78623B4 +:040A710067DDBF3549 +:040A72004EC78713D1 +:040A7300001747031E +:040A74008793460519 +:040A750046814EC7A1 +:040A760000E66563CE +:040A77007693070566 +:040A780080A30FF751 +:040A7900BF2900D7BA +:040A7A000793675D1A +:040A7B00C7834EC718 +:040A7C000713004715 +:040A7D00C7814EC718 +:040A7E00F79317FDD6 +:040A7F0002230FF748 +:040A8000BDFD00F7C1 +:040A81000713675D93 +:040A820047834EC791 +:040A830046B9004729 +:040A840000F6F36322 +:040A8500078547B9E1 +:040A860065B7B7DDBC +:040A8700655D0001A8 +:040A880085934645C7 +:040A89000513AB4561 +:040A8A0020EF42C552 +:040A8B00635D330074 +:040A8C00000165B749 +:040A8D0046C3051344 +:040A8E0085934645C1 +:040A8F0020EFAC4563 +:040A9000E0EF31C0A2 +:040A9100675DF84F56 +:040A92000713635D86 +:040A930017B756C774 +:040A9400A6030002B3 +:040A950067DD0D0705 +:040A960052078793E9 +:040A97008241064250 +:040A980045ED468161 +:040A99000007D5037A +:040A9A0000C506632A +:040A9B00078906853C +:040A9C00FEB69AE325 +:040A9D0000A4078327 +:040A9E0087B3467163 +:040A9F00461502C72F +:040AA000C78397BAB7 +:040AA1006463017712 +:040AA200461102F601 +:040AA30004D64A63C8 +:040AA400973E181849 +:040AA500FDC747033F +:040AA60040D75733AB +:040AA700CF118B05DB +:040AA8001818078A89 +:040AA900A78397BACE +:040AAA008023FE4760 +:040AAB00E0EF00D7A1 +:040AAC0067DDF28F81 +:040AAD00560784A3C1 +:040AAE006637B5A151 +:040AAF0006850001B7 +:040AB000AD06061376 +:040AB100051345C51F +:040AB20020EF46C328 +:040AB300E0EF1F60F1 +:040AB400A537EF8FE4 +:040AB500051300071E +:040AB600D0EF120566 +:040AB700BFC1E24F8A +:040AB80086E347B1D9 +:040AB9006509FCF6D9 +:040ABA0071050513AA +:040ABB00E12FD0EF68 +:040ABC00871367DD58 +:040ABD00635D56C758 +:040ABE0067DDBF9998 +:040ABF004EC7871384 +:040AC0000187470360 +:040AC1008793467958 +:040AC20046814EC754 +:040AC30000E6656381 +:040AC4007693070519 +:040AC5008C230FF778 +:040AC600B3DD00D7C5 +:040AC700871367DD4D +:040AC80047034EC7CB +:040AC9008793018787 +:040ACA0046FD4EC7D0 +:040ACB00177DD76D4F +:040ACC0065B7B7CD86 +:040ACD00655D000162 +:040ACE008593464581 +:040ACF000513AE0558 +:040AD00020EF42C50C +:040AD10065B7218064 +:040AD200655D00015D +:040AD300859346457C +:040AD4000513AF0552 +:040AD50020EF46C503 +:040AD6006441204017 +:040AD700E6AFE0EFB7 +:040AD80017B7147DBB +:040AD900A6830002EE +:040ADA0067DD0D07C0 +:040ADB005207871324 +:040ADC0047818EE1DF +:040ADD005583466D8A +:040ADE008C6300071E +:040ADF00078500D5B2 +:040AE0009AE3070985 +:040AE1006509FEC7DE +:040AE2007105051382 +:040AE300D72FD0EF4A +:040AE4004725BFC91A +:040AE50000F74C6367 +:040AE6000785472910 +:040AE70002E7E7B388 +:040AE80004A3675D9F +:040AE900F0EF42F7F1 +:040AEA00B711960F9B +:040AEB009CE3473110 +:040AEC00BDF5FCE771 +:040AED00C70367DDF7 +:040AEE0047A9569727 +:040AEF00C6071BE338 +:040AF000B98587A697 +:040AF100B9AD47A9AB +:040AF200DBC1011350 +:040AF300202318287C +:040AF4002E23241178 +:040AF5002C2322810B +:040AF600E0EF22917A +:040AF700C22AD4CF6C +:040AF800761010EF75 +:040AF900440D4792CF +:040AFA004611EBC5F1 +:040AFB000828182C83 +:040AFC0016A020EF31 +:040AFD00000165B7D8 +:040AFE008593461185 +:040AFF000828D4856A +:040B000012E020EFF0 +:040B01000640079310 +:040B02005783E941EB +:040B0300059303C192 +:040B0400462103E1A2 +:040B050001E10513F2 +:040B060000F11E23B9 +:040B070013E020EFE8 +:040B080004615783AA +:040B0900020102A340 +:040B0A0000F11A23B9 +:040B0B000481578387 +:040B0C0000F11B23B6 +:040B0D0000EF45525E +:040B0E00578343B016 +:040B0F00D42A04A13F +:040B100000F11A23B3 +:040B110004C1578341 +:040B120000F11B23B0 +:040B130000EF455258 +:040B14005783423091 +:040B1500D62A04E1F7 +:040B160000F11A23AD +:040B170005015783FA +:040B180000F11B23AA +:040B190000EF455252 +:040B1A00D82A40B0E5 +:040B1B00234125034A +:040B1C0000EFCA2AF2 +:040B1D0055A23FF0AE +:040B1E000793DA2A35 +:040B1F0087131E20FA +:040B2000E063FE652B +:040B2100460514E78A +:040B220000EF1828A0 +:040B230057D240B0B5 +:040B240006F50B6364 +:040B250006600793CC +:040B260010EFC23ECC +:040B270047926A7017 +:040B28008963470591 +:040B290047632AE70D +:040B2A00071326F790 +:040B2B008863F35098 +:040B2C0044632AE70D +:040B2D00071324F78F +:040B2E008763F340A6 +:040B2F0065B72AE795 +:040B300085930001A8 +:040B3100C23EC945B2 +:040B3200851367DDE3 +:040B3300464546C726 +:040B340008A020EF06 +:040B3500CF2FE0EFEF +:040B3600000F453730 +:040B37002405051379 +:040B3800C1EFD0EF4A +:040B3900DA634792A2 +:040B3A0058632A07CB +:040B3B0066372A806F +:040B3C00655D0001F2 +:040B3D00DB4606137A +:040B3E00051345C591 +:040B3F0010EF42C5AC +:040B4000147D7C3074 +:040B4100645DA2B994 +:040B42000001663711 +:040B4300D5060613BA +:040B4400051345C58B +:040B450010EF42C4A7 +:040B460056B27AB079 +:040B4700663767DDC9 +:040B48008513000110 +:040B4900061346C782 +:040B4A0045C5D606C1 +:040B4B00795010EFDE +:040B4C00C96FE0EF9E +:040B4D0054B257C285 +:040B4E00C23E43015F +:040B4F00C422450176 +:040B5000089364633F +:040B510092634792D2 +:040B520047831AA714 +:040B5300468301E1F3 +:040B5400470301C191 +:040B5500CFD501D126 +:040B5600000167B77C +:040B5700C6078793B3 +:040B58000613452219 +:040B5900C03201E1C4 :040B5A0000016637F9 -:040B5B0016D1655DED -:040B5C000346061333 -:040B5D00051345C572 -:040B5E00106F71059E -:040B5F0045E979A04B -:040B600002B50533A2 -:040B6100859365E132 -:040B6200464580C5BF -:040B6300655D95AA8D -:040B640071050513FF -:040B65000510106FF8 -:040B660000251793BC -:040B670005136559B4 -:040B6800953E07C5EA -:040B6900655D410C79 -:040B6A0005134645E4 -:040B6B00106F710591 -:040B6C0047A9037022 -:040B6D0000F50F631D -:040B6E0000251793B4 -:040B6F000513655DA8 -:040B7000953EF005B9 -:040B7100655D410C71 -:040B720005134645DC -:040B7300106F710589 -:040B740065B70170F0 -:040B75008593000163 -:040B7600B7F5068544 -:040B7700A70367E188 -:040B780067DD8007AE -:040B79007C078793DB -:040B7A00464546ADF9 -:040B7B000167D583B6 -:040B7C0006E5946393 -:040B7D000FF6F693E6 -:040B7E000B63E1190B -:040B7F001101280731 -:040B800069DDC64E17 -:040B81006A59C803E2 -:040B8200695DC84A97 -:040B83004F0907937C -:040B8400003815130D -:040B8500CE0697AA57 -:040B8600CA26CC228D -:040B87000007A88338 -:040B88000047C783D8 -:040B8900A603475127 -:040B8A008733004865 -:040B8B00859302E765 -:040B8C00F593FF5688 -:040B8D0043150FF508 -:040B8E006A59899384 -:040B8F004F090913EE -:040B9000460397324F -:040B9100686300474E -:040B9200635902B3EE -:040B93000313058AB9 -:040B9400959AFF43EC -:040B95008582418C88 -:040B96000789068540 -:040B9700F8C698E321 -:040B9800BF5946817A -:040B9900C783E39992 -:040B9A0017FD00083B -:040B9B000FF7F793C6 -:040B9C000223954A51 -:040B9D00440100F51A -:040B9E00A099448155 -:040B9F000008C70380 -:040BA000954A0785E6 -:040BA10002E7E7B3CD -:040BA2000663B7ED42 -:040BA300187D0008B1 -:040BA40001098023A0 -:040BA5004462B7CD22 -:040BA60044D240F203 -:040BA70049B24942C4 -:040BA80084A367E1DA -:040BA900610580075B -:040BAA00C58FE06FA4 -:040BAB000D63478D02 -:040BAC00479104F673 -:040BAD00FCF611E35E -:040BAE004485471C17 -:040BAF00842A97827B -:040BB0000009C783EE -:040BB1004645655DF3 -:040BB200993E078ED3 -:040BB300004947832B -:040BB4000009298388 -:040BB50089334951E6 -:040BB600A7830327E7 -:040BB70005130049D9 -:040BB80097CA6D0566 -:040BB90010EF438C6A -:040BBA00A7836FE0BE -:040BBB004691004916 -:040BBC00C70397CA0A -:040BBD00EA630047A0 -:040BBE0066D912E6FC -:040BBF008693070A08 -:040BC000973600C69E -:040BC100870243184C -:040BC200C3914B1C74 -:040BC300C7839782CB -:040BC400485100098B -:040BC50000178593FD -:040BC6000733078E5C -:040BC700431400F9DA -:040BC8000047470398 -:040BC900073342D0DC -:040BCA0096930307F4 -:040BCB0005330035B9 -:040BCC00280300D921 -:040BCD009732000556 -:040BCE00046347185D -:040BCF00022300E815 -:040BD00097CA0005BB -:040BD1000047C7838F -:040BD20096CA475127 -:040BD30002E787B3FB -:040BD40000B98023C1 -:040BD500461C963EE6 -:040BD600BF31C29CCD -:040BD700ECE3479173 -:040BD80067D9F0C722 -:040BD90002078793F5 -:040BDA00963E060A33 -:040BDB008782421CAF -:040BDC00483D470C3D -:040BDD0000C7450305 -:040BDE0000D74603F3 -:040BDF000005C783C3 -:040BE00000E74703E0 -:040BE10001069D6309 -:040BE20000F678633E -:040BE300F71317FDF0 -:040BE40080230FF764 -:040BE500B5C500E5AD -:040BE6008732FD6DE8 -:040BE700F863BFDD13 -:040BE800078500E796 -:040BE9000FF7F613F9 -:040BEA0000C580239F -:040BEB00FD6DB5E9FE -:040BEC00BFDD863AA9 -:040BED0045BD4710AB -:040BEE000006578323 -:040BEF0000B69C634D -:040BF00000C75703E0 -:040BF10000F7756331 -:040BF20007C217FD22 -:040BF300102383C187 -:040BF400B55500F6FD -:040BF50000E75703BB -:040BF600FEE7FBE338 -:040BF700B7F50785C2 -:040BF80045BD475060 -:040BF90047834218D4 -:040BFA0099630007F4 -:040BFB00C78100B6F8 -:040BFC00F79317FD57 -:040BFD0000230FF7CB -:040BFE00BDB500F78A -:040BFF0000464683E3 -:040C000000D7F563C1 -:040C0100F6930785DA -:040C020000230FF7C5 -:040C0300B5A500D7BC -:040C04004B9C479826 -:040C05004703655DDF -:040C06004645000758 -:040C0700710505135B -:040C080097BA070A86 -:040C090010EF438C19 -:040C0A0044625BE005 -:040C0B0044D240F29D -:040C0C0049B249425E -:040C0D00E06F61052E -:040C0E004798ABAFA9 -:040C0F0045034B9CB2 -:040C100097820007C0 -:040C11004798B7DD6C -:040C120055034B9C9F -:040C130097820007BD -:040C140067DDBFE9F0 -:040C150070078823B9 -:040C1600C495BFC9F9 -:040C170066B7C005F7 -:040C180086930001BE -:040C190066370446F0 -:040C1A00655D000113 -:040C1B0004C60613F2 -:040C1C00051345C5B2 -:040C1D0010EF71055E -:040C1E00BF4549E0A5 -:040C1F00000166B7B3 -:040C200003C68693EE -:040C210047DCB7CD28 -:040C22004398D7E933 -:040C2300BF45479CE6 -:040C2400716D8082EC -:040C25001011262361 -:040C260010812423F2 -:040C270010912223E3 -:040C2800F96347BD68 -:040C2900753700A774 -:040C2A0005130001AD -:040C2B00268D80850D -:040C2C00A06D547DE6 -:040C2D00445257B71F -:040C2E00355787931C -:040C2F0057B7C03EB5 -:040C30008793004165 -:040C3100C23E441764 -:040C320087936795A8 -:040C3300142320075F -:040C3400379300F101 -:040C3500052300A5EE -:040C3600049300F132 -:040C370047A50105C7 -:040C380004A2842A64 -:040C390008A7F563B0 -:040C3A00079365DDDA -:040C3B004629044002 -:040C3C007005859327 -:040C3D0000D10513CA -:040C3E0000F105A319 -:040C3F000001062387 -:040C400033C010EFBE -:040C4100C78367DD21 -:040C420065DD6CE719 -:040C43000360061331 -:040C440000F10C238C -:040C4500C78367DD1D -:040C460085936CC75F -:040C470005137C0510 -:040C48000CA301B147 -:040C490067DD00F172 -:040C4A007227C783C3 -:040C4B0000F10BA306 -:040C4C00C78367DD16 -:040C4D000D2370A75C -:040C4E0010EF00F1B2 -:040C4F0086263020A5 -:040C500005100593F3 -:040C5100E0EF850A41 -:040C5200842A8D4F14 -:040C53007537F135CB -:040C54000593000103 -:040C5500051304403F -:040C56002C5D81850B -:040C5700208385224F -:040C5800240310C1A0 -:040C5900248310815F -:040C5A006151104193 -:040C5B0007938082F9 -:040C5C0005A30310D9 -:040C5D0065DD00F160 -:040C5E0006A357C9C9 -:040C5F00061300F187 -:040C600047890310AD -:040C610078C585933A -:040C620000F1051385 -:040C630000F1072372 -:040C64000001062362 -:040C65002A8010EFE2 -:040C6600061365E12B -:040C670085930C0065 -:040C6800008880C5BB -:040C6900298010EFDF -:040C6A000593862642 -:040C6B00850A1000E6 -:040C6C0086AFE0EF80 -:040C6D008613656124 -:040C6E0005930014D6 -:040C6F000513232026 -:040C7000E0EF8CC560 -:040C710075378E6FD6 -:040C720085A2000156 -:040C73003230061302 -:040C740083C505131C -:040C750044012C35D5 -:040C76007169B75198 -:040C7700121126230D -:040C7800128124239E -:040C7900129122238F -:040C7A0013212023FF -:040C7B0011312E23E2 -:040C7C0011412C23D3 -:040C7D0011512A23C4 -:040C7E0011612823B5 -:040C7F0011712623A6 -:040C80001181242397 -:040C81001191222388 -:040C8200F16347BD16 -:040C8300753704A716 -:040C84000513000153 -:040C85002AED80854F -:040C8600208359FD71 -:040C8700240312C16F -:040C8800854E128102 -:040C8900124124836D -:040C8A001201290327 -:040C8B0011C12983E7 -:040C8C0011812A03A5 -:040C8D0011412A8364 -:040C8E0011012B0322 -:040C8F0010C12B83E2 -:040C900010812C03A0 -:040C910010412C835F -:040C920080826155A6 -:040C9300010509133B -:040C94008AAA0942DD -:040C95000593860A33 -:040C9600854A10007B -:040C9700FA1FD0EF81 -:040C98006537C511E6 -:040C9900051300013E -:040C9A00B77574C5F1 -:040C9B00000165B738 -:040C9C0076058593C1 -:040C9D00D0EF850A05 -:040C9E0089AAC1AFAF -:040C9F006537C909E3 -:040CA00085D60001F4 -:040CA100768505133C -:040CA20049852261FD -:040CA3004583B77955 -:040CA4004603008182 -:040CA500E58900914C -:040CA600052007938B -:040CA70000F60963E7 -:040CA80000016537AB -:040CA9007885051332 -:040CAA00498922A5AD -:040CAB004783B7BD07 -:040CAC00CB9100A147 -:040CAD00866347050E -:040CAE0065370AE7B5 -:040CAF000513000128 -:040CB00022B97F05E1 -:040CB1004783BF991D -:040CB200470300C133 -:040CB30007A200B1E3 -:040CB40007138FD9BA -:040CB50092E3044082 -:040CB60066DDF4E71C -:040CB7004781870AE0 -:040CB80086934525B5 -:040CB90045A97006D3 -:040CBA0000D7460316 -:040CBB0000C56663A7 -:040CBC0000D78833A2 -:040CBD0000C8002348 -:040CBE00070507859A -:040CBF00FEB796E303 -:040CC00001914783D4 -:040CC1000623675D42 -:040CC20047256CF75F -:040CC30000F766636D -:040CC4000723675D3E -:040CC500A0296CF7FF -:040CC60001814783DE -:040CC700FEF77AE3D7 -:040CC800017147036C -:040CC900655D67DD21 -:040CCA0072E7812329 -:040CCB00C70367DD17 -:040CCC0067DD700769 -:040CCD0001B10593D9 -:040CCE0072E780A3A6 -:040CCF0001A1470335 -:040CD000061367DDC3 -:040CD10005130360A4 -:040CD20085237C05F5 -:040CD30010EF70E7C7 -:040CD40065370EE092 -:040CD5000593000182 -:040CD600051303609F -:040CD700284D7B0524 -:040CD8004432BD6D78 -:040CD90000B147031C -:040CDA000FF4779309 -:040CDB008FD907A204 -:040CDC0003100713E7 -:040CDD00EAE793E3CC -:040CDE00044280212B -:040CDF0007938041B6 -:040CE0001CE32F20C2 -:040CE100655DE8F471 -:040CE20003100613E2 -:040CE30000F1059384 -:040CE40078C50513B7 -:040CE50010EF6B6140 -:040CE6004A010A6055 -:040CE700040004936E -:040CE80010000C13D9 -:040CE90080CB0B139E -:040CEA000F200C9338 -:040CEB0010000B9357 -:040CEC00409C0633EF -:040CED00016A053360 -:040CEE00009105B3B9 -:040CEF0010090913CC -:040CF00003940763FF -:040CF100409B84B3ED -:040CF200074010EFB8 -:040CF300041394D280 -:040CF4009A13F0045B -:040CF50004420104B0 -:040CF6000593860AD2 -:040CF700854A10001A -:040CF800010A5A1380 -:040CF900D0EF804177 -:040CFA004481E17FD1 -:040CFB000613B7D154 -:040CFC0010EF0F20C6 -:040CFD00653704A0B3 -:040CFE0067DD0001AD -:040CFF00061347058C -:040D000085D6323032 -:040D01007CC5051395 -:040D020078E782A369 -:040D0300B5312011D5 -:040D0400DC010113FA -:040D050022B12223D2 -:040D060022C12423BF -:040D070022D12623AC -:040D08001454862ACF -:040D0900200005932E -:040D0A002E23080884 -:040D0B002823201168 -:040D0C002A2322E193 -:040D0D002C2322F180 -:040D0E002E2323016C -:040D0F00C6362311B0 -:040D1000298010EF37 -:040D110000A0556386 -:040D1200C0EF08081E -:040D13002083CD5F0D -:040D1400450121C1B3 -:040D150024010113A1 -:040D16001141808285 -:040D17001437C422A7 -:040D1800C2260002ED -:040D190084AA460161 -:040D1A0004A0059399 -:040D1B0004040513B4 -:040D1C00D0EFC60648 -:040D1D0085A691CF47 -:040D1E000513460172 -:040D1F00D0EF040409 -:040D2000059394AFF4 -:040D2100051304A012 -:040D2200460504047A -:040D2300902FD0EF4E -:040D240004040513AB -:040D250040B2442272 -:040D26004585449229 -:040D2700D06F014147 -:040D28001141910FD5 -:040D29001437C42295 -:040D2A00C2260002DB -:040D2B0084AEC04A88 -:040D2C004601892AC9 -:040D2D0004A0059386 -:040D2E0004040513A1 -:040D2F00D0EFC60635 -:040D300085CA8D0FD4 -:040D3100051346015F -:040D3200D0EF0404F6 -:040D330005138FEF26 -:040D3400442204044D -:040D3500490240B27D -:040D3600449285A6B8 -:040D3700014146052B -:040D38008E8FD06F5B -:040D3900C42211417E -:040D3A001433440525 -:040D3B00741300A489 -:040D3C0085A20FF489 -:040D3D00C606451988 -:040D3E00FABFF0EF19 -:040D3F00442285A223 -:040D4000451D40B25B -:040D4100F06F01410D -:040D42001141F9DF83 -:040D4300C4220506BB -:040D44000FF5741320 -:040D4500450585A239 -:040D4600F0EFC606FE -:040D470085A2F89FEA -:040D480040B244224F -:040D49000141450916 -:040D4A00F7BFF06F90 -:040D4B0045151141F8 -:040D4C00F0EFC606F8 -:040D4D000713F29FF7 -:040D4E004781086071 -:040D4F0004E5136341 -:040D500009000593FE -:040D51000200051384 -:040D5200F5BFF0EF0A -:040D530005134581BE -:040D5400F0EF0210AA -:040D55004581F51FC0 -:040D5600022005135F -:040D5700F47FF0EF46 -:040D58000513458DAD -:040D5900F0EF023085 -:040D5A004581F3DFFD -:040D5B0002800513FA -:040D5C00F33FF0EF82 -:040D5D0003000593F7 -:040D5E000710051362 -:040D5F00F27FF0EF40 -:040D600040B24785D1 -:040D61000141853E89 -:040D62001101808279 -:040D630000A107A341 -:040D6400000215373D -:040D65004605CC2251 -:040D66000513842EBF -:040D670005930405E7 -:040D6800CE0600F1C2 -:040D690086EFD0EF52 -:040D6A00C0EF85222F -:040D6B0040F2B91F7A -:040D6C006105446277 -:040D6D00114180822E -:040D6E00645DC422DA -:040D6F006F845783B3 -:040D7000C226C606CB -:040D7100F9F7F79304 -:040D720083C107C270 -:040D73006EF41C23DB -:040D7400000214B7AE -:040D75000EF4A023B5 -:040D7600C0EF455134 -:040D770045D1B61F8D -:040D780003800513DC -:040D7900FA7FF0EF1E -:040D7A00051345D147 -:040D7B00F0EF039002 -:040D7C0045D1F9DF85 -:040D7D00F0EF4551FD -:040D7E0045D1F95F03 -:040D7F000710051341 -:040D8000F8BFF0EFD9 -:040D8100051345D140 -:040D8200F0EF05E0A9 -:040D830045D1F81F3F -:040D840006D005137D -:040D8500F77FF0EF15 -:040D8600453145D1DD -:040D8700F6FFF0EF94 -:040D8800320005939D -:040D8900F0EF45053D -:040D8A0045D1F65FFA -:040D8B00F0EF451927 -:040D8C000593F5DFF7 -:040D8D0045093200E2 -:040D8E00F53FF0EF4E -:040D8F006F84578393 -:040D9000E79340B2F3 -:040D91001C23040714 -:040D920044226EF495 -:040D93000EF4A02397 -:040D94000141449243 -:040D95001101808246 -:040D9600645DCC22AA -:040D97006F8457838B -:040D9800CA26CE0693 -:040D9900F9F7F793DC -:040D9A0083C107C248 -:040D9B00C64EC84A2E -:040D9C001C23C452FE -:040D9D0014B76EF425 -:040D9E008A2A00029B -:040D9F000EF4A0238B -:040DA000892E45054E -:040DA1003200059384 -:040DA200F03FF0EF3F -:040DA3006F8457837F -:040DA400855245C16E -:040DA5000207E793C7 -:040DA6006EF41C23A8 -:040DA7000EF4A02383 -:040DA80077D000EF11 -:040DA9000FF5799336 -:040DAA0000099B633E -:040DAB00000175B717 -:040DAC0085934645A0 -:040DAD008552D9454D -:040DAE0072D000EF10 -:040DAF0044814985AD -:040DB000009A07B3EB -:040DB1000007C5036F -:040DB200048545D19E -:040DB300EBFFF0EF73 -:040DB4000FF4F793AE -:040DB500FF37E6E33B -:040DB6006F8457836C -:040DB700000214B76B -:040DB800F79345D197 -:040DB90007C2FDF779 -:040DBA001C2383C1B2 -:040DBB00A0236EF40F -:040DBC0005130EF419 -:040DBD00F0EF0C0047 -:040DBE005783E95F0F -:040DBF0045C16F8437 -:040DC000E793854AE6 -:040DC1001C230207E6 -:040DC200A0236EF408 -:040DC30000EF0EF43B -:040DC400799370F0BF -:040DC5009B630FF528 -:040DC60075B70009F4 -:040DC700464500019C -:040DC800D9458593F1 -:040DC90000EF854A68 -:040DCA0049856BF0FC -:040DCB0007B34481A5 -:040DCC00C5030099C2 -:040DCD0045D1000705 -:040DCE00F0EF0485B9 -:040DCF00F793E51F92 -:040DD000E6E30FF453 -:040DD1005783FF370E -:040DD20040F26F84F8 -:040DD30000021737CC -:040DD4000407E79396 -:040DD5006EF41C2379 -:040DD6002023446230 -:040DD70044D20EF7FD -:040DD80049B2494291 -:040DD90061054A2244 -:040DDA0011418082C1 -:040DDB001437C422E3 -:040DDC00C226000229 -:040DDD0084AA46019D -:040DDE0002C00593B7 -:040DDF0004040513F0 -:040DE000C0EFC60694 -:040DE10085A6E0DF24 -:040DE20005134605AA -:040DE300C0EF040455 -:040DE4000593E3BFD1 -:040DE500051302C030 -:040DE60046050404B6 -:040DE700DF3FC0EF3B -:040DE80004040513E7 -:040DE90040B24422AE -:040DEA004585449265 -:040DEB00C06F014193 -:040DEC001141E01FB2 -:040DED001437C422D1 -:040DEE00C226000217 -:040DEF0084AEC04AC4 -:040DF0004601892A05 -:040DF10002C00593A4 -:040DF20004040513DD -:040DF300C0EFC60681 -:040DF40085CADC1FB1 -:040DF500051346019B -:040DF600C0EF040442 -:040DF7000513DEFF03 -:040DF8004422040489 -:040DF900490240B2B9 -:040DFA00449285A6F4 -:040DFB000141460567 -:040DFC00DD9FC06F48 -:040DFD0000021537A4 -:040DFE004601114158 -:040DFF000513458112 -:040E0000C606040519 -:040E0100DC5FC0EF03 -:040E0200C0EF4529CF -:040E030045E1931F13 -:040E0400F0EF4505C1 -:040E050045E1FA1FAA -:040E0600F0EF4509BB -:040E070045E1F99F29 -:040E0800F0EF450DB5 -:040E09004505F91F83 -:040E0A00F43FF0EFD2 -:040E0B00152140B2BB -:040E0C000015351385 -:040E0D00808201419D -:040E0E00C2261141A6 -:040E0F00450584AA67 -:040E1000C422C6062C -:040E1100F27FF0EF8D -:040E12000034941301 -:040E13008C49991D50 -:040E14000FF4741350 -:040E1500450585A268 -:040E1600F5BFF0EF45 -:040E1700450985A262 -:040E1800F53FF0EFC3 -:040E1900450D85A25C -:040E1A00F4BFF0EF42 -:040E1B0040B244227B -:040E1C00449285A6D1 -:040E1D000001753724 -:040E1E00860505132D -:040E1F00F06F01412E -:040E20001141B93F84 -:040E210084AAC226B7 -:040E2200C42245059C -:040E2300F0EFC60620 -:040E24007513EDDF76 -:040E250047890D8567 -:040E2600001564133C -:040E270000F48963E7 -:040E280000549413CB -:040E290074138C4969 -:040E2A0064130FF44A -:040E2B0085A2004458 -:040E2C00F0EF450599 -:040E2D0085A2F01F8B -:040E2E00F0EF450993 -:040E2F0085A2EF9F0A -:040E3000F0EF450D8D -:040E31004422EF1F49 -:040E320085A640B29F -:040E33007537449239 -:040E340005130001A1 -:040E3500014187C52B -:040E3600B39FF06F07 -:040E3700C42211417F -:040E38000002143769 -:040E39004601C22686 -:040E3A00059384AAEE -:040E3B00051305C0D6 -:040E3C00C6060404DE -:040E3D00C9BFC0EF7A -:040E3E000FF4F59325 -:040E3F00051346054C -:040E4000C0EF0404F7 -:040E41000593CC7FCA -:040E4200051305C0CF -:040E43004605040458 -:040E4400C7FFC0EF35 -:040E45000404051389 -:040E460040B2442250 -:040E47004585449207 -:040E4800C06F014135 -:040E49001141C8DFAC -:040E4A001437C42273 -:040E4B00C2260002B9 -:040E4C0084AEC04A66 -:040E4D004601892AA7 -:040E4E0005C0059343 -:040E4F00040405137F -:040E5000C0EFC60623 -:040E51007593C4DFF2 -:040E520046010FF94D -:040E5300040405137B -:040E5400C79FC0EF85 -:040E55000404051379 -:040E560040B2442240 -:040E570085A6490221 -:040E58004605449275 -:040E5900C06F014124 -:040E5A001141C63F3D -:040E5B000220051359 -:040E5C00C422C606E0 -:040E5D00F0EFC226CA -:040E5E007413F67F94 -:040E5F0045CD0FF579 -:040E6000F0EF455911 -:040E61006489FA5F47 -:040E6200710485137F -:040E6300FAEFC0EFF3 -:040E6400455D458D16 -:040E6500F93FF0EF72 -:040E6600710485137B -:040E6700F9EFC0EFF0 -:040E68000804659382 -:040E6900022005134B -:040E6A00F7FFF0EFAF -:040E6B003E800513AD -:040E6C00F8AFC0EF2C -:040E6D0007F475937E -:040E6E0040B2442228 -:040E6F000513449291 -:040E7000014102201A -:040E7100F63FF06FE9 -:040E7200C422114144 -:040E730005136409F6 -:040E7400C606710439 -:040E7500F66FC0EF65 -:040E7600455945C5D0 -:040E7700F4BFF0EFE5 -:040E780071040513E9 -:040E7900F56FC0EF62 -:040E7A004589455D04 -:040E7B00F3BFF0EFE2 -:040E7C0071040513E5 -:040E7D0040B2442219 -:040E7E00C06F0141FF -:040E7F001141F40F1A -:040E8000842EC422D6 -:040E8100454985AAB0 -:040E8200F0EFC606C1 -:040E830085A2F1DF74 -:040E840040B2442212 -:040E85000141454D95 -:040E8600F0FFF06F1A -:040E8700051385AA20 -:040E8800F06F03D034 -:040E890085AAF05FE7 -:040E8A00F06F45457B -:040E8B001141EFDF43 -:040E8C00C422C606B0 -:040E8D000065478332 -:040E8E00456D842A00 -:040E8F0000479593F0 -:040E9000F5938DDD6C -:040E9100F0EF0FF57A -:040E92004583EE1F87 -:040E93004571006441 -:040E9400ED7FF0EF0F -:040E9500003445835D -:040E9600F0EF45290B -:040E97004583ECDFC4 -:040E980045250044A8 -:040E9900EC3FF0EF4B -:040E9A000054458338 -:040E9B00F0EF45210E -:040E9C004583EB9F00 -:040E9D0045350004D3 -:040E9E00EAFFF0EF88 -:040E9F000014458373 -:040EA000F0EF4531F9 -:040EA1004583EA5F3C -:040EA20044220024C2 -:040EA300452D40B2E7 -:040EA400F06F0141A9 -:040EA5001101E95FEF -:040EA60084AACA262A -:040EA700CC22451103 -:040EA800842EC6329C -:040EA900C84ACE065F -:040EAA00E35FF0EF23 -:040EAB0075934632C3 -:040EAC0006630F8545 -:040EAD000793100691 -:040EAE00E2637FF08C -:040EAF00048610970E -:040EB0000015E593B1 -:040EB10004C2451121 -:040EB200E5FFF0EF79 -:040EB300D59380C192 -:040EB400F59300446E -:040EB50045050FF5EB -:040EB600E4FFF0EF76 -:040EB70000449593CB -:040EB8000F05F5939A -:040EB900F0EF450908 -:040EBA007537E41F85 -:040EBB0009370001F2 -:040EBC0085A6019C6A -:040EBD00970505137D -:040EBE00CC0909133F -:040EBF00915FF0EF60 -:040EC0000289593317 -:040EC1003E800793D5 -:040EC200000F45B721 -:040EC30024058593EA -:040EC400000175377D -:040EC5009945051333 -:040EC60009334401A7 -:040EC7005633029903 -:040EC80055B302F923 -:040EC900763302B9C1 -:040ECA00F0EF02F64D -:040ECB0057B78E7F08 -:040ECC0087930225E1 -:040ECD00F0630FF7C8 -:040ECE0027B7032718 -:040ECF008793042CD5 -:040ED0004405D7F707 -:040ED1000127F96399 -:040ED200080BF437DE -:040ED300FBF4041315 -:040ED400012434338E -:040ED50067DD0409C8 -:040ED60068C78793CF -:040ED700C78397A294 -:040ED80007130007F5 -:040ED90087B3028059 -:040EDA00D71302E741 -:040EDB0097BA0014AE -:040EDC000297C4B302 -:040EDD00F493479DA6 -:040EDE0085A60FF4E2 -:040EDF000097F36322 -:040EE00067DD459DE8 -:040EE10000241713BF -:040EE2008947879322 -:040EE300F49397BA33 -:040EE400438C0FF537 -:040EE500000175375C -:040EE6000513862644 -:040EE700F0EF9B8508 -:040EE800041A873F22 -:040EE90000349593A9 -:040EEA0044628DC110 -:040EEB0044D240F2BB -:040EEC00F5934942EF -:040EED00450D0F851B -:040EEE00F06F61053B -:040EEF004511D6DFF4 -:040EF000D67FF0EFCA -:040EF1001141B729CB -:040EF200842AC42268 -:040EF300C606456981 -:040EF400D0DFF0EF6C -:040EF5007593478525 -:040EF6001A630FA5C7 -:040EF700E59300F48B -:040EF800442200256B -:040EF900456940B255 -:040EFA00F06F014153 -:040EFB00E593D3DFC9 -:040EFC00BFC500A5C9 -:040EFD0000A5558374 -:040EFE00C4221141B8 -:040EFF00842A81A11F -:040F000004B0051321 -:040F0100F0EFC60641 -:040F02004583D21F32 -:040F0300051300A42E -:040F0400F0EF04A066 -:040F05005583D15FE0 -:040F0600051300C40B -:040F070081A104D0F0 -:040F0800D07FF0EFB7 -:040F090000C4458358 -:040F0A0004C0051307 -:040F0B00CFBFF0EF75 -:040F0C0000E4558325 -:040F0D0004F00513D4 -:040F0E00F0EF81A1DE -:040F0F004583CEDF69 -:040F1000051300E4E1 -:040F1100F0EF04E019 -:040F12005583CE1F16 -:040F1300051300447E -:040F140081A10510A2 -:040F1500CD3FF0EFED -:040F160000444583CB -:040F170005000513B9 -:040F1800CC7FF0EFAB -:040F19000064558398 -:040F1A000530051386 -:040F1B00F0EF81A1D1 -:040F1C004583CB9F9F -:040F1D000513006454 -:040F1E00F0EF0520CB -:040F1F005583CADF4D -:040F20000513008431 -:040F210081A1055055 -:040F2200C9FFF0EF24 -:040F2300008445837E -:040F2400054005136C -:040F2500C93FF0EFE1 -:040F260001045583EA -:040F27000570051339 -:040F2800F0EF81A1C4 -:040F29004583C85FD5 -:040F2A0005130104A6 -:040F2B00F0EF05607E -:040F2C005583C79F83 -:040F2D000513012483 -:040F2E0081A1059008 -:040F2F00C6BFF0EF5A -:040F300001244583D0 -:040F3100058005131F -:040F3200C5FFF0EF18 -:040F3300014455839D -:040F340005B00513EC -:040F3500F0EF81A1B7 -:040F36004583C51F0B -:040F3700442201440B -:040F3800051340B2AB -:040F3900014105A0CD -:040F3A00C3FFF06F92 -:040F3B00C42211417A -:040F3C000513842AEB -:040F3D00C60603F0F1 -:040F3E00BE5FF0EFB3 -:040F3F000F05759392 -:040F400005138DC147 -:040F4100F0EF03F0DA -:040F420085A2C21FA3 -:040F430040B2442252 -:040F440000017537FC -:040F450092050513F9 -:040F4600F06F014106 -:040F47001141EF6FF6 -:040F4800842AC42211 -:040F4900C60645692A -:040F4A00BB5FF0EFAA -:040F4B000064159396 -:040F4C0003F5751321 -:040F4D00F5938DC9C2 -:040F4E0045690FF5ED -:040F4F00BEBFF0EF42 -:040F5000442285A210 -:040F5100753740B2FE -:040F52000513000182 -:040F5300014195457E -:040F5400EC0FF06F3F -:040F550065DD110144 -:040F56008593461D1C -:040F57000028DCC5CD -:040F58002DE9CE06AB -:040F5900C07FF0EF76 -:040F5A00F0EF45016E -:040F5B004585E5DF04 -:040F5C000350051326 -:040F5D00BB3FF0EFB7 -:040F5E000513655DB5 -:040F5F00F0EF8A45E0 -:040F60004501E77FE1 -:040F6100F9BFF0EFF5 -:040F6200F0EF45194E -:040F63000513C93F6A -:040F6400F0EF044066 -:040F65004581C95F9A -:040F6600F0EF45055E -:040F67000028C65F39 -:040F6800C8FFF0EFDF -:040F6900610540F2EC -:040F6A00114180822F -:040F6B00C04AC42292 -:040F6C00892E842A1C -:040F6D000324043322 -:040F6E00C60645115D -:040F6F00F0EFC226B7 -:040F7000891DB1FF27 -:040F71004054549301 -:040F72001593887DCE -:040F73008DC90034F0 -:040F7400F0EF451144 -:040F7500F593B55FDC -:040F760075370FF4C8 -:040F770006930001DC -:040F7800864A001491 -:040F790005130585D2 -:040F7A00F0EF8F45C0 -:040F7B0040B2E26F2F -:040F7C00F513442203 -:040F7D0049020FF422 -:040F7E000141449257 -:040F7F00114180821A -:040F8000842AC422D9 -:040F8100C60645411A -:040F8200AD5FF0EF80 -:040F8300003415938E -:040F84008DC9891D6D -:040F85000FF5F593DC -:040F8600F0EF454102 -:040F870085A2B0DFB0 -:040F880040B244220D -:040F890000017537B7 -:040F8A0093C50513F3 -:040F8B00F06F0141C1 -:040F8C00C139DE2F5A -:040F8D00C226114126 -:040F8E0002600513E5 -:040F8F00059384AE94 -:040F9000C42208006F -:040F91008432C606DA -:040F9200ADFFF0EFD0 -:040F930005934785F6 -:040F940089630094D9 -:040F950047A100F47C -:040F960005A4059316 -:040F970000F484637B -:040F98000184059338 -:040F990040B24422FC -:040F9A00F5934492F5 -:040F9B0005130FF536 -:040F9C0001410310FC -:040F9D00AB3FF06F07 -:040F9E000513458171 -:040F9F00BFDD026050 -:040FA000CC2211014D -:040FA100C84ACA264A -:040FA200C452C64E21 -:040FA3004785CE06AA -:040FA400892E842AE4 -:040FA5008A3689B24D -:040FA6000E6384BA98 -:040FA70047A100F569 -:040FA80006F50063E7 -:040FA9000067059345 -:040FAA000FF5F593B7 -:040FAB00F0EF451509 -:040FAC0045C1A79FF5 -:040FAD000593A809F7 -:040FAE00F593002790 -:040FAF0045150FF5E0 -:040FB000A67FF0EF39 -:040FB1004519459900 -:040FB200A5FFF0EFB8 -:040FB300862685A267 -:040FB400F0EF450510 -:040FB50047C1F61F1B -:040FB600036345B1DB -:040FB70045A100F45C -:040FB80002200513FB -:040FB900A43FF0EF72 -:040FBA0040F244625B -:040FBB00865244D244 -:040FBC004A2285CE72 -:040FBD00854A49B266 -:040FBE00610549423E -:040FBF00B9BFF06F57 -:040FC000032705936B -:040FC1000FF5F593A0 -:040FC200F0EF4515F2 -:040FC3000593A1DF12 -:040FC400BF55020013 -:040FC50087931141BC -:040FC600C422FFE55D -:040FC700C606C22672 -:040FC8000FF7F79395 -:040FC90084AA4705AA -:040FCA006263842EAC -:040FCB0047090CF7CF -:040FCC0003634789EB -:040FCD00478100E573 -:040FCE000024971351 -:040FCF0000449593B2 -:040FD000079A8DD916 -:040FD1008DDD8DC560 -:040FD2000FF5F5938F -:040FD300F0EF456591 -:040FD40045419D9F57 -:040FD500989FF0EF02 -:040FD6007593478D3B -:040FD70014630F850B -:040FD800E59300F4A9 -:040FD9004541005539 -:040FDA009BFFF0EF9A -:040FDB00956347894A -:040FDC0047850AF447 -:040FDD000A87E2633A -:040FDE000520059352 -:040FDF0000F40463B3 -:040FE0000530059340 -:040FE100F0EF4539AF -:040FE20005139A1F3A -:040FE300C0EF3E809D -:040FE40045519ACF0A -:040FE500949FF0EFF6 -:040FE60001851793D7 -:040FE700741387E117 -:040FE800D5630FF5C9 -:040FE9008921020751 -:040FEA0075B7E929C5 -:040FEB0085930001E9 -:040FEC0077939D85D5 -:040FED00C7B902047A -:040FEE000001763751 -:040FEF009E46061301 -:040FF0000001753750 -:040FF1009E850513C1 -:040FF200C48FF0EFC9 -:040FF30001047793EB -:040FF4008811CF8908 -:040FF50075B7EC0DD3 -:040FF60085930001DE -:040FF70075379E05A7 -:040FF80005130001DC -:040FF900F0EFA04530 -:040FFA004581C2AFBC -:040FFB004789A8B1C9 -:040FFC0037B3F521F1 -:040FFD00078500B0B4 -:040FFE0075B7B7818B -:040FFF0085930001D5 -:04100000BF459D0546 -:04100100000176373D -:041002009E0606132D -:0410030075B7BF55A9 -:0410040085930001CF -:04100500B7E19E456C -:0410060005B0059399 -:04100700F0EF453988 -:041008000513909F9D -:04100900C0EF3E8076 -:04100A004551914F6C -:04100B008B1FF0EF58 -:04100C00CD0D890974 -:04100D000001753732 -:04100E00A2450513DF -:04100F00BD4FF0EFF2 -:0410100045C1478D02 -:04101100FAF413E3F7 -:04101200F0EF456155 -:0410130005138DDF55 -:0410140045810360AF -:041015008D3FF0EF2C -:0410160040B244227E -:041017006537449263 -:0410180005130001BB -:041019000141AB45A1 -:04101A00BA8FF06F2A -:04101B000001753724 -:04101C00A3450513D0 -:04101D001101B7E125 -:04101E00842ACC2232 -:04101F00C62E455143 -:04102000F0EFCE0619 -:04102100470985BF37 -:041022000FF57793BC -:04102300156345B25A -:04102400470502E496 -:0410250000E59C63E3 -:041026000905751330 -:04102700F7050513B1 -:041028000015351367 -:04102900446240F2EB -:04102A00808261055A -:04102B007513E591C3 -:04102C00051308851B -:04102D00B7EDF7859F -:04102E000017D513BF -:04102F00B7DD89059B -:04103000D4A271597C -:04103100D2A6645D82 -:04103200CECED0CA84 -:04103300CAD6CCD27B -:04103400C6DEC8DA72 -:04103500C2E6C4E269 -:04103600DE6EC0EAC0 -:0410370072440713E5 -:041038008D36D68695 -:0410390001E7468302 -:04103A0016234791A1 -:04103B0047A100F1D8 -:04103C0000D797B38F -:04103D0001F74683EE -:04103E000087E793AD -:04103F0000F1172382 -:04104000040007930E -:0410410000D797B38A -:0410420002074683D8 -:0410430000F118237D -:041044000217470345 -:0410450040000793CD -:0410460000D797B385 -:0410470000F1192378 -:0410480097B367896A -:041049001A2300E77F -:04104A00079300F117 -:04104B00CC3E00B1E6 -:04104C00879367DD42 -:04104D00CE3E7477A8 -:04104E00879367DD40 -:04104F00D03E748794 -:04105000879367DD3E -:04105100D23E749780 -:04105200879367DD3C -:04105300D43E74A76C -:0410540064E167DD0F -:0410550074B7879352 -:0410560009B7695D10 -:041057008D93040071 -:041058008AAA80C41C -:0410590005A38B2E32 -:04105A00D63E00017D -:04105B0004134A012F -:04105C0084937244C3 -:04105D004B9580C46B -:04105E0000016C37EA -:04105F00A489091344 -:0410600000017CB758 -:041061000409899362 -:04106200018A1513D7 -:04106300856147F567 -:0410640000FA146317 -:04106500AA85557D86 -:04106600017DC7033E -:04106700016DC783CD -:041068000CEBE16349 -:0410690000271593B4 -:04106A0001258633A3 -:04106B0086024210A7 -:04106C00F7B3C84EC0 -:04106D00CFC901A73F -:04106E0095BE181CF7 -:04106F00FE85A783D0 -:04107000D603181477 -:04107100C783018DA3 -:0410720007860007E6 -:04107300D78397B6D2 -:041074008FF1FDC734 -:041075004613CFB59A -:041076008A05FFF6F2 -:0410770007661A638B -:04107800010DD6038D -:04107900656306792C -:04107A0046050756CA -:04107B0000C4082382 -:04107C000004172332 -:04107D00000409233F -:04107E0000F41A233D -:04107F001000061344 -:041080001CC788639E -:0410810008F66963A1 -:041082008E634641F2 -:04108300606318C7C7 -:04108400461106F615 -:041085000CC78963A8 -:041086008963462113 -:04108700851310C7F6 -:04108800F0EFA60CD3 -:04108900A02D9EEF09 -:04108A00010DD80379 -:04108B0020D0061358 -:04108C00F8C811E3AC -:04108D00FFDDC88338 -:04108E004603480DC0 -:04108F009C6302E478 -:04109000E60101086C -:041091000EF7F793CC -:041092004809B7ADA5 -:04109300F70613E366 -:041094000DE90A0553 -:04109500E601BF159C -:041096000FB7F79306 -:041097004805BF99B0 -:0410980085D2B7F551 -:04109900E0CC05138F -:04109A009A8FF0EF4A -:04109B000613B7D5AC -:04109C008063040069 -:04109D00071314C75A -:04109E00866308005D -:04109F00071314E738 -:0410A0009EE30200C9 -:0410A1000793F8E7D2 -:0410A20016232010E1 -:0410A300479900F475 -:0410A40000F4082329 -:0410A5006605A88DA7 -:0410A60014C7846384 -:0410A70002F6646386 -:0410A80040000613EB -:0410A90012C789637E -:0410AA008007871321 -:0410AB0012070763BE -:0410AC002000071306 -:0410AD00F6E795E3EA -:0410AE002020079364 -:0410AF0000F4162310 -:0410B000B7F94795B0 -:0410B10080636711E0 -:0410B200672112E7B9 -:0410B30012E7866357 -:0410B40096E367094F -:0410B5004791F4E784 -:0410B60000F4162309 -:0410B7000780079314 -:0410B80000F4092314 -:0410B9001623A00D4D -:0410BA0077130004A4 -:0410BB0047850FD77F -:0410BC0000F71B63BB -:0410BD00031447834E -:0410BE004789C79502 -:0410BF0000F408230E -:0410C00007A34785B6 -:0410C10050B600F431 -:0410C20054965426C6 -:0410C30049F659068B -:0410C4004AD64A6658 -:0410C5004BB64B4695 -:0410C6004C964C26D2 -:0410C7005DF24D0683 -:0410C800808261655C -:0410C900072347852D -:0410CA00BFD900F496 -:0410CB00062347852C -:0410CC00478300F462 -:0410CD00EF9D03B4DC -:0410CE0007B347E934 -:0410CF0097A602FAE4 -:0410D00000E7D6035C -:0410D10057700793BA -:0410D20002C7E66308 -:0410D300FFF706130A -:0410D4000FF676138A -:0410D500EF634789F5 -:0410D60006A300C7A6 -:0410D700082300F4F6 -:0410D80012E300F42B -:0410D9004783FAF758 -:0410DA00EB9503147B -:0410DB00072347851B -:0410DC00BF5100F40C -:0410DD00FFE707938F -:0410DE00000406A361 -:0410DF000FD7F7939D -:0410E0004795D3FD60 -:0410E100F8F711E328 -:0410E20006B347E921 -:0410E300079302FA73 -:0410E40094B64AF084 -:0410E50000E4D70349 -:0410E600FCE7F7E349 -:0410E70006A3B7ADF8 -:0410E8004789000430 -:0410E9000793B5F5BF -:0410EA001623201099 -:0410EB00479100F435 -:0410EC004789B5C5B6 -:0410ED0000F41623D2 -:0410EE0016E3478D31 -:0410EF004783F4F748 -:0410F000D7CD031441 -:0410F1000793BFF9A9 -:0410F2001623102091 -:0410F300BF2500F421 -:0410F400202007931E -:0410F500478DBFD98B -:0410F6000793BFF1AC -:0410F700B7F12030FD -:0410F800203007930A -:0410F9000793BDE1BB -:0410FA001623204059 -:0410FB00479500F421 -:0410FC0000F40823D1 -:0410FD00B5ED47E125 -:0410FE0020400793F4 -:0410FF0000F41623C0 -:0411000008234799E0 -:0411010047D100F4DE -:041102000000BDE14B -:0411030000000713CE -:0411040000E6166388 -:0411050000000513CE -:0411060000008067FE -:0411070000E507B345 -:0411080000170713B2 -:0411090000E586B3C4 -:04110A000007C78390 -:04110B00FFF6C683A2 -:04110C00FED780E3A7 -:04110D0040D785330F -:04110E0000008067F6 -:04110F0000A5C7B3BD -:041110000037F7931A -:0411110000C50733DB -:0411120000079663D9 -:04111300003007930E -:0411140002C7E263C9 -:041115000005079337 -:041116000AE57C6307 -:041117000005C68386 -:0411180000178793A2 -:0411190000158593A5 -:04111A00FED78FA3CA -:04111B00FEE7E8E320 -:04111C0000008067E8 -:04111D000035769390 -:04111E00000507932E -:04111F0000068E63D5 -:041120000005C6837D -:041121000017879399 -:04112200001585939C -:04112300FED78FA3C1 -:041124000037F69307 -:04112500FE9FF06FCA -:04112600FFC77693F6 -:04112700FE06861327 -:0411280006C7F4639F -:041129000005A38397 -:04112A000045A28357 -:04112B000085AF8309 -:04112C0000C5AF0348 -:04112D000105AE8387 -:04112E000145AE03C6 -:04112F000185A30390 -:0411300001C5A883CA -:04113100024585935B -:041132000077A0237F -:04113300FFC5A80349 -:041134000057A2239B -:0411350001F7A423F7 -:0411360001E7A62304 -:0411370001D7A82311 -:0411380001C7AA231E -:041139000067AC237C -:04113A000117AE23C8 -:04113B00024787934D -:04113C00FF07AE23D8 -:04113D00FADFF06F76 -:04113E000005A603FF -:04113F00004787934B -:04114000004585934E -:04114100FEC7AE2314 -:04114200FED7E8E309 -:04114300F4E7E8E302 -:0411440000008067C0 -:04114500F60101139B -:0411460006912A23C1 -:0411470008F12A235E -:0411480006112E233B -:04114900000177B773 -:04114A0006812C23CB -:04114B0008D126237E -:04114C0008E128236B -:04114D0009012C2345 -:04114E0009112E2332 -:04114F006387A4838B -:041150000205D2635F -:0411510008B0079348 -:0411520000F4A023E2 -:04115300FFF0051391 -:0411540007C120832C -:0411550007812403E7 -:0411560007412483A6 -:041157000A01011375 -:0411580000008067AC -:041159002080079358 -:04115A0000F11A2363 -:04115B0000A12423A8 -:04115C0000A12C239F -:04115D0000000793F4 -:04115E0000058463A1 -:04115F00FFF587937E -:0411600000F128234F -:0411610000F12E2348 -:0411620008C1069327 -:04116300FFF00793FF -:0411640000058413EB -:0411650000048513EA -:04116600008105936C -:0411670000F11B2355 -:0411680000D122236D -:04116900518000EFC2 -:04116A00FFF00793F8 -:04116B0000F55663D2 -:04116C0008B007932D -:04116D0000F4A023C7 -:04116E00F8040CE392 -:04116F000081278351 -:0411700000078023D1 -:04117100F8DFF06F44 -:0411720000050793DA -:041173000017879347 -:04117400FFF7C703B7 -:04117500FE071CE372 -:0411760040A78533D6 -:04117700FFF5051368 -:04117800000080678C -:0411790000050793D3 -:04117A0000060E63FA -:04117B000015859343 -:04117C00FFF5C703B1 -:04117D00001787933D -:04117E00FFF606135F -:04117F00FEE78FA355 -:04118000FE0714E36F -:0411810000C78633EA -:0411820000C79463AB -:041183000000806781 -:041184000017879336 -:04118500FE078FA32F -:04118600FF1FF06FE8 -:0411870000B505B3F7 -:0411880000050793C4 -:0411890000B78663C2 -:04118A000007C70390 -:04118B0000071663E0 -:04118C0040A78533C0 -:04118D000000806777 -:04118E00001787932C -:04118F00FE9FF06F60 -:04119000F80101134E -:0411910006812C2384 -:0411920006112E23F1 -:0411930006912A2374 -:04119400000604133A -:041195000206526399 -:0411960008B0079303 -:0411970000F520231C -:04119800FFF005134C -:0411990007C12083E7 -:04119A0007812403A2 -:04119B000741248361 -:04119C000801011332 -:04119D000000806767 -:04119E0000068613AE -:04119F002080069313 -:0411A00000B1242353 -:0411A10000B12C234A -:0411A20000D11A233B -:0411A30000000593B0 -:0411A40000040463DC -:0411A500FFF40593BB -:0411A600FFF00793BC -:0411A70000B1282348 -:0411A80000B12E2341 -:0411A90000070693A2 -:0411AA000081059328 -:0411AB0000F11B2311 -:0411AC0000050493A3 -:0411AD00408000EF8F -:0411AE00FFF00793B4 -:0411AF0000F556638E -:0411B00008B00793E9 -:0411B10000F4A02383 -:0411B200F8040EE34C -:0411B300008127830D -:0411B400000780238D -:0411B500F91FF06FBF -:0411B600000177B706 -:0411B7000006871394 -:0411B8000006069394 -:0411B9000005861394 -:0411BA000005059394 -:0411BB006387A5039E -:0411BC00F51FF06FBC -:0411BD001005846332 -:0411BE00FFC5A7833F -:0411BF00FF01011318 -:0411C0000081242363 -:0411C10000112623D0 -:0411C2000091222353 -:0411C300FFC58413CD -:0411C4000007D463E9 -:0411C50000F40433FB -:0411C6000005049389 -:0411C70058D000EF0D -:0411C8000001773774 -:0411C9006A87278387 -:0411CA000007061301 -:0411CB000207926322 -:0411CC0000042223D6 -:0411CD006A872423E6 -:0411CE000081240375 -:0411CF0000C12083B8 -:0411D000000485137F -:0411D1000041248332 -:0411D2000101011303 -:0411D3005610006F43 -:0411D40002F4786346 -:0411D5000004268369 -:0411D60000D4073307 -:0411D70000E79A6330 -:0411D8000007A70362 -:0411D9000047A783A1 -:0411DA0000D7073300 -:0411DB0000E42023E9 -:0411DC0000F42223D6 -:0411DD006A862423D7 -:0411DE00FC1FF06F93 -:0411DF00000707936B -:0411E0000047A7031A -:0411E100000704639C -:0411E200FEE47AE3CA -:0411E3000007A683D8 -:0411E40000D7863377 -:0411E5000286186303 -:0411E60000042603D8 -:0411E70000C686B305 -:0411E80000D7A02369 -:0411E90000D7863372 -:0411EA00F8C718E347 -:0411EB0000072603D0 -:0411EC00004727038E -:0411ED0000D606B36F -:0411EE0000D7A02363 -:0411EF0000E7A22350 -:0411F000F79FF06F06 -:0411F10000C478635B -:0411F20000C007939F -:0411F30000F4A02341 -:0411F400F69FF06F03 -:0411F50000042603C9 -:0411F60000C406B378 -:0411F70000D71A63A0 -:0411F8000007268343 -:0411F9000047270381 -:0411FA0000C686B3F2 -:0411FB0000D42023D9 -:0411FC0000E42223C6 -:0411FD000087A223A2 -:0411FE00F41FF06F7B -:0411FF000000806705 -:04120000FE010113D7 -:0412010000912A230B -:04120200003584939C -:04120300FFC4F4939D -:0412040000112E2384 -:0412050000812C2315 -:041206000121282377 -:041207000131262368 -:041208000084849347 -:0412090000C0079387 -:04120A0006F4F8638B -:04120B0000C0049388 -:04120C0006B4E663DB -:04120D0000050913BC -:04120E00471000EF96 -:04120F00000177B7AC -:041210006A87A7033F -:041211006A878693CF -:0412120000070413BA -:0412130006041C634E -:04121400000174372A -:041215006AC4041390 -:041216000004278326 -:0412170000079A63CF -:04121800000005933A -:0412190000090513B0 -:04121A00375000EF5A -:04121B0000A42023E8 -:04121C0000048593B2 -:04121D0000090513AC -:04121E00365000EF57 -:04121F00FFF0099340 -:041220000B351A630D -:0412210000C007936F -:0412220000F920238C -:0412230000090513A6 -:0412240041D000EFC6 -:041225000100006F55 -:04122600F804DCE309 -:0412270000C0079369 -:0412280000F520238A -:0412290000000513A9 -:04122A0001C120835B -:04122B000181240316 -:04122C0001412483D5 -:04122D00010129038F -:04122E0000C129834F -:04122F0002010113A4 -:0412300000008067D3 -:04123100000427830B -:04123200409787B3A7 -:041233000407CE637B -:0412340000B00613ED -:0412350000F67A63E2 -:0412360000F420237D -:0412370000F4043388 -:0412380000942023DB -:041239000100006F41 -:04123A0000442783C2 -:04123B0002871A63A9 -:04123C0000F6A023F5 -:04123D00000905138C -:04123E003B5000EF32 -:04123F0000B40513DF -:0412400000440793CC -:04124100FF8575139D -:0412420040F5073339 -:04124300F8070EE3B7 -:0412440000E404338B -:0412450040A787B384 -:0412460000F420236D -:04124700F8DFF06F6D -:0412480000F7222366 -:04124900FD1FF06F26 -:04124A000004071382 -:04124B000044240334 -:04124C00F1DFF06F6F -:04124D000035041351 -:04124E00FFC4741352 -:04124F00FA8502E337 -:0412500040A405B3FE -:041251000009051378 -:04125200295000EF30 -:04125300F9351AE36C -:04125400F35FF06FE5 -:04125500FE01011382 -:041256000121282327 -:041257000085A90362 -:0412580000812C23C2 -:041259000141242308 -:04125A0001612023EB -:04125B0000112E232D -:04125C0000912A23B0 -:04125D000131262312 -:04125E0001512223F5 -:04125F0000058413EF -:0412600000060B1366 -:0412610000068A13E6 -:041262000D26EE6304 -:0412630000C5D78368 -:041264004807F7132D -:041265000C07066309 -:0412660000042483D9 -:041267000105A58355 -:041268000144270313 -:0412690000050A93DF -:04126A0040B489B350 -:04126B0000300493B8 -:04126C0002E484B361 -:04126D000020071343 -:04126E0002E4C4B31F -:04126F0000168713CB -:041270000137073308 -:0412710000E4F4633E -:0412720000070493DA -:041273004007F793A6 -:041274000C0784637C -:041275000004859359 -:04127600000A8513D2 -:04127700E25FF0EF53 -:041278000005091351 -:0412790004051263F3 -:04127A0000C0079316 -:04127B0000FAA023B2 -:04127C0000C45783D0 -:04127D00FFF0051366 -:04127E000407E793E7 -:04127F0000F416233E -:0412800001C1208305 -:0412810001812403C0 -:04128200014124837F -:041283000101290339 -:0412840000C12983F9 -:0412850000812A03B7 -:0412860000412A8376 -:0412870000012B0334 -:04128800020101134B -:04128900000080677A -:04128A0001042583B3 -:04128B0000098613BD -:04128C00A0DFF0EF00 -:04128D0000C45783BF -:04128E00B7F7F79324 -:04128F000807E793D2 -:0412900000F416232D -:0412910001242823E9 -:0412920000942A2377 -:0412930001390933E1 -:04129400413484B3AA -:0412950001242023ED -:041296000094242379 -:04129700000A09132D -:04129800012A746350 -:04129900000A09132B -:04129A000004250324 -:04129B00000906132D -:04129C00000B0593AB -:04129D001D9000EFB1 -:04129E00008427831E -:04129F000000051333 -:0412A000412787B3A8 -:0412A10000F424230E -:0412A200000427839A -:0412A3000127893363 -:0412A40001242023DE -:0412A500F6DFF06F11 -:0412A60000048613A7 -:0412A700000A8513A1 -:0412A800211000EF22 -:0412A9000005091320 -:0412AA00F8051EE342 -:0412AB000104258392 -:0412AC00000A85139C -:0412AD00C41FF0EF7B -:0412AE00F31FF06FCB -:0412AF0000C5D7831C -:0412B000F401011331 -:0412B1000A912A2351 -:0412B2000B212823C1 -:0412B3000B312623B2 -:0412B4000A112E23CA -:0412B5000A812C235B -:0412B6000B412423A1 -:0412B7000B51222392 -:0412B8000B61202383 -:0412B90009712E2366 -:0412BA0009812C2357 -:0412BB0009912A2348 -:0412BC0009A1282339 -:0412BD0009B126232A -:0412BE000807F79393 -:0412BF00000509938A -:0412C0000005891389 -:0412C100000604938C -:0412C20006078C632C -:0412C3000105A783F7 -:0412C400060798631E -:0412C5000400059389 -:0412C60000D126230A -:0412C700CE5FF0EF17 -:0412C80000A9202336 -:0412C90000A928232D -:0412CA0000C12683B6 -:0412CB00040516639D -:0412CC0000C00793C4 -:0412CD0000F9A02361 -:0412CE00FFF0051315 -:0412CF000BC12083AC -:0412D0000B81240367 -:0412D1000B41248326 -:0412D2000B012903E0 -:0412D3000AC12983A0 -:0412D4000A812A035E -:0412D5000A412A831D -:0412D6000A012B03DB -:0412D70009C12B839B -:0412D80009812C0359 -:0412D90009412C8318 -:0412DA0009012D03D6 -:0412DB0008C12D8396 -:0412DC000C010113ED -:0412DD000000806726 -:0412DE00040007936E -:0412DF0000F92A23C5 -:0412E000020007936E -:0412E10002F10CA367 -:0412E20000000AB747 -:0412E300030007936A -:0412E40002012A23B6 -:0412E50002F10D23E2 -:0412E60000D12E23E2 -:0412E70002500C9312 -:0412E80000017B374F -:0412E90000017BB7CE -:0412EA0000017D374B -:0412EB0000015C376B -:0412EC00000A8A93D7 -:0412ED000004841362 -:0412EE00000447832E -:0412EF00000784630D -:0412F0000D979C6357 -:0412F10040940DB365 -:0412F200020D866300 -:0412F300000D8693D1 -:0412F4000004861359 -:0412F5000009059354 -:0412F6000009851353 -:0412F700D79FF0EF9E -:0412F800FFF0079369 -:0412F90024F506636F -:0412FA000341268303 -:0412FB0001B686B3FF -:0412FC0002D12A23CE -:0412FD00000447831F -:0412FE0022078C63D4 -:0412FF00FFF0079362 -:04130000001404933E -:0413010002012023A2 -:04130200020126239B -:0413030002F12223AE -:04130400020124239B -:04130500060101A339 -:0413060006012C238D -:0413070000100D9332 -:041308000004C58395 -:041309000050061377 -:04130A00D90B0513E3 -:04130B007FC000EFB0 -:04130C000014841332 -:04130D00020127832F -:04130E000605146359 -:04130F000107F713C8 -:041310000007066369 -:0413110002000713BC -:0413120006E101A34C -:041313000087F71345 -:041314000007066365 -:0413150002B0071308 -:0413160006E101A348 -:041317000004C68385 -:0413180002A0071315 -:0413190004E68C63F7 -:04131A0002C1278362 -:04131B000004841333 -:04131C000000069334 -:04131D000090061323 -:04131E0000A0051313 -:04131F00000447037C -:04132000001405931D -:04132100FD070713AA -:0413220008E67C63FA -:0413230004068463D5 -:0413240002F1262389 -:041325000400006F51 -:041326000014041398 -:04132700F1DFF06F93 -:04132800D90B0713C3 -:0413290040E5053363 -:04132A0000AD95334A -:04132B0000A7E7B37D -:04132C0002F1202387 -:04132D000004049321 -:04132E00F69FF06FC7 -:04132F0001C12703CE -:0413300000470693D9 -:041331000007270387 -:0413320000D12E2395 -:041333000407406308 -:0413340002E1262389 -:041335000004470366 -:0413360002E0079337 -:0413370008F716633A -:041338000014470353 -:0413390002A0079374 -:04133A0004F71A6337 -:04133B0001C1278342 -:04133C000024041372 -:04133D0000478713CB -:04133E000007A7837A -:04133F0000E12E2378 -:041340000207CA6373 -:0413410002F1222370 -:041342000600006F32 -:0413430040E007334C -:041344000027E79304 -:0413450002E1262378 -:0413460002F120236D -:04134700FB9FF06FA9 -:0413480002A787B3BE -:0413490000100693F7 -:04134A000005841303 -:04134B0000E787B37D -:04134C00F4DFF06F6B -:04134D00FFF0079313 -:04134E00FCDFF06F61 -:04134F00001404136F -:041350000201222351 -:0413510000000693FF -:0413520000000793FD -:0413530000900613ED -:0413540000A00513DD -:041355000004470346 -:0413560000140593E7 -:04135700FD07071374 -:0413580008E67263CE -:04135900FA0690E31D -:04135A0000044583C3 -:04135B000030061345 -:04135C00D98B851391 -:04135D006B4000EFF2 -:04135E00020502631F -:04135F00D98B87930C -:0413600040F505331C -:0413610004000793EA -:0413620000A797B396 -:04136300020125035B -:04136400001404135A -:0413650000F56533F7 -:0413660002A120239D -:0413670000044583B6 -:041368000060061308 -:04136900D9CD0513C2 -:04136A0000140493D4 -:04136B0002B10C239C -:04136C00678000EFA7 -:04136D0008050A6302 -:04136E00040A986372 -:04136F00020127034D -:0413700001C127830D -:0413710010077713D7 -:041372000207086303 -:041373000047879315 -:0413740000F12E2333 -:041375000341278386 -:04137600014787B3F1 -:0413770002F12A2332 -:04137800DD5FF06FD6 -:0413790002A787B38D -:04137A0000100693C6 -:04137B0000058413D2 -:04137C0000E787B34C -:04137D00F61FF06FF8 -:04137E0000778793DA -:04137F00FF87F7935A -:0413800000878793C8 -:04138100FCDFF06F2E -:0413820001C107138B -:04138300954C0693EC -:041384000009061343 -:0413850002010593C9 -:0413860000098513C2 -:0413870000000097CB -:04138800000000E77A -:04138900FFF00793D7 -:04138A0000050A133D -:04138B00FAF514E378 -:04138C0000C95783BA -:04138D00FFF0051355 -:04138E000407F793C6 -:04138F00D00790E310 -:0413900003412503ED -:04139100CF9FF06F8B -:0413920001C107137B -:04139300954C0693DC -:041394000009061333 -:0413950002010593B9 -:0413960000098513B2 -:041397001B8000EFC8 -:04139800FC5FF06F97 -:04139900FD0101133E -:04139A0001512A23B0 -:04139B000105A7831E -:04139C0000070A93A9 -:04139D000085A7031D -:04139E000281242381 -:04139F000291222372 -:0413A00001312E23C6 -:0413A10001412C23B7 -:0413A20002112623EB -:0413A30003212023DF -:0413A4000161282398 -:0413A5000171262389 -:0413A60000050993A2 -:0413A70000058413A6 -:0413A80000060493A4 -:0413A90000068A139D -:0413AA0000E7D46321 -:0413AB00000707939D -:0413AC0000F4A02386 -:0413AD0004344703BA -:0413AE0000070663CB -:0413AF000017879309 -:0413B00000F4A02382 -:0413B100000427838A -:0413B2000207F793A4 -:0413B3000007886344 -:0413B4000004A78307 -:0413B50000278793F3 -:0413B60000F4A0237C -:0413B7000004290302 -:0413B800006979133C -:0413B90000091E63A6 -:0413BA0001940B137C -:0413BB00FFF00B93A1 -:0413BC0000C42783BF -:0413BD000004A7037E -:0413BE0040E787B3CA -:0413BF0008F9426384 -:0413C000000427837B -:0413C1000434468327 -:0413C2000207F79394 -:0413C30000D036B36D -:0413C4000C0790631F -:0413C50004340613D3 -:0413C600000A059381 -:0413C7000009851381 -:0413C800000A80E7B0 -:0413C900FFF0079397 -:0413CA0006F50863B9 -:0413CB000004278370 -:0413CC0000400613C4 -:0413CD000004A7036E -:0413CE000067F7932A -:0413CF0000C42683AD -:0413D0000000049382 -:0413D10000C7986356 -:0413D20040E684B3BA -:0413D3000004D463DB -:0413D400000004937E -:0413D50000842783E6 -:0413D60001042703E4 -:0413D70000F7566362 -:0413D80040E787B3B0 -:0413D90000F484B3E5 -:0413DA0000000913F3 -:0413DB0001A4041352 -:0413DC00FFF00B1300 -:0413DD0009249063EC -:0413DE0000000513F3 -:0413DF000200006F99 -:0413E0000010069360 -:0413E100000B0613E4 -:0413E200000A059365 -:0413E3000009851365 -:0413E400000A80E794 -:0413E50003751A630F -:0413E600FFF00513FC -:0413E70002C120839C -:0413E8000281240357 -:0413E9000241248316 -:0413EA0002012903D0 -:0413EB0001C1298390 -:0413EC0001812A034E -:0413ED0001412A830D -:0413EE0001012B03CB -:0413EF0000C12B838B -:0413F00003010113E1 -:0413F1000000806711 -:0413F20000190913C2 -:0413F300F25FF06F46 -:0413F40000D40733E7 -:0413F50003000613D8 -:0413F60004C701A384 -:0413F7000454470350 -:0413F80000168793C1 -:0413F90000F407B342 -:0413FA0000268693B0 -:0413FB0004E781A3DF -:0413FC00F25FF06F3D -:0413FD000010069343 -:0413FE0000040613CE -:0413FF00000A059348 -:041400000009851347 -:04140100000A80E776 -:04140200F96508E39D -:0414030000190913B0 -:04140400F65FF06F30 -:04140500FD010113D1 -:041406000281242318 -:041407000291222309 -:041408000321202379 -:0414090001312E235C -:04140A000211262382 -:04140B0001412C234C -:04140C0001512A233D -:04140D00016128232E -:04140E0000068993B8 -:04140F000185C6830A -:0414100006E0079358 -:0414110000060913B5 -:04141200000504933A -:041413000005841339 -:041414000435861302 -:0414150028F68663CC -:0414160006D7EA63A8 -:041417000630079301 -:041418000AF68E63DF -:0414190000D7EE63A7 -:04141A002A068A63B1 -:04141B0005800793AE -:04141C001EF68A63CB -:04141D0004240A9306 -:04141E0004D40123CE -:04141F000B80006FCF -:0414200006400793E8 -:0414210000F68663E8 -:041422000690079396 -:04142300FEF694E35A -:041424000004278316 -:041425000007250394 -:041426000807F6932A -:0414270000450593E4 -:0414280008068E63C1 -:041429000005278310 -:04142A0000B72023C4 -:04142B00000176B78F -:04142C000007D8637A -:04142D0002D00713CF -:04142E0040F007B3D0 -:04142F0004E401A32D -:04143000DA4686937F -:0414310000A00713FD -:041432000D80006FBA -:0414330007300793E4 -:0414340024F68C63AB -:0414350002D7E26395 -:0414360006F0079322 -:0414370006F68E63C4 -:04143800070007930F -:04143900F8F698E346 -:04143A000005A7837F -:04143B000207E7932A -:04143C0000F5A023F4 -:04143D000140006FFB -:04143E0007500793B9 -:04143F0004F68E63BE -:041440000780079387 -:04144100F6F698E340 -:041442000780079385 -:04144300000176B777 -:0414440004F402A307 -:04144500DB86869329 -:041446001580006F9E -:0414470000072783F0 -:0414480004258A935A -:04144900004786933F -:04144A000007A7836D -:04144B0000D7202383 -:04144C0004F58123FF -:04144D0000100793F1 -:04144E002200006F09 -:04144F000407F69305 -:0414500000052783E9 -:0414510000B720239D -:04145200F60682E335 -:041453000107979363 -:041454004107D793E2 -:04145500F59FF06FA0 -:0414560000042583E6 -:0414570000072783E0 -:041458000805F81378 -:0414590000478513B0 -:04145A00000808631B -:04145B0000A72023A3 -:04145C000007A7835B -:04145D000140006FDB -:04145E000405F593F9 -:04145F0000A720239F -:04146000FE0588E31A -:041461000007D78326 -:0414620006F00593F8 -:0414630000017737D6 -:0414640012B68E63CB -:04146500DA470693C9 -:0414660000A00713C8 -:04146700040401A3D5 -:041468000044258394 -:0414690000B4242384 -:04146A000005C8634E -:04146B000004250351 -:04146C00FFB5751340 -:04146D0000A4202394 -:04146E00000796637A -:04146F0000060A93D6 -:04147000020582638C -:0414710000060A93D4 -:0414720002E7F5B3E5 -:04147300FFFA8A935F -:0414740000B685B386 -:041475000005C58326 -:0414760000BA802315 -:0414770002E7D5B300 -:041478000EE7FC631C -:041479000080079355 -:04147A0002F71463FE -:04147B0000042783BF -:04147C000017F793CB -:04147D0000078E6373 -:04147E0000442703FC -:04147F0001042783BA -:0414800000E7C86356 -:0414810003000793CA -:04148200FEFA8FA33C -:04148300FFFA8A934F -:041484004156063394 -:0414850000C4282354 -:0414860000098713BF -:0414870000090693BF -:0414880000C1061386 -:0414890000040593C3 -:04148A0000048513C2 -:04148B00C39FF0EF1C -:04148C00FFF00A1350 -:04148D001345186388 -:04148E00FFF0051353 -:04148F0002C12083F3 -:0414900002812403AE -:04149100024124836D -:041492000201290327 -:0414930001C12983E7 -:0414940001812A03A5 -:0414950001412A8364 -:0414960001012B0322 -:041497000301011339 -:041498000000806769 -:0414990004D582A351 -:04149A00000176B720 -:04149B00DA46869314 -:04149C0000042583A0 -:04149D00000725031C -:04149E000805F81332 -:04149F00000527839A -:0414A00000450513EB -:0414A10002080863D2 -:0414A20000A720235C -:0414A3000015F71326 -:0414A40000070663D4 -:0414A5000205E593C4 -:0414A60000B420234B -:0414A7000100071326 -:0414A800EE079EE3CA -:0414A9000004258393 -:0414AA00FDF5F593C4 -:0414AB0000B4202346 -:0414AC00EEDFF06F10 -:0414AD000405F81327 -:0414AE0000A7202350 -:0414AF00FC0808E34A -:0414B0000107979306 -:0414B1000107D793C5 -:0414B200FC5FF06F7C -:0414B300DA4706937B -:0414B400008007139A -:0414B500EC9FF06F49 -:0414B6000005879313 -:0414B700EEDFF06F05 -:0414B8000005A68302 -:0414B900000727837E -:0414BA000145A583C0 -:0414BB000806F81314 -:0414BC00004785134D -:0414BD0000080A63B6 -:0414BE0000A7202340 -:0414BF000007A783F8 -:0414C00000B7A023AE -:0414C1000180006F37 -:0414C20000A720233C -:0414C3000406F69392 -:0414C4000007A783F3 -:0414C500FE0686E3B6 -:0414C60000B79023B8 -:0414C70000042823D2 -:0414C80000060A937D -:0414C900EF5FF06F72 -:0414CA00000727836D -:0414CB000045A6032F -:0414CC000000059384 -:0414CD0000478693BB -:0414CE0000D7202300 -:0414CF000007AA83E5 -:0414D000000A851376 -:0414D1000E4000EFDA -:0414D20000050663A8 -:0414D3004155053347 -:0414D40000A422232B -:0414D5000044278325 -:0414D60000F42823D3 -:0414D700040401A365 -:0414D800EB9FF06F27 -:0414D9000104268361 -:0414DA00000A86136B -:0414DB00000905936C -:0414DC000004851370 -:0414DD00000980E79B -:0414DE00ED4500E3F5 -:0414DF00000427835B -:0414E0000027F79357 -:0414E1000407946305 -:0414E20000C127839B -:0414E30000C4250319 -:0414E400EAF556E3EC -:0414E5000007851364 -:0414E600EA5FF06F5A -:0414E7000010069358 -:0414E800000A86135D -:0414E900000905935E -:0414EA000004851362 -:0414EB00000980E78D -:0414EC00E96504E3C7 -:0414ED00001A0A13C4 -:0414EE0000C427838C -:0414EF0000C127030E -:0414F00040E787B397 -:0414F100FCFA4CE3D2 -:0414F200FC1FF06F7C -:0414F30000000A13D8 -:0414F40001940A93C2 -:0414F500FFF00B13E6 -:0414F600FE1FF06F76 -:0414F700FF010113DD -:0414F8000081242328 -:0414F9000091222319 -:0414FA000001843732 -:0414FB000005049351 -:0414FC00000585134F -:0414FD000011262391 -:0414FE00B0042023F3 -:0414FF00168000EF64 -:04150000FFF007935E -:0415010000F5186376 -:04150200B004278387 -:0415030000078463F6 -:0415040000F4A0232C -:0415050000C120837E -:041506000081240339 -:0415070000412483F8 -:0415080001010113C9 -:0415090000008067F7 -:04150A000FF5F59351 -:04150B0000C50633DE -:04150C0000C516639D -:04150D0000000513C2 -:04150E0000008067F2 -:04150F000005478309 -:04151000FEB78CE3B3 -:0415110000150513A9 -:04151200FE9FF06FD9 -:0415130004A5FA63CE -:0415140000C586B3D5 -:0415150004D5766320 -:04151600FFF6459304 -:041517000000079336 -:04151800FFF78793BF -:0415190000F59463E2 -:04151A0000008067E6 -:04151B0000F687331C -:04151C000007480379 -:04151D0000C7873349 -:04151E0000E50733AA -:04151F00010700239D -:04152000FE1FF06F4B -:0415210000F5873317 -:0415220000074683F5 -:0415230000F5073395 -:041524000017879392 -:0415250000D70023C8 -:04152600FEF616E3D4 -:0415270000008067D9 -:041528000000079325 -:04152900FF5FF06F01 -:04152A0000008067D6 -:04152B0000008067D5 -:04152C0000059663BD -:04152D00000605931C -:04152E00B48FF06F17 -:04152F00FE010113A5 -:0415300000812C23E7 -:0415310000112E2354 -:0415320000912A23D7 -:041533000121282347 -:041534000131262338 -:041535000006041395 -:041536000206166330 -:04153700A18FF0EFA1 -:041538000000091393 -:0415390001C1208349 -:04153A000181240304 -:04153B00000905138B -:04153C0001412483C2 -:04153D00010129037C -:04153E0000C129833C -:04153F000201011391 -:0415400000008067C0 -:04154100000584938A -:041542000005099304 -:0415430003C000EFF2 -:041544000004891303 -:04154500FC8578E3C6 -:041546000004059305 -:0415470000098513FF -:04154800AE0FF0EF03 -:04154900000509137D -:04154A00FA050EE3AD -:04154B000004859380 -:04154C00000406137E -:04154D00F09FE0EF3C -:04154E00000485937D -:04154F0000098513F7 -:041550009B4FF0EFCE -:04155100FA1FF06F1E -:04155200FFC5A783A7 -:04155300FFC7851336 -:041554000007D86351 -:0415550000A585B3B5 -:041556000005A78362 -:0415570000F5053363 -:0415580000008067A8 -:04155900FF0101137A -:04155A0000812423C5 -:04155B0000017437E0 -:04155C006B04278372 -:04155D000121202325 -:04155E00001126232F -:04155F0000912223B2 -:041560000005091366 -:041561006B04041300 -:0415620004079A637D -:04156300000005136C -:0415640000000593EB -:041565000000061369 -:0415660000000693E8 -:041567000000071366 -:041568000D60089377 -:04156900000000730B -:04156A0000050493E1 -:04156B0002055663BC -:04156C00084000EF44 -:04156D00409004B3F3 -:04156E0000952023A1 -:04156F00FFF0051371 -:0415700000C1208313 -:0415710000812403CE -:04157200004124838D -:041573000001290347 -:04157400010101135D -:04157500000080678B -:0415760000A420238A -:041577000004250344 -:0415780000000593D7 -:041579000000061355 -:04157A0000A905338C -:04157B0000000693D3 -:04157C000000071351 -:04157D0000000793D0 -:04157E000D60089361 -:04157F0000000073F5 -:0415800000050493CB -:0415810000055A63A4 -:04158200409004B3DE -:04158300028000EFF3 -:04158400009520238B -:04158500FFF00493DC -:0415860000042783B3 -:04158700FFF0051359 -:0415880000F909332A -:04158900F9249EE3C0 -:04158A000094202386 -:04158B0000078513BD -:04158C00F91FF06FE4 -:04158D00000177B72B -:04158E006387A503C7 -:04158F000000806771 -:041590000000000057 -:041591000000000056 -:041592000000000055 -:041593000000000054 -:041594000001051E2F -:041595000001052428 -:041596000001052A21 -:04159700000105301A -:04159800000104D278 -:04159900000104F257 -:04159A00000104F256 -:04159B00000104F255 -:04159C00000104F254 -:04159D00000104F253 -:04159E00000104F252 -:04159F00000104F64D -:0415A000000104FC46 -:0415A1000073655915 -:0415A20000006F4E88 -:0415A300494D444822 -:0415A400535F5854E5 -:0415A50056417465D2 -:0415A600666E4949DB -:0415A7006172466FB8 -:0415A800203A656D13 -:0415A9003D4349561F -:0415AA00202C642568 -:0415AB0065707341B3 -:0415AC00522D7463E5 -:0415AD006F6974618D -:0415AE002C73253D38 -:0415AF005554492026 -:0415B0003D3930375A -:0415B100202C732552 -:0415B2003D43544918 -:0415B300202C732550 -:0415B400657869707D -:0415B5007065726C7F -:0415B6000A75253D50 -:0415B7000000000030 -:0415B80041746553C2 -:0415B9006E494956D8 -:0415BA0029286F6607 -:0415BB000000203AD2 -:0415BC0049746553B6 -:0415BD007475706E63 -:0415BE0065646F4DA4 -:0415BF003230252879 -:0415C00030252C584E -:0415C1000A29583269 -:0415C2000000000025 -:0415C3004B4C4350FA -:0415C40076694420E0 -:0415C500646564698C -:0415C6002079622006 -:0415C7006F6D2032F2 -:0415C800000A65644C -:0415C90052494343FD -:0415CA00203635365C -:0415CB0065646F6D77 -:0415CC000000000A11 -:0415CD00636E79537D -:0415CE00626D4520E5 -:0415CF006564646586 -:0415D0006F6D2064B7 -:0415D100000A656443 -:0415D20075706E4979 -:0415D30044442074F8 -:0415D4006F6D2052C5 -:0415D500000A65643F -:0415D60075706E4975 -:0415D7006F6D2074A0 -:0415D80069206564BD -:0415D90047522073E2 -:0415DA000A3432425B -:0415DB00000000000C -:0415DC007074754F63 -:0415DD006D20747594 -:0415DE002065646FB1 -:0415DF0059207369B3 -:0415E00034345655F4 -:0415E10000000A34C8 -:0415E2007074754F5D -:0415E3006D2074758E -:0415E4002065646FAB -:0415E50059207369AD -:0415E60032345655F0 -:0415E70000000A32C4 -:0415E8007074754F57 -:0415E9006D20747588 -:0415EA002065646FA5 -:0415EB0052207369AE -:0415EC00343242470C -:0415ED000000000AF0 -:0415EE002043534300 -:0415EF004752203D02 -:0415F00055593242D5 -:0415F10078252056E3 -:0415F20000000020D5 -:0415F30037555449CB -:0415F4003120393039 -:0415F50033322D362A -:0415F600000020359C -:0415F70037555449C7 -:0415F8003020393036 -:0415F9003535322D25 -:0415FA0000000020CD -:0415FB0036555449C4 -:0415FC003120313039 -:0415FD0033322D3622 -:0415FE000000203594 -:0415FF0036555449C0 -:041600003020313035 -:041601003535322D1C -:0416020000000020C4 -:041603007574655342 -:0416040045464170A6 -:04160500000A292886 -:041606005B67655267 -:041607005832302500 -:04160800203D205D04 -:0416090058323025FE -:04160A000000000AD2 -:04160B0073616C4655 -:04160C006973206876 -:04160D006920657A71 -:04160E007962206E6F -:04160F003A73657451 -:04161000756C2520B0 -:041611006365530AB0 -:0416120020726F745F -:04161300657A697318 -:041614006C25203AE7 -:0416150025282075EF -:041616007020756C5F -:04161700736567612F -:0416180061500A29EA -:04161900732065676E -:04161A003A657A694A -:04161B00756C2520A5 -:04161C000000000AC0 -:04161D00736172453E -:04161E0020676E696A -:04161F007463657318 -:041620002520726FA0 -:0416210000000A7546 -:0416220073616C463E -:041623007265206864 -:041624002065736169 -:041625006F72726509 -:0416260073202C728F -:041627006F74636514 -:041628007525207292 -:041629007465520A88 -:04162A00206C617659 -:04162B00000A642528 -:04162C0073616C4634 -:04162D007277206848 -:04162E002065746956 -:04162F006F727265FF -:0416300070202C7288 -:041631002065676168 -:04163200520A7525BE -:041633006176746503 -:041634006425206C9D -:041635000000000AA7 -:041636000001241A71 -:0416370000012594F5 -:0416380000012598F0 -:0416390000012598EF -:04163A0000012594F2 -:04163B000001259CE9 -:04163C000001241A6B -:04163D0000012594EF -:04163E0000012598EA -:04163F004B4C43507D -:0416400074756F5FEF -:041641006C25203ABA -:041642000A7A487563 -:0416430000000000A3 -:041644003A5354437E -:04164500756C25207B -:041646000000000A96 -:0416470000015C88BA -:0416480000015C98A9 -:0416490000015CA49C -:04164A0000015CB08F -:04164B0000015CBC82 -:04164C0000015CC875 -:04164D0000015CD468 -:04164E0000015CE05B -:04164F0000015CEC4E -:0416500000015CF841 -:0416510000015D0433 -:04165200636E7953F7 -:04165300207075206E -:0416540025206E6976 -:041655002E2E2E64A3 -:041656000000000A86 -:04165700636E7953F2 -:04165800776F642024 -:041659006E69206E28 -:04165A002E642520B5 -:04165B00000A2E2E25 -:04165C006C746F74C7 -:04165D0073656E69DA -:04165E006C25203A9D -:04165F006328207567 -:041660002029727556 -:041661006C25202FA5 -:041662007028207557 -:04166300297665720D -:041664006C63202C67 -:04166500746E636BD1 -:041666006C25203A95 -:04166700632820755F -:04166800202972754E -:041669006C25202F9D -:04166A00702820754F -:04166B002976657205 -:04166C006F74202E49 -:04166D006E696C74C2 -:04166E00745F7365CD -:04166F00203A707637 -:04167000202C752590 -:041671003A4D535645 -:041672000A752520B0 -:041673000000000073 -:041674006F727245DA -:0416750063203A7242 -:04167600646C756FBC -:04167700746F6E20FE -:041678006165722016 -:041679007266206411 -:04167A0054206D6F1C -:04167B003337534866 -:04167C00000A3335F8 -:04167D006F727245D1 -:04167E0063203A7239 -:04167F00646C756FB3 -:04168000746F6E20F5 -:04168100616572200D -:041682007266206408 -:0416830054206D6F13 -:041684003037505655 -:04168500000A3230F5 -:041686006F727245C8 -:0416870063203A7230 -:04168800646C756FAA -:04168900746F6E20EC -:04168A006165722004 -:04168B0072662064FF -:04168C0049206D6F15 -:04168D003136365468 -:04168E0000000A331B -:04168F00314D435046 -:041690002032363896 -:041691006E756F669D -:0416920000000A64E6 -:041693006F727245BB -:0416940069203A721D -:04169500726F636E9F -:0416960074636572A2 -:04169700616C6620FC -:0416980074206873DF -:0416990020657079DF -:04169A0065746564AA -:04169B0064657463AB -:04169C000000000A40 -:04169D0076616E55AF -:04169E00616C6961B1 -:04169F0000656C6214 -:0416A00020232323BD -:0416A100205949443F -:0416A200454449561C -:0416A3004944204F47 -:0416A4004954494715 -:0416A5002052455A30 -:0416A6004353202F5B -:0416A7004F434E411E -:0416A8005245564E03 -:0416A9002052455432 -:0416AA0054494E4908 -:0416AB00204B4F2061 -:0416AC000A232323C7 -:0416AD000000000A2F -:0416AE004353534F00 -:0416AF00776620201A -:0416B0007525202E4E -:0416B100322E252E82 -:0416B200000061755E -:0416B30074696E499F -:0416B40072726520C9 -:0416B5002020726F10 -:0416B60000006425A7 -:0416B70020232323A6 -:0416B80054495753E7 -:0416B9004D20484335 -:0416BA002045444F34 -:0416BB0025204F5443 -:0416BC002323207351 -:0416BD0000000A23FC -:0416BE0020202020A8 -:0416BF0053204F4E17 -:0416C00000434E593C -:0416C1007474657365 -:0416C20020676E69C6 -:0416C3002043544923 -:0416C40025206F74FA -:0416C50000000A64B3 -:0416C600636E795383 -:0416C7000A70752010 -:0416C800000000001E -:0416C900636E795380 -:0416CA00736F6C20AE -:0416CB0000000A749D -:0416CC0065646F4D95 -:0416CD0061686320CD -:0416CE000A65676ED4 -:0416CF000000000017 -:0416D0006F666E498A -:0416D10061686320C9 -:0416D2000A65676ED0 -:0416D3000000000013 -:0416D40044202A2A5A -:0416D50047554245EE -:0416D6004955422010 -:0416D7002A20444C35 -:0416D800000000000E -:0416D9006E694C0AE0 -:0416DA00203A7365DA -:0416DB00252075252C -:0416DC0000000A639D -:0416DD00636F6C4388 -:0416DE007020736B9A -:0416DF006C207265A4 -:0416E0003A656E6990 -:0416E100207525202B -:0416E2005348203A0F -:0416E3002E7525201B -:0416E40075332E2507 -:0416E5007A486B20B4 -:0416E6005356202017 -:0416E7002E75252017 -:0416E80075322E2504 -:0416E9000A7A482011 -:0416EA0000000000FC -:0416EB006977734860 -:0416EC003A68746480 -:0416ED00207525201F -:0416EE007773562098 -:0416EF00687464694E -:0416F0007525203A02 -:0416F100614D202007 -:0416F200766F72633A -:0416F3006F6973693F -:0416F40025203A6E05 -:0416F50000000A7572 -:0416F6002520732513 -:0416F70000632575F2 -:0416F800252E752501 -:0416F9006B75322EAD -:0416FA0025207A48E5 -:0416FB002E252E75F5 -:0416FC007A48753281 -:0416FD0000000000E9 -:0416FE006F72724550 -:0416FF006E203A72AD -:041700007573206F6E -:041701006261746944 -:041702006D20656C85 -:041703002065646F8A -:041704006E756F6629 -:0417050064202C64CC -:04170600756166653E -:041707006E69746C27 -:041708006F74206773 -:041709003034322026 -:04170A0000000A7061 -:04170B0065646F4D55 -:04170C002073252001 -:04170D00656C65732F -:04170E006465746337 -:04170F0068202D2001 -:04171000636E797318 -:041711006469772070 -:04171200203A68749D -:041713007870752550 -:041714000000000AC7 -:041715004B4C4350A6 -:041716003A6E695F5F -:04171700756C2520A8 -:04171800000A7A4801 -:041719006F625F6834 -:04171A00726564721E -:04171B007525203AD6 -:04171C005F68202CB6 -:04171D005F74706F16 -:04171E00726174730D -:04171F0066666F7417 -:0417200025203A73D3 -:0417210000000A7545 -:041722007473655423 -:04172300746170205D -:041724006E72657408 -:0417250000000000C0 -:041726003A315641BD -:0417270042475220C3 -:04172800000000536A -:041729003A315641BA -:04172A00734752208F -:04172B000000004278 -:04172C003A315641B7 -:04172D00625059208D -:04172E0000007250F5 -:04172F003A325641B3 -:04173000625059208A -:0417310000007250F2 -:041732003A325641B0 -:041733007347522086 -:04173400000000426F -:041735003A335641AC -:0417360042475220B4 -:041737000000564810 -:041738003A335641A9 -:0417390042475220B1 -:04173A000000005358 -:04173B003A335641A6 -:04173C00734752207D -:04173D000000004266 -:04173E003A335641A3 -:04173F00625059207B -:0417400000007250E3 -:041741007473614C10 -:041742006573752036 -:04174300000000643E -:0417440000000000A1 -:0417450000000000A0 -:04174600000000019E -:04174700000101019B -:04174800000000019C -:04174900000000009C -:04174A00000001108A -:04174B000044060B45 -:04174C000000010098 -:04174D000001000097 -:04174E008080800C0B -:04174F00081A1A1A40 -:041750000000000A8B -:0417510000012C4225 -:041752000001294A1F -:041753000001295216 -:041754000001289ACE -:041755000001294E18 -:04175600000129560F -:04175700000129461E -:0417580000012982E1 -:041759000001295A08 -:04175A000001295E03 -:04175B0000012962FE -:04175C0000012982DD -:04175D0000012982DC -:04175E0000012982DB -:04175F0000012982DA -:0417600000012982D9 -:0417610000012982D8 -:041762000001298CCD -:0417630000012A1E39 -:0417640000012A2E28 -:0417650000012A480D -:0417660000012A86CE -:0417670000012A6AE9 -:0417680000012A9CB6 -:0417690000012B88C8 -:04176A0000012BAAA5 -:04176B0000012BC08E -:04176C00444F435251 -:04176D0030203A45A9 -:04176E00342E257878 -:04176F00202C786C46 -:04177000000A6425E2 -:04177100444F43425C -:0417720030203A45A4 -:04177300322E257875 -:04177400000A786C83 -:04177500666F7250D9 -:041776002075252E87 -:04177700007339259D -:04177800756C342533 -:04177900632563255C -:04177A006C2520209A -:04177B0000000075F5 -:04177C00656E694CE1 -:04177D00746C756DA6 -:04177E00646F6D2007 -:04177F0000003A65C7 -:0417800073657270AB -:041781002D31207373 -:04178200000000352E -:041783004E5241572A -:041784003A474E4949 -:04178500726F43201C -:041786007470757294 -:041787006D20646508 -:041788002065646F05 -:041789002064692847 -:04178A000A2964259F -:04178B00000000005A -:04178C002078752527 -:04178D0075736E758D -:04178E00726F707096 -:04178F000064657419 -:04179000666F7250BE -:0417910020656C69FA -:0417920064616F6CB3 -:041793000000003A18 -:041794007365727097 -:041795002D30207360 -:041796000000003916 -:0417970000015F20CE -:0417980000015F24C9 -:0417990000015F28C4 -:04179A0000015F2CBF -:04179B0000015E08E3 -:04179C0000015F30B9 -:04179D0000015F34B4 -:04179E0000015F38AF -:04179F0000015E588F -:0417A0000001664896 -:0417A10000015F3CA8 -:0417A20000015F449F -:0417A30000015F489A -:0417A40000015F5091 -:0417A50000015F548C -:0417A60000015F5C83 -:0417A70000015F647A -:0417A80000015F6C71 -:0417A90000015F7468 -:0417AA0000015F8457 -:0417AB0000015F9446 -:0417AC0000015FA435 -:0417AD0000015FB424 -:0417AE0000015FC413 -:0417AF0000015FD402 -:0417B00000015FDCF9 -:0417B10000015FE4F0 -:0417B2003EA93E29E5 -:0417B3003EE93E6964 -:0417B4003E993E1903 -:0417B5003ED93E5982 -:0417B6003EC93E39B1 -:0417B7003E1D3E4D48 -:0417B8003E2D3EED97 -:0417B9003EAD3ECD36 -:0417BA003E653E6DDD -:0417BB001C483E0187 -:0417BC001C501C1889 -:0417BD001CC81CD058 -:0417BE005ED85E583B -:0417BF0000003EB92F -:0417C000736572508B -:0417C10000000073B1 -:0417C200666E6F439D -:0417C300006D7269DA -:0417C4006D73694D8B -:0417C5006863746180 -:0417C6006572202CFC -:0417C70000797274BF -:0417C80000000031EC -:0417C90000000032EA -:0417CA0000000033E8 -:0417CB0000000034E6 -:0417CC0000000036E3 -:0417CD0000000037E1 -:0417CE0000000038DF -:0417CF00554E454DE1 -:0417D0000000000015 -:0417D10000004B4F7A -:0417D2004B43414202 -:0417D3000000000012 -:0417D400000050556C -:0417D5004E574F44D8 -:0417D600000000000F -:0417D7005446454CE3 -:0417D800000000000D -:0417D90048474952E2 -:0417DA0000000054B7 -:0417DB004F464E49DE -:0417DC000000000009 -:0417DD005F44434CD6 -:0417DE004B434142F6 -:0417DF004847494CE2 -:0417E00000000054B1 -:0417E1004E414353DF -:0417E200454E494CDB -:0417E300444F4D5FC3 -:0417E40000000045BC -:0417E5004E414353DB -:0417E600454E494CD7 -:0417E7005059545FA2 -:0417E80000000045B8 -:0417E9004E414353D7 -:0417EA00454E494CD3 -:0417EB00544E495FB0 -:0417EC000000002BCE -:0417ED004E414353D3 -:0417EE00454E494CCF -:0417EF00544E495FAC -:0417F0000000002DC8 -:0417F100454E494CCC -:0417F200544C554DB1 -:0417F300444F4D5FB3 -:0417F40000000045AC -:0417F50053414850C4 -:0417F60000002B457F -:0417F70053414850C2 -:0417F80000002D457B -:0417F900464F5250B5 -:0417FA005F454C49B2 -:0417FB004B544F48B4 -:0417FC00000059454B -:0417FD0000012EAC0D -:0417FE0000012E8A2E -:0417FF0000012E6453 -:0418000000012E7C39 -:0418010000012F5C57 -:0418020000012F5C56 -:0418030000013010A0 -:041804000001303A75 -:041805000001304668 -:041806000001308627 -:041807000001305A52 -:0418080000012F703C -:0418090000012F703B -:04180A0000012FB4F6 -:04180B0000012FE0C9 -:04180C0000012FE0C8 -:04180D0064206425CA -:04180E000000004294 -:04180F00656E6F444F -:0418100000000000D4 -:041811006C69614657 -:041812000000646509 -:041813000000732539 -:04181400252E7525E3 -:041815002075322EDA -:0418160000007375E6 -:041817006C207525A7 -:0418180073656E691D -:0418190000000000CB -:04181A006C206F4E81 -:04181B00006B6E6987 -:04181C00000176CB86 -:04181D0000000002C5 -:04181E0000012D9800 -:04181F00000165203F -:041820000001652C32 -:041821000001653429 -:041822007020752598 -:041823006C6578690F -:04182400000000734D -:04182500000176CD7B -:0418260000000009B5 -:0418270000012C4A46 -:0418280064206425AF -:0418290000006765EF -:04182A0025257525D6 -:04182B0000000000B9 -:04182C006564695630 -:04182D006E69206F51 -:04182E006F72702045 -:04182F003E202063D4 -:0418300000000000B4 -:04183100706D615322 -:04183200676E696C08 -:0418330074706F203E -:041834003E20202E04 -:0418350000000000AF -:04183600636E795311 -:0418370074706F203A -:041838002020202E1E -:041839003E2020200D -:04183A0000000000AA -:04183B007074754F01 -:04183C006F20747530 -:04183D00202E747075 -:04183E003E20202008 -:04183F0000000000A5 -:0418400074736F50FE -:041841006F72702D25 -:0418420020202E63D1 -:041843003E20202003 -:0418440000000000A0 -:04184500706D6F4310 -:0418460062697461FE -:0418470074696C69EB -:041848003E202079A5 -:04184900000000009B -:04184A006964754117 -:04184B00706F206F2B -:04184C006E6F6974DE -:04184D003E202073A6 -:04184E000000000096 -:04184F0074746553F5 -:0418500073676E69E3 -:0418510074706F2020 -:041852003E202020F4 -:041853000000000091 -:04185400616F4C3C38 -:041855007270206429 -:041856006C69666FE4 -:04185700003E2065CA -:041858007661533C26 -:041859007270206524 -:04185A006C69666FE0 -:04185B00003E2065C6 -:04185C007365523C22 -:04185D00732074651B -:04185E0069747465D0 -:04185F003E73676EFF -:041860000000000084 -:041861006B6E694CF5 -:041862006F72702011 -:04186300693E2D6647 -:041864007475706EB9 -:04186500000000007F -:041866006B6E694CF0 -:04186700706E692016 -:041868003E2D747528 -:04186900666F7270C4 -:04186A00000000007A -:04186B0074696E49E5 -:04186C00206C616922 -:04186D0075706E69BB +:040B5B00D6C60613E1 +:040B5C00051345C573 +:040B5D0010EF42C58E +:040B5E0067DD74B02B +:040B5F00000165B775 +:040B600085934645EE +:040B61008513DC45D7 +:040B620010EF46C783 +:040B6300644165F094 +:040B6400C36FE0EF8C +:040B650014B7147D30 +:040B6600A78300025F +:040B6700675D0D04B5 +:040B68005207568357 +:040B69008B638FE12A +:040B6A00071306F671 +:040B6B0057035207D3 +:040B6C0000630027FB +:040B6D00650914F70B +:040B6E0071050513F5 +:040B6F00B42FD0EFE0 +:040B70000793BFD94F +:040B7100BDC90650A4 +:040B7200406482B3A6 +:040B730020000793C4 +:040B74000057F463CF +:040B750020000293C7 +:040B7600041367DD20 +:040B770097132003AD +:040B780085130102DE +:040B790083414187EC +:040B7A005613468147 +:040B7B00182C00949E +:040B7C00C616C81AB7 +:040B7D0045F010EF40 +:040B7E00166387AAC9 +:040B7F0043420E05DA +:040B8000182842B23D +:040B81000013361314 +:040B820000EF859665 +:040B8300832228B0F1 +:040B840067B7BF058B +:040B85008793000151 +:040B8600B799EA47EA +:040B87007CF000EF0F +:040B88005783675DCB +:040B8900650945476E +:040B8A0071050513D9 +:040B8B000027E793C5 +:040B8C0044F71A23ED +:040B8D000EF4A0239F +:040B8E00AC6FD0EF89 +:040B8F00000165B745 +:040B90004645655D14 +:040B9100D7858593EC +:040B920042C5051340 +:040B930070F010EFFF +:040B940067DD440DC8 +:040B9500000164B740 +:040B960046C78513B6 +:040B970085934645B7 +:040B980010EFD8443E +:040B9900E0EF6F908A +:040B9A005632B60F0A +:040B9B004581183444 +:040B9C00E0EF45053C +:040B9D0087AAAE2F46 +:040B9E00E20510E379 +:040B9F0065B7675D72 +:040BA0000513000138 +:040BA100464542C7BC +:040BA200D945859319 +:040BA3006CF010EFF3 +:040BA400D844859319 +:040BA500464564DD80 +:040BA60046C48513A9 +:040BA7006BF010EFF0 +:040BA800B26FE0EF59 +:040BA90055B25642A9 +:040BAA0045011834B5 +:040BAB00A16FE0EF67 +:040BAC0013E387AA1E +:040BAD0010EFDE0562 +:040BAE00675D48B087 +:040BAF00000165B725 +:040BB000859346459E +:040BB1000513DD85C6 +:040BB20010EF42C737 +:040BB30065B751F0E1 +:040BB40046450001B1 +:040BB500DA45859305 +:040BB60046C4851399 +:040BB70067F010EFE4 +:040BB800AE6FE0EF4D +:040BB900440DA00146 +:040BBA00440DBB45E6 +:040BBB000670079326 +:040BBC00440DB365CC +:040BBD000680079314 +:040BBE000713B34521 +:040BBF008A63F360F2 +:040BC000071306E72A +:040BC1009CE3F3704E +:040BC20065B7DAE752 +:040BC3008593000115 +:040BC400BB55CF4509 +:040BC50006600713AC +:040BC60006E7826359 +:040BC70000F74F6381 +:040BC80006400713C9 +:040BC90006E7816357 +:040BCA0006500713B7 +:040BCB00D8E799E3EB +:040BCC00000165B708 +:040BCD00CAC585937D +:040BCE000713B379DD +:040BCF0089630670C0 +:040BD000071304E71C +:040BD1009CE306801B +:040BD20065B7D6E746 +:040BD3008593000105 +:040BD400BB95CE05FA +:040BD500000165B7FF +:040BD600C9C5859375 +:040BD70065B7B3AD9E +:040BD8008593000100 +:040BD900B385D145CA +:040BDA00000165B7FA +:040BDB00D2458593E7 +:040BDC0065B7BB99A5 +:040BDD0085930001FB +:040BDE00B3B1D0459A +:040BDF00000165B7F5 +:040BE000CBC5859369 +:040BE10065B7B389B8 +:040BE20085930001F6 +:040BE300BB25D385D6 +:040BE400000165B7F0 +:040BE500CCC5859363 +:040BE6002083B33D78 +:040BE70024032401BE +:040BE800248323C17E +:040BE900557D238192 +:040BEA00244101138E +:040BEB0086AA8082D4 +:040BEC000001663767 +:040BED000613655D29 +:040BEE0045C55F8614 +:040BEF0046C50513DF +:040BF0005010106F22 +:040BF10046500693D1 +:040BF20002D506B36F +:040BF300064007931E +:040BF400000166375F +:040BF5000613655D21 +:040BF60045C5E98682 +:040BF70046C50513D7 +:040BF80002F6C6B388 +:040BF9004DD0106F5C +:040BFA00663786AA2A +:040BFB00655D000133 +:040BFC00E5460613B1 +:040BFD00051345C5D2 +:040BFE00106F46C569 +:040BFF0046B74C7039 +:040C00008693000FC8 +:040C0100053324068D +:040C0200678902D527 +:040C030096478793F6 +:040C04003E80071314 +:040C0500006336B79B +:040C0600EA068693E1 +:040C0700000166374B +:040C0800E486061365 +:040C090057B345C5D3 +:040C0A00F7B302F545 +:040C0B00472902E78C +:040C0C0002D556B304 +:040C0D000513655D09 +:040C0E00D73346C5CD +:040C0F00106F02E779 +:040C100006934830CF +:040C110006B3467070 +:040C1200079302D56D +:040C130066370640FA +:040C1400655D000119 +:040C15005F0606135D +:040C1600051345C5B8 +:040C1700C6B346C555 +:040C1800106F02F661 +:040C190086AA45F072 +:040C1A000001663738 +:040C1B000613655DFA +:040C1C0045C5E806DC +:040C1D0046C50513B0 +:040C1E004490106F7F +:040C1F002710069301 +:040C200002D506B340 +:040C210006400793EF +:040C22000001663730 +:040C23000613655DF2 +:040C240045C5EA06D2 +:040C250046C50513A8 +:040C260002F6C6B359 +:040C27004250106FB8 +:040C280027100693F8 +:040C29000533050585 +:040C2A00069302D556 +:040C2B0066370640E2 +:040C2C0006130001AA +:040C2D0045C5EA06C9 +:040C2E0002D546B3F2 +:040C2F000513655DE7 +:040C3000106F46C536 +:040C310016933FF0E7 +:040C320086E10185D1 +:040C3300000166371F +:040C340016D1655D13 +:040C3500E2C60613FA +:040C3600051345C598 +:040C3700106F46C52F +:040C380045F13E3014 +:040C390002B50533C8 +:040C3A00859365DD5C +:040C3B00464556C50F +:040C3C00655D95AAB3 +:040C3D0046C5051390 +:040C3E004630106FBD +:040C3F0000251793E2 +:040C400005136559DA +:040C4100953EE745B0 +:040C4200655D410C9F +:040C4300051346450A +:040C4400106F46C522 +:040C450047A94490E7 +:040C460000F50F6343 +:040C470000251793DA +:040C48000513655DCE +:040C4900953EBBC554 +:040C4A00655D410C97 +:040C4B000513464502 +:040C4C00106F46C51A +:040C4D0065B74290B5 +:040C4E008593000189 +:040C4F00B7F5E6050A +:040C5000A70367DDB2 +:040C510067DD5607FE +:040C5200520787932B +:040C5300464546AD1F +:040C54000167D583DC +:040C550006E59263BB +:040C56000FF6F6930C +:040C57000763E11935 +:040C58001131280727 +:040C590064DDC4266C +:040C5A003F54C283BE +:040C5B00645DC622EC +:040C5C0022C4079314 +:040C5D000032959339 +:040C5E00C80697AE7F +:040C5F000007A303E4 +:040C60000047C783FF +:040C61008513465160 +:040C62008733FF567F +:040C6300260302C79B +:040C640075130043C1 +:040C650043950FF5AF +:040C66003F548493E0 +:040C670022C404138C +:040C68004703963A6E +:040C6900E8630046F6 +:040C6A0063D902A3A5 +:040C6B008393050A60 +:040C6C00951EDEC330 +:040C6D0085024108B3 +:040C6E000789068567 +:040C6F00F8C69AE346 +:040C7000BF69468191 +:040C71004783E39939 +:040C720017FD000367 +:040C73000FF7F793ED +:040C7400822395A2A0 +:040C7500470100F53E +:040C7600A091468182 +:040C7700000347032C +:040C780095A20785B5 +:040C790002E7E7B3F4 +:040C7A008763B7EDE8 +:040C7B008793000259 +:040C7C008023FFF2E0 +:040C7D00B7C500F403 +:040C7E0040C24432FA +:040C7F0067DD44A247 +:040C8000560784A3EC +:040C8100D06F0151DE +:040C8200478DFD1F7E +:040C830004F70E6301 +:040C840012E347919F +:040C8500461CFCF716 +:040C8600872A9782A0 +:040C8700C783468554 +:040C8800655D0004A2 +:040C8900078E464547 +:040C8A004783943ECA +:040C8B0040040044DD +:040C8C008433445118 +:040C8D0040DC0287BE +:040C8E0042C5051343 +:040C8F00C036C23A6F +:040C9000438C97A258 +:040C9100317010EFBF +:040C9200459140DC6C +:040C9300C60397A25B +:040C9400EC630047C6 +:040C950065D912C546 +:040C96008593060A32 +:040C9700962EE04570 +:040C9800468242103E +:040C99008602471276 +:040C9A00C3914A1C9C +:040C9B00C7839782F2 +:040C9C0043510004BC +:040C9D000017859324 +:040C9E000733078E83 +:040C9F00431400F406 +:040CA00000474703BF +:040CA100073342D003 +:040CA20096930267BC +:040CA30005330035E0 +:040CA400230300D452 +:040CA500973200057D +:040CA6000463471884 +:040CA700022300E341 +:040CA80097A200050A +:040CA9000047C783B6 +:040CAA0096A2475176 +:040CAB0002E787B322 +:040CAC0000B48023ED +:040CAD00479C97B217 +:040CAE00BF31C29CF4 +:040CAF00ECE347919A +:040CB00067D9F0E729 +:040CB100E1878793BD +:040CB200973E070A58 +:040CB3008782431CD5 +:040CB400433D460C6A +:040CB50000C645032D +:040CB60000D647031A +:040CB7000005C783EA +:040CB80000E6460309 +:040CB90000669D63D1 +:040CBA0000F7786364 +:040CBB00F61317FD18 +:040CBC0080230FF78B +:040CBD00B5C500C5F4 +:040CBE00863AFD6D08 +:040CBF00F863BFDD3A +:040CC000078500C7DD +:040CC1000FF7F7131F +:040CC20000E58023A6 +:040CC300FD6DB5E925 +:040CC400BFDD8732D7 +:040CC50045BD4618CB +:040CC6000007578349 +:040CC70000B69C6374 +:040CC80000C6568389 +:040CC90000F6F563D9 +:040CCA0007C217FD49 +:040CCB00102383C1AE +:040CCC00B55500F723 +:040CCD0000E6568364 +:040CCE00FED7FBE36F +:040CCF00B7F50785E9 +:040CD00045BD465088 +:040CD10047834218FB +:040CD200996300071B +:040CD300C78100B61F +:040CD400F79317FD7E +:040CD50000230FF7F2 +:040CD600BDB500F7B1 +:040CD700004646830A +:040CD80000D7F563E9 +:040CD900F693078502 +:040CDA0000230FF7ED +:040CDB00B5A500D7E4 +:040CDC004B9C47984E +:040CDD004703655D07 +:040CDE004645000780 +:040CDF0046C50513EE +:040CE00097BA070AAE +:040CE10010EF438C41 +:040CE20044321D502B +:040CE30044A240C225 +:040CE400D06F01517B +:040CE5004798E35FEA +:040CE60045034B9CDB +:040CE70097820007E9 +:040CE8004798B7ED85 +:040CE90055034B9CC8 +:040CEA0097820007E6 +:040CEB0067DDBFF909 +:040CEC00460786230E +:040CED00C695BFD910 +:040CEE0066B7C3051D +:040CEF0086930001E7 +:040CF0006637E3C6BA +:040CF100655D00013C +:040CF200E4460613BB +:040CF300051345C5DB +:040CF40010EF46C5F2 +:040CF500BF550EF0E9 +:040CF600000166B7DC +:040CF700E3468693B7 +:040CF80047DCB7CD51 +:040CF9004398D7E95C +:040CFA00BF45479C0F +:040CFB0047BD8082EF +:040CFC0014A7E063F6 +:040CFD00445257B74F +:040CFE00EF410113AE +:040CFF00355787934B +:040D000057B7C03EE3 +:040D01008793004193 +:040D0200C23E441792 +:040D030087936795D6 +:040D0400142320078D +:040D0500379300F12F +:040D0600222300F5AF +:040D0700052310812F +:040D0800041300F1DF +:040D09002423010599 +:040D0A0047B91011C4 +:040D0B00F263042269 +:040D0C0065DD0AA7F0 +:040D0D0004800793C4 +:040D0E00859346295A +:040D0F00051345C5BE +:040D100005A300D166 +:040D1100062300F1C4 +:040D120010EF0001DD +:040D130067DD79E03F +:040D140042B7C78398 +:040D1500061365DD7F +:040D16000C23036047 +:040D170067DD00F1A3 +:040D18004287C783C4 +:040D19005205859367 +:040D1A0001F10513CB +:040D1B0000F10CA334 +:040D1C00C78367DD45 +:040D1D000BA347E7F6 +:040D1E0067DD00F19C +:040D1F004667C783D9 +:040D200000F10D23AE +:040D2100C78367DD40 +:040D22000DA34E7758 +:040D230067DD00F197 +:040D24004587C783B5 +:040D250000F10E23A8 +:040D2600C78367DD3B +:040D27000EA333776D +:040D280067DD00F192 +:040D290042A7C78393 +:040D2A0000F10F23A2 +:040D2B0073C010EF92 +:040D2C000593862283 +:040D2D00850A0550DE +:040D2E00B73FD0EF0C +:040D2F0000A03533B8 +:040D300040A00533A7 +:040D3100108120838A +:040D32001041240345 +:040D330010C10113D7 +:040D3400079380821F +:040D350005A30310FF +:040D360065DD00F186 +:040D3700F9C0079365 +:040D380000F106A31D +:040D3900031006138A +:040D3A008593478DC9 +:040D3B0005134EC589 +:040D3C00072300F198 +:040D3D00062300F198 +:040D3E0010EF0001B1 +:040D3F0065DD6EE020 +:040D40000C0006138A +:040D410056C585937B +:040D420010EF008826 +:040D430086226DE0B7 +:040D44001000059303 +:040D4500D0EF850A5C +:040D4600655DB15FD7 +:040D4700001406137B +:040D48002DC0059322 +:040D490062C5051367 +:040D4A00B57FD0EFB2 +:040D4B00BF59450146 +:040D4C008082557DCF +:040D4D00EE8101131F +:040D4E0010112A2333 +:040D4F0010812823C4 +:040D500010912623B5 +:040D5100FD6347BD3A +:040D520054FD00A7A5 +:040D530011412083A7 +:040D54001101240362 +:040D55002483852648 +:040D5600011310C1B4 +:040D57008082118104 +:040D580017930541A7 +:040D59000070010520 +:040D5A0010000593ED +:040D5B00C03E853ED3 +:040D5C00A9DFD0EF4C +:040D5D0065B7F97904 +:040D5E008593000178 +:040D5F000068634580 +:040D600091EFD0EF50 +:040D6100176384AAE6 +:040D620047831805A6 +:040D63009563014152 +:040D64004703180722 +:040D6500079301519E +:040D66001F630520E2 +:040D6700478316F7B1 +:040D6800C7CD016191 +:040D690093E34705C4 +:040D6A004462FAE7FE +:040D6B0001714703C8 +:040D6C000FF4779376 +:040D6D008FD907A271 +:040D6E000310071354 +:040D6F00F8E798E326 +:040D70000442802198 +:040D71000793804123 +:040D720011E339C090 +:040D7300655DF8F4CE +:040D7400031006134F +:040D750001B1059330 +:040D76004EC505134E +:040D770060C010EF59 +:040D7800635D47026E +:040D79000793468115 +:040D7A00031304005B +:040D7B00071356C341 +:040D7C000613100743 +:040D7D0085331000AA +:040D7E00C436006611 +:040D7F000074C23EFC +:040D80000293C03AE0 +:040D81008E1D09C0FA +:040D820000F685B33F +:040D83000E540B639C +:040D84005D8010EF8F +:040D850046A24792A9 +:040D860000704702B0 +:040D870040F687B3F8 +:040D88001007879336 +:040D89000107969335 +:040D8A00853A82C163 +:040D8B0010000593BC +:040D8C000413C23654 +:040D8D00D0EFF004AF +:040D8E0004429D7FFF +:040D8F008041665DDC +:040D9000470247814E +:040D91000313469270 +:040D9200B75556C635 +:040D93000181478310 +:040D9400017147039F +:040D95008FD907A249 +:040D960004800713BB +:040D9700EEE798E308 +:040D9800007866DD9C +:040D99004539478110 +:040D9A0045C6869331 +:040D9B00460345A91D +:040D9C00666300D7B3 +:040D9D00833300C5D7 +:040D9E00002300D757 +:040D9F00078500C301 +:040DA00096E30705CA +:040DA1004783FEB7CF +:040DA200675D025136 +:040DA30042F70423EC +:040DA4006663472516 +:040DA500675D00F78F +:040DA60042F705A368 +:040DA7004783A029B5 +:040DA8007AE30241A7 +:040DA9004703FEF707 +:040DAA0067DD02718E +:040DAB0083A3655D5C +:040DAC0047034EE7C4 +:040DAD0067DD02817B +:040DAE0003600613C5 +:040DAF0044E78C2366 +:040DB0000291470362 +:040DB100059367DD62 +:040DB2008BA302B15C +:040DB300470332E7D9 +:040DB40067DD02A154 +:040DB50052050513CB +:040DB60042E7852368 +:040DB70002314703BB +:040DB8008F2367DD41 +:040DB90067DD46E7C5 +:040DBA0045C7C7035F +:040DBB008EA367DDBF +:040DBC00470346E7BC +:040DBD0067DD02618B +:040DBE0046E783235E +:040DBF004EC010EF23 +:040DC0000613B5B1B0 +:040DC10010EF09C066 +:040DC20067DD4E207B +:040DC30082A34705BB +:040DC400BD2D4EE70C +:040DC500BD1D448587 +:040DC600BD0D448992 +:040DC700DE410113F5 +:040DC8002C230808C8 +:040DC9002A232011A8 +:040DCA002823208139 +:040DCB00D0EF2091B4 +:040DCC00C02A9F9FFB +:040DCD0040C010EF23 +:040DCE00966347825F +:040DCF0064DD1207C6 +:040DD000000165B702 +:040DD100859346457B +:040DD200851360C560 +:040DD30010EF42C417 +:040DD400645D49A071 +:040DD50046040623A7 +:040DD600A6FFD0EFB5 +:040DD700167D6641DE +:040DD80000021737C7 +:040DD9000D072703D8 +:040DDA00D58366DD7A +:040DDB008F715206BC +:040DDC0002E5816348 +:040DDD0052068693A1 +:040DDE000026D68392 +:040DDF000EE6856334 +:040DE0000513650989 +:040DE100C0EF7105E9 +:040DE20067C1979FAF +:040DE300FFF786137D +:040DE40065B7BFC16F +:040DE500464500017E +:040DE600620585938A +:040DE70042C485136A +:040DE800448010EF44 +:040DE900000165B7E9 +:040DEA008593464562 +:040DEB000513D845CF +:040DEC0010EF46C4FA +:040DED00D0EF5A8069 +:040DEE004481A11F7C +:040DEF000104941354 +:040DF00020040413C4 +:040DF10067DD842511 +:040DF2004681472DC2 +:040DF300004C862208 +:040DF400418785139B +:040DF50027E010EFF4 +:040DF60065B7E5599F +:040DF70085930001DF +:040DF8000048634507 +:040DF900EBBFC0EF9D +:040DFA000485C1317A +:040DFB0097E34741F2 +:040DFC0010EFFCE414 +:040DFD00453D34E05C +:040DFE00D3DFF0EF60 +:040DFF00C78367DD62 +:040E0000675D42B731 +:040E010045C70713C7 +:040E0200C50397BAD3 +:040E030067DD0007A0 +:040E040046A78EA3CC +:040E0500D21FF0EF19 +:040E060021812083A3 +:040E0700214124035E +:040E080024834502F8 +:040E090001132101AF +:040E0A00808221C100 +:040E0B0000C14703D8 +:040E0C004683FF4DCD +:040E0D00071300D1F6 +:040E0E0098E3052040 +:040E0F004683FAE636 +:040E1000470500E1B1 +:040E1100FAD763E3C6 +:040E12009593660549 +:040E130095B2008410 +:040E14003DC00613C4 +:040E150000E684630C +:040E1600055006136A +:040E17008522081414 +:040E18008F5FD0EF29 +:040E190010EFD159AC +:040E1A0057FD2DA0B3 +:040E1B00B76DC03EB1 +:040E1C0001855713E2 +:040E1D0001851793A1 +:040E1E0006B78FD9AB +:040E1F00171300FFA6 +:040E20008F75008545 +:040E210067418FD9BD +:040E2200F0070713BB +:040E23008D79812123 +:040E240080828D5DDE +:040E250017B7C6092C +:040E2600A023000203 +:040E27004701000778 +:040E2800FFC5F69379 +:040E29000002163776 +:040E2A0000E507B325 +:040E2B0002D7646323 +:040E2C00470D898D58 +:040E2D0002E59463E3 +:040E2E000007D68360 +:040E2F00000217376F +:040E300000D71223B2 +:040E31000027C7834C +:040E320000F70223A0 +:040E3300000217B7EB +:040E340080824B88E5 +:040E35000711439CC2 +:040E3600B7F9C25CEA +:040E3700996347096B +:040E3800D70300E5F7 +:040E390017B70007E0 +:040E3A0092230002FD +:040E3B00BFF900E714 +:040E3C009DE34705E6 +:040E3D00C703FCE506 +:040E3E0017B70007DB +:040E3F008223000208 +:040E4000B7E900E727 +:040E4100C222115167 +:040E4200000214375F +:040E43004601C0267E +:040E4400059384AAE4 +:040E4500051304A0ED +:040E4600C4060404D6 +:040E4700C81FC0EF11 +:040E4800460185A634 +:040E49000404051385 +:040E4A00CAFFC0EF2C +:040E4B0004A0059367 +:040E4C000404051382 +:040E4D00C0EF4605A7 +:040E4E000513C67F43 +:040E4F004412040441 +:040E5000448240A2F6 +:040E510001314585A1 +:040E5200C75FC06F47 +:040E5300C422114163 +:040E5400000214374D +:040E5500C02AC226C7 +:040E5600460184AE1F +:040E570004A005935B +:040E58000404051376 +:040E5900C0EFC6061A +:040E5A004782C37F89 +:040E5B000513460134 +:040E5C0085BE040447 +:040E5D00C63FC0EFDD +:040E5E000404051370 +:040E5F0040B2442237 +:040E6000449285A68D +:040E61000141460500 +:040E6200C4FFC06F9A +:040E6300C222115145 +:040E640014334405FA +:040E6500741300A45E +:040E660085A20FF45E +:040E6700C40645195F +:040E6800FADFF0EFCE +:040E6900441285A208 +:040E6A00451D40A240 +:040E6B00F06F0131F2 +:040E6C001151F9FF28 +:040E6D00C222050692 +:040E6E000FF57413F5 +:040E6F00450585A20E +:040E7000F0EFC406D5 +:040E710085A2F8BF9F +:040E720040A2441244 +:040E730001314509FB +:040E7400F7DFF06F45 +:040E750045151151BD +:040E7600F0EFC406CF +:040E77000713F2BFAC +:040E78004781086046 +:040E790004E5136316 +:040E7A0009000593D3 +:040E7B000200051359 +:040E7C00F5DFF0EFBF +:040E7D000513458193 +:040E7E00F0EF02107F +:040E7F004581F53F75 +:040E80000220051334 +:040E8100F49FF0EFFB +:040E82000513458D82 +:040E8300F0EF02305A +:040E84004581F3FFB2 +:040E850002800513CF +:040E8600F35FF0EF37 +:040E870003000593CC +:040E88000710051337 +:040E8900F29FF0EFF5 +:040E8A0040A24785B6 +:040E8B000131853E6E +:040E8C00114180820E +:040E8D0000A101A31C +:040E8E000002153712 +:040E8F004605C4222E +:040E90000513842E94 +:040E910005930405BC +:040E9200C60600315F +:040E9300BD5FC0EF90 +:040E9400C0EF852204 +:040E950040B2EACFAE +:040E960001414422B0 +:040E970011518082F3 +:040E9800645DC222B1 +:040E990045445783F2 +:040E9A00C026C406A4 +:040E9B00F9F7F793D9 +:040E9C0083C107C245 +:040E9D0044F41A23DC +:040E9E00000214B783 +:040E9F000EF4A0238A +:040EA000C0EF455109 +:040EA10045D1E7CF81 +:040EA20003800513B1 +:040EA300FA7FF0EFF3 +:040EA400051345D11C +:040EA500F0EF0390D7 +:040EA60045D1F9DF5A +:040EA700F0EF4551D2 +:040EA80045D1F95FD8 +:040EA9000710051316 +:040EAA00F8BFF0EFAE +:040EAB00051345D115 +:040EAC00F0EF05E07E +:040EAD0045D1F81F14 +:040EAE0006D0051352 +:040EAF00F77FF0EFEA +:040EB000453145D1B2 +:040EB100F6FFF0EF69 +:040EB2003200059372 +:040EB300F0EF450512 +:040EB40045D1F65FCF +:040EB500F0EF4519FC +:040EB6000593F5DFCC +:040EB70045093200B7 +:040EB800F53FF0EF23 +:040EB90045445783D2 +:040EBA00E79340A2D8 +:040EBB001A230407EB +:040EBC00441244F4A4 +:040EBD000EF4A0236C +:040EBE000131448238 +:040EBF0011218082FB +:040EC000645DC82283 +:040EC10045445783CA +:040EC200C626CA0670 +:040EC300F9F7F793B1 +:040EC40083C107C21D +:040EC5001A23C02EFE +:040EC600173744F4A2 +:040EC70020230002E2 +:040EC80084AA0EF7F3 +:040EC900320005935B +:040ECA00F0EF4505FB +:040ECB005783F09FBA +:040ECC00173745444B +:040ECD0045C1000219 +:040ECE000207E7939D +:040ECF0044F41A23AA +:040ED0000EF72023D6 +:040ED10010EF852673 +:040ED20077132380EF +:040ED300EB110FF51B +:040ED400000175B7ED +:040ED5008593464576 +:040ED6008526A44584 +:040ED7001FE010EF19 +:040ED8004781470502 +:040ED90000F486B3E8 +:040EDA000006C50346 +:040EDB00C43A45D1FF +:040EDC00F0EFC23E33 +:040EDD004792EC1F2D +:040EDE00078547221B +:040EDF000FF7F69380 +:040EE000FEE6E2E365 +:040EE10045445783AA +:040EE200000214B73F +:040EE300F79345D16B +:040EE40007C2FDF74D +:040EE5001A2383C188 +:040EE600A02344F40D +:040EE70005130EF4ED +:040EE800F0EF0C001B +:040EE9005783E91F23 +:040EEA004502454434 +:040EEB00E79345C183 +:040EEC001A230207BC +:040EED00A02344F406 +:040EEE0010EF0EF4FF +:040EEF0077931C4099 +:040EF000EB910FF57E +:040EF10075B745028A +:040EF2004645000170 +:040EF300A4458593FA +:040EF40018A010EF43 +:040EF5004481478568 +:040EF6004782C23E2F +:040EF700873345D127 +:040EF8004503009717 +:040EF9000485000765 +:040EFA00E4BFF0EF72 +:040EFB00F713479210 +:040EFC0063E30FF4A9 +:040EFD005783FEF722 +:040EFE0040D2454455 +:040EFF00000217379F +:040F00000407E79368 +:040F010044F41A2377 +:040F02002023444222 +:040F030044B20EF7EF +:040F04008082016185 +:040F0500C2221151A2 +:040F0600000214379A +:040F07004601C026B9 +:040F0800059384AA1F +:040F0900051302C00A +:040F0A00C406040411 +:040F0B00971FC0EF7D +:040F0C00460585A66B +:040F0D0004040513C0 +:040F0E0099FFC0EF98 +:040F0F0002C0059384 +:040F100004040513BD +:040F1100C0EF4605E2 +:040F12000513957FAF +:040F1300441204047C +:040F1400448240A231 +:040F150001314585DC +:040F1600965FC06FB3 +:040F1700C42211419E +:040F18000002143788 +:040F1900C02AC22602 +:040F1A00460184AE5A +:040F1B0002C0059378 +:040F1C0004040513B1 +:040F1D00C0EFC60655 +:040F1E004782927FF5 +:040F1F00051346016F +:040F200085BE040482 +:040F2100953FC0EF49 +:040F220004040513AB +:040F230040B2442272 +:040F2400449285A6C8 +:040F2500014146053B +:040F260093FFC06F06 +:040F27000002153778 +:040F2800460111511C +:040F290005134581E6 +:040F2A00C4060405F0 +:040F2B0092BFC0EFC2 +:040F2C00C0EF4529A4 +:040F2D0045E1C4CF07 +:040F2E00F0EF450596 +:040F2F0045E1FA3F5F +:040F3000F0EF450990 +:040F310045E1F9BFDE +:040F3200F0EF450D8A +:040F33004505F93F38 +:040F3400F45FF0EF87 +:040F3500152140A2A0 +:040F3600001535135A +:040F37008082013182 +:040F3800C22211516F +:040F39004505842ABC +:040F3A00F0EFC4060A +:040F3B00991DF2BF4B +:040F3C008C49040ECA +:040F3D000FF4741326 +:040F3E00450585A23E +:040F3F00F61FF0EFBA +:040F4000450985A238 +:040F4100F59FF0EF39 +:040F4200441285A22E +:040F4300450D40A276 +:040F4400F06F013118 +:040F45001151F4BF93 +:040F4600842AC22215 +:040F4700C406450592 +:040F4800EF5FF0EF78 +:040F4900751347894C +:040F4A0013630D859B +:040F4B00641302F435 +:040F4C0085A2001565 +:040F4D00F0EF450577 +:040F4E0085A2F27F07 +:040F4F00F0EF450971 +:040F500085A2F1FF86 +:040F510040A2441264 +:040F52000131450D17 +:040F5300F11FF06F2B +:040F54008C490416AA +:040F55000FF474130E +:040F560000446413DC +:040F57001151BFD99C +:040F58001437C22266 +:040F5900C0260002AC +:040F5A0084AA46011E +:040F5B0005C0059335 +:040F5C000404051371 +:040F5D00C0EFC40617 +:040F5E00F593827F06 +:040F5F0046050FF440 +:040F6000040405136D +:040F6100853FC0EF19 +:040F620005C005932E +:040F6300040405136A +:040F6400C0EF46058F +:040F6500051380BF31 +:040F66004412040429 +:040F6700448240A2DE +:040F68000131458589 +:040F6900819FC06F35 +:040F6A00C42211414B +:040F6B000002143735 +:040F6C00C02AC226AF +:040F6D00460184AE07 +:040F6E0005C0059322 +:040F6F00040405135E +:040F7000C0EFC60602 +:040F71004782FDAF07 +:040F7200051346011C +:040F7300F5930404EA +:040F7400C0EF0FF7C4 +:040F75000513805F81 +:040F76004422040409 +:040F770085A640B259 +:040F78004605449254 +:040F7900C06F014103 +:040F7A001151FF0F03 +:040F7B000220051338 +:040F7C00C222C406C3 +:040F7D00F0EFC026AB +:040F7E007413F69F53 +:040F7F0045CD0FF558 +:040F8000F0EF4559F0 +:040F81006489FA7F06 +:040F8200710485135E +:040F8300AF2FC0EFDD +:040F8400455D458DF5 +:040F8500F95FF0EF31 +:040F8600710485135A +:040F8700AE2FC0EFDA +:040F88000804659361 +:040F8900022005132A +:040F8A00F81FF0EF6D +:040F8B003E8005138C +:040F8C00ACEFC0EF17 +:040F8D0007F475935D +:040F8E0040A2441227 +:040F8F000513448280 +:040F90000131022009 +:040F9100F65FF06FA8 +:040F9200C222115115 +:040F930005136409D5 +:040F9400C40671041A +:040F9500AAAFC0EF50 +:040F9600455945C5AF +:040F9700F4DFF0EFA4 +:040F980071040513C8 +:040F9900A9AFC0EF4D +:040F9A004589455DE3 +:040F9B00F3DFF0EFA1 +:040F9C0071040513C4 +:040F9D0040A2441218 +:040F9E00C06F0131EE +:040F9F001151A84FF5 +:040FA000842EC222B7 +:040FA100454985AA8F +:040FA200F0EFC406A2 +:040FA30085A2F1FF33 +:040FA40040A2441211 +:040FA5000131454D84 +:040FA600F11FF06FD8 +:040FA700051385AAFF +:040FA800F06F03D013 +:040FA90085AAF07FA6 +:040FAA00F06F45455A +:040FAB001151EFFFF2 +:040FAC00C222C40693 +:040FAD000065478311 +:040FAE00456D842ADF +:040FAF0000479593CF +:040FB000F5938DDD4B +:040FB100F0EF0FF559 +:040FB2004583EE3F46 +:040FB3004571006420 +:040FB400ED9FF0EFCE +:040FB500003445833C +:040FB600F0EF4529EA +:040FB7004583ECFF83 +:040FB8004525004487 +:040FB900EC5FF0EF0A +:040FBA000054458317 +:040FBB00F0EF4521ED +:040FBC004583EBBFBF +:040FBD0045350004B2 +:040FBE00EB1FF0EF46 +:040FBF000014458352 +:040FC000F0EF4531D8 +:040FC1004583EA7FFB +:040FC20044120024B1 +:040FC300452D40A2D6 +:040FC400F06F013198 +:040FC5001141E97F6E +:040FC600842AC42293 +:040FC700C2264511E8 +:040FC80084AEC03201 +:040FC900F0EFC60679 +:040FCA004602E39F59 +:040FCB000F85759386 +:040FCC000793CE4D6C +:040FCD00EA637FF064 +:040FCE0045110A8738 +:040FCF000015E59391 +:040FD000E69FF0EFB9 +:040FD10000141513E0 +:040FD20001051413EE +:040FD3005593804171 +:040FD400F59300444D +:040FD50045050FF5CA +:040FD600E51FF0EF34 +:040FD700004415932A +:040FD8000F05F59379 +:040FD900F0EF4509E7 +:040FDA000737E43FB2 +:040FDB000713019C5B +:040FDC005733CC07B4 +:040FDD0056B702976A +:040FDE0086930225CF +:040FDF0047810FF641 +:040FE000028707334A +:040FE10002E6F063D1 +:040FE200042C26B7FE +:040FE300D7F6869324 +:040FE400F9634785E1 +:040FE500F7B700E674 +:040FE6008793080BDA +:040FE700B7B3FBF7AA +:040FE800078900E78E +:040FE9000713675D26 +:040FEA00973E3DC72A +:040FEB0000074503B3 +:040FEC000280071365 +:040FED0002E50533E1 +:040FEE000014571381 +:040FEF004533953AB7 +:040FF000471D028512 +:040FF1000FF5751370 +:040FF2000FF57593EF +:040FF30000A773637D +:040FF4004422459DB1 +:040FF500449240B230 +:040FF600079A058EC3 +:040FF700F5938DDD04 +:040FF800450D0F850F +:040FF900F06F014153 +:040FFA004511DC3F82 +:040FFB00DBDFF0EF59 +:040FFC001151BFB917 +:040FFD00842AC2225E +:040FFE00C406456977 +:040FFF00D63FF0EFFA +:041000007593478518 +:041001001A630FA5BA +:04100200E59300F47E +:04100300441200256E +:04100400456940A258 +:04100500F06F013156 +:04100600E593D93F56 +:04100700BFC500A5BC +:0410080000A5558367 +:04100900C22211519D +:04100A00842A81A112 +:04100B0004B0051315 +:04100C00F0EFC40637 +:04100D004583D77FC1 +:04100E00051300A422 +:04100F00F0EF04A05A +:041010005583D6BF6F +:04101100051300C4FF +:0410120081A104D0E4 +:04101300D5DFF0EF46 +:0410140000C445834C +:0410150004C00513FB +:04101600D51FF0EF03 +:0410170000E4558319 +:0410180004F00513C8 +:04101900F0EF81A1D2 +:04101A004583D43FF7 +:04101B00051300E4D5 +:04101C00F0EF04E00D +:04101D005583D37FA5 +:04101E000513004472 +:04101F0081A1051096 +:04102000D29FF0EF7C +:0410210000444583BF +:0410220005000513AD +:04102300D1DFF0EF3A +:04102400006455838C +:04102500053005137A +:04102600F0EF81A1C5 +:041027004583D0FF2E +:041028000513006448 +:04102900F0EF0520BF +:04102A005583D03FDB +:04102B000513008425 +:04102C0081A1055049 +:04102D00CF5FF0EFB2 +:04102E000084458372 +:04102F000540051360 +:04103000CE9FF0EF70 +:0410310001045583DE +:04103200057005132D +:04103300F0EF81A1B8 +:041034004583CDBF64 +:04103500051301049A +:04103600F0EF056072 +:041037005583CCFF12 +:041038000513012477 +:0410390081A10590FC +:04103A00CC1FF0EFE8 +:04103B0001244583C4 +:04103C000580051313 +:04103D00CB5FF0EFA6 +:04103E000144558391 +:04103F0005B00513E0 +:04104000F0EF81A1AB +:041041004583CA7F9A +:04104200441201440F +:04104300051340A2AF +:04104400013105A0D1 +:04104500C95FF06F20 +:04104600C222115160 +:041047000513842ADF +:04104800C40603F0E7 +:04104900C3BFF0EF42 +:04104A000F05759386 +:04104B0044128DC1FD +:04104C00051340A2A6 +:04104D00013103F07A +:04104E00C71FF06F59 +:04104F00C222115157 +:041050004569842A40 +:04105100F0EFC406F2 +:041052001593C19F92 +:0410530044120064DF +:0410540003F5751318 +:041055008DC940A25F +:041056000FF5F5930A +:0410570001314569B5 +:04105800C49FF06FD2 +:0410590065DD11310F +:04105A008593461D17 +:04105B00850AA7C596 +:04105C0000EFC806D3 +:04105D00F0EF277019 +:04105E004501C75F22 +:04105F00E77FF0EF48 +:0410600005134585AA +:04106100F0EF035059 +:041062006559C23FCB +:0410630063C5051349 +:04106400E91FF0EFA1 +:04106500F0EF450162 +:041066004519FA7FAF +:04106700D01FF0EFB7 +:041068000440051328 +:04106900D03FF0EF95 +:04106A004505458172 +:04106B00CD3FF0EF96 +:04106C00F0EF850A12 +:04106D0040C2CFDFCF +:04106E00808201512A +:04106F00C422114145 +:041070004511842A78 +:04107100C02EC606C1 +:04107200B97FF0EF63 +:04107300043345827B +:04107400759302B4BA +:0410750045110075AC +:0410760001F4779377 +:041077008DDD078E76 +:04107800BC9FF0EF3A +:041079004054551377 +:04107A00442240B21A +:04107B000FF57513E5 +:04107C00808201412C +:04107D00C222115129 +:04107E004541842A3A +:04107F00F0EFC406C4 +:041080001593B61FEF +:0410810044120034E1 +:0410820040A2891DE2 +:04108300F5938DC98B +:0410840045410FF5DE +:04108500F06F0131D6 +:04108600C139B93F74 +:04108700C02611511D +:0410880002600513EA +:04108900059384AE99 +:04108A00C222080076 +:04108B008432C406E1 +:04108C00B79FF0EF2B +:04108D0005934785FB +:04108E0089630094DE +:04108F0047A100F481 +:0410900005A405931B +:0410910000F4846380 +:04109200018405933D +:0410930040A2441221 +:04109400F59344820A +:0410950005130FF53B +:041096000131031011 +:04109700B4DFF06F63 +:041098000513458176 +:04109900BFDD026055 +:04109A00C822112136 +:04109B00CA06C62695 +:04109C00C232C02E6E +:04109D004785C43689 +:04109E0084BA842A62 +:04109F0000F50E63E7 +:0410A0000D6347A1F4 +:0410A100059304F5BA +:0410A200F59300675B +:0410A30045150FF5EB +:0410A400B19FF0EF19 +:0410A500A80945C190 +:0410A6000027059387 +:0410A7000FF5F593B9 +:0410A800F0EF45150B +:0410A9004599B07F36 +:0410AA00F0EF451905 +:0410AB0085A2AFFF6C +:0410AC00450586264A +:0410AD00F67FF0EFEB +:0410AE0045B147C140 +:0410AF0000F40363E3 +:0410B000051345A13E +:0410B100F0EF02203A +:0410B2004442AE3FC7 +:0410B30045924622FA +:0410B40040D24502DF +:0410B500016144B2DF +:0410B600C3FFF06F15 +:0410B7000327059373 +:0410B8000FF5F593A8 +:0410B900F0EF4515FA +:0410BA000593AC3FAF +:0410BB00BF6D020003 +:0410BC0087931151B4 +:0410BD00C222FFE567 +:0410BE00C406C0267E +:0410BF000FF7F7939D +:0410C00084AA4705B2 +:0410C1006963842EAD +:0410C200470906F7DD +:0410C30013634781EB +:0410C400478900E573 +:0410C5000024971359 +:0410C60000449593BA +:0410C700079A8DD91E +:0410C8008DDD8DC568 +:0410C9000FF5F59397 +:0410CA00F0EF456599 +:0410CB004541A7FFF5 +:0410CC00A2FFF0EFA0 +:0410CD007593478D43 +:0410CE0014630F8513 +:0410CF00E59300F4B1 +:0410D0004541005541 +:0410D100A65FF0EF37 +:0410D2009D6347894A +:0410D300478502F457 +:0410D4000287EA6342 +:0410D500052005935A +:0410D60000F40463BB +:0410D7000530059348 +:0410D800F0EF4539B7 +:0410D9000513A47FD8 +:0410DA00B0EF3E80B5 +:0410DB004551D95F43 +:0410DC009EFFF0EF94 +:0410DD00A03D45816C +:0410DE00FD494789F8 +:0410DF0000B037B373 +:0410E000BF49078578 +:0410E10005B00593BE +:0410E200F0EF4539AD +:0410E3000513A1FF51 +:0410E400B0EF3E80AB +:0410E5004551D6DFBC +:0410E6009C7FF0EF0C +:0410E70045C1478D2B +:0410E800FCF41AE317 +:0410E900F0EF45617E +:0410EA004412A03FCD +:0410EB00448240A259 +:0410EC000513458122 +:0410ED00013103606A +:0410EE009F1FF06FE1 +:0410EF00C4221141C5 +:0410F0004551842AB8 +:0410F100C606C02E41 +:0410F200997FF0EF03 +:0410F300779347099F +:0410F40045820FF52D +:0410F50002E4156399 +:0410F6009C634705AB +:0410F700751300E588 +:0410F80005130905CE +:0410F9003513F705AF +:0410FA0040B20015EB +:0410FB000141442249 +:0410FC00E591808278 +:0410FD0008857513DA +:0410FE00F78505135A +:0410FF00D513B7ED61 +:041100008905001746 +:041101000113B7DD42 +:041102004791FB8195 +:0411030067DDCA3E9C +:0411040048078713FE +:04110500C0A6C2A21C +:041106004583C42E2B +:041107004621020774 +:041108000307428314 +:0411090000B61633E3 +:04110A000217458300 +:04110B0000866613E1 +:04110C000613CC32C8 +:04110D001633100085 +:04110E00458300B65F +:04110F00CE320227B3 +:04111000163366111B +:04111100458300B65C +:04111200D03202379E +:041113000004063797 +:0411140000B61633D8 +:041115000613D232B9 +:04111600D43201319D +:041117000613665DF8 +:04111800D6324A562B +:041119000613665DF6 +:04111A00D8324A6617 +:04111B000613665DF4 +:04111C00DA324A7603 +:04111D000613665DF2 +:04111E00DC324A86EF +:04111F000613665DF0 +:04112000675D4A9627 +:041121000613DE32A1 +:04112200071356C792 +:04112300C03A56C7B1 +:0411240007136759ED +:04112500C22A66C7AD +:04112600000109A318 +:041127008793430166 +:04112800C63A480774 +:041129000183151316 +:04112A000210071395 +:04112B001463856163 +:04112C00557D00E30A +:04112D004703A0C90B +:04112E0044150176ED +:04112F00016645838D +:041130000EE46863FE +:04113100139344329E +:04113200941E0027E0 +:0411330084024000F2 +:041134000800041398 +:041135006409CE2259 +:041136008DF5D02241 +:041137000080C9F17A +:04113800A38393A258 +:041139004E0CFE83D7 +:04113A000003C38368 +:04113B0093A2038AEE +:04113C00FD43A38349 +:04113D000075F3B393 +:04113E000A038C63B1 +:04113F00C5934422EE +:041140008985FFF5A9 +:041141000A85966322 +:0411420001065583CA +:0411430005F9441254 +:041144000A85E063D5 +:041145008823458531 +:04114600972300B734 +:0411470089230007F1 +:04114800AA230007CF +:041149008593007713 +:04114A008263800339 +:04114B00658522058F +:04114C008005859302 +:04114D000E75E063D8 +:04114E000400059301 +:04114F001EB38263E6 +:041150000875EF63CC +:04115100886345A1C9 +:04115200ED6314B382 +:041153004591067547 +:0411540006B39063EB +:0411550000079623D6 +:041156000FD7771325 +:041157001C6346854A +:04115800C68300D773 +:041159008563033770 +:04115A004709120629 +:04115B0000E78823FE +:04115C0087A3470519 +:04115D00441600E74D +:04115E0001134486AF +:04115F008082048105 +:041160001000041364 +:041161006411CE2225 +:041162005483BF8172 +:04116300041301066A +:0411640094E320D020 +:041165004483F48447 +:04116600440DFFB67F +:0411670000849D6300 +:041168000002956389 +:041169000EF5F593F7 +:04116A004409BF0D68 +:04116B00F28297E392 +:04116C000671030500 +:04116D009563BDC504 +:04116E00F5930002F3 +:04116F00BF310FB5C8 +:04117000B7ED44058E +:041171008863474107 +:04117200071314E368 +:0411730091E3020002 +:041174000713FEE37C +:04117500962330107D +:04117600471100E736 +:041177000593A2B981 +:041178008763100079 +:04117900EC6314B35C +:04117A0007130075E2 +:04117B0091E30800F4 +:04117C000713FCE376 +:04117D009623201085 +:04117E00471500E72A +:04117F000713A23D73 +:041180008163200067 +:04118100071314E359 +:0411820093E34000B3 +:041183000713FAE371 +:04118400A221202064 +:041185008C6365C151 +:04118600E66314B355 +:0411870065890275FF +:0411880012B38A63B1 +:041189000075E863A2 +:04118A0093E367057F +:04118B000713F8E36B +:04118C00B7D1202097 +:04118D008A6365917B +:04118E00672112B310 +:04118F00F6E39AE306 +:0411900020300713F1 +:041191000737A8D99B +:041192008863000866 +:04119300606312E3A0 +:0411940007370277A0 +:041195008F63000262 +:04119600073710E324 +:0411970099E30004D4 +:041198004711F4E324 +:0411990000E79623B2 +:04119A0007800713B0 +:04119B000737A8E585 +:04119C008E6300104E +:04119D00073710E31D +:04119E009BE30020AF +:04119F000713F2E35D +:0411A0009623204032 +:0411A100471900E703 +:0411A20000E78823B7 +:0411A300A8D947512F +:0411A40000E78723B6 +:0411A5004685BDF1CD +:0411A60000D78623C5 +:0411A70003D7C68321 +:0411A80046F1E2A981 +:0411A90002D306B3B4 +:0411AA0096B24602B1 +:0411AB0000E6D60381 +:0411AC0057700693DF +:0411AD0002C6E7632C +:0411AE00FFF706132E +:0411AF000FF67613AE +:0411B000E063468929 +:0411B100460D02C61F +:0411B20000C786A349 +:0411B30000D78823B6 +:0411B400EAD713E380 +:0411B5000337C70332 +:0411B6004705EB1DE1 +:0411B70000E78723A3 +:0411B8000693BD5984 +:0411B90086A3FFE723 +:0411BA00F6930007A1 +:0411BB00D2FD0FD67C +:0411BC0012E346955F +:0411BD004771E8D7B7 +:0411BE0002E3033312 +:0411BF00933A470216 +:0411C00000E356836F +:0411C1004AF00713D6 +:0411C200FCD776E3FD +:0411C30086A3B5AD9D +:0411C40047090007D0 +:0411C5000713A8194B +:0411C600962320103C +:0411C700BFD500E7A9 +:0411C80030100713C9 +:0411C90000E7962382 +:0411CA008823471916 +:0411CB00B5A100E7E3 +:0411CC009623468997 +:0411CD00468D00D774 +:0411CE00E2D71FE362 +:0411CF000337C70318 +:0411D000BFC1DF4973 +:0411D10010200713D0 +:0411D20000E7962379 +:0411D3000713B52D1C +:0411D400B5512020D1 +:0411D50020200713BC +:0411D60000E7962375 +:0411D7008823471D05 +:0411D800473500E7B0 +:0411D90000E789237F +:0411DA00468DB53950 +:0411DB000713B7D966 +:0411DC00B595203075 +:0411DD0020300713A4 +:0411DE000713BDBD79 +:0411DF0096232040F3 +:0411E000470D00E7D0 +:0411E10000E7882378 +:0411E200028007136D +:0411E3000713BFE14E +:0411E40096232040EE +:0411E500471500E7C3 +:0411E60000E7882373 +:0411E700B7D94761CC +:0411E8001793111137 +:0411E900CA22018590 +:0411EA00CC06C82641 +:0411EB00842A87E1EA +:0411EC00DD6384AE8D +:0411ED004581000731 +:0411EE00077005136E +:0411EF0001A337D54C +:0411F000478500A18E +:0411F10006A7EE63FC +:0411F20007F4741377 +:0411F30045912E955F +:0411F400268145010A +:0411F500D7932E81DD +:0411F60002A30184CB +:0411F700D79300F199 +:0411F80003230104C8 +:0411F900D79300F197 +:0411FA0003A30084C7 +:0411FB00022300F1DA +:0411FC000423008147 +:0411FD000713009143 +:0411FE00079304004F +:0411FF000963095027 +:04120000071300E4EC +:041201004785048099 +:0412020000E414638D +:0412030008700793D5 +:0412040000484599C0 +:0412050000F104A34D +:04120600451524ED79 +:04120700842A2EB156 +:041208000513458500 +:0412090024F5003197 +:04120A000031078325 +:04120B000007D6639F +:04120C0047852E8D57 +:04120D00FEF506E301 +:04120E002641E01184 +:04120F000031450362 +:04121000445240E222 +:04121100017144C261 +:0412120001138082C2 +:04121300C02AFD816F +:0412140005134581F8 +:04121500D206049069 +:04121600CE26D022EE +:04121700F45FF0EFA1 +:041218004501C901C2 +:041219005402509299 +:04121A00011344F286 +:04121B00808202814A +:04121C004515842AC6 +:04121D0084AA261168 +:04121E0005134585EA +:04121F002C510071DD +:04122000007147030F +:041221000FF0079330 +:0412220000F7166358 +:0412230047852E19B4 +:04122400FEF504E3EC +:041225002615E09119 +:041226000071470309 +:041227000FE007933A +:04122800FCF711E3DB +:04122900002845C98B +:04122A00478224AD26 +:04122B000047C7832E +:04122C000027F7138D +:04122D004503CF1591 +:04122E00478300E111 +:04122F00470300F180 +:04123000890D012102 +:041231008D5D0522A8 +:0412320001014783EC +:041233004403050A61 +:04123400839900D1C9 +:0412350047838D5D01 +:04123600831D011102 +:041237000786883D61 +:041238008FD98B9926 +:041239000505943ED5 +:04123A0015331465EF +:04123B00BF9D0085CE +:04123C00CB898B913E +:04123D000101450363 +:04123E0001114783D0 +:04123F008D5D05229A +:04124000B7CD47C51A +:04124100450147819B +:041242000113BFF1E4 +:0412430065D9FDC1AB +:041244004629CC2645 +:04124500859384AA5F +:041246000068814576 +:04124700CE22D006DD +:04124800478D24E1C9 +:0412490022C1C03EC0 +:04124A0024A92C2186 +:04124B00006845A949 +:04124C0045812AC9E5 +:04124D000004A023D6 +:04124E000400051380 +:04124F00E65FF0EF77 +:04125000842A478520 +:041251000EF51B6318 +:041252001AA0059346 +:0412530004800513FB +:04125400E51FF0EFB3 +:041255001763C22A2F +:041256004591088531 +:041257002A550028EC +:0412580000A14703A7 +:041259001A6347923B +:04125A0047030CF743 +:04125B00079300B144 +:04125C0014630AA06D +:04125D0005130CF772 +:04125E002AFD3E80A7 +:04125F004785241D7E +:0412600000F5196319 +:04126100400005B78D +:041262000E900513D2 +:04126300E15FF0EF68 +:041264002C01F575EF +:041265000663478550 +:04126600440102F548 +:0412670047822C3955 +:04126800F79317FDE4 +:04126900C03E0FF77D +:04126A00DC35CBD9CB +:04126B00822347850E +:04126C00C09C00849E +:04126D00F0EF8526F3 +:04126E00157DE95FA2 +:04126F002A69C4889C +:041270004581A041D3 +:0412710007A00513BA +:04127200DD9FF0EF1D +:041273004591F57933 +:0412740022810028AB +:04127500008147832A +:04127600F793443175 +:04127700FFDD04078C +:04127800BF6D4411F1 +:041279000513458193 +:04127A00F0EF0E90F3 +:04127B000793DB7F7B +:04127C006563041092 +:04127D00440900A47C +:04127E000E90079334 +:04127F000FA00513A4 +:041280002A9DC23EA3 +:0412810047852A79FA +:0412820000F51763F9 +:04128300458145124A +:04128400D91FF0EF8F +:041285002271F96574 +:041286004401E1112D +:0412870045812A79FA +:0412880007B0051393 +:04128900D7DFF0EFCC +:04128A004401C11149 +:04128B0020000593A7 +:04128C000500051341 +:04128D00D6DFF0EFC9 +:04128E004401D13D09 +:04128F00F43DB78DE6 +:04129000351320CD25 +:041291005082001473 +:0412920044E244727C +:041293000241011300 +:04129400451C8082F3 +:04129500C822112139 +:04129600C626CA0698 +:04129700C03AC22E69 +:04129800E163440DBD +:04129900CF1902C7A0 +:04129A000045478341 +:04129B008BA184B6E9 +:04129C000626E391AE +:04129D00051385B2FE +:04129E00F0EF051058 +:04129F00C909D27F28 +:0412A0002045440998 +:0412A10040D2852290 +:0412A20044B24442CC +:0412A30080820161E3 +:0412A40006400513E8 +:0412A500458520D586 +:0412A60000B105137B +:0412A7004703289D34 +:0412A800079300B1F7 +:0412A90016630FF0C9 +:0412AA0028E500F73C +:0412AB0004E347858C +:0412AC002221FEF508 +:0412AD0000B1470342 +:0412AE000FE00793B3 +:0412AF00FCF712E353 +:0412B000041347825A +:0412B1008C05202068 +:0412B20004428C1D49 +:0412B300C481804131 +:0412B400450185A6C5 +:0412B5004582283D09 +:0412B6002825451290 +:0412B700450185A2C6 +:0412B8004401280DB8 +:0412B9002637BF799C +:0412BA0005B700066E +:0412BB001537019C46 +:0412BC000613000213 +:0412BD008593A80667 +:0412BE000513CC0543 +:0412BF00B06F020505 +:0412C000862EA75F70 +:0412C100153785AAAE +:0412C200051300020E +:0412C300B06F020501 +:0412C400862EB13F82 +:0412C500153785AAAA +:0412C600051300020A +:0412C700B06F0205FD +:0412C8008082AD7FF4 +:0412C9005783675D83 +:0412CA00F79345470A +:0412CB0007C2F7F768 +:0412CC001A2383C19D +:0412CD00173744F794 +:0412CE0020230002D7 +:0412CF0080820EF714 +:0412D0005783675D7C +:0412D100E793454713 +:0412D2001A230807CC +:0412D300173744F78E +:0412D40020230002D1 +:0412D50080820EF70E +:0412D600002936377E +:0412D700019C05B7BA +:0412D80000021537C4 +:0412D9002E060613C4 +:0412DA00CC05859327 +:0412DB0002050513F0 +:0412DC00A03FB06F10 +:0412DD00F73FF06F78 +:0412DE00278367619A +:0412DF00E38590878C +:0412E0008793679DEC +:0412E10005339787B3 +:0412E200115102F5AF +:0412E3002423C406F6 +:0412E400B0EF90A730 +:0412E50040A2D90F3B +:0412E600013145018C +:0412E70045058082B7 +:0412E800115180829E +:0412E900B0EFC40698 +:0412EA0067E1DA0FCF +:0412EB009087A783BE +:0412EC00353340A2B4 +:0412ED00013100F5D6 +:0412EE0067E18082B2 +:0412EF009007A4239D +:0412F00047018082B0 +:0412F10000E614639C +:0412F20080824501B0 +:0412F30000E507B358 +:0412F40086B30705B1 +:0412F500C78300E5C6 +:0412F600C6830007A4 +:0412F70083E3FFF698 +:0412F8008533FED765 +:0412F900808240D7D8 +:0412FA0000A5C7B3D1 +:0412FB0007338B8D9D +:0412FC00E78100C5C1 +:0412FD00E963478DCD +:0412FE0087AA02C7F2 +:0412FF000CE5716326 +:041300000005C6839B +:0413010005850785D2 +:04130200FED78FA3E0 +:04130300FEE7EAE334 +:04130400C68380829A +:041305000785000553 +:041306008FA3058527 +:04130700EAE3FED740 +:041308004402FEE7B6 +:0413090080820111CC +:04130A0000357693A1 +:04130B00CA9187AA52 +:04130C000005C6838F +:04130D0005850785C6 +:04130E00FED78FA3D4 +:04130F000037F6931A +:041310007693B7FD1C +:041311008613FFC779 +:04131200F563FE067B +:04131300117106C787 +:0413140049C0C022EA +:041315000005A30329 +:041316000085A38328 +:041317004D80CBC07A +:041318000067A023A7 +:041319000045A303E5 +:04131A004DC0CF8073 +:04131B000067A223A2 +:04131C0000C5A283E3 +:04131D000105A30320 +:04131E00024585936C +:04131F00A403CFC094 +:04132000A423FFC53E +:04132100A623007788 +:04132200A8230057A5 +:041323008793006745 +:04132400AE230247AB +:04132500EEE3FE876E +:04132600F2E3FAC72D +:041327004190F8D722 +:041328000591079193 +:04132900FEC7AE232A +:04132A004190BFCD62 +:04132B000591079190 +:04132C00FEC7AE2327 +:04132D00FED7EBE319 +:04132E00F4E7E4E319 +:04132F0080828082B6 +:04133000F7C10113ED +:04133100C13ED6A63D +:0413320067DDDA8613 +:04133300DCB6D8A2AA +:04133400A483DEBAF6 +:04133500DC633887B6 +:041336000793000514 +:04133700C09C08B09E +:0413380050D6557DB9 +:0413390054B654460C +:04133A000841011352 +:04133B000793808212 +:04133C0018232080D2 +:04133D00C22A00F1CF +:04133E004781CA2AEF +:04133F008793C19936 +:04134000C63EFFF5B1 +:0413410018B4CC3ED2 +:04134200842E57FDA1 +:04134300004C8526AF +:0413440000F1192378 +:041345002601C03687 +:04134600556357FD97 +:04134700079300F513 +:04134800C09C08B08D +:041349004792DC5D8E +:04134A0000078023F5 +:04134B00C605BF5DB7 +:04134C004701167DC2 +:04134D0000E507B3FD +:04134E0000E586B37D +:04134F000007C78349 +:041350000006C6834A +:0413510000D79663C8 +:0413520000C7046369 +:04135300F3FD07059A +:0413540040D78533C6 +:04135500450180824C +:0413560087AA808260 +:041357000585CA0935 +:04135800FFF5C703D3 +:04135900167D078571 +:04135A00FEE78FA378 +:04135B00963EFB655A +:04135C0000C79363D0 +:04135D0007858082FE +:04135E00FE078FA354 +:04135F0095AABFD5B7 +:04136000856387AA70 +:04136100C70300B707 +:04136200E701000798 +:0413630040A78533E7 +:0413640007858082F7 +:04136500C5D5B7FD36 +:04136600FFC5A78395 +:04136700C22211513C +:04136800C026C406D1 +:04136900FFC5841325 +:04136A000007D36342 +:04136B0084AA943E7E +:04136C0015F000EF89 +:04136D002783675D0E +:04136E00863A3F87F5 +:04136F002223EF81C5 +:041370002C23000426 +:0413710044123E875D +:04137200852640A2EA +:04137300013144827E +:041374001410006FE2 +:0413750002F47063AB +:0413760007334014E5 +:04137700966300D4A5 +:04137800439800E7AF +:04137900973643DC84 +:04137A00C05CC0187B +:04137B003E862C235B +:04137C0087BABFD994 +:04137D00C31943D875 +:04137E00FEE47DE329 +:04137F0086334394DA +:041380001F6300D710 +:041381004010008692 +:04138200C39496B2C8 +:0413830000D78633D6 +:04138400FAC71BE3A6 +:041385004358431076 +:04138600C39496B2C4 +:04138700B765C3D8AB +:0413880000C47563C5 +:04138900C09C47B10C +:04138A004010BF79D7 +:04138B0000C406B3E1 +:04138C0000D716630D +:04138D00435843146A +:04138E00C01496B23F +:04138F00C3C0C058BF +:041390008082B75947 +:04139100C22611411E +:04139200003584930B +:04139300C60698F101 +:0413940004A1C422CA +:04139500FB6347B1FE +:0413960044B104F466 +:0413970004B4EA634D +:0413980000EFC02A78 +:04139900675D0AD0B2 +:04139A003F872683E0 +:04139B00061347826C +:04139C0084363F87CD +:04139D00645DE43176 +:04139E003FC4041331 +:04139F00EB01401806 +:0413A0004581853EC0 +:0413A10000EFC03E5B +:0413A20047827F807F +:0413A300853EC008BB +:0413A400C03E85A61C +:0413A5007EA000EF37 +:0413A6004782577DA6 +:0413A70006E51563DF +:0413A800C39847316E +:0413A90000EF853E8E +:0413AA00A02906B0C0 +:0413AB00FA04D8E385 +:0413AC00C11C47B168 +:0413AD0040B2450104 +:0413AE0044924422FF +:0413AF0080820141F6 +:0413B0008F0540184D +:0413B10002074E637E +:0413B200F66345ADEC +:0413B300C01800E579 +:0413B400C004943AA3 +:0413B5004058A029D3 +:0413B60002869263B6 +:0413B700853EC21895 +:0413B800031000EF2F +:0413B90000B4051364 +:0413BA0000440713D1 +:0413BB0007B399617A +:0413BC00D3F140E544 +:0413BD008F09943EC2 +:0413BE00BF75C0181F +:0413BF00B7C5C2D814 +:0413C000404086A281 +:0413C1000413BF85CD +:0413C20098710035E9 +:0413C300FC8503E3BF +:0413C40040A405B389 +:0413C500C03E853E63 +:0413C600577D279D8B +:0413C7001AE347825C +:0413C800BFBDFAE5C6 +:0413C9000085A303F5 +:0413CA00C82611110F +:0413CB00CA22CC0660 +:0413CC0084AEC232F7 +:0413CD000866E36368 +:0413CE0000C5D6037D +:0413CF004806771342 +:0413D00082AACB3DE5 +:0413D100470D48C8B4 +:0413D200073340801D +:0413D300498C02A798 +:0413D40007B345090D +:0413D500C03E40B422 +:0413D60002A74433F3 +:0413D7000016851364 +:0413D8007363953E68 +:0413D900842A00A4BE +:0413DA004006761340 +:0413DB00C63DC6360F +:0413DC0085A285164B +:0413DD00F0EFC41653 +:0413DE0042A2ECFF3C +:0413DF00473146B29A +:0413E0004602CD2DC7 +:0413E100C636488C38 +:0413E200F0EFC42A3A +:0413E300D603C5FF69 +:0413E400432200C4DC +:0413E500761346B283 +:0413E6006613B7F6DD +:0413E700962308063B +:0413E800478200C474 +:0413E9000064A823D1 +:0413EA00933EC8C0A6 +:0413EB00A0238C1D92 +:0413EC00C480006455 +:0413ED00F3638336ED +:0413EE0083360066DC +:0413EF00408845925B +:0413F000C01A861A7F +:0413F10044982701F4 +:0413F200450143026C +:0413F3004067073315 +:0413F4004098C498C1 +:0413F500A023933A64 +:0413F600A80D0064DA +:0413F70086228516AF +:0413F8002F05C416E3 +:0413F90042A2832A5F +:0413FA00FD4546B2B5 +:0413FB008516488C7F +:0413FC00F0EFC01638 +:0413FD004282DA5FEF +:0413FE00A0234731B0 +:0413FF00D70300E22E +:04140000557D00C452 +:041401000407671362 +:0414020000E4962349 +:04140300445240E22D +:04140400017144C26C +:04140500D703808207 +:04140600011300C509 +:04140700C122F78186 +:041408000793DEA6C2 +:04140900C30601F124 +:04140A00C02EC22A04 +:04140B000807771344 +:04140C00F41384B29F +:04140D00CB1DFF876D +:04140E00EB0D499801 +:04140F00040005933D +:04141000F0EFC636FD +:041411004782E03FEF +:04141200C38846B293 +:04141300E919CB8880 +:0414140047B1471283 +:04141500C31C557D22 +:04141600440A409AAA +:04141700011354F673 +:041418008082088145 +:0414190007134782EC +:04141A00CBD8040027 +:04141B0002000713B1 +:04141C0000E40CA339 +:04141D0003000713AE +:04141E0000042A2379 +:04141F0000E40D23B5 +:041420008726CA361B +:04142100025006135C +:0414220000074683F6 +:041423009863C2996F +:0414240003330AC6BE +:041425000263409787 +:0414260045820203F6 +:04142700869A45124A +:04142800C83A862612 +:04142900F0EFC61A00 +:04142A0056FDE7FF85 +:04142B001CD5026367 +:04142C0043324854AB +:04142D00969A474202 +:04142E004683C854D5 +:04142F0089630007C6 +:0414300004931A0601 +:04143100577D0017CC +:04143200000420236F +:041433000004262368 +:041434002423C05855 +:0414350001A300040B +:041436002C2304045B +:04143700C583040461 +:0414380077B700047E +:041439004615000153 +:04143A00A40785136B +:04143B0087132B7D6B +:04143C004014001444 +:04143D00F613E53984 +:04143E00C6090106D4 +:04143F00020006138E +:0414400004C401A33C +:041441000086F61318 +:041442000613C609BE +:0414430001A302B04F +:04144400C58304C494 +:041445000613000486 +:04144600816302A01C +:04144700445404C540 +:04144800458187262D +:0414490042A945254A +:04144A00000746034E +:04144B000017031370 +:04144C00FD06061380 +:04144D0006C57963F4 +:04144E00C454C99524 +:04144F000705A805E0 +:0414500077B7B7A112 +:0414510086130001FD +:041452000633A407B2 +:04145300450540C546 +:0414540000C5153387 +:04145500C0148EC968 +:04145600B75184BA4C +:041457000593465261 +:0414580042100046F8 +:041459004963CA2EEB +:04145A00C450020672 +:04145B00000746033D +:04145C0002E0069311 +:04145D0006D611633B +:04145E00001746032A +:04145F0002A006934E +:0414600002D61B6332 +:04146100070946D25F +:0414620000468613A7 +:04146300CA324294B3 +:041464000206C16358 +:04146500A081C0544E +:0414660040C0063349 +:041467000026E693E2 +:04146800C014C45098 +:0414690086B3B7E1AE +:04146A00458502565C +:04146B0096B2871A94 +:04146C0056FDBFA5C5 +:04146D000705B7C5F3 +:04146E000004222331 +:04146F0046814581EC +:0414700042A9452523 +:041471000007460327 +:041472000017031349 +:04147300FD06061359 +:0414740006C57763CF +:041475004583F1E1D9 +:0414760074B7000740 +:04147700460D00011D +:04147800A4848513B0 +:0414790021D1C63A7D +:04147A00CD01473227 +:04147B00A48484932E +:04147C0006938D0541 +:04147D0096B304001E +:04147E00400800A67C +:04147F008D5507057B +:041480004583C008D8 +:0414810075370007B4 +:041482004619000106 +:04148300A4C50513E4 +:0414840000170493B6 +:0414850000B40C2380 +:04148600C52D2949FE +:041487000000073723 +:04148800000707133F +:041489004014E70D17 +:04148A00F69347523C +:04148B00CE911006E8 +:04148C00CA3A071140 +:04148D0047A24858D2 +:04148E00C858973E65 +:04148F0086B3B599D2 +:041490004585025636 +:0414910096B2871A6E +:041492000721BFB5BA +:041493004602B7DD79 +:0414940056B74512F0 +:0414950008580001F2 +:04149600F246869301 +:04149700009785A293 +:0414980000E7000069 +:04149900C42A000061 +:04149A00577D47A291 +:04149B00FCE794E3F3 +:04149C00557D4782B1 +:04149D0000C7D703AA +:04149E0004077713B5 +:04149F00DC071EE365 +:0414A000BBD9484824 +:0414A10045124602A8 +:0414A200000156B738 +:0414A30086930858CC +:0414A40085A2F246E5 +:0414A500BFC12A0594 +:0414A600CA26110140 +:0414A70084B6833252 +:0414A800499445908E +:0414A900CE06CC227D +:0414AA0087AE842A5B +:0414AB0000C6D36341 +:0414AC00202386B2C1 +:0414AD00C60300D39F +:0414AE00C601043738 +:0414AF00202306856B +:0414B000439400D38E +:0414B1000206F693A6 +:0414B2002683C69136 +:0414B30006890003A3 +:0414B40000D320231E +:0414B5000007A28307 +:0414B6000197839384 +:0414B7000062F2934A +:0414B8000602836342 +:0414B900C683439013 +:0414BA00761304376A +:0414BB0036B302063C +:0414BC00EA4100D031 +:0414BD000437861357 +:0414BE00852285A658 +:0414BF00C23EC41A4B +:0414C0009702C03A95 +:0414C100086356FD69 +:0414C200479206D572 +:0414C300451143226A +:0414C4002603439424 +:0414C50047CC00030D +:0414C60043018A99BB +:0414C70097634702DE +:0414C800833300A6C4 +:0414C900536340C564 +:0414CA0043010003D7 +:0414CB004B90479467 +:0414CC0000D654638F +:0414CD0093368E9133 +:0414CE0007E9428167 +:0414CF00065312634B +:0414D000A81D45010D +:0414D10047D4028575 +:0414D20000032603EA +:0414D300DBE38E9138 +:0414D4004685F8D27F +:0414D50085A6861E44 +:0414D600C81A852289 +:0414D700C416C63E33 +:0414D800C03AC21E36 +:0414D90056FD970223 +:0414DA0043924702F0 +:0414DB0047B242A230 +:0414DC0019E343428B +:0414DD00557DFCD568 +:0414DE00446240F232 +:0414DF00610544D28D +:0414E00085B38082CE +:0414E100051300D718 +:0414E20081A30300DF +:0414E300C58304A514 +:0414E4008613045710 +:0414E500963E001619 +:0414E60001A30689CF +:0414E700BF9904B6EF +:0414E800863E468571 +:0414E900852285A62D +:0414EA00C41AC61644 +:0414EB00C03AC23E03 +:0414EC0056FD970210 +:0414ED00FCD501E346 +:0414EE00432242B2A1 +:0414EF000285479299 +:0414F000BFAD470243 +:0414F100CC221101F7 +:0414F200C232842E50 +:0414F3000184460327 +:0414F400CE06CA2630 +:0414F500C02A84B6CF +:0414F60006E0069373 +:0414F70004358593A0 +:0414F8001ED6086391 +:0414F90006C6E463DC +:0414FA00063006931F +:0414FB000AD60463A6 +:0414FC0000C6ED63D6 +:0414FD00200604635E +:0414FE0005800693CC +:0414FF0016D60C638E +:0415000004240313A9 +:0415010004C40123FA +:041502000693A8792B +:041503000663064035 +:04150400069300D674 +:0415050015E3069054 +:041506004014FED6B9 +:0415070000072303B3 +:041508000806F613C8 +:041509000043051383 +:04150A002683C24929 +:04150B00C30800030E +:04150C00000176372D +:04150D000006D86399 +:04150E0002D00713ED +:04150F0040D006B30F +:0415100004E401A34B +:04151100A5460613D2 +:04151200A07D472948 +:041513000730069304 +:041514001AD60A6376 +:0415150000C6EF63BA +:0415160006F0069342 +:0415170006D6006391 +:04151800070006932F +:04151900F8D61EE3FF +:04151A00E693401400 +:04151B00C0140206F0 +:04151C000693A80981 +:04151D00036307500D +:04151E00069304D656 +:04151F0011E307804D +:041520000693F8D660 +:041521007637078092 +:0415220002A300011F +:04152300061304D4D3 +:04152400A0FDA686FA +:041525000313431455 +:041526008613042400 +:04152700C3100046A7 +:0415280001234298C1 +:04152900470504E48A +:04152A00F613AABD4D +:04152B002683040609 +:04152C00C3080003ED +:04152D0006C2DE35DF +:04152E00BF9D86C116 +:04152F004314400819 +:0415300008057293A5 +:0415310000468313DA +:0415320000028663CA +:04153300006720230A +:04153400A801429434 +:041535000405751321 +:041536000067202307 +:04153700D683D97509 +:041538000513000691 +:04153900773706F00A +:04153A000D6300013C +:04153B0006130CA6E1 +:04153C004729A5474F +:04153D00040401A3FE +:04153E00C408404855 +:04153F0000054863F8 +:04154000000423037D +:04154100FFB373136E +:0415420000642023FE +:04154300832EE29978 +:04154400832ECD1114 +:0415450002E6F53392 +:041546009532137D4A +:041547000005450353 +:0415480000A30023D9 +:0415490002E6D533AE +:04154A000AE6F26358 +:04154B001E6346A134 +:04154C00401800D76C +:04154D00CB118B052E +:04154E0048184054A5 +:04154F0000D7476317 +:04155000030007137A +:04155100FEE30FA303 +:0415520085B3137DCD +:04155300C80C40651B +:041554004502469274 +:0415550008108726CD +:04155600C41A85A28C +:04155700D3DFF0EFFF +:041558004322577D56 +:041559000CE5156325 +:04155A0040F2557D89 +:04155B0044D24462D0 +:04155C008082610523 +:04155D0004C402A31D +:04155E0000017637DB +:04155F00A546061384 +:041560002303400819 +:04156100729300077A +:0415620026830805CF +:04156300031100036D +:04156400020281639B +:0415650000672023D8 +:0415660000157713E2 +:041567006513C70140 +:04156800C0080205B0 +:04156900F6B9474147 +:04156A0075134008AD +:04156B00C008FDF5C2 +:04156C007293B7912E +:04156D00202304052E +:04156E008FE30067A0 +:04156F0006C2FC02B2 +:04157000BFD982C19C +:04157100A547061371 +:04157200B72D472129 +:04157300B79986AAF4 +:0415740043144010CC +:0415750072934848DD +:0415760083130806CD +:041577008763004640 +:04157800202300022A +:04157900429800672D +:04157A00A809C308F1 +:04157B0000672023C2 +:04157C0004067613D8 +:04157D00DA6D429849 +:04157E0000A710238F +:04157F000004282319 +:04158000B7B9832E46 +:041581004581431449 +:041582000046861386 +:04158300A303C310EB +:0415840040500006CD +:04158500C41A851AE5 +:04158600432228498B +:041587000533C50162 +:04158800C0484065B2 +:04158900C8184058E6 +:04158A00040401A3B1 +:04158B004814B71534 +:04158C00450245923D +:04158D009482861AA4 +:04158E0007E3577D9B +:04158F004018F2E529 +:04159000EB158B09C3 +:041591004448474241 +:04159200F2E551E34A +:04159300BF31853AA5 +:041594004502459235 +:04159500861A4685E7 +:04159600C41AC63A73 +:0415970056FD9482E7 +:04159800F0D504E3A3 +:041599004322473270 +:04159A0044540705A9 +:04159B008E914642A5 +:04159C00FED740E353 +:04159D004701BFC182 +:04159E00019403139E +:04159F001151B7FD32 +:0415A000C026C2227D +:0415A10084AA646153 +:0415A200C406852EC8 +:0415A3009004262367 +:0415A40057FD20FDD2 +:0415A50000F51663D4 +:0415A60090C4278343 +:0415A700C09CC39190 +:0415A800441240A207 +:0415A9000131448246 +:0415AA00F5938082B3 +:0415AB00962A0FF578 +:0415AC0000C51463FF +:0415AD0080824501F2 +:0415AE00000547836A +:0415AF00FEB78DE313 +:0415B000B7FD050579 +:0415B10004A5F36337 +:0415B20000C586B337 +:0415B30002D57F637B +:0415B400FFF6459366 +:0415B50017FD478156 +:0415B60000F5936346 +:0415B7008733808274 +:0415B800430300F6F3 +:0415B900873300076D +:0415BA00972A00C7A5 +:0415BB0000670023A2 +:0415BC008733B7DDDD +:0415BD00468300F56C +:0415BE0007330007E8 +:0415BF00078500F5A7 +:0415C00000D700232D +:0415C100FEF617E338 +:0415C200478180825B +:0415C3008082BFE57E +:0415C400E5818082BB +:0415C500F06F85B28C +:0415C6001131F2EFFE +:0415C700C622C8066A +:0415C800EA11C4263A +:0415C900E72FF0EF29 +:0415CA0040C2478153 +:0415CB0044A24432C0 +:0415CC000151853E06 +:0415CD00842E808266 +:0415CE0084AAC032F9 +:0415CF004602203D73 +:0415D00074E387A297 +:0415D10085B2FEC51C +:0415D200C232852676 +:0415D300EF8FF0EFB7 +:0415D400DD6187AAA4 +:0415D50085A2461293 +:0415D600F0EFC02A48 +:0415D70085A2C8EF32 +:0415D800F0EF852685 +:0415D9004782E34F13 +:0415DA00A783B7C963 +:0415DB008513FFC5B0 +:0415DC00D563FFC70D +:0415DD0095AA0007C4 +:0415DE00953E419C59 +:0415DF0011418082B4 +:0415E000645DC42260 +:0415E1004004278318 +:0415E200C226C60651 +:0415E3000413832A40 +:0415E400EB8540044F +:0415E50045814501F6 +:0415E60046814601F3 +:0415E7000293470123 +:0415E80000730D601F +:0415E90084AA0000D0 +:0415EA0000055C6339 +:0415EB0004B328819C +:0415EC00C104409066 +:0415ED0040B2557D36 +:0415EE0044924422BD +:0415EF0080820141B4 +:0415F0004008C008E7 +:0415F10046014581E9 +:0415F2004681951A7F +:0415F30047814701E4 +:0415F4000D600293F1 +:0415F500000000737F +:0415F600596384AA07 +:0415F700C01A000511 +:0415F8004302283151 +:0415F900409004B367 +:0415FA0054FDC104D7 +:0415FB00557D401CBE +:0415FC0092E3933EA5 +:0415FD00C004FC64C6 +:0415FE00BF75853EF2 +:0415FF00A50367DDFC +:041600008082388725 +:0416010000000000E5 +:0416020000000000E4 +:0416030000000000E3 +:0416040000000000E2 +:04160500FFFFFFFFE5 +:04160600FFFFFFFFE4 +:041607000000FFFFE1 +:041608000001052CAC +:0416090000010532A5 +:04160A00000105389E +:04160B000001053E97 +:04160C00000104E0F5 +:04160D0000010500D3 +:04160E0000010500D2 +:04160F0000010500D1 +:0416100000010500D0 +:0416110000010500CF +:0416120000010500CE +:0416130000010504C9 +:041614000001050AC2 +:041615000001231E8F +:04161600000123EEBE +:04161700000123EEBD +:0416180000012400A9 +:0416190000012400A8 +:04161A000001240A9D +:04161B000001240E98 +:04161C000001241C89 +:04161D000001241C88 +:04161E00000124346F +:04161F00000125722F +:041620000001257828 +:041621000001257827 +:04162200000125722C +:041623000001257C21 +:041624000001243469 +:041625000001257229 +:041626000001257822 +:0416270000015970F5 +:0416280000015980E4 +:041629000001598CD7 +:04162A0000015998CA +:04162B00000159A4BD +:04162C00000159B0B0 +:04162D00000159BCA3 +:04162E00000159C896 +:04162F00000159D489 +:04163000000159E07C +:04163100000159EC6F +:0416320074204B4F86 +:041633006E69206F4D +:0416340000007469D5 +:04163500736C61462B +:041636007274206545 +:041637006567676913 +:04163800000000723C +:04163900656D69541E +:04163A000074756F54 +:04163B00252E7525BE +:04163C006D75322E68 +:04163D000000007336 +:04163E00252E7525BB +:04163F006D75322E65 +:0416400075252F736A +:04164100322E252EF2 +:0416420000736D754F +:041643004353534F6B +:041644007766202085 +:041645007525202EB9 +:04164600322E252EED +:0416470000006175C9 +:0416480074696E490A +:041649007272652034 +:04164A002020726F7B +:04164B000000642512 +:04164C00202020201A +:04164D0053204F4E89 +:04164E0000434E59AE +:04164F0034313032D0 +:041650003130322DD6 +:041651006D202038B0 +:0416520073717261DD +:041653000000000093 +:0416540025207325B5 +:041655000063257594 +:04165600252E7525A3 +:041657006B75322E4F +:0416580025207A4887 +:041659002E252E7597 +:04165A007A48753223 +:04165B00000000008B +:04165C0074736554EA +:04165D007461702024 +:04165E006E726574CF +:04165F000000000087 +:041660003A31564184 +:04166100424752208A +:041662000000005331 +:041663003A31564181 +:041664007347522056 +:04166500000000423F +:041666003A3156417E +:041667006250592054 +:0416680000007250BC +:041669003A3256417A +:04166A006250592051 +:04166B0000007250B9 +:04166C003A32564177 +:04166D00734752204D +:04166E000000004236 +:04166F003A33564173 +:04167000424752207B +:0416710000005648D7 +:041672003A33564170 +:041673004247522078 +:04167400000000531F +:041675003A3356416D +:041676007347522044 +:04167700000000422D +:041678003A3356416A +:041679006250592042 +:04167A0000007250AA +:04167B007473614CD7 +:04167C0065737520FD +:04167D000000006405 +:04167E000000000068 +:04167F000000000067 +:041680000000000165 +:041681000001010162 +:041682000000000163 +:041683000000000063 +:041684000000011051 +:041685000044060B0C +:04168600000001005F +:04168700000100005E +:041688008080800CD2 +:04168900081A1A1A07 +:04168A000000000A52 +:04168B000001283002 +:04168C00000128C66B +:04168D00000128CE62 +:04168E00000128BE71 +:04168F00000128CA64 +:04169000000128D25B +:04169100000128C26A +:04169200000129002A +:04169300000128D654 +:04169400000128DA4F +:04169500000128DE4A +:041696000001290026 +:041697000001290025 +:041698000001290024 +:041699000001290023 +:04169A000001290022 +:04169B0000012BB46B +:04169C000001290A16 +:04169D000001299C83 +:04169E00000129AC72 +:04169F00000129C657 +:0416A00000012A0417 +:0416A100000129E833 +:0416A20000012A1AFF +:0416A30000012AFA1E +:0416A40000012B1CFA +:0416A50000012B32E3 +:0416A600666F7250A9 +:0416A7002075252E57 +:0416A800007339256D +:0416A900756C342503 +:0416AA00632563252C +:0416AB006C2520206A +:0416AC0000000075C5 +:0416AD00656E694CB1 +:0416AE00746C756D76 +:0416AF00646F6D20D7 +:0416B00000003A6597 +:0416B100736572707B +:0416B2002D31207343 +:0416B30000000035FE +:0416B4002078752500 +:0416B50075736E7566 +:0416B600726F70706F +:0416B70000646574F2 +:0416B800666F725097 +:0416B90020656C69D3 +:0416BA0064616F6C8C +:0416BB000000003AF1 +:0416BC007365727070 +:0416BD002D30207339 +:0416BE0000000039EF +:0416BF0000015BC00B +:0416C00000015BC406 +:0416C10000015BC801 +:0416C20000015BCCFC +:0416C30000015ACCFC +:0416C40000015BD0F6 +:0416C50000015BD4F1 +:0416C60000015BD8EC +:0416C70000015AF8CC +:0416C800000164F8C1 +:0416C90000015BDCE5 +:0416CA0000015BE4DC +:0416CB0000015BE8D7 +:0416CC0000015BF0CE +:0416CD0000015BF4C9 +:0416CE0000015BFCC0 +:0416CF0000015C04B6 +:0416D00000015C0CAD +:0416D10000015C14A4 +:0416D20000015C2493 +:0416D30000015C3482 +:0416D40000015C4471 +:0416D50000015C5460 +:0416D60000015C644F +:0416D70000015C743E +:0416D80000015C7C35 +:0416D90000015C842C +:0416DA003EA93E29BE +:0416DB003EE93E693D +:0416DC003E993E19DC +:0416DD003ED93E595B +:0416DE003EC93E398A +:0416DF003E1D3E4D21 +:0416E0003E2D3EED70 +:0416E1003EAD3ECD0F +:0416E2003E653E6DB6 +:0416E3001C483E0160 +:0416E4001C501C1862 +:0416E5001CC81CD031 +:0416E6005ED85E5814 +:0416E70000003EB908 +:0416E8007365725064 +:0416E900000000738A +:0416EA00666E6F4376 +:0416EB00006D7269B3 +:0416EC006D73694D64 +:0416ED006863746159 +:0416EE006572202CD5 +:0416EF000079727498 +:0416F00000000031C5 +:0416F10000000032C3 +:0416F20000000033C1 +:0416F30000000034BF +:0416F40000000036BC +:0416F50000000037BA +:0416F60000000038B8 +:0416F700554E454DBA +:0416F80000000000EE +:0416F90000004B4F53 +:0416FA004B434142DB +:0416FB0000000000EB +:0416FC000000505545 +:0416FD004E574F44B1 +:0416FE0000000000E8 +:0416FF005446454CBC +:0417000000000000E5 +:0417010048474952BA +:04170200000000548F +:041703004F464E49B6 +:0417040000000000E1 +:041705005F44434CAE +:041706004B434142CE +:041707004847494CBA +:041708000000005489 +:041709004E414353B7 +:04170A00454E494CB3 +:04170B00444F4D5F9B +:04170C000000004594 +:04170D004E414353B3 +:04170E00454E494CAF +:04170F005059545F7A +:041710000000004590 +:041711004E414353AF +:04171200454E494CAB +:04171300544E495F88 +:041714000000002BA6 +:041715004E414353AB +:04171600454E494CA7 +:04171700544E495F84 +:041718000000002DA0 +:04171900454E494CA4 +:04171A00544C554D89 +:04171B00444F4D5F8B +:04171C000000004584 +:04171D00534148509C +:04171E0000002B4557 +:04171F00534148509A +:0417200000002D4553 +:04172100464F52508D +:041722005F454C498A +:041723004B544F488C +:041724000000594523 +:041725006F72724528 +:04172600000000724D +:0417270053206F4E8E +:041728006163204495 +:041729006420647262 +:04172A00002E7465B4 +:04172B0061766E492C +:04172C002064696C60 +:04172D006461656826 +:04172E0000007265E0 +:04172F0061766E4928 +:041730002064696C5C +:041731002072646856 +:0417320000435243DB +:0417330061766E4924 +:041734002064696C58 +:041735006174616416 +:0417360043524320B7 +:0417370000000000AE +:041738006164705523 +:041739006320657450 +:04173A0065636E6114 +:04173B0064656C6C09 +:04173C0000000000A9 +:04173D0073616C4622 +:04173E006572206848 +:04173F00652064615C +:0417400000007272C1 +:0417410073616C461E +:041742007265206844 +:041743002065736149 +:041744000072726558 +:0417450073616C461A +:04174600727720682E +:04174700206574693C +:041748000072726554 +:0417490073616C4616 +:04174A006576206838 +:04174B002066697239 +:04174C006C696166FD +:04174D000000000098 +:04174E0061766E4909 +:04174F002064696C3D +:0417500067616D69F7 +:04175100000000652F +:041752004353534F5B +:041753000000000092 +:04175400696C615605 +:0417550069746164EE +:041756006420676E36 +:041757000061746158 +:041758006220752571 +:0417590073657479C7 +:04175A00000000008B +:04175B00252E75259D +:04175C002575322E8F +:04175D00007325737D +:04175E0061647055FD +:04175F00676E6974D4 +:0417600000574620C8 +:0417610061656C70E2 +:041762007720657314 +:041763002E74696116 +:0417640000002E2E25 +:0417650069726556EA +:041766006E697966C9 +:041767006C66206725 +:041768000068736141 +:0417690061656C70DA +:04176A007220657311 +:04176B0061747365CD +:04176C000000747293 +:04176D0072746552DB +:04176E00676E6979C0 +:04176F00647075200D +:04177000006574613B +:0417710061647055EA +:04177200203F65743B +:041773002C593D317F +:041774004E3D322094 +:041775000000000070 +:041776006D726946E1 +:0417770065726177BF +:041778006470752004 +:0417790064657461CE +:04177A00000000006B +:04177B000001320A2D +:04177C00000131EA4D +:04177D00000131C472 +:04177E00000131DC59 +:04177F00000132BC77 +:04178000000132BC76 +:0417810000013370C0 +:041782000001339699 +:04178300000133A28C +:04178400000133E24B +:04178500000133B676 +:04178600000132D05C +:04178700000132D05B +:041788000001331415 +:0417890000013340E8 +:04178A0000013340E7 +:04178B00642064254D +:04178C000000004217 +:04178D00656E6F44D2 +:04178E000000000057 +:04178F006C696146DA +:04179000000064658C +:0417910000007325BC +:04179200252E752566 +:041793002075322E5D +:041794000000737569 +:041795006C2075252A +:0417960073656E69A0 +:04179700000000004E +:041798006C206F4E04 +:04179900006B6E690A +:04179A0000017427AF +:04179B000000000248 +:04179C00000130FC1C +:04179D00000163B034 +:04179E00000163BC27 +:04179F00000163C41E +:0417A000702075251B +:0417A1006C65786992 +:0417A20000000073D0 +:0417A30000017429A4 +:0417A4000000000E33 +:0417A50000012FAE62 +:0417A6006420642532 +:0417A7000000676572 +:0417A8002525752559 +:0417A900000000003C +:0417AA0065646956B3 +:0417AB006E69206FD4 +:0417AC006F727020C8 +:0417AD003E20206357 +:0417AE000000000037 +:0417AF00706D6153A5 +:0417B000676E696C8B +:0417B10074706F20C1 +:0417B2003E20202E87 +:0417B3000000000032 +:0417B400636E795394 +:0417B50074706F20BD +:0417B6002020202EA1 +:0417B7003E20202090 +:0417B800000000002D +:0417B9007074754F84 +:0417BA006F207475B3 +:0417BB00202E7470F8 +:0417BC003E2020208B +:0417BD000000000028 +:0417BE0074736F5081 +:0417BF006F72702DA8 +:0417C00020202E6354 +:0417C1003E20202086 +:0417C2000000000023 +:0417C300706D6F4393 +:0417C4006269746181 +:0417C50074696C696E +:0417C6003E20207928 +:0417C700000000001E +:0417C800696475419A +:0417C900706F206FAE +:0417CA006E6F697461 +:0417CB003E20207329 +:0417CC000000000019 +:0417CD007474655378 +:0417CE0073676E6966 +:0417CF0074706F20A3 +:0417D0003E20202077 +:0417D1000000000014 +:0417D200616F4C3CBB +:0417D30072702064AC +:0417D4006C69666F67 +:0417D500003E20654D +:0417D6007661533CA9 +:0417D70072702065A7 +:0417D8006C69666F63 +:0417D900003E206549 +:0417DA007365523CA5 +:0417DB00732074659E +:0417DC006974746553 +:0417DD003E73676E82 +:0417DE000000000007 +:0417DF006B6E694C78 +:0417E0006F72702094 +:0417E100693E2D66CA +:0417E2007475706E3C +:0417E3000000000002 +:0417E4006B6E694C73 +:0417E500706E692099 +:0417E6003E2D7475AB +:0417E700666F727047 +:0417E80000000000FD +:0417E90074696E4968 +:0417EA00206C6169A5 +:0417EB0075706E693E +:0417EC000000007485 +:0417ED006F7475415F +:0417EE006574656455 +:0417EF006920746396 +:0417F0007475706E2E +:0417F10000000000F4 +:0417F2006F7475415A +:0417F300315641200A +:0417F400472F592002 +:0417F500000000737D +:0417F6006F74754156 +:0417F7003256412005 +:0417F800472F5920FE +:0417F9000000007379 +:0417FA006F74754152 +:0417FB003356412000 +:0417FC00472F5920FA +:0417FD000000007375 +:0417FE002044434CF4 +:0417FF0074204C42C4 +:041800006F656D693A +:0418010000007475FA +:04180200706D493C80 +:041803002074726F6C +:041804007474657320 +:041805003E20202E33 +:0418060000000000DE +:041807002E77463CB6 +:041808006470752073 +:041809002065746181 +:04180A003E2020203C +:04180B0000000000D9 +:04180C006E776F4440 +:04180D006D61732D69 +:04180E006E696C7023 +:04180F00000000676E +:041810007061775339 +:0418110066656C207C +:0418120069722F7454 +:04181300007468678E +:041814002D6572507C +:0418150020434441E7 +:041816006E6961672F +:0418170000000000CD +:041818006C6C754639 +:0418190020585420DF +:04181A007574657309 +:04181B000000007059 +:04181C0020335641DE +:04181D0065746E6917 +:04181E0063616C7224 +:04181F007869666519 +:0418200000000000C4 +:041821006E6163533E +:04182200656E696C1A +:04182300000000734E +:041824006E6163533B +:04182500656E696C17 +:041826007274732045 +:041827000000002E8F +:04182800202E6C53AF +:041829007262796806 +:04182A00732064695A +:04182B00002E7274A5 +:04182C006E61635333 +:04182D00656E696C0F +:04182E0074656D2050 +:04182F0000646F687A +:041830006E6163532F +:04183100656E696C0B +:041832007079742035 +:04183300000000654C +:041834006E6163532B +:04183500656E696C07 +:04183600696C612058 +:041837002E6D6E673D +:0418380000000000AC +:0418390069726F4819 +:04183A00746E6F7ADF +:04183B006D206C614F +:04183C00006B736169 +:04183D007472655606 +:04183E006C6163690D +:04183F0073616D2044 +:041840000000006B39 +:041841006B73614D17 +:041842006972622045 +:041843006E746867F0 +:041844000073736555 +:04184500657665520D +:041846002065737234 +:041847000046504CBB +:041848005949443C7A +:0418490074616C203A +:04184A006574202E73 +:04184B00003E747374 +:04184C007030343292 +:04184D003838322FC6 +:04184E007270207024 +:04184F000000636FC3 +:041850007034383385 +:041851006F72702022 +:04185200000000632F +:04185300693038348C +:041854003637352FBF +:041855007270206924 +:041856000000636FBC +:041857007030383481 +:041858003637352FBB +:041859007270207019 +:04185A000000636FB8 +:04185B006930363981 +:04185C003830312FC0 +:04185D00702069305E +:04185E0000636F7242 +:04185F00656E694CFD +:041860006D2078324D +:041861000065646F4B +:04186200656E694CFA +:041863006D20783349 +:041864000065646F48 +:04186500656E694CF7 +:041866006D20783445 +:041867000065646F45 +:04186800656E694CF4 +:041869006D20783541 +:04186A000065646F42 +:04186B00656E694CF1 +:04186C006620783545 +:04186D00616D726FC8 :04186E000000007402 -:04186F002044434C82 -:0418700074204C4252 -:041871006F656D69C9 -:041872000000747589 -:041873006E776F44D9 -:041874006D61732D02 -:041875006E696C70BC -:041876000000006707 -:0418770070617753D2 -:0418780066656C2015 -:0418790069722F74ED -:04187A000074686727 -:04187B002D65725015 -:04187C002043444180 -:04187D006E696167C8 -:04187E000000000066 -:04187F006C6C7546D2 -:041880002058542078 -:0418810075746573A2 -:0418820000000070F2 -:041883002033564177 -:0418840065746E69B0 -:0418850063616C72BD -:0418860078696665B2 -:04188700000000005D -:041888006E616353D7 -:04188900656E696CB3 -:04188A0000000073E7 -:04188B006E616353D4 -:04188C00656E696CB0 -:04188D0072747320DE -:04188E000000002E28 -:04188F00202E6C5348 -:04189000726279689F -:0418910073206469F3 -:04189200002E72743E -:041893006E616353CC -:04189400656E696CA8 -:0418950074656D20E9 -:0418960000646F6813 -:041897006E616353C8 -:04189800656E696CA4 -:0418990070797420CE -:04189A0000000065E5 -:04189B006E616353C4 -:04189C00656E696CA0 -:04189D00696C6120F1 -:04189E002E6D6E67D6 -:04189F000000000045 -:0418A00069726F48B2 -:0418A100746E6F7A78 -:0418A2006D206C61E8 -:0418A300006B736102 -:0418A400747265569F -:0418A5006C616369A6 -:0418A60073616D20DD -:0418A7000000006BD2 -:0418A8006B73614DB0 -:0418A90069726220DE -:0418AA006E74686789 -:0418AB0000737365EE -:0418AC0065766552A6 -:0418AD0020657372CD -:0418AE000046504C54 -:0418AF005949443C13 -:0418B00074616C20D3 -:0418B1006574202E0C -:0418B200003E74730D -:0418B300703034322B -:0418B4003838322F5F -:0418B50072702070BD -:0418B6000000636F5C -:0418B700703438331E -:0418B8006F727020BB -:0418B90000000063C8 -:0418BA006930383425 -:0418BB003637352F58 -:0418BC0072702069BD -:0418BD000000636F55 -:0418BE00703038341A -:0418BF003637352F54 -:0418C00072702070B2 -:0418C1000000636F51 -:0418C200693036391A -:0418C3003830312F59 -:0418C40070206930F7 -:0418C50000636F72DB -:0418C600656E694C96 -:0418C7006D207832E6 -:0418C8000065646FE4 -:0418C900656E694C93 -:0418CA006D207833E2 -:0418CB000065646FE1 -:0418CC00656E694C90 -:0418CD006D207834DE -:0418CE000065646FDE -:0418CF00656E694C8D -:0418D0006D207835DA -:0418D1000065646FDB -:0418D200656E694C8A -:0418D30066207835DE -:0418D400616D726F61 -:0418D500000000749B -:0418D60078363532F9 -:0418D7002030343257 -:0418D8006570736163 -:0418D9000000746334 -:0418DA006D205854D1 -:0418DB000065646FD1 -:0418DC00494D4448E6 -:0418DD004354492007 -:0418DE000000000006 -:0418DF006C616E4189 -:0418E0007320676F9B -:0418E10020636E7999 -:0418E2000046504C20 -:0418E3006C616E4185 -:0418E4007320676F97 -:0418E50020636E7995 -:0418E60000687456CC -:0418E7006E7973485B -:0418E8006F74206396 -:0418E9006172656C57 -:0418EA000065636EC4 -:0418EB006E79735649 -:0418EC006874206399 -:0418ED006873657245 -:0418EE0000646C6FB7 -:0418EF004C502D48E4 -:0418F0007250204CC6 -:0418F1006F432D65AF -:0418F20000747361AA -:0418F3004C502D48E0 -:0418F4006F50204CC5 -:0418F500432D747398 -:0418F6007473616F37 -:0418F70000000000ED -:0418F800706D61535B -:0418F900676E696C41 -:0418FA006168702091 -:0418FB000000657311 -:0418FC0070303834DC -:0418FD00206E6920D0 -:0418FE00706D617335 -:0418FF000072656CA2 -:041900006F6C6C415B -:0419010056542077A1 -:0419020050482050D9 -:0419030078324C4C9E -:0419040000000000DF -:041905006F6C6C4156 -:041906007075207761 -:04190700706D61732B -:041908007832656C60 -:0419090000000000DA -:04190A007664413C82 -:04190B006974202EAD -:04190C00676E696D2C -:04190D003E20202038 -:04190E0000000000D5 -:04190F00656469564C -:04191000504C206FA8 -:04191100000000468C -:041912005062505976 -:041913006E69207267 -:041914006C6F432091 -:0419150000617053AA -:0419160072502F528A -:0419170066666F2071 -:04191800007465737F -:0419190020592F47DB -:04191A007366666F1B -:04191B0000007465EF -:04191C0062502F42A4 -:04191D0066666F206B -:04191E000074657379 -:04191F0072502F5281 -:041920006961672072 -:041921000000006E54 -:0419220020592F47D2 -:041923006E69616721 -:0419240000000000BF -:0419250062502F429B -:04192600696167206C -:041927000000006E4E -:041928002D65725067 -:0419290020434441D2 -:04192A006E6961473A -:04192B0000000000B8 -:04192C0073202E48AE -:04192D006C706D610C -:04192E007461726509 -:04192F00000000654F -:0419300073202E48AA -:041931006C636E79FC -:0419320000006E65DE -:0419330062202E48B8 -:04193400706B636110 -:041935006863726F02 -:0419360000000000AD -:0419370061202E48B5 -:0419380076697463F5 -:041939000000006545 -:04193A0073202E5692 -:04193B006C636E79F2 -:04193C0000006E65D4 -:04193D0062202E56A0 -:04193E00706B636106 -:04193F006863726FF8 -:0419400000000000A3 -:0419410061202E569D -:0419420076697463EB -:04194300000000653B -:041944000066664F84 -:0419450000007333F8 -:0419460000733031C9 -:0419470000733033C6 -:041948002D706F543B -:041949007466656CEF -:04194A000000000099 -:04194B00746E65430E -:04194C0000007265C0 -:04194D0074746F42FD -:04194E00722D6D6F1A -:04194F0074686769E8 -:041950000000000093 -:041951002066664F57 -:041952002073662870 -:041953003639203DC4 -:04195400297A486B39 -:04195500000000008E -:0419560020207832A3 -:04195700207366286B -:041958003834203DC2 -:04195900297A486B34 -:04195A000000000089 -:04195B0000706F5455 -:04195C0074746F42EE -:04195D0000006D6FAA -:04195E0069726F48F3 -:04195F00746E6F7AB9 -:0419600000006C61B6 -:0419610074726556E1 -:041962006C616369E8 -:041963000000000080 -:0419640065746C41F9 -:0419650074616E72C9 -:0419660000676E693F -:04196700746C754DDA -:04196800696C7069CD -:0419690069746163D9 -:04196A0000006E6F9C -:04196B0074627553DA -:04196C0074636172CD -:04196D00006E6F6930 -:04196E006F747541DC -:04196F000000000074 -:04197000756E614DE2 -:0419710000006C61A5 -:04197200494D44484F -:041973000000000070 -:04197400004956448C -:0419750000373A38C5 -:0419760073736150D6 -:0419770075726874A9 -:04197800000000006B -:04197900656E694CE2 -:04197A002820783277 -:04197B0029626F620C -:04197C000000000067 -:04197D00656E694CDE -:04197E0000007832BB -:04197F00656E694CDC -:041980002820783370 -:041981006563616CCD -:0419820000002964D4 -:04198300656E694CD8 -:04198400282078346B -:0419850029626F6202 -:04198600000000005D -:04198700656E694CD4 -:0419880000007833B0 -:04198900656E694CD2 -:04198A0000007834AD -:04198B00656E694CD0 -:04198C0000007835AA -:04198D00303239318A -:04198E003830317844 -:04198F000000003024 -:04199000303036318C -:041991003032317847 -:041992000000003021 -:041993003032393184 -:041994003032317844 -:04199500000000301E -:04199600656E6547CE -:0419970020636972EE -:0419980000333A34AA -:04199900783032333D -:04199A002030343293 -:04199B006974706F8C -:04199C0000002E6DAC -:04199D007836353231 -:04199E00203034328F -:04199F006974706F88 -:0419A00000002E6DA8 -:0419A100656E6547C3 -:0419A20020636972E3 -:0419A300393A363166 -:0419A400000000003F -:0419A5004D352E325C -:0419A60028207A4833 -:0419A7002978616DCD -:0419A800000000003B -:0419A900484D303144 -:0419AA006D28207A0A -:0419AB000029646546 -:0419AC00484D33333C -:0419AD006D28207A07 -:0419AE0000296E6935 -:0419AF002056544426 -:0419B0007030383427 -:0419B1000000000032 -:0419B2004153455602 -:0419B3003034362076 -:0419B400303834781B -:0419B5000030364088 -:0419B6002E636552E5 -:0419B7003130362075 -:0419B800000000002B -:0419B9002E636552E2 -:0419BA003930372069 -:0419BB000000000028 -:0419BC00484D353924 -:0419BD004828207A1C -:0419BE002056544417 -:0419BF000029494969 -:0419C000484D353326 -:0419C1004828207A18 -:0419C2002056544413 -:0419C30000002949AE -:0419C400484D363123 -:0419C5004528207A17 -:0419C6002956544406 -:0419C700000000001C -:0419C8007A484D39D3 -:0419C900445328203B -:0419CA000029565446 -:0419CB0000006E4F5B -:0419CC006D20642501 -:0419CD0000000056C0 -:0419CE002020202095 -:0419CF00000075257A -:0419D000000176CAD2 -:0419D1000000001CF6 -:0419D20000012D7E65 -:0419D30073616C468A -:0419D40065722068B0 -:0419D50065206461C4 -:0419D600726F727248 -:0419D7000000000A02 -:0419D80044525355CD -:0419D9000041544134 -:0419DA0075206F4EB7 -:0419DB00647265735A -:0419DC0020617461B1 -:0419DD006E756F664E -:0419DE006E6F2064A4 -:0419DF00746E65209D -:0419E00025207972D3 -:0419E10000000A7583 -:0419E2006174614487 -:0419E3007265762093 -:0419E4006E6F697346 -:0419E5002E75252016 -:0419E60064207525DF -:0419E7002073656F95 -:0419E80020746F6E8A -:0419E9006374616D55 -:0419EA007766206894 -:0419EB000000000AEE -:0419EC0064204352DE -:0419ED0020617461A0 -:0419EE006461657259 -:0419EF007525282012 -:0419F0007479622084 -:0419F1000A297365E7 -:0419F20000000000F1 -:0419F300666F725059 -:0419F40020656C6995 -:0419F50064207525D0 -:0419F6002061746197 -:0419F7006461657250 -:0419F8007525282009 -:0419F900747962207B -:0419FA000A297365DE -:0419FB0000000000E8 -:0419FC006E6B6E554B -:0419FD00206E776F72 -:0419FE007265737526 -:0419FF00617461644A -:041A0000746E65207B -:041A0100000A7972EC -:041A020061766E6932 -:041A03002064696C86 -:041A040072746E6525 -:041A050000000A795A -:041A060074696E4948 -:041A0700666E6F6335 -:041A08006420676986 -:041A09002061746183 -:041A0A007469727712 -:041A0B00206E657470 -:041A0C0020752528F4 -:041A0D006574796221 -:041A0E00000A29732E -:041A0F00666F72503C -:041A100020656C6978 -:041A110064207525B3 -:041A1200206174617A -:041A13007469727709 -:041A1400206E657467 -:041A150020752528EB -:041A16006574796218 -:041A1700000A297325 -:041A180020534854BB -:041A19002046504CC7 -:041A1A00756C617610 -:041A1B00657320656A -:041A1C006F7420744F -:041A1D0025783020D8 -:041A1E0000000A7842 -:041A1F0020534854B4 -:041A200072756F73F9 -:041A21007320656366 -:041A22007420746553 -:041A23007525206F96 -:041A24000000000AB4 -:041A2500000168D480 -:041A2600000168E073 -:041A2700000168E46E -:041A2800000168EC65 -:041A2900000166D87A -:041A2A000000200098 -:041A2B0020002CE586 -:041A2C00E926F4FDB6 -:041A2D0038BC2000A1 +:04186F007836353260 +:0418700020303432BE +:0418710065707361CA +:04187200000074639B +:041873006D20585438 +:041874000065646F38 +:04187500494D44484D +:04187600435449206E +:04187700000000006D +:041878006C616E41F0 +:041879007320676F02 +:04187A0020636E7900 +:04187B000046504C87 +:04187C006C616E41EC +:04187D007320676FFE +:04187E0020636E79FC +:04187F000068745633 +:041880006E797348C2 +:041881006F742063FD +:041882006172656CBE +:041883000065636E2B +:041884006E797356B0 +:041885006874206300 +:0418860068736572AC +:0418870000646C6F1E +:041888004C502D484B +:041889007250204C2D +:04188A006F432D6516 +:04188B000074736111 +:04188C004C502D4847 +:04188D006F50204C2C +:04188E00432D7473FF +:04188F007473616F9E +:041890000000000054 +:04189100706D6153C2 +:04189200676E696CA8 +:0418930061687020F8 +:041894000000657378 +:041895007030383443 +:04189600206E692037 +:04189700706D61739C +:041898000072656C09 +:041899006F6C6C41C3 +:04189A005654207709 +:04189B005048205041 +:04189C0078324C4C06 +:04189D000000000047 +:04189E006F6C6C41BE +:04189F0070752077C9 +:0418A000706D617393 +:0418A1007832656CC8 +:0418A2000000000042 +:0418A3007664413CEA +:0418A4006974202E15 +:0418A500676E696D94 +:0418A6003E202020A0 +:0418A700000000003D +:0418A80065646956B4 +:0418A900504C206F10 +:0418AA0000000046F4 +:0418AB0050625059DE +:0418AC006E692072CF +:0418AD006C6F4320F9 +:0418AE000061705312 +:0418AF0072502F52F2 +:0418B00066666F20D9 +:0418B10000746573E7 +:0418B20020592F4743 +:0418B3007366666F83 +:0418B4000000746557 +:0418B50062502F420C +:0418B60066666F20D3 +:0418B70000746573E1 +:0418B80072502F52E9 +:0418B90069616720DA +:0418BA000000006EBC +:0418BB0020592F473A +:0418BC006E69616789 +:0418BD000000000027 +:0418BE0062502F4203 +:0418BF0069616720D4 +:0418C0000000006EB6 +:0418C1002D657250CF +:0418C200204344413A +:0418C3006E696147A2 +:0418C4000000000020 +:0418C50073202E4816 +:0418C6006C706D6174 +:0418C7007461726571 +:0418C80000000065B7 +:0418C90073202E4812 +:0418CA006C636E7964 +:0418CB0000006E6546 +:0418CC0062202E4820 +:0418CD00706B636178 +:0418CE006863726F6A +:0418CF000000000015 +:0418D00061202E481D +:0418D100766974635D +:0418D20000000065AD +:0418D30073202E56FA +:0418D4006C636E795A +:0418D50000006E653C +:0418D60062202E5608 +:0418D700706B63616E +:0418D8006863726F60 +:0418D900000000000B +:0418DA0061202E5605 +:0418DB007669746353 +:0418DC0000000065A3 +:0418DD000066664FEC +:0418DE00727275436A +:0418DF0020746E659E +:0418E00075706E6948 +:0418E100000000748F +:0418E200206C6C41C9 +:0418E30075706E6945 +:0418E4000000737419 +:0418E50042734752B1 +:0418E60000000000FE +:0418E70050625059A2 +:0418E800000000728A +:0418E9000000733355 +:0418EA000073303126 +:0418EB000073303323 +:0418EC002D706F5498 +:0418ED007466656C4C +:0418EE0000000000F6 +:0418EF00746E65436B +:0418F000000072651D +:0418F10074746F425A +:0418F200722D6D6F77 +:0418F3007468676945 +:0418F40000000000F0 +:0418F5002066664FB4 +:0418F60020736628CD +:0418F7003639203D21 +:0418F800297A486B96 +:0418F90000000000EB +:0418FA002020783200 +:0418FB0020736628C8 +:0418FC003834203D1F +:0418FD00297A486B91 +:0418FE0000000000E6 +:0418FF0000706F54B2 +:0419000074746F424A +:0419010000006D6F06 +:0419020069726F484F +:04190300746E6F7A15 +:0419040000006C6112 +:04190500747265563D +:041906006C61636944 +:0419070000000000DC +:0419080065746C4155 +:0419090074616E7225 +:04190A0000676E699B +:04190B00746C754D36 +:04190C00696C706929 +:04190D006974616335 +:04190E0000006E6FF8 +:04190F007462755336 +:041910007463617229 +:04191100006E6F698C +:041912006F74754138 +:0419130000000000D0 +:04191400756E614D3E +:0419150000006C6101 +:04191600494D4448AB +:0419170000000000CC +:0419180000495644E8 +:0419190000373A3821 +:04191A007373615032 +:04191B007572687405 +:04191C0000000000C7 +:04191D00656E694C3E +:04191E0028207832D3 +:04191F0029626F6268 +:0419200000000000C3 +:04192100656E694C3A +:041922000000783217 +:04192300656E694C38 +:0419240032207832C3 +:0419250033783034AF +:041926000000303657 +:04192700656E694C34 +:0419280032207833BE +:0419290033783034AB +:04192A000000303653 +:04192B00656E694C30 +:04192C0028207833C4 +:04192D006563616C21 +:04192E000000296428 +:04192F00656E694C2C +:0419300028207834BF +:0419310029626F6256 +:0419320000000000B1 +:04193300656E694C28 +:041934000000783304 +:04193500656E694C26 +:041936000000783401 +:04193700656E694C24 +:0419380000007835FE +:0419390030323931DE +:04193A003830317898 +:04193B000000003078 +:04193C0030303631E0 +:04193D00303231789B +:04193E000000003075 +:04193F0030323931D8 +:041940003032317898 +:041941000000003072 +:04194200656E654722 +:041943002063697242 +:0419440000333A34FE +:04194500783231358E +:0419460020303432E7 +:041947006974706FE0 +:0419480000002E6D00 +:04194900783032338D +:04194A0020303432E3 +:04194B006974706FDC +:04194C0000002E6DFC +:04194D007836353281 +:04194E0020303432DF +:04194F006974706FD8 +:0419500000002E6DF8 +:04195100656E654713 +:041952002063697233 +:04195300393A3631B6 +:04195400000000008F +:041955004D352E32AC +:0419560028207A4883 +:041957002978616D1D +:04195800000000008B +:04195900484D303194 +:04195A006D28207A5A +:04195B000029646596 +:04195C00484D33338C +:04195D006D28207A57 +:04195E0000296E6985 +:04195F002056544476 +:041960007030383477 +:041961000000000082 +:041962004153455652 +:0419630030343620C6 +:04196400303834786B +:0419650000303640D8 +:041966002E63655235 +:0419670031303620C5 +:04196800000000007B +:041969002E63655232 +:04196A0039303720B9 +:04196B000000000078 +:04196C00484D353974 +:04196D004828207A6C +:04196E002056544467 +:04196F0000294949B9 +:04197000484D353376 +:041971004828207A68 +:041972002056544463 +:0419730000002949FE +:04197400484D363173 +:041975004528207A67 +:041976002956544456 +:04197700000000006C +:041978007A484D3923 +:04197900445328208B +:04197A000029565496 +:04197B0000006E4FAB +:04197C006D20642551 +:04197D000000005610 +:04197E0020202020E5 +:04197F0000007525CA +:0419800000017426C8 +:041981000000002042 +:04198200000130E24E +:041983006F706D49CB +:04198400203F74721A +:041985002C593D316B +:041986004E3D322080 +:04198700000000005C +:0419880064616F4CDB +:0419890020676E69FC +:04198A007474657399 +:04198B0073676E69A7 +:04198C000000000057 +:04198D004452535518 +:04198E00004154417F +:04198F000001659856 +:041990000000200033 +:0419910020002CE521 +:04199200E926F4FD51 +:0419930038BC20003C +:04199400000000004F +:04199500000165A444 +:04199600000020002D +:041997002000323EBC +:04199800F113FA0449 +:041999003B6120008E +:04199A000000000049 +:04199B00000144DA29 +:04199C00000144DA28 +:04199D00000144D031 +:04199E00000145807F +:04199F000001458A74 +:0419A000000144DA24 +:0419A100303036317B +:0419A2003034327833 +:0419A30006400000FA +:0419A40007FE00F04A +:0419A5000FCA01065E +:0419A6000112039691 +:0419A7000004000236 +:0419A8003038323170 +:0419A900303432782C +:0419AA000500000034 +:0419AB00061800F02A +:0419AC000FAA010677 +:0419AD0001120348D8 +:0419AE0000004102F2 +:0419AF00783036391D +:0419B000003034329D +:0419B10003C000006F +:0419B200049200F0AB +:0419B3000F8001069A +:0419B40001120336E3 +:0419B500000002022A +:0419B600783231351D +:0419B7000030343296 +:0419B8000200000029 +:0419B90002AA00F08E +:0419BA000E4D0106C7 +:0419BB0001120332E0 +:0419BC00000884108B +:0419BD007830323319 +:0419BE00003034328F +:0419BF0001400000E3 +:0419C00001AA00F088 +:0419C1000E310106DC +:0419C2000112031FEC +:0419C30000110820E7 +:0419C400783635320A +:0419C5000030343288 +:0419C600010000001C +:0419C700015500F0D6 +:0419C8000E270106DF +:0419C90001120319EB +:0419CA0000221040A7 +:0419CB007030343212 +:0419CC000000000017 +:0419CD0002D0000044 +:0419CE00035A00F0C8 +:0419CF000F390106C5 +:0419D0000112033EBF +:0419D1000000000E04 +:0419D200303036314A +:0419D3003034327802 +:0419D4000640004C7D +:0419D50007FE00F019 +:0419D60029CA0138E1 +:0419D7000112039660 +:0419D8000004000205 +:0419D900303832313F +:0419DA0038383278EF +:0419DB000500000003 +:0419DC0006180120C8 +:0419DD000FAA013814 +:0419DE0001120348A7 +:0419DF0000004102C1 +:0419E00078303639EC +:0419E1000038383260 +:0419E20003C000003E +:0419E3000492012049 +:0419E4000F80013837 +:0419E50001120336B2 +:0419E60000000202F9 +:0419E70078323135EC +:0419E8004C30343219 +:0419E90002000042B6 +:0419EA0002AA00F05D +:0419EB00294D013849 +:0419EC0001120332AF +:0419ED00000884105A +:0419EE0078303233E8 +:0419EF004C30343212 +:0419F0000140004270 +:0419F10001AA00F057 +:0419F200293101385E +:0419F3000112031FBB +:0419F40000110820B6 +:0419F50078363532D9 +:0419F6004C3034320B +:0419F70001000042A9 +:0419F800015500F0A5 +:0419F9002927013861 +:0419FA0001120319BA +:0419FB000022104076 +:0419FC0070383832D5 +:0419FD0000000000E6 +:0419FE0002D0000013 +:0419FF000360012060 +:041A00001345013851 +:041A01000112033F8C +:041A02000000000ED2 +:041A030078303834CB +:041A04000030363345 +:041A050001E00000FC +:041A06000258016819 +:041A07000A3F01771A +:041A080002040326AB +:041A09000000000ECB +:041A0A0078303432CA +:041A0B00003036333E +:041A0C0001000000D5 +:041A0D00012C01683F +:041A0E000A1801773A +:041A0F0002040312B8 +:041A10000000208032 +:041A110070343833C2 +:041A120000000000D0 +:041A130001F00000DE +:041A140002800180CB +:041A15001D3201A7D6 +:041A16000204033E85 +:041A17000000000EBD +:041A180078303436B8 +:041A19000030303435 +:041A1A000280000046 +:041A1B000320019013 +:041A1C00243001C1B0 +:041A1D000210026051 +:041A1E000000000CB8 +:041A1F0078303436B1 +:041A20000034383323 +:041A2100028000003F +:041A2200032001801C +:041A23003F3001EC63 +:041A2400021002604A +:041A25000000000EAF +:041A260069303834B7 +:041A270000000000BB +:041A280002D00000E8 +:041A2900035A00F06C +:041A2A000F39020D61 +:041A2B000312033E61 +:041A2C000000410F66 +:041A2D0070303834A9 :041A2E0000000000B4 -:041A2F00000166E468 -:041A30000000200092 -:041A31002000323E21 -:041A3200F113FA04AE -:041A33003B612000F3 -:041A340000000000AE -:041A350072746C5506 -:041A36006F6C206150 -:041A37000000007734 -:041A380000776F4C78 -:041A39006964654D2A -:041A3A0000006D75C6 -:041A3B006867694827 -:041A3C0000000000A6 -:041A3D007361685019 -:041A3E006573206547 -:041A3F007463656CFB -:041A40003A6E6F6922 -:041A41002F752520B8 -:041A420028207525BE -:041A43004147504681 -:041A440025202C2904 -:041A450032332F7594 -:041A460056542820AA -:041A4700000A295018 -:041A48002050565480 -:041A49002046504C97 -:041A4A00756C6176E0 -:041A4B00657320653A -:041A4C006F7420741F -:041A4D0025783020A8 -:041A4E0000000A7812 -:041A4F0020474F538A -:041A50006C6F6874DB -:041A51006573206435 -:041A52006F74207419 -:041A530025783020A2 -:041A540000000A780C -:041A5500636E7953F0 -:041A560046504C208A -:041A57006C61762028 -:041A5800732065751D -:041A5900742074651C -:041A5A007830206F51 -:041A5B00000A7825E0 -:041A5C0069726F48F4 -:041A5D00746E6F7ABA -:041A5E0073206C6124 -:041A5F006C706D61D9 -:041A600074617265D6 -:041A61006573206524 -:041A62006F74207409 -:041A63000A752520BB -:041A6400000000007E -:041A650069747345E8 -:041A66006574616DD5 -:041A67004350206464 -:041A6800485F4B4C3C -:041A69003A4C4C5057 -:041A6A00756C252052 -:041A6B00332E252EC3 -:041A6C004D20756C28 -:041A6D00000A7A48A9 -:041A6E00204F43566C -:041A6F00676E6172CB -:041A700025203A658E -:041A710050430A7361 -:041A720025203A43AE -:041A730000000A75F0 -:041A74006E797343D1 -:041A7500000000630A -:041A76006E797348CA -:041A77000000006308 -:041A78000067656E30 -:041A790000736F7017 -:041A7A00642073254C -:041A7B0063657465C6 -:041A7C002C646574FD -:041A7D00207325208D -:041A7E00616C6F70B8 -:041A7F00797469729B -:041A80000000000A58 -:041A81006E797356B1 -:041A82006564206314 -:041A830074636574AF -:041A8400202C646549 -:041A85007020732535 -:041A860072616C6FAE -:041A87000A797469FB -:041A8800000000005A -:041A890020474F5350 -:041A8A0065746564B6 -:041A8B0064657463B7 -:041A8C000000000A4C -:041A8D0020474F534C -:041A8E0020746F6EE3 -:041A8F0065746564B1 -:041A900064657463B2 -:041A91000000000A47 -:041A9200000141B25C -:041A9300000141B25B -:041A9400000141B25A -:041A9500000141B05B -:041A960000014228E1 -:041A9700000141B257 -:041A98004E52415712 -:041A99003A474E4931 -:041A9A00766E6920DB -:041A9B0064696C61AD -:041A9C0072617420DF -:041A9D005F746567A6 -:041A9E00000A6D6C61 -:041A9F003633353174 -:041AA0003034327834 -:041AA100060000003B -:041AA20007FE00F04B -:041AA3000FEA01063F -:041AA4000112039692 -:041AA50032312002B8 -:041AA600327830382A -:041AA70000003034D7 -:041AA80000F0050045 -:041AA9000106061814 -:041AAA0003480FAA34 -:041AAB0004420112DE -:041AAC00783036391F -:041AAD00003034329F -:041AAE0003C0000071 -:041AAF00049200F0AD -:041AB0000F8001069C -:041AB10001120336E5 -:041AB2003233008249 -:041AB3003432783021 -:041AB40000000030FE -:041AB50000F00140FC -:041AB600010601AA7A -:041AB700031F0E31CA -:041AB80049100112BE -:041AB9007836353214 -:041ABA000030343292 -:041ABB000100000026 -:041ABC00015500F0E0 -:041ABD000E270106E9 -:041ABE0001120319F5 -:041ABF00343292200B -:041AC0000000703082 +:041A2F0002D00000E1 +:041A3000035A01E074 +:041A31001E3C020D48 +:041A32000414063E54 +:041A33000000000CA3 +:041A3400783034369C +:041A35000030383411 +:041A3600028000002A +:041A3700032001E0A7 +:041A38002130020D4A +:041A3900041402602F +:041A3A000000000C9C +:041A3B007830343695 +:041A3C00003231350E +:041A3D000280000023 +:041A3E00032002007F +:041A3F001C3002381D +:041A40000414026028 +:041A41000000000C95 +:041A42006936373595 +:041A4300000000009F +:041A440002D00000CC +:041A45000360012019 +:041A460013450271D1 +:041A47000312033F44 +:041A48000000410F4A +:041A49007036373587 +:041A4A000000000098 +:041A4B0002D00000C5 +:041A4C0003600240F1 +:041A4D0027440271B7 +:041A4E000404054047 +:041A4F000000000C87 +:041A50007830303882 +:041A510000303036FB +:041A5200032000006D +:041A53000420025811 +:041A540017580274A9 +:041A550000100480F9 +:041A56000000000488 +:041A57007030323782 +:041A5800000000008A +:041A59000500000084 +:041A5A00067202D03E +:041A5B0014DC02EEA7 +:041A5C000018052841 +:041A5D000000000481 +:041A5E0034323031BD +:041A5F003836377866 +:041A6000040000007E +:041A61000540030039 +:041A62001DA003269A +:041A630000100688E1 +:041A6400000000047A +:041A650030383231B2 +:041A66003230317871 +:041A67000500003442 +:041A680006980400D8 +:041A690026F8042A2D +:041A6A0000100370F5 +:041A6B000000000473 +:041A6C007830343664 +:041A6D00693036396D +:041A6E0002800000F2 +:041A6F00032001E06F +:041A70002130041A03 +:041A710005140260F6 +:041A72000000000D63 +:041A730030383031A6 +:041A74000000006905 +:041A750007800000E6 +:041A76000898021CAE +:041A7700109404655E +:041A78000518052C1C +:041A79000000000D5C +:041A7A00303830319F +:041A7B0000000070F7 +:041A7C0007800000DF +:041A7D000898043889 +:041A7E002494046543 +:041A7F000018052C1A +:041A8000000000045E +:041A8100303036319A +:041A82003032317855 +:041A830006400030E9 +:041A8400087004B032 +:041A85002EFF04E24A +:041A8600001003F158 +:041A87000000000457 +:041A88007665642FEC +:041A89006370652FF2 +:041A8A006F635F71B6 +:041A8B006F72746E94 +:041A8C0072656C6CA7 +:041A8D00615F305F06 +:041A8E006D5F6C76A6 +:041A8F0000006D6581 +:041A90002B302D23A7 +:041A91000000002031 +:041A9200004C6C6830 +:041A930045676665D8 +:041A940000004746C1 +:041A95003332313087 +:041A96003736353476 +:041A97004241393857 +:041A98004645444338 +:041A99000000000049 +:041A9A003332313082 +:041A9B003736353471 +:041A9C006261393812 +:041A9D0066656463B3 +:041A9E000000000044 +:041A9F001A808080A9 +:041AA00000081A1A06 +:041AA1000000000041 +:041AA2000000000040 +:041AA30000016A20B4 +:041AA400000000003E +:041AA500000000003D +:041AA6000001035CDC +:041AA7000001041C1A +:041AA800000101CE6A +:041AA900000101EA4D +:041AAA0000010236FF +:041AAB0000800000B7 +:041AAC0000800000B6 +:041AAD000000000035 +:041AAE000000000034 +:041AAF000000000033 +:041AB0000000000032 +:041AB1000000000031 +:041AB2000000000030 +:041AB300000000002F +:041AB400000000002E +:041AB500000000002D +:041AB600000000002C +:041AB700000000002B +:041AB800000000002A +:041AB9000000000029 +:041ABA000000000028 +:041ABB000000000027 +:041ABC000000000026 +:041ABD000000000025 +:041ABE000000000024 +:041ABF000000000023 +:041AC0000000000022 :041AC1000000000021 -:041AC20000F002D05E -:041AC3000106035ABB -:041AC400033E0F3995 -:041AC500000E0112FC -:041AC600363335314D -:041AC700303432780D -:041AC8000600004CC8 -:041AC90007FE00F024 -:041ACA0029EA0138CC -:041ACB00011203966B -:041ACC003231200291 -:041ACD003278303803 -:041ACE0000003838A4 -:041ACF0001200500ED -:041AD00001380618BB -:041AD10003480FAA0D -:041AD20004420112B7 -:041AD30078303639F8 -:041AD400003838326C -:041AD50003C000004A -:041AD6000492012055 -:041AD7000F80013843 -:041AD80001120336BE -:041AD9003233008222 -:041ADA0034327830FA -:041ADB0000424C3049 -:041ADC0000F00140D5 -:041ADD00013801AA21 -:041ADE00031F293188 -:041ADF004910011297 -:041AE00078363532ED -:041AE1004C3034321F -:041AE20001000042BD -:041AE300015500F0B9 -:041AE4002927013875 -:041AE50001120319CE -:041AE60038329220E0 -:041AE7000000703853 -:041AE80000000000FA -:041AE900012002D006 -:041AEA00013803605C -:041AEB00033F13455D -:041AEC00000E0112D5 -:041AED0070343833E6 -:041AEE0000000000F4 -:041AEF0001F0000002 -:041AF00002800180EF -:041AF1001D3201A7FA -:041AF2000204033EA9 -:041AF3003436000E77 -:041AF40030347830E2 -:041AF50000000030BD -:041AF60001900280D9 -:041AF70001C1032006 -:041AF8000260243034 -:041AF900000C0210CB -:041AFA0078303436D6 -:041AFB000034383348 -:041AFC000280000064 -:041AFD000320018041 -:041AFE003F3001EC88 -:041AFF00021002606F -:041B00003834000E67 -:041B01000000693047 -:041B020000000000DF -:041B030000F002D01C -:041B0400020D035A71 -:041B0500033E0F3953 -:041B0600044F031273 -:041B070070303834CE -:041B080000000000D9 -:041B090002D0000006 -:041B0A00035A01E099 -:041B0B001E3C020D6D -:041B0C000414063E79 -:041B0D003436000C5E -:041B0E0038347830BF -:041B0F0000000030A2 -:041B100001E002806E -:041B1100020D03209E -:041B1200026021301C -:041B1300000C0414AA -:041B140078303436BB -:041B15000032313534 -:041B16000280000049 -:041B170003200200A5 -:041B18001C30023843 -:041B1900041402604E -:041B1A003735000C4F -:041B1B000000693627 -:041B1C0000000000C5 -:041B1D00012002D0D1 -:041B1E0002710360ED -:041B1F00033F134528 -:041B2000044F031259 -:041B210070363735AE -:041B220000000000BF -:041B230002D00000EC -:041B24000360024018 -:041B250027440271DE -:041B2600040405406E -:041B27003038000C46 -:041B280030367830AB -:041B29000000003088 -:041B2A00025803203A -:041B2B00027404201C -:041B2C0004801758C2 -:041B2D0000040010A0 -:041B2E0070303237AA -:041B2F0000000000B2 -:041B300005000000AC -:041B3100067202D066 -:041B320014DC02EECF -:041B33000018052869 -:041B34003031000448 -:041B35003778343297 -:041B3600000038363D -:041B370003000400A3 -:041B3800032605403B -:041B390006881DA05D -:041B3A000004001093 -:041B3B0030383231DB -:041B3C00323031789A -:041B3D00050000346B -:041B3E000698040001 -:041B3F0026F8042A56 -:041B4000001003701E -:041B41003436000432 -:041B42003639783088 -:041B43000000693005 -:041B440001E002803A -:041B4500041A03205B -:041B460002602130E8 -:041B4700000D051474 -:041B480030383031D0 -:041B4900000000692F -:041B4A000780000010 -:041B4B000898021CD8 -:041B4C001094046588 -:041B4D000518052C46 -:041B4E003031000D25 -:041B4F0000703038BA -:041B50000000000091 -:041B510004380780CD -:041B52000465089886 -:041B5300052C2494A5 -:041B54000004001871 -:041B550030303631C5 -:041B56003032317880 -:041B57000640003014 -:041B5800087004B05D -:041B59002EFF04E275 -:041B5A00001003F183 -:041B5B000000000482 -:041B5C007665642F17 -:041B5D006370652F1D -:041B5E006F635F71E1 -:041B5F006F72746EBF -:041B600072656C6CD2 -:041B6100615F305F31 -:041B62006D5F6C76D1 -:041B630000006D65AC -:041B64002B302D23D2 -:041B6500000000205C -:041B6600004C6C685B -:041B67004567666503 -:041B680000004746EC -:041B690033323130B2 -:041B6A0037363534A1 -:041B6B004241393882 -:041B6C004645444363 +:041AC2000000000020 +:041AC300000000001F +:041AC400000000001E +:041AC500000000001D +:041AC600000000001C +:041AC700000000001B +:041AC800000000001A +:041AC9000000000019 +:041ACA000000000018 +:041ACB000000000017 +:041ACC000000000016 +:041ACD000000000015 +:041ACE00000101749E +:041ACF000080000093 +:041AD0000100000011 +:041AD100000201000E +:041AD2000080000090 +:041AD300000000010E +:041AD400000000808E +:041AD500000100000C +:041AD600000001000B +:041AD700000000000B +:041AD800020E0409ED +:041AD9003D0E00C8F6 +:041ADA003F6E0383D5 +:041ADB003ED03DAC10 +:041ADC000000038380 +:041ADD00026404B2E9 +:041ADE003C9300E94C +:041ADF003F56041654 +:041AE0003E9F3D499F +:041AE10000000416E7 +:041AE200017804E59E +:041AE3003CCE008174 +:041AE4003FAE03838B +:041AE5003F333D4905 +:041AE6000000038376 +:041AE70001B405B889 +:041AE8003C490093E2 +:041AE9003F9F041601 +:041AEA003F103CD994 +:041AEB0000000416DD +:041AEC00000163741E +:041AED000001637819 +:041AEE000001638808 +:041AEF000001597029 +:041AF0000001598018 +:041AF1000001598C0B +:041AF20000015998FE +:041AF300000159A4F1 +:041AF400000159B0E4 +:041AF500000159BCD7 +:041AF600000159C8CA +:041AF700000159D4BD +:041AF800000159E0B0 +:041AF900000159ECA3 +:041AFA00000165087A +:041AFB00000165146D +:041AFC00000165245C +:041AFD00000165344B +:041AFE00000165443A +:041AFF000001650875 +:041B00000001651467 +:041B01000001652456 +:041B02000001653445 +:041B0300000164E495 +:041B0400000164F088 +:041B0500000164FC7B +:041B06000001637403 +:041B0700000163A4D2 +:041B0800000163A8CD +:041B0900000163ACC8 +:041B0A00000163145F +:041B0B0000000002D4 +:041B0C0000017468F8 +:041B0D000AF0012CAD +:041B0E0000011E169E +:041B0F00000163244A +:041B100000000002CF +:041B1100000174E873 +:041B120000FF000AC6 +:041B130000011E1699 +:041B14000001633039 +:041B150000000002CA +:041B16000001742432 +:041B170000FF0001CA +:041B180000011E1694 +:041B19000001634024 +:041B1A0000000002C5 +:041B1B000001745AF7 +:041B1C00078000C876 +:041B1D0000011E168F +:041B1E000001634C13 +:041B1F0000000002C0 +:041B200000017452FA +:041B210000070001B8 +:041B220000011E168A +:041B23000001635802 +:041B240000000002BB +:041B2500000174E265 +:041B2600003F00017B +:041B270000011E1685 +:041B280000016368ED +:041B290000000002B6 +:041B2A0000017456EC +:041B2B0004B000C83A +:041B2C0000011E1680 +:041B2D000001603023 +:041B2E0000000000B3 +:041B2F00000175122A +:041B300000010001AF +:041B310000017340FC +:041B3200000160400E +:041B330000000000AE +:041B34000001751324 +:041B350000010001AA +:041B360000017348EF +:041B370000016050F9 +:041B380000000001A8 +:041B3900000175141E +:041B3A00001800008F +:041B3B00000130C6AF +:041B3C0000016060E4 +:041B3D0000000000A4 +:041B3E000001750F1E +:041B3F0000010001A0 +:041B400000017348E5 +:041B410000016070CF +:041B4200000000009F +:041B43000001751018 +:041B4400000100019B +:041B450000017348E0 +:041B460000015EA894 +:041B47000000000397 +:041B4800000173D451 +:041B49000000000098 +:041B4A000000000097 +:041B4B0000015EBC7B +:041B4C000000000392 +:041B4D00000173BC64 +:041B4E000000000093 +:041B4F000000000092 +:041B500000015ED062 +:041B5100000000038D +:041B5200000173CC4F +:041B5300000000008E +:041B5400000000008D +:041B550000015EE449 +:041B56000000000388 +:041B5700000173AC6A +:041B58000000000089 +:041B59000000000088 +:041B5A0000015EF830 +:041B5B000000000383 +:041B5C00000173B45D +:041B5D000000000084 +:041B5E000000000083 +:041B5F0000015F0C16 +:041B6000000000037E +:041B61000001739C70 +:041B6200000000007F +:041B6300000000007E +:041B640000015F20FD +:041B65000000000379 +:041B66000001739473 +:041B6700000000007A +:041B68000000000079 +:041B690000015F34E4 +:041B6A000000000374 +:041B6B00000173C43E +:041B6C000000000075 :041B6D000000000074 -:041B6E0033323130AD -:041B6F00373635349C -:041B7000626139383D -:041B710066656463DE -:041B7200000000006F -:041B73001A808080D4 -:041B740000081A1A31 -:041B7500000000006C -:041B7600000000006B -:041B770000016D708C -:041B78000000000069 +:041B6E0000016130E1 +:041B6F000000000072 +:041B7000000174F804 +:041B7100000400016B +:041B720000017244B8 +:041B730000016140CC +:041B7400000000006D +:041B7500000174F9FE +:041B76000003000167 +:041B7700000172589F +:041B78000001614CBB :041B79000000000068 -:041B7A000001036003 -:041B7B00000104144D -:041B7C00000101D88B -:041B7D00000101F46E -:041B7E000001024020 -:041B7F0000800000E2 -:041B800000800000E1 -:041B81000000000060 -:041B8200000000005F +:041B7A00000174FAF8 +:041B7B000003000162 +:041B7C00000172688A +:041B7D000001615CA6 +:041B7E000000000063 +:041B7F00000174FBF2 +:041B8000000100015F +:041B81000001735894 +:041B82000001616C91 :041B8300000000005E -:041B8400000000005D -:041B8500000000005C -:041B8600000000005B -:041B8700000000005A +:041B8400000174FCEC +:041B8500000100015A +:041B86000001735097 +:041B87000001617C7C :041B88000000000059 -:041B89000000000058 -:041B8A000000000057 -:041B8B000000000056 -:041B8C000000000055 +:041B8900000174F3F0 +:041B8A000003000153 +:041B8B0000016BE802 +:041B8C00000161886B :041B8D000000000054 -:041B8E000000000053 -:041B8F000000000052 -:041B90000000000051 -:041B91000000000050 +:041B8E00000174F4EA +:041B8F00000400014D +:041B900000016BF8ED +:041B9100000161945A :041B9200000000004F -:041B9300000000004E -:041B9400000000004D -:041B9500000000004C -:041B9600000000004B +:041B9300000174F5E4 +:041B94000003000149 +:041B950000016BE8F8 +:041B9600000161A049 :041B9700000000004A -:041B98000000000049 -:041B99000000000048 -:041B9A000000000047 -:041B9B000000000046 +:041B9800000174F6DE +:041B99000003000144 +:041B9A0000016BE8F3 +:041B9B00000161AC38 :041B9C000000000045 -:041B9D000000000044 -:041B9E000000000043 -:041B9F000000000042 -:041BA0000000000041 +:041B9D00000174F7D8 +:041B9E000002000140 +:041B9F0000016C0CC9 +:041BA000000161BC23 :041BA1000000000040 -:041BA2000001017EBF -:041BA30000800000BE -:041BA400010000003C -:041BA5000002010039 -:041BA60000800000BB -:041BA7000000000139 -:041BA80000000080B9 -:041BA9000001000037 -:041BAA000000010036 +:041BA200000174FDCD +:041BA300000100013C +:041BA4000001733891 +:041BA500000161CC0E +:041BA600000000003B +:041BA70000017501C3 +:041BA8000001000137 +:041BA900000173784C +:041BAA00000161D401 :041BAB000000000036 -:041BAC00020E040918 -:041BAD003D0E00C821 -:041BAE003F6E038300 -:041BAF003ED03DAC3B -:041BB00000000383AB -:041BB100026404B214 -:041BB2003C9300E977 -:041BB3003F5604167F -:041BB4003E9F3D49CA -:041BB5000000041612 -:041BB600017804E5C9 -:041BB7003CCE00819F -:041BB8003FAE0383B6 -:041BB9003F333D4930 -:041BBA0000000383A1 -:041BBB0001B405B8B4 -:041BBC003C4900930D -:041BBD003F9F04162C -:041BBE003F103CD9BF -:041BBF000000041608 -:041BC00000015C883C -:041BC10000015C982B -:041BC20000015CA41E -:041BC30000015CB011 -:041BC40000015CBC04 -:041BC50000015CC8F7 -:041BC60000015CD4EA -:041BC70000015CE0DD -:041BC80000015CECD0 -:041BC90000015CF8C3 -:041BCA0000015D04B5 -:041BCB000001665857 -:041BCC00000166644A -:041BCD000001667439 -:041BCE000001668428 -:041BCF000001665853 -:041BD0000001666446 -:041BD1000001667435 -:041BD2000001663474 -:041BD3000001664067 -:041BD4000001664C5A -:041BD5000001651096 -:041BD6000001651491 -:041BD700000165188C -:041BD8000001651C87 -:041BD900000164B0F3 -:041BDA000000000205 -:041BDB000001770C82 -:041BDC0008FC012CD4 -:041BDD000001204E95 -:041BDE00000164C0DE -:041BDF000000000200 -:041BE0000001778801 -:041BE10000FF000AF7 -:041BE2000001204E90 -:041BE300000164CCCD -:041BE40000000002FB -:041BE500000176C8BD -:041BE60000FF0001FB -:041BE7000001204E8B -:041BE800000164DCB8 -:041BE90000000002F6 -:041BEA00000176FC84 -:041BEB00078000C8A7 -:041BEC000001204E86 -:041BED00000164E8A7 -:041BEE0000000002F1 -:041BEF00000176F685 -:041BF00000070001E9 -:041BF1000001204E81 -:041BF200000164F496 -:041BF30000000002EC -:041BF40000017782F3 -:041BF500003F0001AC -:041BF6000001204E7C -:041BF7000001650480 -:041BF80000000002E7 -:041BF900000176FA77 -:041BFA0004B000C86B -:041BFB000001204E77 -:041BFC00000161CCB7 -:041BFD0000000000E4 -:041BFE00000177B2B9 -:041BFF0000010001E0 -:041C0000000175F872 -:041C0100000161DCA1 -:041C020000000000DE -:041C0300000177B3B2 -:041C040000010001DA -:041C05000001760064 -:041C0600000161EC8C -:041C070000000001D8 -:041C0800000177B4AC -:041C090000180000BF -:041C0A0000012D6246 -:041C0B00000161FC77 +:041BAC0000017502BD +:041BAD000001000132 +:041BAE000001734877 +:041BAF00000160844D +:041BB0000000000031 +:041BB100000174ECCF +:041BB200000200012C +:041BB3000001728437 +:041BB400000160903C +:041BB500000000012B +:041BB600000174F0C6 +:041BB700000F00001B +:041BB800000130A058 +:041BB900000160A027 +:041BBA000000000126 +:041BBB00000174EEC3 +:041BBC00001C000009 +:041BBD000001307C77 +:041BBE00000160B012 +:041BBF000000000022 +:041BC000000174EFBD +:041BC100000100011E +:041BC200000173703B +:041BC300000160C0FD +:041BC400000000001D +:041BC500000174EDBA +:041BC6000002000118 +:041BC7000001729017 +:041BC800000160D0E8 +:041BC9000000000018 +:041BCA00000174F1B1 +:041BCB000001000114 +:041BCC000001736839 +:041BCD00000160E4CF +:041BCE000000000112 +:041BCF00000174FE9F +:041BD00000FF000012 +:041BD1000001306679 +:041BD200000160F4BA +:041BD300000000010D +:041BD400000174FF99 +:041BD500003F0000CD +:041BD6000001306674 +:041BD70000016104A4 +:041BD8000000000108 +:041BD9000001750092 +:041BDA00000F0000F8 +:041BDB0000012FAE28 +:041BDC00000161148F +:041BDD000000000103 +:041BDE00000175117C +:041BDF00001F0000E3 +:041BE00000012FAE23 +:041BE100000161207E +:041BE20000000004FB +:041BE30000011FF8E6 +:041BE40000015E6836 +:041BE50000000000FC +:041BE6000001624454 +:041BE70000000001F9 +:041BE800000175047F +:041BE900001F0001D8 +:041BEA0000012FC403 +:041BEB00000162543F +:041BEC0000000000F5 +:041BED00000175037B +:041BEE0000020001F0 +:041BEF000001727807 +:041BF000000162642A +:041BF10000000000F0 +:041BF2000001750574 +:041BF30000010001EC +:041BF4000001734831 +:041BF5000001627811 +:041BF60000000000EB +:041BF700000175066E +:041BF80000010001E7 +:041BF900000173482C +:041BFA000001628CF8 +:041BFB0000000003E3 +:041BFC000001738CE5 +:041BFD00000166007D +:041BFE0000011DB411 +:041BFF0000015F483A +:041C000000000004DC +:041C010000011D06BB +:041C020000015E8CF3 +:041C030000000000DD +:041C040000015F5824 +:041C050000000004D7 +:041C060000011D6656 +:041C070000015E8CEE +:041C080000000000D8 +:041C090000015F680F +:041C0A0000000004D2 +:041C0B000001260CA2 :041C0C0000000000D4 -:041C0D00000177AFAC -:041C0E0000010001D0 -:041C0F00000176005A -:041C10000001620C61 -:041C110000000000CF -:041C1200000177B0A6 -:041C130000010001CB -:041C14000001760055 -:041C1500000160B0BA -:041C160000000003C7 -:041C170000017684CE -:041C180000000000C8 +:041C0D0000000000D3 +:041C0E0000015F7CF6 +:041C0F0000000001D0 +:041C10000001751C3E +:041C1100000A0101C3 +:041C12000001311686 +:041C130000015F90DD +:041C140000000000CC +:041C15000001747ED8 +:041C160000010001C8 +:041C1700000173480D +:041C180000015FA4C4 :041C190000000000C7 -:041C1A00000160C4A1 -:041C1B0000000003C2 -:041C1C000001766CE1 -:041C1D0000000000C3 +:041C1A000001742829 +:041C1B00000A0001BA +:041C1C0000016BBC9C +:041C1D0000015FB4AF :041C1E0000000000C2 -:041C1F00000160D888 -:041C200000000003BD -:041C21000001767CCC -:041C220000000000BE +:041C1F00000174E765 +:041C200000020001BD +:041C210000016BB0A3 +:041C220000015FC896 :041C230000000000BD -:041C2400000160EC6F -:041C250000000003B8 -:041C26000001765CE7 -:041C270000000000B9 +:041C240000017458EF +:041C250000010001B9 +:041C260000017360E6 +:041C270000015FD881 :041C280000000000B8 -:041C29000001610055 -:041C2A0000000003B3 -:041C2B0000017664DA -:041C2C0000000000B4 +:041C2900000173370C +:041C2A0000010001B4 +:041C2B0000017360E1 +:041C2C0000015FE86C :041C2D0000000000B3 -:041C2E00000161143C -:041C2F0000000003AE -:041C30000001764CED -:041C310000000000AF +:041C2E000001742A13 +:041C2F0000010001AF +:041C300000017360DC +:041C310000015FF857 :041C320000000000AE -:041C33000001612823 -:041C340000000003A9 -:041C350000017644F0 -:041C360000000000AA -:041C370000000000A9 -:041C38000001613C0A -:041C390000000003A4 -:041C3A0000017674BB -:041C3B0000000000A5 -:041C3C0000000000A4 -:041C3D00000162CC74 +:041C330000017466D2 +:041C340000030001A8 +:041C350000016C1826 +:041C36000001600841 +:041C370000000004A5 +:041C38000001371C54 +:041C390000000000A7 +:041C3A0000000000A6 +:041C3B000001601C28 +:041C3C0000000004A0 +:041C3D0000012BC8AF :041C3E0000000000A2 -:041C3F000001779891 -:041C4000000400019B -:041C41000001750821 -:041C4200000162DC5F -:041C4300000000009D -:041C4400000177998B -:041C45000001000199 -:041C46000001761013 -:041C4700000162E84E -:041C48000000000098 -:041C49000001779A85 -:041C4A000003000192 -:041C4B000001751C03 -:041C4C00000162F839 -:041C4D000000000093 -:041C4E000001779B7F -:041C4F00000100018F -:041C50000001761009 -:041C51000001630823 -:041C5200000000008E -:041C53000001779C79 -:041C5400000100018A -:041C5500000176080C -:041C5600000163180E -:041C57000000000089 -:041C5800000177937D -:041C59000002000184 -:041C5A0000016F2CEA -:041C5B0000016324FD -:041C5C000000000084 -:041C5D000001779477 -:041C5E00000300017E -:041C5F0000016F38D9 -:041C600000016330EC -:041C6100000000007F -:041C62000001779571 -:041C6300000200017A -:041C640000016F2CE0 -:041C65000001633CDB -:041C6600000000007A -:041C6700000177966B -:041C68000002000175 -:041C690000016F2CDB -:041C6A0000016348CA -:041C6B000000000075 -:041C6C000001779765 -:041C6D000002000170 -:041C6E0000016F48BA -:041C6F0000016358B5 -:041C70000000000070 -:041C71000001779D5A -:041C7200000100016C -:041C7300000175F007 -:041C740000016368A0 -:041C7500000000006B -:041C7600000177A151 -:041C77000001000167 -:041C780000017628C9 -:041C79000001637093 -:041C7A000000000066 -:041C7B00000177A24B -:041C7C000001000162 -:041C7D0000017600EC -:041C7E0000016220DF -:041C7F000000000061 -:041C80000001778C5C -:041C8100000200015C -:041C820000017538B0 -:041C83000001622CCE -:041C8400000000015B -:041C85000001779053 -:041C8600000F00004B -:041C870000012D3CEF -:041C88000001623CB9 -:041C89000000000156 -:041C8A000001778E50 -:041C8B00001C000039 -:041C8C0000012D180E -:041C8D000001624CA4 +:041C3F0000000000A1 +:041C4000000161E05E +:041C4100000000009F +:041C42000001750B1D +:041C43000003000199 +:041C44000001729C8D +:041C4500000161F049 +:041C46000000000199 +:041C4700000175081B +:041C4800001F000079 +:041C49000001304224 +:041C4A000001620033 +:041C4B000000000194 +:041C4C000001750915 +:041C4D0000FF000094 +:041C4E0000012FFE64 +:041C4F00000162101E +:041C5000000000018F +:041C51000001750A0F +:041C520000C80A00BC +:041C530000012FFE5F +:041C54000001622009 +:041C5500000000018A +:041C56000001750D07 +:041C57000005000084 +:041C580000012FE870 +:041C590000016230F4 +:041C5A000000000185 +:041C5B000001750E01 +:041C5C00000500007F +:041C5D0000012FE86B +:041C5E00000162A07F +:041C5F000000000081 +:041C60000001750CFE +:041C61000005000179 +:041C6200000172AC5F +:041C6300000162AC6E +:041C6400000000007C +:041C650000017507FE +:041C66000001000178 +:041C67000001738085 +:041C6800000162BC59 +:041C69000000000176 +:041C6A0000017515EB +:041C6B0000FF000076 +:041C6C0000012FAE96 +:041C6D00000162C848 +:041C6E000000000171 +:041C6F0000017516E5 +:041C700000FF000071 +:041C710000012FAE91 +:041C7200000162D437 +:041C7300000000016C +:041C740000017517DF +:041C750000FF00006C +:041C760000012FAE8C +:041C7700000162E026 +:041C78000000000167 +:041C790000017518D9 +:041C7A0000FF000067 +:041C7B0000012FAE87 +:041C7C00000162EC15 +:041C7D000000000162 +:041C7E0000017519D3 +:041C7F0000FF000062 +:041C800000012FAE82 +:041C8100000162F804 +:041C8200000000015D +:041C83000001751ACD +:041C840000FF00005D +:041C850000012FAE7D +:041C860000016304F2 +:041C87000000000158 +:041C88000001751BC7 +:041C8900000F000048 +:041C8A0000012FAE78 +:041C8B00000173A43D +:041C8C000000000054 +:041C8D000000000053 :041C8E000000000052 -:041C8F000001778F4A -:041C9000000100014E -:041C910000017620B8 -:041C92000001625C8F -:041C9300000000004D -:041C94000001778D47 -:041C95000002000148 -:041C96000001754490 -:041C97000001626C7A -:041C98000000000048 -:041C9900000177913E -:041C9A000001000144 -:041C9B0000017618B6 -:041C9C000001628061 -:041C9D000000000142 -:041C9E000001779E2C -:041C9F0000FF000042 -:041CA00000012D0210 -:041CA100000162904C -:041CA200000000013D -:041CA3000001779F26 -:041CA400003F0000FD -:041CA50000012D020B -:041CA600000162A037 -:041CA7000000000138 -:041CA800000177A020 -:041CA900000F000028 -:041CAA0000012C4ABF -:041CAB00000162B022 -:041CAC000000000133 -:041CAD00000177B10A -:041CAE00001F000013 -:041CAF0000012C4ABA -:041CB000000162BC11 -:041CB100000000042B -:041CB20000012260AB -:041CB300000160705C +:041C8F000000000051 +:041C90000000000050 +:041C91000001646882 +:041C92000001648465 +:041C9300000164CC1C +:041C9400000164D413 +:041C9500000164DC0A +:041C9600000164687D +:041C97000001648460 +:041C98000001648C57 +:041C99000001649C46 +:041C9A000001646879 +:041C9B00000164746C +:041C9C00000164AC33 +:041C9D00000164BC22 +:041C9E000001644895 +:041C9F000001657C5F +:041CA0000001658852 +:041CA1000001637467 +:041CA2000001644891 +:041CA3000001645088 +:041CA40000016408CF +:041CA50000016414C2 +:041CA60000016420B5 +:041CA700000165547F +:041CA800000165646E +:041CA9000001657061 +:041CAA00000163745E +:041CAB000001644888 +:041CAC00000163745C +:041CAD00000165B01D +:041CAE00000165C00C +:041CAF00000165D0FB +:041CB000000165E0EA +:041CB100000000002F +:041CB200000000002E +:041CB300000000002D :041CB400000000002C -:041CB500000163E0E7 -:041CB6000000000129 -:041CB700000177A40D -:041CB800001F000108 -:041CB90000012C609A -:041CBA00000163F0D2 +:041CB500000000002B +:041CB600000000002A +:041CB7000000000029 +:041CB8000000000028 +:041CB9000000000027 +:041CBA000000000026 :041CBB000000000025 -:041CBC00000177A309 -:041CBD000002000120 -:041CBE000001752C80 -:041CBF0000016400BC +:041CBC000000000024 +:041CBD000000000023 +:041CBE000000000022 +:041CBF000000000021 :041CC0000000000020 -:041CC100000177A502 -:041CC200000100011C -:041CC30000017600A6 -:041CC40000016414A3 +:041CC100000000001F +:041CC200000000001E +:041CC300000000001D +:041CC400000000001C :041CC500000000001B -:041CC600000177A6FC -:041CC7000001000117 -:041CC80000017600A1 -:041CC900000164288A -:041CCA000000000313 -:041CCB000001763C62 -:041CCC00000167406C -:041CCD0000011FEC07 -:041CCE000001615060 -:041CCF00000000040D -:041CD00000011F3EB2 -:041CD100000160941A -:041CD200000000000E -:041CD300000161604B -:041CD4000000000408 -:041CD50000011F9E4D -:041CD6000001609415 -:041CD7000000000009 -:041CD8000001617036 -:041CD9000000000403 -:041CDA00000126429D -:041CDB000000000005 -:041CDC000000000004 -:041CDD00000161841D -:041CDE000000000101 -:041CDF00000177BCCD -:041CE000000A0101F4 -:041CE10000012DB21F -:041CE2000001619804 -:041CE30000000000FD -:041CE4000001772262 -:041CE50000010001F9 -:041CE6000001760083 -:041CE700000161ACEB -:041CE80000000000F8 -:041CE900000176CCB4 -:041CEA00000A0001EB -:041CEB0000016F0085 -:041CEC00000161BCD6 -:041CED0000000000F3 -:041CEE000001770A70 -:041CEF0000030001ED -:041CF00000016F542C -:041CF1000001637C0F -:041CF20000000000EE -:041CF300000177ABCA -:041CF40000030001E8 -:041CF5000001755025 -:041CF6000001638CFA -:041CF70000000001E8 -:041CF800000177A8C8 -:041CF900001F0000C8 -:041CFA0000012CDEDB -:041CFB000001639CE5 -:041CFC0000000001E3 -:041CFD00000177A9C2 -:041CFE0000FF0000E3 -:041CFF0000012C9A1A -:041D0000000163ACCF -:041D010000000001DD -:041D0200000177AABB -:041D030000C80A000A -:041D040000012C9A14 -:041D0500000163BCBA -:041D060000000001D8 -:041D0700000177ADB3 -:041D080000050000D2 -:041D090000012C8425 -:041D0A00000163CCA5 -:041D0B0000000001D3 -:041D0C00000177AEAD -:041D0D0000050000CD -:041D0E0000012C8420 -:041D0F000001643C2F -:041D100000000000CF -:041D1100000177ACAA -:041D120000050001C7 -:041D130000017560F6 -:041D1400000164481E -:041D150000000000CA -:041D1600000177A7AA -:041D170000010001C6 -:041D18000001763020 -:041D19000001645809 -:041D1A0000000001C4 -:041D1B00000177B597 -:041D1C0000FF0000C4 -:041D1D0000012C4A4B -:041D1E0000016464F8 -:041D1F0000000001BF -:041D2000000177B691 -:041D210000FF0000BF -:041D220000012C4A46 -:041D230000016470E7 -:041D240000000001BA -:041D2500000177B78B -:041D260000FF0000BA -:041D270000012C4A41 -:041D28000001647CD6 -:041D290000000001B5 -:041D2A00000177B885 -:041D2B0000FF0000B5 -:041D2C0000012C4A3C -:041D2D0000016488C5 -:041D2E0000000001B0 -:041D2F00000177B97F -:041D300000FF0000B0 -:041D310000012C4A37 -:041D320000016494B4 -:041D330000000001AB -:041D3400000177BA79 -:041D350000FF0000AB -:041D360000012C4A32 -:041D3700000164A0A3 -:041D380000000001A6 -:041D3900000177BB73 -:041D3A00000F000096 -:041D3B0000012C4A2D -:041D3C0000017654D8 -:041D3D0000000000A2 -:041D3E0000000000A1 -:041D3F0000000000A0 -:041D4000000000009F -:041D4100000000009E -:041D4200000165D85F -:041D4300000165F442 -:041D44000001661C18 -:041D4500000166240F -:041D46000001662C06 -:041D4700000165D85A -:041D4800000165E44D -:041D4900000165FC34 -:041D4A000001660C22 -:041D4B00000165B876 -:041D4C00000166BC70 -:041D4D00000166C863 -:041D4E00000165101B -:041D4F00000165B872 -:041D5000000165C069 -:041D510000016578B0 -:041D520000016584A3 -:041D53000001659096 -:041D54000001669490 -:041D5500000166A47F -:041D5600000166B072 -:041D57000001651012 -:041D5800000165B869 -:041D59000001651010 -:041D5A00000166F02E -:041D5B00000167001C -:041D5C00000167100B -:041D5D0000016720FA -:041D5E000000000081 -:041D5F000000000080 -:041D6000000000007F -:041D6100000000007E -:041D6200000000007D -:041D6300000000007C -:041D6400000000007B -:041D6500000000007A -:041D66000000000079 -:041D67000000000078 -:041D68000000000077 -:041D69000000000076 -:041D6A000000000075 -:041D6B000000000074 -:041D6C000000000073 -:041D6D000000000072 -:041D6E000000000071 -:041D6F000000000070 -:041D7000000000006F -:041D7100000000006E -:041D7200000000006D -:041D7300000000006C -:041D7400000000006B -:041D7500000000006A -:041D76000002002047 -:041D770000015634DD -:041D7800000175E011 -:041D7900000175E010 -:041D7A0000108010C5 -:041D7B0000008000E4 -:041D7C00000166609C -:041D7D00000165D428 -:041D7E0000016544B7 -:041D7F0000016558A2 -:041D800000016510E9 -:041D81000001672CCA -:041D8200000165D81F -:041D8300000165E412 -:041D8400000165D81D -:041D8500000165F400 -:041D86000001656C87 -:041D87000001657082 -:041D88000001659C55 -:041D8900000165AC44 -:041D8A00000165C827 -:041D8B00000165D01E -:041D8C00000166D814 -:041D8D00000166E407 -:041D8E000001757863 -:041D8F000000000749 -:041D900000016F647B -:041D9100000000034B -:041D920000016FF0ED -:041D9300000000024A -:041D94000001702CAE -:041D95000000000842 -:041D96000001705484 -:041D97000000000D3B -:041D9800000170F4E2 -:041D99000000000B3B -:041D9A00000171F8DB -:041D9B00000000053F -:041D9C00000172D4FC -:041D9D00000000073B -:041D9E000001733895 -:041D9F00000000063A -:041DA000000173C407 -:041DA1000000000935 -:041DA2000001743C8C -:041DA300C896554B3E +:041CC600000000001A +:041CC7000000000019 +:041CC8000000000018 +:041CC900000157FCC3 +:041CCA00000173287A +:041CCB000001732879 +:041CCC000010801074 +:041CCD000100800092 +:041CCE00000165109C +:041CCF000001646448 +:041CD000000163D4D8 +:041CD100000163E8C3 +:041CD2000001637436 +:041CD300000165ECBB +:041CD400000164683F +:041CD5000001647432 +:041CD600000164683D +:041CD7000001648420 +:041CD8000001639410 +:041CD9000001639C07 +:041CDA00000163FCA6 +:041CDB0000016400A0 +:041CDC000001642C73 +:041CDD000001643C62 +:041CDE000001645845 +:041CDF00000164603C +:041CE0000001659802 +:041CE100000165A4F5 +:041CE200000172C4C7 +:041CE30000000007F6 +:041CE40000016C2867 +:041CE50000000003F8 +:041CE60000016CB4D9 +:041CE70000000002F7 +:041CE80000016CF09B +:041CE90000000008EF +:041CEA0000016D1870 +:041CEB000000000DE8 +:041CEC0000016DB8CE +:041CED000000000BE8 +:041CEE0000016EBCC7 +:041CEF0000000005EC +:041CF00000016F98E8 +:041CF1000000000DE2 +:041CF20000016FFC82 +:041CF30000000006E7 +:041CF400000171007A +:041CF50000000009E2 +:041CF6000001717800 +:041CF700C896554BEB :00000001FF diff --git a/software/sys_controller_bsp/Makefile b/software/sys_controller_bsp/Makefile index 89072e0..e13195f 100644 --- a/software/sys_controller_bsp/Makefile +++ b/software/sys_controller_bsp/Makefile @@ -112,10 +112,10 @@ SOPC_FILE := ../../sys.sopcinfo #------------------------------------------------------------------------------- # Archiver command. Creates library files. -AR = riscv64-unknown-elf-ar +AR = riscv32-unknown-elf-ar # Assembler command. Note that CC is used for .S files. -AS = riscv64-unknown-elf-gcc +AS = riscv32-unknown-elf-gcc # Custom flags only passed to the archiver. This content of this variable is # directly passed to the archiver rather than the more standard "ARFLAGS". The @@ -139,10 +139,10 @@ BSP_CFLAGS_OPTIMIZATION = -Os BSP_CFLAGS_WARNINGS = -Wall # C compiler command. -CC = riscv64-unknown-elf-gcc -xc +CC = riscv32-unknown-elf-gcc -xc # C++ compiler command. -CXX = riscv64-unknown-elf-gcc -xc++ +CXX = riscv32-unknown-elf-gcc -xc++ # Command used to remove files during 'clean' target. RM = rm -f diff --git a/software/sys_controller_bsp/libhal_bsp.a b/software/sys_controller_bsp/libhal_bsp.a index f9f4715..9f11e3b 100644 Binary files a/software/sys_controller_bsp/libhal_bsp.a and b/software/sys_controller_bsp/libhal_bsp.a differ diff --git a/software/sys_controller_bsp/public.mk b/software/sys_controller_bsp/public.mk index 34bc070..b57a1a2 100644 --- a/software/sys_controller_bsp/public.mk +++ b/software/sys_controller_bsp/public.mk @@ -259,7 +259,7 @@ ALT_CPPFLAGS += -DSMALL_C_LIB # or common. none # setting hal.make.cflags_mgpopt is -mgpopt=global #ALT_CFLAGS += -mgpopt=global -ALT_CFLAGS += -march=rv32imc -mabi=ilp32 +ALT_CFLAGS += -march=rv32emc -mabi=ilp32e # Enable BSP generation to query if SOPC system is big endian. If true ignores # export of 'ALT_CFLAGS += -meb' to public.mk if big endian system. none diff --git a/sys.qsys b/sys.qsys index 673ccbe..c4dcdce 100644 --- a/sys.qsys +++ b/sys.qsys @@ -725,7 +725,7 @@ - + diff --git a/sys.sopcinfo b/sys.sopcinfo index f55862c..a219d82 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1539199706 + 1540839221 false true false @@ -12922,7 +12922,7 @@ the requested settings for a module instance. --> boolean - false + true false true true