diff --git a/README.md b/README.md index 4757b1a..e209e38 100644 --- a/README.md +++ b/README.md @@ -12,6 +12,7 @@ Requirements for building and debugging firmware * Software * [Altera Quartus II + Cyclone IV support](http://dl.altera.com/?edition=lite) (v 16.1 or higher - free Lite Edition suffices) + * [RISC-V GNU Compiler Toolchain](https://github.com/riscv/riscv-gnu-toolchain) * GCC (or another C compiler) for host architecture (for building a SD card image) * Make * [iconv](https://en.wikipedia.org/wiki/Iconv) (for building with JP lang menu) @@ -19,31 +20,33 @@ Requirements for building and debugging firmware Architecture ------------------------------ -* [Reference board schematics](https://www.niksula.hut.fi/~mhiienka/ossc/diy-v1.5/ossc_v1.5-diy_schematic.pdf) +* [Reference board schematics](https://github.com/marqs85/ossc_pcb/raw/v1.6/ossc_board.pdf) * [Reference PCB project](https://github.com/marqs85/ossc_pcb) +SW toolchain build procedure +-------------------------- +1. Download, configure, build and install RISC-V toolchain with Newlib + multilib support: +~~~~ +git clone --recursive https://github.com/riscv/riscv-gnu-toolchain +cd riscv-gnu-toolchain +./configure --prefix=/opt/riscv --enable-multilib +make +make install +~~~~ +2. Compile custom binary to IHEX converter: +~~~~ +gcc tools/bin2hex.c -o tools/bin2hex +~~~~ + + Building software image -------------------------- -1. Enter BSP directory: -~~~~ -cd software/sys_controller_bsp -~~~~ -2. (Optionally) edit BSP settings: -~~~~ -nios2-bsp-editor -~~~~ -3. Generate BSP: -~~~~ -nios2-bsp-generate-files --bsp-dir . --settings settings.bsp -~~~~ -NOTE: the previous step must be done every time after RTL/bitstream is built - -4. Enter software root directory: +1. Enter software root directory: ~~~~ cd software/sys_controller ~~~~ -5. Build SW for target configuration: +2. Build SW for target configuration: ~~~~ make [OPTIONS] [TARGET] ~~~~ @@ -52,21 +55,25 @@ OPTIONS may include following definitions: * ENABLE_AUDIO=y (Includes audio setup code for v1.6 PCB / DIY audio add-on board) TARGET is typically one of the following: -* all (Default target. Compiles an ELF for direct downloading to Nios2 during testing) +* all (Default target. Compiles an ELF for direct downloading to CPU during testing) * generate_hex (Generates a memory initialization file required for bitstream) * clean (cleans ELF and intermediate files. Should be invoked every time OPTIONS are changed between compilations, expect with generate_hex where it is done automatically) -6. Optionally test updated SW by downloading ELF to Nios2 CPU via JTAG (RTL-SW interface in active FW must be compatible new SW BSP configuration) +3. Optionally test updated SW by directly downloading ELF to CPU via JTAG ~~~~ -nios2-download -g --accept-bad-sysid sys_controller.elf +make rv-reprogram ~~~~ -Building RTL / bitstream +Building RTL (bitstream) -------------------------- -1. Load the project (ossc.qpf) in Quartus -2. Generate the FPGA bitstream (Processing -> Start Compilation). NOTE: make sure software image (software/sys_controller/mem_init/sys_onchip_memory2_0.hex) is up to date before generating bitstream. -3. Ensure that there are no severe timing violations by looking into Timing Analyzer report +1. Initialize pulpino submodules (once after cloning ossc project) +~~~~ +git submodule update --init --recursive ip/pulpino_qsys +~~~~ +2. Load the project (ossc.qpf) in Quartus +3. Generate the FPGA bitstream (Processing -> Start Compilation). NOTE: make sure software hex image (software/sys_controller/mem_init/sys_onchip_memory2_0.hex) is up to date before generating bitstream. +4. Ensure that there are no severe timing violations by looking into Timing Analyzer report If only software image is updated, bitstream can be quickly rebuilt by running "Processing->Update Memory Initialization File" and "Processing->Start->Start Assembler" in Quartus. @@ -105,8 +112,8 @@ make clean && make APP_CFLAGS_DEBUG_LEVEL="-DDEBUG" ~~~~ NOTE: Fw update functionality via SD card is disabled in debug builds due to code space limitations. If audio support is enabled on debug build, other functionality needs to be disabled as well. -2. Program Nios2 CPU via JTAG and open terminal for UART +2. Program CPU via JTAG and open terminal for UART ~~~~ -nios2-download -g --accept-bad-sysid sys_controller.elf && nios2-terminal +make rv-reprogram && nios2-terminal ~~~~ Remember to close nios2-terminal after debug session, otherwise any JTAG transactions will hang/fail. diff --git a/ossc.qsf b/ossc.qsf index 9b5e5b3..f072bc6 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -57,7 +57,7 @@ set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" set_location_assignment PIN_25 -to clk27 -set_location_assignment PIN_99 -to reset_n +set_location_assignment PIN_99 -to hw_reset_n set_location_assignment PIN_23 -to ir_rx #============================================================ @@ -245,4 +245,5 @@ set_global_assignment -name QIP_FILE rtl/mux5.qip set_global_assignment -name CDF_FILE output_files/Chain1.cdf set_global_assignment -name SIGNALTAP_FILE output_files/ossc_la.stp + set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/ossc_sw_bsp.project b/ossc_sw_bsp.project index 8916c24..157fd75 100644 --- a/ossc_sw_bsp.project +++ b/ossc_sw_bsp.project @@ -1,5 +1,5 @@ - + @@ -280,10 +280,9 @@ - nios2-bsp-editor make clean - nios2-bsp-generate-files --bsp-dir . --settings settings.bsp + make @@ -320,10 +319,9 @@ - nios2-bsp-editor make clean - nios2-bsp-generate-files --bsp-dir ./ --settings settings.bsp + make diff --git a/rtl/ossc.v b/rtl/ossc.v index 1918ef3..3d5e61a 100644 --- a/rtl/ossc.v +++ b/rtl/ossc.v @@ -19,7 +19,7 @@ //`define DEBUG `define VIDEOGEN -`define CPU_RESET_WIDTH 27 //1us +`define PO_RESET_WIDTH 27 //1us module ossc ( input clk27, @@ -43,7 +43,7 @@ module ossc ( output HDMI_TX_PCLK, input HDMI_TX_INT_N, input HDMI_TX_MODE, - output reset_n, + output hw_reset_n, output LED_G, output LED_R, output LCD_RS, @@ -81,9 +81,10 @@ wire PCLK_out_videogen; wire DE_out_videogen; -reg [7:0] cpu_reset_ctr = 0; -reg cpu_reset_n = 1'b0; -wire ext_reset_req; +reg [7:0] po_reset_ctr = 0; +reg po_reset_n = 1'b0; +wire jtagm_reset_req; +wire sys_reset_n = (po_reset_n & ~jtagm_reset_req); reg [7:0] R_in_L, G_in_L, B_in_L; reg HSYNC_in_L, VSYNC_in_L, FID_in_L; @@ -106,9 +107,9 @@ wire lcd_bl_timeout; // Latch inputs from TVP7002 (synchronized to PCLK_in) -always @(posedge PCLK_in or negedge reset_n) +always @(posedge PCLK_in or negedge hw_reset_n) begin - if (!reset_n) begin + if (!hw_reset_n) begin R_in_L <= 8'h00; G_in_L <= 8'h00; B_in_L <= 8'h00; @@ -126,9 +127,9 @@ begin end // Insert synchronizers to async inputs (synchronize to CPU clock) -always @(posedge clk27 or negedge cpu_reset_n) +always @(posedge clk27 or negedge po_reset_n) begin - if (!cpu_reset_n) begin + if (!po_reset_n) begin btn_L <= 2'b00; btn_LL <= 2'b00; ir_rx_L <= 1'b0; @@ -149,16 +150,16 @@ begin end end -// CPU reset pulse generation (is this really necessary?) +// Power-on reset pulse generation (not strictly necessary) always @(posedge clk27) begin - if (cpu_reset_ctr == `CPU_RESET_WIDTH) - cpu_reset_n <= 1'b1; + if (po_reset_ctr == `PO_RESET_WIDTH) + po_reset_n <= 1'b1; else - cpu_reset_ctr <= cpu_reset_ctr + 1'b1; + po_reset_ctr <= po_reset_ctr + 1'b1; end -assign reset_n = sys_ctrl[0]; //HDMI_TX_RST_N in v1.2 PCB +assign hw_reset_n = sys_ctrl[0]; //HDMI_TX_RST_N in v1.2 PCB `ifdef DEBUG @@ -172,7 +173,7 @@ assign LED_G = (ir_code == 0); assign SD_DAT[3] = sys_ctrl[7]; //SD_SPI_SS_N assign LCD_CS_N = sys_ctrl[6]; assign LCD_RS = sys_ctrl[5]; -wire lcd_bl_on = sys_ctrl[4]; //reset_n in v1.2 PCB +wire lcd_bl_on = sys_ctrl[4]; //hw_reset_n in v1.2 PCB wire [1:0] lcd_bl_time = sys_ctrl[3:2]; assign LCD_BL = lcd_bl_on ? (~lcd_bl_timeout | lt_active) : 1'b0; @@ -227,12 +228,12 @@ end sys sys_inst( .clk_clk (clk27), - .reset_reset_n (cpu_reset_n & ~ext_reset_req), + .reset_reset_n (sys_reset_n), .pulpino_0_config_testmode_i (1'b0), .pulpino_0_config_fetch_enable_i (1'b1), .pulpino_0_config_clock_gating_i (1'b0), .pulpino_0_config_boot_addr_i (32'h00010000), - .master_0_master_reset_reset (ext_reset_req), + .master_0_master_reset_reset (jtagm_reset_req), .i2c_opencores_0_export_scl_pad_io (scl), .i2c_opencores_0_export_sda_pad_io (sda), .i2c_opencores_0_export_spi_miso_pad_i (1'b0), @@ -251,7 +252,7 @@ sys sys_inst( ); scanconverter scanconverter_inst ( - .reset_n (reset_n), + .reset_n (hw_reset_n), .PCLK_in (PCLK_in), .clk27 (clk27), .HSYNC_in (HSYNC_in_L), @@ -285,7 +286,7 @@ scanconverter scanconverter_inst ( ir_rcv ir0 ( .clk27 (clk27), - .reset_n (cpu_reset_n), + .reset_n (po_reset_n), .ir_rx (ir_rx_LL), .ir_code (ir_code), .ir_code_ack (), @@ -310,7 +311,7 @@ lat_tester lt0 ( `ifdef VIDEOGEN videogen vg0 ( .clk27 (clk27), - .reset_n (cpu_reset_n & videogen_sel), + .reset_n (po_reset_n & videogen_sel), .lt_active (lt_active), .lt_mode (lt_mode_synced), .R_out (R_out_videogen), diff --git a/software/ossc_sw.project b/software/ossc_sw.project index 5d8bca9..3ad82d8 100644 --- a/software/ossc_sw.project +++ b/software/ossc_sw.project @@ -121,7 +121,7 @@ - + @@ -135,6 +135,7 @@ make ENABLE_AUDIO=y APP_CFLAGS_DEBUG_LEVEL="-DDEBUG" + cd ../sys_controller_bsp && touch public.mk Makefile make clean make APP_CFLAGS_DEBUG_LEVEL="-DDEBUG" @@ -180,6 +181,7 @@ make generate_hex make OSDLANG=JP make ENABLE_AUDIO=y + cd ../sys_controller_bsp && touch public.mk Makefile make clean make diff --git a/software/sys_controller/Makefile b/software/sys_controller/Makefile index e5b48fc..02bc1f1 100644 --- a/software/sys_controller/Makefile +++ b/software/sys_controller/Makefile @@ -165,6 +165,7 @@ else C_SRCS += ossc/menu.c endif C_SRCS += ossc/userdata.c +C_SRCS += ossc/utils.c C_SRCS += ulibSD/sd_io.c C_SRCS += ulibSD/spi_io.c CXX_SRCS := diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index e569cf8..85881a6 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -53,9 +53,9 @@ :040034000000911720 :04003500F3010113BF :0400360000007D1732 -:0400370023CD0D13B5 +:0400370037CD0D13A1 :0400380000007D97B0 -:040039006B8D8D93AB +:040039007F8D8D9397 :04003A0001BD57634A :04003B00000D202371 :04003C00DDE30D11E2 @@ -64,41 +64,41 @@ :04003F00C6064501AB :040040002005200572 :04004100A60367DDCE -:0400420067DD3147FE -:040043003187A583D9 +:0400420067DD4547EA +:040043004587A583C5 :0400440040B267DD82 -:0400450031C7A50317 +:0400450045C7A50303 :04004600106F0141F5 -:04004700A941753026 +:04004700A94178F063 :0400480067DD80826E :040049000002073773 -:04004A0032E7A223D4 +:04004A0046E7A223C0 :04004B0007B7655D31 :04004C00675D019C4F :04004D00CC078793C2 -:04004E00A48505136D -:04004F0032F7202341 +:04004E00B885051359 +:04004F0046F720232D :04005000675DACE953 -:040051003207270348 +:040051004607270334 :04005200A78367DD3C -:04005300557D32475E +:04005300557D46474A :040054004721CB1164 :040055006741C3D864 :04005600C798177DB3 :040057004711C7D8AE :040058004501C3D8C3 :0400590067DD80825D -:04005A003207A7833F +:04005A004607A7832B :04005B00CF91557D6F :04005C00A70367DDB2 -:04005D0028233247DB +:04005D0028234647C7 :04005E004B1C000730 :04005F0007C24B4841 :0400600083C1054211 :0400610045138D5D59 :040062008082FFF5A4 :04006300A50367DDAD -:04006400808232075D +:040064008082460749 :040065002703C9396B :0400660011410C0533 :0400670000859793E6 @@ -183,7 +183,7 @@ :0400B600013685B3D7 :0400B700953E8626C6 :0400B800C436C63A4A -:0400B90052C040EF02 +:0400B90066C040EFEE :0400BA000B89278304 :0400BB004732467210 :0400BC00C39097A2B4 @@ -256,7 +256,7 @@ :0400FF00114100C8E3 :04010000853A863680 :0401010040EFC606FF -:0401020040B240A027 +:0401020040B254A013 :04010300853E47816D :0401040080820141B3 :04010500853E57A933 @@ -270,7 +270,7 @@ :04010D00E963FEB7ED :04010E0066D902F6B6 :04010F008693078A42 -:0401100097B699C63F +:0401100097B6ADC62B :040111008782439C02 :04011200200007932F :040113000D0526832D @@ -303,7 +303,7 @@ :04012E00D918DD5CA3 :04012F0002052A2378 :04013000C134DD10E9 -:040131002645859347 +:040131003A45859333 :0401320040B22201B4 :04013300853E47813D :040134008082014183 @@ -370,7 +370,7 @@ :04017100BFD507856A :04017200451CC1194E :0401730067DDEF91C4 -:040174002607A78330 +:040174003A07A7831C :040175001141C395DC :040176009782C606A0 :0401770047D940B272 @@ -380,8 +380,8 @@ :04017B00419CC11CC6 :04017C00C188C3C8AB :04017D008082450136 -:04017E000513655DA3 -:04017F0047D979459E +:04017E00051365619F +:04017F0047D98D458A :040180005529C11C20 :040181000000808278 :0401820000B567332A @@ -581,7 +581,7 @@ :04024400014106105E :040245001141BF594B :04024600645DC4220D -:0402470033840413E5 +:0402470047840413D1 :0402480000244583C6 :04024900C60645158B :04024A004783374966 @@ -656,10 +656,10 @@ :04028F00458135BDB3 :040290000C500513F6 :0402910064DD359D56 -:040292003384879397 +:040292004784879383 :040293000137A42368 :040294008493478583 -:040295004409338461 +:04029500440947844D :040296000363463286 :04029700442900F9FD :0402980082234785F1 @@ -842,11 +842,11 @@ :04034900CA56D4229A :04034A0036418A327C :04034B0069DD46B270 -:04034C003389871357 +:04034C004789871343 :04034D0097938A8573 :04034E0046830016CC :04034F00899300C7C7 -:040350009AF533895E +:040350009AF547894A :0403510006238EDD14 :040352008A8900D7BD :040353004505C29901 @@ -886,10 +886,10 @@ :0403750065DD47C13A :0403760016F4916385 :040377008593460D17 -:04037800051326C57E +:0403780005133AC56A :040379003CC9073044 :04037A00464965DDAE -:04037B00B4C58593ED +:04037B00C8C58593D9 :04037C00E593A83528 :04037D00BFAD040507 :04037E000805E593F6 @@ -901,10 +901,10 @@ :040384000FF47413EB :0403850065DDB77506 :040386008593460D08 -:04038700051327052E +:0403870005133B051A :040388003C590730A5 :04038900464965DD9F -:04038A00B60585939C +:04038A00CA05859388 :04038B0007600513EF :04038C00A89FF0EF47 :04038D000513448987 @@ -967,17 +967,17 @@ :0403C60045054AD2CD :0403C700808261458A :0403C800460D65DD9C -:0403C90027058593EC +:0403C9003B058593D8 :0403CA0007300513E0 :0403CB0098DFF0EFD8 :0403CC00464965DD5C -:0403CD00B3858593DC +:0403CD00C7858593C8 :0403CE00460DBDD546 -:0403CF0026C5859327 +:0403CF003AC5859313 :0403D00007300513DA :0403D100975FF0EF53 :0403D200464965DD56 -:0403D300B245859317 +:0403D300C645859303 :0403D4004401BDF132 :0403D500B5C54481E5 :0403D600051345E1E5 @@ -1002,9 +1002,9 @@ :0403E9000590051363 :0403EA00F06F01416E :0403EB0067DD901F1B -:0403EC00A4878793C8 +:0403EC00B8878793B4 :0403ED002423675D01 -:0403EE00A70334F736 +:0403EE00A70348F722 :0403EF0005130C875F :0403F000CB01F380CA :0403F1000D47A7030A @@ -1012,6355 +1012,6435 @@ :0403F30000F7136399 :0403F40080824501BD :0403F50067DD11416E -:0403F60086AEC422E9 -:0403F70085AA842E21 -:0403F8003487A5039E -:0403F900C606C2264C -:0403FA00F0EF84B2EA -:0403FB004781BF4F28 -:0403FC000513C90913 -:0403FD00A801F370F0 -:0403FE0000F487334D -:0403FF0000070023D0 -:040400001BE307856E -:0404010040B2FEF413 -:0404020044924422BA -:0404030080820141B1 -:04040400CC221101F4 -:04040500CA2684324D -:040406007793CE0614 -:0404070086AA0FF4BE -:04040800062284AE96 -:040409004701C38D57 -:04040A0004971263DE -:04040B00A50367DD01 -:04040C007593348729 -:04040D0005A2F00450 -:04040E00A1EFF0EF7B -:04040F00C10D87AAEA -:04041000F35007930B -:0404110067DDA831CA -:04041200A503C62A4E -:0404130085B23487F3 -:04041400F0EFC4320F -:0404150007939B8F1F -:040416004622F36027 -:04041700D56146B2B3 -:04041800446240F208 -:04041900853E44D206 -:04041A008082610576 -:04041B0000E687B3BD -:04041C000007802332 -:04041D00BF4D0705C3 -:04041E00D4227179FA -:04041F00D04AD226C7 -:04042000D606CE4EE0 -:04042100893284AEEA -:0404220009934401F5 -:040423006E631000F4 -:0404240045010094FA -:040425000009046363 -:04042600F340051387 -:04042700542250B259 -:04042800590254928F -:04042900614549F2EE -:04042A0085B3808294 -:04042B00F4634084B2 -:04042C00059300B97B -:04042D0086361000FF -:04042E00C636852227 -:04042F00FD793F21F3 -:04043000100404139D -:04043100B7E146B237 -:04043200CC221101C6 -:04043300C62A645D14 -:0404340034C40513B4 -:0404350030EFCE06D0 -:0404360045B2444047 -:040437000713E909B5 -:0404380046812000D9 -:040439000513460160 -:04043A0030EF34C4A7 -:04043B0040F25780B4 -:04043C0061054462B0 -:04043D0065DD808277 -:04043E008593655DE0 -:04043F0005133A0562 -:04044000206F3605EE -:0404410065DD658090 -:040442008593655DDC -:040443000513400558 -:04044400206F3745A9 -:04044500114164807D -:04044600C606C42200 -:04044700F0EF842A24 -:040448004581A4BF87 -:04044900F0EF45018A -:04044A00E435B33FA3 -:04044B000713675DCF -:04044C0043483B479F -:04044D00019C07B750 -:04044E00CC078793BD -:04044F0002A7D7B376 -:0404500000A70603F8 -:04045100450345E931 -:0404520066DD01075B -:0404530049C686937D -:040454000413645DCC -:04045500063341C465 -:04045600458302B622 -:0404570087B3026401 -:0404580096B202A7AF -:0404590000E6D503E1 -:04045A0002744603DF -:04045B0002A787B3BA -:04045C0000C745038D -:04045D0087B3050557 -:04045E00450302A7A9 -:04045F00050500E7A8 -:0404600002F5053369 -:04046100847FF0EFB5 -:0404620002644503E8 -:0404630040B244223D -:04046400F06F0141F3 -:0404650040B2E36F4F -:0404660001414422EA -:04046700114180823D -:04046800892AC04AD3 -:04046900C606450579 -:04046A00C226C422C0 -:04046B00B31FF0EFDC -:04046C00997FF0EF95 -:04046D00450145817F -:04046E009C9FF0EF70 -:04046F0000193693A7 -:04047000458146017B -:04047100F0EF45055E -:040472001563B49FBB -:04047300645D0209B9 -:04047400041364DD2C -:0404750084933B44ED -:04047600470341C433 -:04047700C68300F444 -:0404780046010164D4 -:040479004501458173 -:04047A00D9CFF0EFF7 -:04047B000164C783CE -:04047C0002F406A3DD -:04047D003705854A70 -:04047E0040B2442222 -:04047F004902449258 -:0404800001414501F0 -:04048100AD9FF06FCC -:04048200C606114158 -:04048300ED2DC42275 -:04048400C78367DDE6 -:04048500472138577C -:0404860004E78C6398 -:0404870089634741FD -:04048800471100E731 -:0404890004E79E6383 -:04048A0034F020EF3B -:04048B00A0A14505E2 -:04048C000713675D8E -:04048D0043503B4756 -:04048E00019C07B70F -:04048F00CC0787937C -:0404900002C7D7B315 -:0404910000A70603B7 -:04049200675D45E974 -:0404930049C707133B -:04049400063386AAFB -:04049500973202B6E2 -:0404960000E7570321 -:0404970002E787B33E -:0404980001C9C73798 -:0404990037F7071317 -:04049A0000F76363A1 -:04049B00853646BD9F -:04049C00307020EFAD -:04049D004422450DA3 -:04049E00014140B226 -:04049F006C00206F5E -:0404A0002F7020EFAA -:0404A100BFC545018D -:0404A200871367DD78 -:0404A300468341C784 -:0404A40047090207FB -:0404A50041C78413B4 -:0404A6008363453DEA -:0404A700450100E625 -:0404A8002D7020EFA4 -:0404A900020447837F -:0404AA00450D4709AC -:0404AB00FCF775E302 -:0404AC008D1D451548 -:0404AD000FF57513BF -:0404AE007179BF7D24 -:0404AF00D60667897D -:0404B000D226D4225A -:0404B100CE4ED04A11 -:0404B200CA56CC5208 -:0404B300C65EC85AFF -:0404B400C266C462F6 -:0404B50071078793B1 -:0404B60000021737F2 -:0404B7000C07268385 -:0404B8000006D46303 -:0404B900FBFD17FD33 -:0404BA0053F020EFEC -:0404BB0087936BDDDB -:0404BC00C4833B4B6F -:0404BD008A2A00B7D0 -:0404BE003B4B84131D -:0404BF0017B7C4990E -:0404C000A48300020F -:0404C10080C10C07E3 -:0404C2000513888D09 -:0404C30020EF0370B3 -:0404C4008AAA6AC0D6 -:0404C5000380051398 -:0404C6006A2020EF99 -:0404C7001993678599 -:0404C8008793008591 -:0404C900F9B3F0078C -:0404CA00579300F94B -:0404CB008B850065B8 -:0404CC000513892A61 -:0404CD0004A30390F1 -:0404CE0020EF00F427 -:0404CF008CAA68008B -:0404D00003A005136D -:0404D100676020EF51 -:0404D200000217B756 -:0404D3000C07A783E8 -:0404D400E9B3470938 -:0404D5008B630159DB -:0404D600470302E4F2 -:0404D700E70900B47D -:0404D80018F00713FE -:0404D900033774630E -:0404DA009D634705D2 -:0404DB00D99300E4CD -:0404DC00F993012768 -:0404DD0009857FF915 -:0404DE001909B7132E -:0404DF0000174713A8 -:0404E000A8114C0112 -:0404E1000209791380 -:0404E200FE0908E324 -:0404E3000C89B713B6 -:0404E40000174713A3 -:0404E50046834C05F9 -:0404E60067DD00B41A -:0404E70087936ADDB0 -:0404E8008493328740 -:0404E9006B5D41CA3C -:0404EA0018069F63EE -:0404EB00380A006368 -:0404EC0036070E635E -:0404ED00000787037A -:0404EE00D163468907 -:0404EF00802318E668 -:0404F0004785000735 -:0404F10000F405A36B -:0404F200A783491182 -:0404F3001A133B4B52 -:0404F4006505008515 -:0404F500F0050513F6 -:0404F60000AA7A33AB -:0404F70000178693D1 -:0404F800019A6A33C8 -:0404F900ED63675DEB -:0404FA0086930136AE -:0404FB00E963001998 -:0404FC00405C00F66A -:0404FD0001479663BA -:0404FE0000844783AC -:0404FF0033878B6351 -:040500003E070EA301 -:0405010000C4C70368 -:0405020002344783F5 -:0405030008F71A6378 -:0405040000D4C70355 -:0405050002444783E2 -:0405060008F714637B -:0405070000E4C70342 -:0405080002544783CF -:0405090006F71E6370 -:04050A0000F4C7032F -:04050B0002644783BC -:04050C0006F7186373 -:04050D000104C7031B -:04050E0002744783A9 -:04050F0006F7126376 -:040510000074C703A9 -:0405110001E4478337 -:0405120004F71C636B -:040513000084C70396 -:0405140001F4478324 -:0405150004F716636E -:040516000094C70383 -:040517000204478310 -:0405180004F7106371 -:0405190000A4C70370 -:04051A0002144783FD -:04051B0002F71A6366 -:04051C0000B4C7035D -:04051D0002244783EA -:04051E0002F7146369 -:04051F000194C70379 -:040520000304478306 -:0405210000F71E635E -:0405220001A4C70366 -:0405230003144783F3 -:0405240000F7186361 -:040525000244C703C2 -:0405260003B4478350 -:0405270000F709636D -:0405280087CA47092E -:0405290000E973630F -:04052A00F9134789F1 -:04052B00C7030FF7FC -:04052C00478301748C -:04052D00086302E479 -:04052E00078302F746 -:04052F00476900A474 -:0405300002E787B3A4 -:040531000713675DE8 -:0405320097BA49C764 -:040533000107D703E2 -:0405340020D0079339 -:0405350000F719634F -:0405360087CA470920 -:0405370000E9736301 -:04053800F9134789E3 -:0405390047830FF7EE -:04053A00C3B1415BAD -:04053B0000A407030E -:04053C0067DD46E948 -:04053D0002D70733A7 -:04053E0049C787938F -:04053F00010445036B -:04054000010A159304 -:04054100460181C12D -:04054200D70397BA8A -:04054300053300E795 -:04054400470302E582 -:040545000542030464 -:04054600C70981411F -:040547000187D6034F -:040548008A05820599 -:04054900650020EF3A -:04054A00000913632E -:04054B00AA23490591 -:04054C0022233B3BF0 -:04054D00042301443E -:04054E00A03501844F -:04054F0080230705F9 -:04055000490100E776 -:040551000463B55931 -:040552001163000A27 -:0405530086831E0776 -:0405540056710007D5 -:040555001CC6D76386 -:0405560000078023F7 -:04055700000405A3F4 -:0405580014E349114E -:04055900C703E607E7 -:04055A00478341CAC8 -:04055B001E630174A6 -:04055C00C70306F7D4 -:04055D0047830014BC -:04055E001863018499 -:04055F00C70306F7D1 -:0405600047830024A9 -:04056100126301948C -:04056200C70306F7CE -:040563004783003496 -:040564001C6301A46F -:04056500C70304F7CD -:040566004783004483 -:04056700166301B462 -:04056800C70304F7CA -:040569004783005470 -:04056A00106301C455 -:04056B00C70304F7C7 -:04056C00478301249C -:04056D001A63029477 -:04056E00C70302F7C6 -:04056F004783013489 -:04057000146302A46A -:04057100C70302F7C3 -:040572004783014476 -:040573001E6302B44D -:04057400C70300F7C2 -:0405750047830114A3 -:040576001863028480 -:04057700C70300F7BF -:04057800478302545F -:04057900056303C44F -:04057A00136300F710 -:04057B004905000925 -:04057C000184C5032E -:04057D0002F44783BA -:04057E0000A78B63E4 -:04057F0001044583AB -:0405800001B020EFB7 -:0405810000A408A327 -:0405820000091363F6 -:04058300C50349055E -:04058400478301C4E4 -:040585008463033454 -:0405860020EF00A7BB -:04058700C503039015 -:04058800478301D4D0 -:040589008463034440 -:04058A0020EF00A7B7 -:04058B00C5034D0057 -:04058C00478301E4BC -:04058D00846303542C -:04058E0020EF00A7B3 -:04058F00C5034CA0B4 -:040590004783021487 -:04059100C583038497 -:040592009663022446 -:04059300478300A7F3 -:0405940084630394E5 -:0405950020EF00B79C -:04059600C5034860F1 -:04059700478301B4E1 -:040598008B6303244A -:0405990047E100A78F -:04059A0002F505332E -:04059B00879367D902 -:04059C00953E6A8797 -:04059D0060A020EF4B -:04059E000204C5038B -:04059F000374478317 -:0405A00000A78463C9 -:0405A100B85FF0EF60 -:0405A20001F4C50398 -:0405A3000364478323 -:0405A40000A78463C5 -:0405A500706020EF73 -:0405A60069DD65DDC9 -:0405A7008593461DD5 -:0405A80085133F4533 -:0405A90030EF445992 -:0405AA00C50913A0CC -:0405AB004459851317 -:0405AC0045C020EF37 -:0405AD000264C7031A -:0405AE0003D44783A8 -:0405AF0000F71863D6 -:0405B0000274C70307 -:0405B10003E4478395 -:0405B20000F70663E5 -:0405B3000154C50327 -:0405B400A47FF0EF41 -:0405B500C78367DDB4 -:0405B600CF81416749 -:0405B7000284C503F2 -:0405B80003F447837E -:0405B90000A78763AD -:0405BA000562155170 -:0405BB0010EF856157 -:0405BC0085937210A1 -:0405BD00051341CA17 -:0405BE0006130174AB -:0405BF0030EF031006 -:0405C00050B2112004 -:0405C1000AA3542213 -:0405C200854A400B1B -:0405C30059025492F3 -:0405C4004A6249F24C -:0405C5004B424AD289 -:0405C6004C224BB2C6 -:0405C70061454C92AC -:0405C80016FD80821A -:0405C90000D78023B4 -:0405CA00BD25490101 -:0405CB000007802382 -:0405CC004783BFE5BD -:0405CD0046853FD749 -:0405CE00CCD786E31D -:0405CF00F793078512 -:0405D0000EA30FF770 -:0405D1009FE33EF76F -:0405D2004709CAD734 -:0405D300736387CAFD -:0405D400478900E96A -:0405D5000FF7F91310 -:0405D600675DB17537 -:0405D700C6221141E6 -:0405D8003B47069304 -:0405D90000A68E03E7 -:0405DA0068DD45E9AA -:0405DB0002BE05B3A4 -:0405DC000176C503DC -:0405DD0049C88793EF -:0405DE000106C60349 -:0405DF00071346892F -:0405E00088933B477A -:0405E10097AE49C8C0 -:0405E2000147C30307 -:0405E30000C7D80372 -:0405E4000137C58393 -:0405E50018D51463AE -:0405E60001874683C0 -:0405E700F6930685FC -:0405E80055030FF6B2 -:0405E9004F830147F4 -:0405EA00479102270C -:0405EB0080050E93E6 -:0405EC00020E826316 -:0405ED008E936785FD -:0405EE00E56380073A -:0405EF0007931AAEA6 -:0405F0000963020099 -:0405F100E1631CF5B1 -:0405F2004EC118A737 -:0405F30003634791C6 -:0405F40087B201D5F4 -:0405F5004E83655D6F -:0405F600452138550E -:0405F70000AE966359 -:0405F80003420306B1 -:0405F9000103531394 -:0405FA00012745038D -:0405FB0078634F05CD -:0405FC000333006560 -:0405FD001F1340A3E5 -:0405FE005F13010383 -:0405FF004569010F3A -:0406000002AE05330E -:0406010040F602B30A -:0406020044054E0954 -:040603005E83954637 -:04060400450300A505 -:040605008333012515 -:0406060003B303D265 -:04060700433300AFCA -:040608004E0303C3D7 -:0406090082B302971F -:04060A000342025352 -:04060B000103531381 -:04060C00200003B710 -:04060D0003C78E335E -:04060E0093169E1A87 -:04060F0000D742834B -:0406100003420E4251 -:04061100010E5E1365 -:04061200010353137A -:04061300936302FAF1 -:040614004381008F8F -:0406150002A6053301 -:0406160000100FB70A -:04061700800F8F932E -:040618000E4E07C2B9 -:0406190005AE06EE36 -:04061A000EB34432A5 -:04061B00052E03D6CF -:04061C0001F575333C -:04061D0003E60633B7 -:04061E007FFEFE93CA -:04061F00005EEEB3D8 -:0406200001D5653368 -:040621000FF00EB711 -:0406220076330652D3 -:040623008E4901D625 -:0406240000766633C3 -:040625000002153783 -:040626000AC52823B6 -:04062700011746036E -:0406280001074E83F5 -:040629000EAA0636D9 -:04062A0001D666335C -:04062B00E7B38FD1D1 -:04062C00E7B301C768 -:04062D00202300671F -:04062E0047830AF5FF -:04062F00460300C7B7 -:04063000436901C752 -:04063100066A07F658 -:0406320046038FD11B -:04063300065202A7C2 -:0406340006038FD159 -:04063500063300A7E1 -:0406360098B202660E -:040637000158C6039D -:040638008FD1064612 -:04063900E6B38EDDB9 -:04063A008ECD01065A -:04063B0008D5282393 -:04063C0003C7478326 -:04063D0002B7468337 -:04063E0006AA07BA47 -:04063F0046838FD58A -:040640008FD501B79A -:0406410001A7468344 -:0406420001974703D2 -:040643008FD506A6A3 -:040644008FD9071231 -:0406450008F5202371 -:04064600808201416C -:0406470046814E8515 -:04064800E9D511E3FC -:040649000187D5034D -:04064A0000C746831C -:04064B00C50989054F -:04064C00E60689E352 -:04064D00B5B5468D6C -:04064E00E60685E354 -:04064F000177C683E6 -:0406500036B316F1B6 -:04065100BDB100D067 -:0406520010000E93F3 -:0406530003E3478DE9 -:040654000793E9D54A -:040655001EE3200080 -:040656004503E6F57D -:04065700479102873E -:04065800A8358F89A9 -:040659000F636E8934 -:04065A00E86303D579 -:04065B0012E300AEF8 -:04065C004503E6F577 -:04065D004795028734 -:04065E006791B7E504 -:04065F0002F50F632E -:0406600018E367A193 -:040661004503E4F574 -:04066200479902872B -:04066300F7938F89F1 -:04066400A8110FF7D3 -:0406650002874503C0 -:040666008F89478DA4 -:04066700F793078678 -:04066800BD0D0FF7BE -:0406690097E387B2DA -:04066A001821E20F62 -:04066B00084205B18B -:04066C00581305C258 -:04066D0081C101083E -:04066E004795BD31BE -:04066F001101B7EDD1 -:04067000C64E67DD2E -:0406710069DD4705F3 -:040672003EE78EA32E -:040673003B498793E5 -:0406740043C4CA268B -:04067500CE06CC22BF -:04067600C452C84A58 -:040677008413C256D0 -:040678008A633B490D -:04067900A783180437 -:04067A0086633B490F -:04067B00C83718075D -:04067C000813A0EED1 -:04067D005833B00836 -:04067E00478302F8B4 -:04067F000A370084B2 -:040680000A13019CBC -:040681005A33CC0A12 -:040682008463029AF1 -:0406830054B316074F -:0406840005130298C0 -:0406850010EF03B0BF -:04068600892A7A50F3 -:0406870003C0051394 -:0406880079B010EF46 -:04068900016447833E -:04068A00008446039F -:04068B00002797139A -:04068C00879367D910 -:04068D0097BA9F4732 -:04068E00A7034394E7 -:04068F0007933B4949 -:04069000E219070064 -:040691000690079335 -:0406920000016637C6 -:040693000613655D88 -:0406940045C5A98629 -:0406950037450513CD -:04069600691020EFD8 -:040697003E80069308 -:0406980006400813FD -:04069900655D47292B -:04069A00051345C53A -:04069B00763340056D -:04069C00DAB302DAF1 -:04069D0057330304C8 -:04069E00663702E6D3 -:04069F0087D60001F9 -:0406A000AA0606138D -:0406A1000304F83323 -:0406A20002DA56B36F -:0406A30065D020EF0F -:0406A400C78367DDC4 -:0406A500E3994997F5 -:0406A600E6EFF0EF9C -:0406A700C68364DDC5 -:0406A8004583414401 -:0406A900A503008421 -:0406AA0086563B49EC -:0406AB005C4020EFA0 -:0406AC00096357FD8A -:0406AD0005230CF520 -:0406AE00070300A49A -:0406AF0067DD00A45F -:0406B0008D2346E967 -:0406B100073334E7F0 -:0406B20067DD02D727 -:0406B30049C7879319 -:0406B4000104468374 -:0406B5004803405066 -:0406B60097BA0304E8 -:0406B7000167C5030F -:0406B8004144C703EF -:0406B900675D8D7973 -:0406BA0038A702A3B8 -:0406BB0000E7D583FC -:0406BC000FF97713A8 -:0406BD0002D585B32A -:0406BE000733468137 -:0406BF0005C202B7B7 -:0406C000573381C16A -:0406C100064202C724 -:0406C2000663824108 -:0406C300D6830008D2 -:0406C40082850187A3 -:0406C50077138A8598 -:0406C60020EF0FF71B -:0406C70045033AC0ED -:0406C800F0EF0374D8 -:0406C9004583EE6F08 -:0406CA0045030104DF -:0406CB0020EF02F426 -:0406CC0008A32EC091 -:0406CD00F0EF00A4A6 -:0406CE004783C25F3D -:0406CF00450302C419 -:0406D000458100E47C -:0406D1004583E791E5 -:0406D2008D8900F41A -:0406D3000015B593C6 -:0406D400C20FF0EF72 -:0406D50003A44783B0 -:0406D60002C4450312 -:0406D7004462CB8529 -:0406D80044D240F2D6 -:0406D90049B2494297 -:0406DA004A924A22D4 -:0406DB00F06F610556 -:0406DC000806E30F1A -:0406DD006485BD690A -:0406DE0084936A1186 -:0406DF000A1377047F -:0406E000BD41D54AF9 -:0406E1000523479115 -:0406E200BF0500F45C -:0406E3004703E10DDB -:0406E400468300F455 -:0406E500446202D495 -:0406E60044D240F2C8 -:0406E70049B2494289 -:0406E8004A924A22C6 -:0406E9004581460100 -:0406EA00E06F610557 -:0406EB0040F2BDBF5D -:0406EC0044D244624E -:0406ED0049B2494283 -:0406EE004A924A22C0 -:0406EF00808261059F -:0406F000C2261141CC -:0406F100C50364DDFC -:0406F200C42235D415 -:0406F30010EFC60638 -:0406F400842A7AE0FA -:0406F500C683E121B6 -:0406F60067DD35D4B3 -:0406F70088A3472964 -:0406F80067DD3AD7A9 -:0406F90044C7C783A8 -:0406FA0000E785632D -:0406FB000F23675D05 -:0406FC0067DD34F78B -:0406FD003B27C703CD -:0406FE00C701478168 -:0406FF00C78367DD69 -:04070000675D35E715 -:04070100390707139A -:04070200453D97BA20 -:0407030000D7802378 -:040704005E8010EF14 -:0407050040B2852257 -:0407060044924422B3 -:0407070080820141AA -:04070800C2261141B3 -:04070900C50364DDE3 -:04070A00C42235D4FC -:04070B0010EFC6061F -:04070C00842A5CA03F -:04070D00C683E51D9D -:04070E0067DD35D49A -:04070F003AD788A3AA -:04071000C70367DDD7 -:0407110047813B27BA -:0407120067DDC701D7 -:040713003CA7C783B5 -:040714000713675D03 -:0407150097BA39074F -:040716008023453DBA -:0407170010EF00D708 -:04071800852259A03D -:04071900442240B284 -:04071A0001414492C3 -:04071B0067DD808294 -:04071C0035A7C70333 -:04071D0067DD46E965 -:04071E003EE78E2301 -:04071F0002D70733C3 -:04072000879367DD77 -:0407210097BA49C773 -:0407220000E7D68393 -:040723001E23675DCD -:04072400C68338D779 -:04072500675D0147C4 -:0407260040D71C2379 -:040727000127C6835D -:040728001C23675DCA -:04072900D68334D768 -:04072A00675D00A760 -:04072B0038D7162382 -:04072C000157C68328 -:04072D001323675DCE -:04072E00C68338D76F -:04072F00675D0137CA -:0407300040D7192372 -:0407310000C7D70323 -:04073200952367DDC7 -:04073300808238E7A1 -:04073400C60367DDB4 -:0407350067DD3FC776 -:0407360039C7DF03DD -:04073700DE8367DD19 -:0407380067DD4187B1 -:040739003587CE032F -:04073A0067DD675DB3 -:04073B0038C7D303E5 -:04073C003B4707131D -:04073D0086AA67DD44 -:04073E003867D883BD -:04073F0000B74503B7 -:04074000C80367DDA6 -:0407410067DD412708 -:0407420038A7D5837C -:04074300879367DD54 -:04074400C92949C7AF -:0407450000A70703FF -:0407460004C716636B -:0407470007334769C4 -:04074800973E02E6F0 -:0407490000E755036D -:04074A0003E51A6346 -:04074B00014745031A -:04074C0003D5166358 -:04074D000127450338 -:04074E0003C512636A -:04074F0000A75503A7 -:0407500000651E63BF -:040751000157450304 -:0407520001151A6310 -:040753000137450322 -:040754000105166322 -:0407550000C757037F -:0407560000B706637F -:040757004505675D90 -:0407580040A70AA309 -:0407590006334769B3 -:04075A00655D02E6F1 -:04075B003A05051343 -:04075C00663797B2B3 -:04075D0096230001DE -:04075E00972300B726 -:04075F008A2301E701 -:04076000892301D711 -:04076100952301C714 -:040762008AA30067FF -:0407630089A301174E -:040764000613010770 -:0407650045C5690617 -:040766003510206FBB -:04076700C606114170 -:0407680047C5C4229B -:04076900000214373F -:04076A000EF4202346 -:04076B000E04202335 -:04076C000A04282330 -:04076D0028236509CF -:04076E000513080463 -:04076F00E0EF710541 -:040770000713B60FA6 -:0407710067DD1D1013 -:0407720038E79423AD -:040773000003153733 -:040774001D100793BA -:040775000EF420233B -:04077600D40505138E -:04077700B42FE0EFCC -:04077800000626371A -:04077900019C05B723 -:04077A00040405135B -:04077B00A8060613B3 -:04077C00CC05859390 -:04077D00EE6FE0EF4C -:04077E000C3010EF3C -:04077F002FD010EF78 -:04078000C94154799E -:0407810010EF45012F -:0407820007933B504E -:0407830054750FF0AA -:0407840008F5016310 -:040785007AF010EF07 -:04078600E0EF450952 -:0407870047CDA81F93 -:04078800186354712D -:04078900E0EF06F5A2 -:04078A0010EFAF1F9E -:04078B00C50900702C -:04078C00470567DDD9 -:04078D0040E78B2393 -:04078E00976FF0EF82 -:04078F00ED39842A92 -:0407900065D923956F -:040791000613655D89 -:040792008593031038 -:040793000513B40591 -:0407940020EF3CB561 -:0407950065D91BF017 -:040796000613655D84 -:0407970085930360E3 -:040798000513CB0575 -:0407990020EF450503 -:04079A00453D1AB00F -:04079B00510010EF0A -:04079C00C50367DD4D -:04079D0010EF3B1707 -:04079E0017B75060D9 -:04079F00A78300022A -:0407A00097130D0797 -:0407A100436300E7C7 -:0407A2002B99000788 -:0407A300F0EF45012D -:0407A4008522B10FEA -:0407A500442240B2F8 -:0407A600808201410B -:0407A700BFD5547DE9 -:0407A80067DD71395F -:0407A900C483DA2605 -:0407AA00DC2235B761 -:0407AB000485645D00 -:0407AC000FF4F493BF -:0407AD0038845783B2 -:0407AE0004C204B2CB -:0407AF00672180C17D -:0407B0008FD98FC589 -:0407B10038F41423E1 -:0407B200D84ADE063D -:0407B300D05AD452F2 -:0407B400CC62CE5EE7 -:0407B500C86ACA66DE -:0407B60000021737EF -:0407B700D256D64EF2 -:0407B8006637C66E6C -:0407B900695D000175 -:0407BA000EF72023F3 -:0407BB00A206061379 -:0407BC00051345C517 -:0407BD0020EF3A09E6 -:0407BE00F0EF1F3009 -:0407BF006C419FCF1B -:0407C0007B716A5D82 -:0407C10047857BF5F8 -:0407C200FFFC0C9399 -:0407C300450A0A13C6 -:0407C4001BFD1B7D81 -:0407C50000016D378B -:0407C60000021DB759 -:0407C7000D0DA983E8 -:0407C8000199F9B3E7 -:0407C9008263E3DD87 -:0407CA0057030A09BE -:0407CB005783016AE5 -:0407CC0016633884F4 -:0407CD00F7B30F3738 -:0407CE001423017778 -:0407CF00A02338F437 -:0407D00000230EFDF7 -:0407D100F0EF3A0902 -:0407D20025379B0F1D -:0407D3000513000604 -:0407D400E0EFA805A5 -:0407D50057839CCFDB -:0407D60067113884EB -:0407D7003E80051348 -:0407D8008FD98FC561 -:0407D90038F41423B9 -:0407DA000EFDA0234D -:0407DB00029020EF79 -:0407DC0020EF4D8538 -:0407DD0017B704D076 -:0407DE00166300029C -:0407DF00AA8301B533 -:0407E000D8E307074C -:0407E10020EFFE0AFD -:0407E2009713051054 -:0407E3008341010A43 -:0407E400FFF7079381 -:0407E50083C107C203 -:0407E600FFDC06939B -:0407E70002F6FE63B5 -:0407E800000166376F -:0407E900A2C606138B -:0407EA00051345C5E9 -:0407EB0020EF3A09B8 -:0407EC00F0EF13B067 -:0407ED005783944F4B -:0407EE0017373884FD -:0407EF0077B30002DA -:0407F000142300FBD3 -:0407F100202338F495 -:0407F20065090EF790 -:0407F3007105051374 -:0407F40094EFE0EFAF -:0407F500B78987CE6B -:0407F600D7936585AB -:0407F70015FD010AE1 -:0407F800064006139E -:0407F90056B38FED77 -:0407FA00773302C788 -:0407FB009C6302C732 -:0407FC00663700B7A5 -:0407FD0006130001DE -:0407FE0045C5A34604 -:0407FF003A0905139B -:040800000E9020EF47 -:04080100F833B77D94 -:0408020045C502C71F -:040803003A09051396 -:0408040002C7D7B39D -:04080500A40D061325 -:040806000D1020EFC2 -:040807005703BF597B -:040808001AE3018A64 -:0408090007D2F937E2 -:04080A00142383D15F -:04080B0050F238F47B -:04080C00A02354626F -:04080D0054D20EFDB6 -:04080E0059B2594240 -:04080F005A925A227D -:040810004BF25B024A -:040811004CD24C6217 -:040812004DB24D4254 -:040813006121450119 -:04081400114180828C -:04081500F0EFC60634 -:0408160010EF969FAA -:0408170067DD24D0A5 -:04081800C50340B222 -:04081900014143173F -:04081A00936FF06F79 -:04081B00DC52715DDD -:04081C00C686DA565C -:04081D00C2A6C4A209 -:04081E00DE4EC0CA20 -:04081F00D65ED85A6F -:04082000D266D46266 -:04082100CE6ED06A5D -:04082200D15FF0EFC3 -:040823006ADD6A5DC3 -:040824001005426316 -:040825000001663731 -:04082600052007138F -:0408270006134681ED -:0408280045C5A546D7 -:04082900374A051332 -:04082A00041020EFA7 -:04082B00000165B7AC -:04082C008593464525 -:04082D008513A84542 -:04082E0020EF400A6D -:04082F00F0EF756011 -:04083000A537848FD5 -:0408310005130007A4 -:04083200645D1205EA -:04083300E0EF64DDB1 -:040834004D01850FDE -:040835006B5D4901AD -:040836003B44041328 -:040837004C3D6BDDEC -:0408380041C48493A0 -:04083900000217B7EB -:04083A000D07A7837C -:04083B00000306B7F9 -:04083C00971365DDCC -:04083D0083410107EB -:04083E0048EB282338 -:04083F00FFF7C713E5 -:040840008F7583E14C -:040841000FF7F613A4 -:040842008C2366DDC0 -:04084300AA2348C5D7 -:0408440065DD48E640 -:040845000793CF81C5 -:04084600F793FFE63F -:04084700450D0FF755 -:0408480000F57663DE -:0408490048C5C78354 -:04084A0000C79463EC -:04084B00480B28230B -:04084C00862367DDBB -:04084D00A60348C5F1 -:04084E00A423488710 -:04084F00E24948E74B -:0408500069DD2EC56B -:040851004999C78377 -:04085200C7818CAA24 -:0408530000EF45016C -:0408540067DD5F50AD -:0408550035E7C703B9 -:040856000164468370 -:040857008D6385BE6A -:040858008A2312E6F7 -:04085900478D418BFB -:04085A0004E7FF634D -:04085B00F06347956A -:04085C004D8506E7D9 -:04085D004909468976 -:04085E00FFF7079306 -:04085F000FF7F79305 -:040860006A63462160 -:04086100665904F6DA -:040862000613078AE8 -:0408630097B29D06A5 -:040864008782439CA8 -:0408650000016637F1 -:04086600061386AA45 -:0408670045C5A68657 -:04086800374A0513F3 -:04086900744020EFC8 -:04086A00000165B76D -:04086B0085934645E6 -:04086C008513FEC52D -:04086D0020EF400A2E -:04086E00E0EF023085 -:04086F00A001F4DF11 -:040870004806AA2369 -:040871004D8DBFB535 -:04087200490146856D -:040873004D89B7757F -:04087400BFE5468115 -:0408750067DD4D09E5 -:040876003B27C783D2 -:0408770067DDC39DD9 -:040878003907879322 -:0408790067DD973E62 -:04087A00000745032B -:04087B003B17C7035D -:04087C0000A7096365 -:04087D0088A3C63650 -:04087E0010EF3AA796 -:04087F0046B2182045 -:04088000C78365DDE8 -:0408810005A335E5B1 -:040882004705000422 -:0408830000F40B234F -:04088400037447832F -:040885007663458DC4 -:04088600459500F79D -:04088700F5938D9DBB -:0408880085360FF5AD -:0408890074E010EF18 -:04088A00021010EF59 -:04088B0093DFE0EF28 -:04088C00C78367DDDA -:04088D00C781416777 -:04088E0010EF856E74 -:04088F0085EA3AE0DC -:0408900010EF854A96 -:0408910047835190B8 -:040892004645016472 -:04089300374A0513C8 -:04089400002797138F -:04089500879367D905 -:0408960097BA9F4727 -:040897002223438C49 -:0408980020EF000449 -:0408990065B7776068 -:04089A0046450001CE -:04089B00A785859315 -:04089C00400A851376 -:04089D00764020EF92 -:04089E004999C7832A -:04089F00E0EFE3990A -:0408A00067DDE89F89 -:0408A10035C7C7038D -:0408A200176347A9E8 -:0408A300856300F772 -:0408A400453D000CC2 -:0408A500765000EF9A -:0408A6000154C7032F -:0408A70002C44783BD -:0408A80002F70363ED -:0408A900468147013C -:0408AA00458146013D -:0408AB00E0EF450134 -:0408AC00C503CD6F44 -:0408AD00E0EF015423 -:0408AE00C783EE9F6F -:0408AF0022230154AB -:0408B0000623000417 -:0408B100C78302F403 -:0408B200E395015475 -:0408B3000164C68393 -:0408B40002D44783A0 -:0408B50000D78D6378 -:0408B60000F4470300 -:0408B7004581460130 -:0408B800E0EF450127 -:0408B900C783CA2FF8 -:0408BA0006A301642C -:0408BB00478302F479 -:0408BC00CFA901645B -:0408BD00854A85EAF9 -:0408BE00FC3FE0EF2C -:0408BF000D634789F5 -:0408C000479108F55F -:0408C10002F50463D5 -:0408C20011634785F2 -:0408C300478304F56E -:0408C400CF8D00B420 -:0408C500C46FF0EF1D -:0408C6004D0DA81517 -:0408C70047C1BD6DFB -:0408C80040FB8A2344 -:0408C900BD454D05D7 -:0408CA00B5754D01B2 -:0408CB0000B44783AB -:0408CC00675DC39D04 -:0408CD00388757838E -:0408CE000027E79385 -:0408CF0038F71423BF -:0408D00000021737D4 -:0408D1000EF72023DB -:0408D200D0BFF0EFB4 -:0408D30012C0051337 -:0408D400DCFFD0EF86 -:0408D5002223BB41DE -:0408D60010EF00041B -:0408D70047836EE005 -:0408D800464501642C -:0408D900374A051382 -:0408DA000027971349 -:0408DB00879367D9BF -:0408DC0097BA9F47E1 -:0408DD0020EF438C39 -:0408DE0065B7662074 -:0408DF004645000189 -:0408E000A7858593D0 -:0408E100400A851331 -:0408E200650020EF9E -:0408E3004999C783E5 -:0408E400E0EFFFD56D -:0408E500BF5DD75FBD -:0408E60000B4478390 -:0408E700F0EFDBC58E -:0408E800B76DE20FF7 -:0408E900655D65D90B -:0408EA00061311419F -:0408EB0085930310DE -:0408EC000513B40537 -:0408ED00C60641C535 -:0408EE00458020EF32 -:0408EF00655D65D905 -:0408F0002F2006139C -:0408F1006F05859377 -:0408F20049C50513DC -:0408F300444020EF6E -:0408F40067DD40B2CA -:0408F5008AA3470586 -:0408F600450140E791 -:0408F70080820141B9 -:0408F800C4A2715DC8 -:0408F900DE4EC2A667 -:0408FA0069DD64D977 -:0408FB00C0CA645DAE -:0408FC00D266DC5292 -:0408FD00DA56C6867B -:0408FE00D65ED85A90 -:0408FF00D06AD46285 -:040900004901CE6E6D -:0409010084934C810E -:040902008A4EC44411 -:040903004504041390 -:04090400000165B7D2 -:04090500859346454B -:040906008513CE8502 -:0409070020EF36099E -:0409080097135BA046 -:040909009726002C01 -:04090A00655D430CD8 -:04090B000513464545 -:04090C0020EF3A0599 -:04090D00E0EF5A605D -:04090E006AC1CC1FCF -:04090F008D134701FC -:040910006B5DFFFA22 -:040911006DB76BDD76 -:040912006C5D000117 -:04091300000216B711 -:040914000D06A583A4 -:04091500000305379F -:0409160001A5F6330E -:0409170048CB28237E -:040918000D06A6839F -:04091900FFF6C6938C -:04091A00AA238EE995 -:04091B00C21D48DBD6 -:04091C000326026349 -:04091D00001C969391 -:04091E00E32D96A28D -:04091F0000B690236B -:040920008593464530 -:040921000513CF0DDE -:0409220020EF360A82 -:04092300E0EF54E0CD -:040924004705C69F1E -:04092500488C2603D1 -:04092600494BA68310 -:040927009063E20DEA -:040928009F63035670 -:0409290065D9040C7C -:04092A00036006134D -:04092B00CB058593E0 -:04092C00C636852224 -:04092D0035C020EFC2 -:04092E00470946B27D -:04092F0024234CED44 -:04093000C63A48DC9F -:0409310029034689C7 -:040932000E63490BFC -:04093300650902D779 -:040934007105051331 -:04093500C4BFD0EF7C -:04093600BF8D4732F8 -:040937000006D6835D -:0409380009E347097F -:0409390067B7FAD6CC -:04093A00464500012D -:04093B00CF8785934A -:04093C00360A05135F -:04093D004E4020EF19 -:04093E00BFFFE0EF28 -:04093F00BF59470154 -:0409400047091CF94E -:040941000C85BF6DF5 -:0409420053E34769CB -:040943004426F197BE -:04094400449640B6DF -:0409450059F2490614 -:040946005AD25A62C5 -:040947005BB25B4202 -:040948005C925C223F -:040949004DF25D020C -:04094A006161453D65 -:04094B004CD0006F1D -:04094C000793711D7F -:04094D00CA3E00B1ED -:04094E00879367DD47 -:04094F00CC3E4287D1 -:04095000879367DD45 -:04095100CE3E4297BD -:04095200879367DD43 -:04095300D03E42A7A9 -:04095400879367DD41 -:04095500D23E42B795 -:0409560067DDD43E47 -:0409570042C7879379 -:0409580027B7D63EA9 -:0409590087930F036E -:04095A00C63EF0178E -:04095B00303007939E -:04095C0000F118236B -:04095D00675D478DFE -:04095E0000F1092378 -:04095F00CCA267DDE2 -:040960004907A6039A -:04096100450704132F -:04096200CAA6CE86CD -:04096300C6CEC8CA6A -:04096400C2D6C4D261 -:04096500DE5EC0DAB8 -:04096600000105A3E4 -:040967004507071326 -:0409680045E9478195 -:0409690000075683AA -:04096A0000D61C6334 -:04096B006363476912 -:04096C00675910F7C0 -:04096D000713078ADB -:04096E0097BAB74736 -:04096F008782439C9C -:04097000846307098C -:0409710007853AB705 -:040972004789BFF101 -:04097300D70366DD63 -:040974004713388667 -:0409750094231007B0 -:04097600675D38E69B -:040977004947268343 -:0409780000F69713DB -:0409790000075D63B3 -:04097A00C70367DD6B -:04097B0046253CA72A -:04097C000663478542 -:04097D00079300C715 -:04097E00F7930017D4 -:04097F0097130FF7C4 -:040980005E6300E6CC -:04098100675D0007A7 -:0409820041C74683A0 -:04098300460145855F -:0409840000D5E56352 -:04098500F6130685DA -:040986000E230FF637 -:04098700472940C7F5 -:04098800866345013C -:04098900675D00E7BF -:04098A0034F70F230C -:04098B0067DD4505DA -:04098C003887D703CE -:04098D00C68366DDDA -:04098E009B4D49969E -:04098F008341074257 -:0409900038E794238D -:0409910066DDEA81B4 -:0409920039A6C68339 -:040993008F55068AEC -:0409940038E7942389 -:040995003887D703C5 -:04099600446640F67D -:04099700000217B78C -:040998000EE7A023A3 -:04099900494644D6B1 -:04099A004A2649B6EA -:04099B004B064A9627 -:04099C0061255BF284 -:04099D00478D808280 -:04099E004791BF912D -:04099F004795BF8138 -:0409A0004799B7B10B -:0409A100479DB7A116 -:0409A20047A1B79121 -:0409A30047A5B7812C -:0409A400675DBF3597 -:0409A50049974783A4 -:0409A6000017B693ED -:0409A70048D70CA37E -:0409A8004683675DBE -:0409A900675D3B1734 -:0409AA0034D70EA38D -:0409AB004505E79186 -:0409AC00093000EF1F -:0409AD00BF1947A97E -:0409AE00A4FFE0EFD3 -:0409AF0064DDBFE55F -:0409B000000217B773 -:0409B1003B448493AC -:0409B2000C07A40387 -:0409B30000A4878392 -:0409B40066374769F2 -:0409B50087B3000103 -:0409B600675D02E790 -:0409B70049C7071312 -:0409B8000613655D60 -:0409B90045C5BE066C -:0409BA0036050513E6 -:0409BB0067DD973E1F -:0409BC003B17C6839C -:0409BD001F4020EFC8 -:0409BE0000B4C78337 -:0409BF006785C7B9C8 -:0409C0008007879392 -:0409C10076938FE1B9 -:0409C20037337FF454 -:0409C300068500F0B5 -:0409C40000E696B300 -:0409C500071396BAC4 -:0409C600C3990700CA -:0409C700069007137C -:0409C800880D8041D5 -:0409C90002A00793EE -:0409CA000793E01996 -:0409CB0016370200D9 -:0409CC0028030002FA -:0409CD00655D060658 -:0409CE000001663787 -:0409CF00BEC6061387 -:0409D000051345C501 -:0409D10020EF3A05D4 -:0409D200E0EF1A2018 -:0409D300B79D9ADF53 -:0409D4005783675D81 -:0409D500C793388705 -:0409D60014230107DE -:0409D700BF9938F795 -:0409D800C70367DD0D -:0409D900460541C7C7 -:0409DA00656346818A -:0409DB00070500E626 -:0409DC000FF7769308 -:0409DD0040D78E234E -:0409DE0067DDBF35DD -:0409DF0041C7871372 -:0409E00000174703B2 -:0409E10087934605AD -:0409E200468141C742 -:0409E30000E6656362 -:0409E40076930705FA -:0409E50080A30FF7E5 -:0409E600BF2900D74E -:0409E7000793675DAE -:0409E800C78341C7B9 -:0409E90007130047A9 -:0409EA00C78141C7B9 -:0409EB00F79317FD6A -:0409EC0002230FF7DC -:0409ED00BDFD00F755 -:0409EE000713675D27 -:0409EF00478341C732 -:0409F00046B90047BD -:0409F10000F6F363B6 -:0409F200078547B975 -:0409F30065B7B7DD50 -:0409F400655D00013C -:0409F500859346455B -:0409F6000513BFC561 -:0409F70020EF3605B2 -:0409F80065B71FA020 -:0409F9006ADD0001B2 -:0409FA008593464556 -:0409FB008513C0C5DB -:0409FC0020EF3A0AA4 -:0409FD0069411E60CE -:0409FE006A5D69DDE8 -:0409FF00E0EF648938 -:040A00001B378F9F72 -:040A0100197D000259 -:040A020089934BED9C -:040A03000A133B494E -:040A0400849349CAC4 -:040A0500270371044E -:040A060087A20D0BAB -:040A0700773346817A -:040A0800D6030127E9 -:040A09000663000779 -:040A0A00068500E677 -:040A0B009AE30789DA -:040A0C008783FF7667 -:040A0D00476900A98C -:040A0E0002E787B3C1 -:040A0F0097D247151E -:040A10000177C78320 -:040A110002F7646321 -:040A12004A634711DB -:040A1300181804D7D4 -:040A14004703973EBF -:040A15005733FDC78F -:040A16008B0540D735 -:040A1700078ACF116A -:040A180097BA181859 -:040A1900FE47A7836A -:040A1A0000D780235E -:040A1B0089BFE0EFC0 -:040A1C008CA367DD63 -:040A1D00BD3D48078C -:040A1E000001663736 -:040A1F00061306852F -:040A200045C5C18681 -:040A21003A0A8513F5 -:040A2200060020EFBB -:040A230086BFE0EFBB -:040A24000007A537EB -:040A2500120505139E -:040A2600887FD0EF06 -:040A270047B1BFC153 -:040A2800FCF686E36F -:040A2900D0EF85265F -:040A2A00B7B5879F36 -:040A2B00871367DDE9 -:040A2C00470341C774 -:040A2D00467901877E -:040A2E0041C78793A2 -:040A2F006563468134 -:040A3000070500E6D0 -:040A31000FF77693B2 -:040A320000D78C233A -:040A330067DDB3E5E3 -:040A340041C787131C -:040A350001874703EB -:040A360041C787939A -:040A3700D76D46FD34 -:040A3800B7CD177DA2 -:040A3900000165B79C -:040A3A004645655D6B -:040A3B00C285859358 -:040A3C003605051363 -:040A3D000E4020EF58 -:040A3E00000165B797 -:040A3F004645655D66 -:040A4000C385859352 -:040A41003A0505135A -:040A42000D0020EF94 -:040A43006489694118 -:040A4400FE6FE0EF72 -:040A4500000219B7DB -:040A46004A6D197D5F -:040A47004B314AA540 -:040A4800710484931E -:040A49000D09A6836A -:040A4A004781872237 -:040A4B000126F6B3D7 -:040A4C000007560346 -:040A4D0000D60A6362 -:040A4E000709078508 -:040A4F00FF479AE3E0 -:040A5000D0EF852638 -:040A5100BFF9FDCF1D -:040A520000FACC6377 -:040A530007854729A3 -:040A540002E7E7B31B -:040A55000EA3675D28 -:040A5600F0EF34F792 -:040A5700B739A66F96 -:040A5800FF6790E3C1 -:040A59004785B721F5 -:040A5A0047A9B19562 -:040A5B000113B1BD15 -:040A5C001808DA019B -:040A5D0024112E230F -:040A5E0024812C23A0 -:040A5F0024912A2391 -:040A60002521282301 -:040A610025312623F2 -:040A620025412423E3 -:040A630025512223D4 -:040A640025612023C5 -:040A650023712E23A8 -:040A660023812C2399 -:040A670023912A238A -:040A680023A128237B -:040A6900F24FE0EF79 -:040A6A0064DD892A94 -:040A6B005B1010EF1D -:040A6C001863440DBA -:040A6D004611140911 -:040A6E000808180C50 -:040A6F0001C020EFB3 -:040A7000000165B765 -:040A71008593461112 -:040A72000808E90582 -:040A73007D1010EFF3 -:040A7400064009131C -:040A750012051763EC -:040A7600034157835E -:040A7700059346217C -:040A780005130361FE -:040A79001A230161DA -:040A7A0010EF00F188 -:040A7B0057837EF02F -:040A7C00570303E138 -:040A7D000EA304219F -:040A7E00162300013A -:040A7F00578300F1A8 -:040A800006930401D4 -:040A810017231E20F9 -:040A820047B200F186 -:040A830000E1162355 -:040A840004415703CF -:040A85001799D03EAF -:040A860000E1172351 -:040A8700D23A4732E6 -:040A880004615703AB -:040A890000E116234F -:040A8A000481570389 -:040A8B0000E117234C -:040A8C00D43A4732DF -:040A8D0022C1270358 -:040A8E00D63AC63A54 -:040A8F000CF6E1631D -:040A900006600913E0 -:040A91006637EF5D78 -:040A9200645D00019E -:040A9300E9860613D7 -:040A9400051345C53C -:040A950010EF360424 -:040A960056926930DB -:040A970000016637BD -:040A9800EA860613D1 -:040A9900851345C5B7 -:040A9A0010EF3A041B -:040A9B00E0EF67F031 -:040A9C005A12E88F73 -:040A9D0049815AA28F -:040A9E0020000B1316 -:040A9F00E4636BDDC4 -:040AA00093630F4904 -:040AA1004783240A59 -:040AA2004683016125 -:040AA30047030141C3 -:040AA4008163015118 -:040AA50067B7100718 -:040AA6008793000131 -:040AA7006637DA874D -:040AA800081300012E -:040AA90006130161CE -:040AAA0045C5EB460D -:040AAB0036040513F5 -:040AAC00639010EF54 -:040AAD00000165B728 -:040AAE0085934645A1 -:040AAF008513F0C5F6 -:040AB00010EF3A0405 -:040AB10069C154F0D3 -:040AB20069096ADD87 -:040AB300E2AFE0EFDF -:040AB40000021A37EB -:040AB5008B1319FD89 -:040AB6000913450AD1 -:040AB7002783710917 -:040AB800D7030D0A49 -:040AB900F7B3450A40 -:040ABA000A63013793 -:040ABB0057030AF7DC -:040ABC000F63002B99 -:040ABD00854A1CF753 -:040ABE00E26FD0EF24 -:040ABF000913B7CD93 -:040AC00010EF0650DD -:040AC100478545B070 -:040AC20022F90563AD -:040AC3001F27C363C3 -:040AC400F350079351 -:040AC50022F90463AB -:040AC6001D27C063C5 -:040AC700F34007935E -:040AC80022F90363A9 -:040AC900000165B70C -:040ACA00DDC585936E -:040ACB008513464504 -:040ACC0010EF3A04E9 -:040ACD00E0EF6A707C -:040ACE004537DC0FBD -:040ACF000513000FFC -:040AD000D0EF24053A -:040AD1005963DDCFB9 -:040AD200576322093B -:040AD30066372280E0 -:040AD400655D00015B -:040AD500EFC606134F -:040AD600051345C5FA -:040AD70010EF3605E1 -:040AD800147D58B081 -:040AD9000733A0BD82 -:040ADA007463413AC6 -:040ADB00071300EB12 -:040ADC0089932000DA -:040ADD0007422009A3 -:040ADE004681834189 -:040ADF000099D61391 -:040AE0008513180C56 -:040AE10010EF34CB13 -:040AE200892A2DD060 -:040AE300EE0509E330 -:040AE400BF85440D79 -:040AE500000167B7EE -:040AE600FEC787932D -:040AE70000EFB7095C -:040AE800675D6AB02C -:040AE9003887578370 -:040AEA000513650982 -:040AEB00E793710517 -:040AEC0014230027A8 -:040AED00202338F793 -:040AEE00D0EF0EFA3D -:040AEF0065B7D64FC2 -:040AF00005130001E9 -:040AF100464536043C -:040AF200EC058593F7 -:040AF30060D010EFD0 -:040AF4006B37440D0B -:040AF5004645000171 -:040AF600ECCB0593AD -:040AF7003A04851325 -:040AF8005F9010EF0C -:040AF900D12FE0EF2A -:040AFA000C1349810F -:040AFB006CDD20008E -:040AFC0010000B9348 -:040AFD00E5635A1241 -:040AFE0065B7074988 -:040AFF0069DD0001AC -:040B0000859346454E -:040B01008513EDC5A6 -:040B020010EF3609B1 -:040B030046455CF017 -:040B0400ECCB05939E -:040B05003A04851316 -:040B06005C1010EF80 -:040B0700CDAFE0EF9F -:040B0800559256228A -:040B09004501181476 -:040B0A00C50FE0EF44 -:040B0B001AE3892A36 -:040B0C0010EFEC05F5 -:040B0D0065B732B0E6 -:040B0E004645000157 -:040B0F00F2058593D3 -:040B1000360985130A -:040B11003CD010EFD5 -:040B1200000165B7C2 -:040B1300859346453B -:040B14008513EEC592 -:040B150010EF3A049F -:040B1600E0EF583084 -:040B1700A001C9CFA1 -:040B1800413A0A3321 -:040B190074638AD2A5 -:040B1A000A93014CED -:040B1B008D13200016 -:040B1C009713200902 -:040B1D008341010A05 -:040B1E0056134681A3 -:040B1F00180C409DD1 -:040B200034CC851339 -:040B21001DF010EFC4 -:040B22000933C501CD -:040B2300BD9540A09C -:040B24004089D99398 -:040B2500F46385D61A -:040B26000593015BD7 -:040B2700864E1000E6 -:040B2800E0EF1808DA -:040B2900892AB6EF70 -:040B2A00E4051DE3DE -:040B2B00014BE46333 -:040B2C00B78989EA12 -:040B2D000019861312 -:040B2E00F00A8593B1 -:040B2F00E0EF1A08D1 -:040B3000892AB52F2A -:040B3100BD35D57584 -:040B32000913440D52 -:040B3300BD15067076 -:040B34000913440D50 -:040B3500B53506804C -:040B3600F3600793CE -:040B370006F90A634E -:040B3800F3700793BC -:040B3900E4F910E3E8 -:040B3A00000165B79A -:040B3B00E3C58593F6 -:040B3C000793BD3529 -:040B3D0002630660E9 -:040B3E00CF6306F982 -:040B3F0007930127F0 -:040B40000163064007 -:040B4100079306F917 -:040B42001DE3065059 -:040B430065B7E0F9B9 -:040B44008593000194 -:040B4500BD19DF45B2 -:040B4600067007939B -:040B470004F9096341 -:040B48000680079389 -:040B4900E0F910E3DC -:040B4A00000165B78A -:040B4B00E285859327 -:040B4C0065B7BBF5D9 -:040B4D00859300018B -:040B4E00BBCDDE45F8 -:040B4F00000165B785 -:040B5000E5C58593DF -:040B510065B7B3E5EC -:040B52008593000186 -:040B5300BBF9E6C53F -:040B5400000165B780 -:040B5500E4C58593DB -:040B560065B7BBD1F3 -:040B57008593000181 -:040B5800B3E9E045D8 -:040B5900000165B77B -:040B5A00E805859392 -:040B5B0065B7B3C106 -:040B5C00859300017C -:040B5D00BB5DE14556 -:040B5E0025C120830A -:040B5F0025812403C5 -:040B60002541248384 -:040B6100250129033E -:040B620024C12983FE -:040B630024812A03BC -:040B640024412A837B -:040B650024012B0339 -:040B660023C12B83F9 -:040B670023812C03B7 -:040B680023412C8376 -:040B690023012D0334 -:040B6A000113557DA1 -:040B6B00808226015D -:040B6C00663786AAB8 -:040B6D00655D0001C1 -:040B6E0068C606133C -:040B6F00051345C560 -:040B7000106F3A05C3 -:040B71000693327045 -:040B720006B3465030 -:040B7300079302D50D -:040B7400663706409A -:040B7500655D0001B9 -:040B7600FE0606135E -:040B7700051345C558 -:040B7800C6B33A05C1 -:040B7900106F02F601 -:040B7A0086AA3030E7 -:040B7B0000016637D8 -:040B7C000613655D9A -:040B7D0045C5F9C6AB -:040B7E003A0505131C -:040B7F002ED0106FF5 -:040B8000000F46B765 -:040B8100240686932D -:040B820002D5053360 -:040B83008793678964 -:040B84000713964776 -:040B850036B73E80C1 -:040B860086930063EF -:040B87006637EA06DD -:040B8800061300014F -:040B890045C5F9065F -:040B8A0002F557B366 -:040B8B0002E7F7B3D3 -:040B8C0056B34729EC -:040B8D00655D02D5CB -:040B8E003A0505130C -:040B8F0002E7D7336F -:040B90002A90106F28 -:040B91004670069311 -:040B920002D506B3CF -:040B9300064007937E -:040B940000016637BF -:040B95000613655D81 -:040B960045C56846A3 -:040B97003A05051303 -:040B980002F6C6B3E8 -:040B99002850106F61 -:040B9A00663786AA8A -:040B9B00655D000193 -:040B9C00FC860613BA -:040B9D00051345C532 -:040B9E00106F3A0595 -:040B9F00069326F0A3 -:040BA00006B3271061 -:040BA100079302D5DF -:040BA200663706406C -:040BA300655D00018B -:040BA400FE860613B0 -:040BA500051345C52A -:040BA600C6B33A0593 -:040BA700106F02F6D3 -:040BA800069324B0DC -:040BA9000505271007 -:040BAA0002D5053338 -:040BAB000640069367 -:040BAC0000016637A7 -:040BAD00FE860613A7 -:040BAE0046B345C540 -:040BAF00655D02D5A9 -:040BB0003A050513EA -:040BB1002250106F4F -:040BB2000185169310 -:040BB300663786E13A -:040BB400655D00017A -:040BB500061316D13C -:040BB60045C5F746F4 -:040BB7003A050513E3 -:040BB8002090106F0A -:040BB900053345E9D2 -:040BBA0065DD02B53E -:040BBB0049C5859310 -:040BBC0095AA46456B -:040BBD000513655D5A -:040BBE00106F3A0575 -:040BBF0017932DF06B -:040BC000655900254E -:040BC100FBC5051358 -:040BC200410C953E0F -:040BC3004645655DE1 -:040BC4003A050513D6 -:040BC5002C50106F31 -:040BC6000F6347A9C9 -:040BC700179300F58B -:040BC800655D002542 -:040BC900B745051314 -:040BCA00410C953E07 -:040BCB004645655DD9 -:040BCC003A050513CE -:040BCD002A50106F2B -:040BCE00000165B706 -:040BCF00FA8585938B -:040BD00067DDB7F531 -:040BD1004907A70326 -:040BD200879367DDC1 -:040BD30046AD4507DF -:040BD400D58346453A -:040BD50094630167BD -:040BD600F69306E5A7 -:040BD700E1190FF61B -:040BD80028070B637C -:040BD900C64E1101F2 -:040BDA00C80369DD06 -:040BDB00C84A329939 -:040BDC000793695DB5 -:040BDD00151317894C -:040BDE0097AA00389A -:040BDF00CC22CE0650 -:040BE000A883CA26F6 -:040BE100C7830007BF -:040BE2004751004730 -:040BE3000048A6031D -:040BE40002E787336A -:040BE500FF5685939F -:040BE6000FF5F5937F -:040BE7008993431596 -:040BE8000913329922 -:040BE900973217899F -:040BEA000047460377 -:040BEB0002B3686386 -:040BEC00058A6359BA -:040BED00F3430313B8 -:040BEE00418C959A07 -:040BEF000685858270 -:040BF00098E30789F6 -:040BF1004681F8C67B -:040BF200E399BF596B -:040BF3000008C783AC -:040BF400F79317FD5F -:040BF500954A0FF717 -:040BF60000F50223E1 -:040BF70044814401F0 -:040BF800C703A099F6 -:040BF9000785000864 -:040BFA00E7B3954A7E -:040BFB00B7ED02E769 -:040BFC000008066384 -:040BFD008023187DBC -:040BFE00B7CD010965 -:040BFF0040F244621A -:040C0000494244D24F -:040C010067DD49B2B0 -:040C020048078CA370 -:040C0300E06F610538 -:040C0400478D8F8FFA -:040C050004F60D6381 -:040C060011E347911E -:040C0700471CFCF694 -:040C08009782448506 -:040C0900C783842AEF -:040C0A00655D00091B -:040C0B00078E4645C5 -:040C0C004783993E43 -:040C0D0029830049EE -:040C0E00495100093F -:040C0F0003278933FB -:040C10000049A7836D -:040C1100360505138C -:040C1200438C97CAAE -:040C130018D010EFF6 -:040C14000049A78369 -:040C150097CA4691A3 -:040C16000047C703C9 -:040C170012E6EA6394 -:040C1800070A66D988 -:040C1900F4C6869304 -:040C1A0043189736AE -:040C1B004B1C8702E5 -:040C1C009782C39167 -:040C1D000009C78380 -:040C1E008593485121 -:040C1F00078E001725 -:040C200000F907339D -:040C2100470343142E -:040C220042D0004775 -:040C23000307073389 -:040C2400003596936E -:040C250000D90533BA -:040C2600000528039A -:040C270047189732A1 -:040C280000E8046379 -:040C2900000502239D -:040C2A00C78397CA1B -:040C2B0047510047E6 -:040C2C0087B396CA2A -:040C2D00802302E737 -:040C2E00963E00B935 -:040C2F00C29C461C01 -:040C30004791BF31F8 -:040C3100F0C7ECE339 -:040C3200879367D964 -:040C3300060AF607B0 -:040C3400421C963E8A -:040C3500470C87825F -:040C36004503483DED -:040C3700460300C7A9 -:040C3800C78300D797 -:040C39004703000568 -:040C3A009D6300E7CF -:040C3B0078630106D3 -:040C3C0017FD00F6AA -:040C3D000FF7F713A3 -:040C3E0000E580232A -:040C3F00FD6DB5C5CD -:040C4000BFDD87325B -:040C410000E7F8636D -:040C4200F613078519 -:040C430080230FF704 -:040C4400B5E900C549 -:040C4500863AFD6D81 -:040C46004710BFDDB7 -:040C4700578345BDCD -:040C48009C630006A3 -:040C4900570300B697 -:040C4A00756300C707 -:040C4B0017FD00F79A -:040C4C0083C107C297 -:040C4D0000F610237A -:040C4E005703B5553E -:040C4F00FBE300E7DC -:040C50000785FEE72F -:040C51004750B7F55C -:040C5200421845BD42 -:040C530000074783CC -:040C540000B69963EA -:040C550017FDC7813F -:040C56000FF7F7930A -:040C570000F700237F -:040C58004683BDB55D -:040C5900F5630046F9 -:040C5A00078500D733 -:040C5B000FF7F69306 -:040C5C0000D700239A -:040C5D004798B5A55A -:040C5E00655D4B9CE9 -:040C5F000007470340 -:040C600005134645ED -:040C6100070A3A053F -:040C6200438C97BA6E -:040C630004D010EFBA -:040C640040F24462B4 -:040C6500494244D2EA -:040C6600610549B229 -:040C6700F5BFD06F96 -:040C68004B9C4798C2 -:040C69000007450338 -:040C6A00B7DD9782D9 -:040C6B004B9C4798BF -:040C6C000007550325 -:040C6D00BFE99782C2 -:040C6E00802367DD9B -:040C6F00BFC93A07B8 -:040C7000C005C49562 -:040C7100000166B761 -:040C7200F846869327 -:040C730000016637DF -:040C74000613655DA1 -:040C750045C5F8C6B3 -:040C76003A05051323 -:040C770070C010EF4A -:040C780066B7BF4557 -:040C7900869300015D -:040C7A00B7CDF7C635 -:040C7B00D7E947DC92 -:040C7C00479C4398B6 -:040C7D008082BF456D -:040C7E00EF6347BD1C -:040C7F0057B716A7A6 -:040C8000712D44523C -:040C810035578793C9 -:040C820057B7C03E62 -:040C83008793004112 -:040C8400C23E441711 -:040C85008793679555 -:040C8600142320070C -:040C8700379300F1AE -:040C8800242300A57C -:040C890005231141ED -:040C8A000A1300F158 -:040C8B002E2301050E -:040C8C002C231011F4 -:040C8D002A23108185 -:040C8E002823109176 -:040C8F0026231121E6 -:040C900022231131D9 -:040C910020231151BA -:040C920047A5116100 -:040C9300F9630A22D5 -:040C940065DD08A76B -:040C9500044007937D -:040C960085934629D3 -:040C97000513390503 -:040C980005A300D1DF -:040C9900062300F13D -:040C9A0010EF000156 -:040C9B0067DD5A6057 -:040C9C0035E7C783EE -:040C9D00061365DDF8 -:040C9E000C230360C0 -:040C9F0067DD00F11C -:040CA00035C7C7830A -:040CA10045058593ED -:040CA20001B1051384 -:040CA30000F10CA3AD -:040CA400C78367DDBE -:040CA5000BA33B273B -:040CA60067DD00F115 -:040CA70039A7C7831F -:040CA80000F10D2327 -:040CA90056C010EF32 -:040CAA0005938652D6 -:040CAB00850A0510A1 -:040CAC00D61FD0EF90 -:040CAD0000A035333B -:040CAE0040A005332A -:040CAF0011C12083CC -:040CB0001181240387 -:040CB1001141248346 -:040CB2001101290300 -:040CB30010C12983C0 -:040CB40010812A037E -:040CB50010412A833D -:040CB60010012B03FB -:040CB70080826115C1 -:040CB800031007938B -:040CB90000F105A39E -:040CBA0057C965DDD4 -:040CBB0000F106A39B -:040CBC000310061308 -:040CBD00859347894B -:040CBE00051341C514 -:040CBF00072300F116 -:040CC0006ADD00F1F8 -:040CC1000001062305 -:040CC200508010EF5F -:040CC30049CA859302 -:040CC4000C00061307 -:040CC50010EF0088A4 -:040CC60086524FA063 -:040CC7001000059381 -:040CC800D0EF850ADA -:040CC9004905CEFF0C -:040CCA000C0009937E -:040CCB0023200413CB -:040CCC0010000B13F6 -:040CCD0049CA8A93F3 -:040CCE00746384A225 -:040CCF000493008BFF -:040CD00004C210004A -:040CD10085B380C1A6 -:040CD2008626013A37 -:040CD3008C05850AFD -:040CD4004C0010EFD1 -:040CD500044299A696 -:040CD6000149063397 -:040CD700850A85A65F -:040CD800090509C23F -:040CD900D0EF804197 -:040CDA00D993CABF21 -:040CDB00791301097F -:040CDC00F0790FF9A3 -:040CDD00B79945017D -:040CDE008082557D3E -:040CDF0026237169EE -:040CE00024231211A6 -:040CE1002223128137 -:040CE2002023129128 -:040CE3002E23132188 -:040CE4002C2311317B -:040CE5002A2311416C -:040CE600282311515D -:040CE700262311614E -:040CE800242311713F -:040CE90047BD118171 -:040CEA0002A7FA6300 -:040CEB00208359FD0C -:040CEC00240312C10A -:040CED00854E12819D -:040CEE001241248308 -:040CEF0012012903C2 -:040CF00011C1298382 -:040CF10011812A0340 -:040CF20011412A83FF -:040CF30011012B03BD -:040CF40010C12B837D -:040CF50010812C033B -:040CF6008082615542 -:040CF700010504935C -:040CF800860A04C2A2 -:040CF900100005934F -:040CFA00D0EF85268C -:040CFB00FD5DBEBF1E -:040CFC00000165B7D7 -:040CFD006A0585936C -:040CFE00D0EF850AA4 -:040CFF0089AAA0EF2F -:040D000014051B6358 -:040D010000814783A3 -:040D020014079963D6 -:040D03000091470311 -:040D0400052007932C -:040D050014F7136369 -:040D060000A147837E -:040D07004705CFC904 -:040D0800F8E797E38E -:040D09004703493221 -:040D0A00779300B12A -:040D0B0007A20FF933 -:040D0C0007138FD961 -:040D0D009CE3031050 -:040D0E005913F6E798 -:040D0F00094200890C -:040D10000109591369 -:040D11002F200793F5 -:040D1200F6F913E3F8 -:040D13000613655D01 -:040D14000593031030 -:040D1500051300F1D1 -:040D16006ADD41C58C -:040D17003B4010EF5E -:040D180004134A0175 -:040D19000B93040034 -:040D1A008A931000A8 -:040D1B000C1349CAA2 -:040D1C000B130F2086 -:040D1D008633100009 -:040D1E000533408BCE -:040D1F0005B3015ABD -:040D20008493008137 -:040D21000F63100448 -:040D220004330B8902 -:040D230010EF408B02 -:040D2400945238208D -:040D2500F0090913B5 -:040D260001041A1397 -:040D2700860A0942ED -:040D2800100005931F -:040D29005A138526AE -:040D2A005913010A4E -:040D2B00D0EF0109FB -:040D2C004401B27F4D -:040D2D004783B7C978 -:040D2E00470300C1B6 -:040D2F0007A200B166 -:040D300007138FD93D -:040D310094E3044003 -:040D320066DDEEE7A5 -:040D33004781870A63 -:040D34008693452538 -:040D350045A939068D -:040D360000D7460399 -:040D370000C566632A -:040D380000D7883325 -:040D390000C80023CB -:040D3A00070507851D -:040D3B00FEB796E386 -:040D3C000191478357 -:040D3D000E23675DBD -:040D3E00472534F71A -:040D3F0000F76663F0 -:040D40000F23675DB9 -:040D4100A02934F7BA -:040D42000181478361 -:040D4300FEF77AE35A -:040D440001714703EF -:040D4500655D67DDA4 -:040D46003AE78923DC -:040D4700C70367DD9A -:040D480067DD390723 -:040D4900036006132A -:040D4A003AE788A359 -:040D4B0001A14703B8 -:040D4C00059367DDC7 -:040D4D00051301B1D8 -:040D4E008D234505A7 -:040D4F0010EF38E782 -:040D5000B5B52D20E8 -:040D51000F20061356 -:040D52002C8010EFF2 -:040D5300470567DD0C -:040D540040E78AA347 -:040D55004985BDA966 -:040D56004989BD9971 -:040D57001141BD8900 -:040D58001437C42266 -:040D5900C2260002AC -:040D5A0084AA460120 -:040D5B0004A0059358 -:040D5C000404051373 -:040D5D00C0EFC60617 -:040D5E0085A6F8FF6F -:040D5F000513460131 -:040D6000C0EF0404D8 -:040D61000593FBDF1C -:040D6200051304A0D1 -:040D63004605040439 -:040D6400F75FC0EF86 -:040D6500040405136A -:040D660040B2442231 -:040D670045854492E8 -:040D6800C06F014116 -:040D69001141F83FFD -:040D6A001437C42254 -:040D6B00C22600029A -:040D6C0084AEC04A47 -:040D6D004601892A88 -:040D6E0004A0059345 -:040D6F000404051360 -:040D7000C0EFC60604 -:040D710085CAF43FFC -:040D7200051346011E -:040D7300C0EF0404C5 -:040D74000513F71F4D -:040D7500442204040C -:040D7600490240B23C -:040D7700449285A677 -:040D780001414605EA -:040D7900F5BFC06F93 -:040D7A00C42211413D -:040D7B0014334405E4 -:040D7C00741300A448 -:040D7D0085A20FF448 -:040D7E00C606451947 -:040D7F00FABFF0EFD8 -:040D8000442285A2E2 -:040D8100451D40B21A -:040D8200F06F0141CC -:040D83001141F9DF42 -:040D8400C42205067A -:040D85000FF57413DF -:040D8600450585A2F8 -:040D8700F0EFC606BD -:040D880085A2F89FA9 -:040D890040B244220E -:040D8A0001414509D5 -:040D8B00F7BFF06F4F -:040D8C0045151141B7 -:040D8D00F0EFC606B7 -:040D8E000713F29FB6 -:040D8F004781086030 -:040D900004E5136300 -:040D910009000593BD -:040D92000200051343 -:040D9300F5BFF0EFC9 -:040D9400051345817D -:040D9500F0EF021069 -:040D96004581F51F7F -:040D9700022005131E -:040D9800F47FF0EF05 -:040D99000513458D6C -:040D9A00F0EF023044 -:040D9B004581F3DFBC -:040D9C0002800513B9 -:040D9D00F33FF0EF41 -:040D9E0003000593B6 -:040D9F000710051321 -:040DA000F27FF0EFFF -:040DA10040B2478590 -:040DA2000141853E48 -:040DA3001101808238 -:040DA40000A107A300 -:040DA50000021537FC -:040DA6004605CC2210 -:040DA7000513842E7E -:040DA80005930405A6 -:040DA900CE0600F181 -:040DAA00EE1FC0EF89 -:040DAB00C0EF8522EE -:040DAC0040F2A71F4B -:040DAD006105446236 -:040DAE0011418082ED -:040DAF00645DC42299 -:040DB00038845783A9 -:040DB100C226C6068A -:040DB200F9F7F793C3 -:040DB30083C107C22F -:040DB40038F41423D8 -:040DB500000214B76D -:040DB6000EF4A02374 -:040DB700C0EF4551F3 -:040DB80045D1A41F5E -:040DB900038005139B -:040DBA00FA7FF0EFDD -:040DBB00051345D106 -:040DBC00F0EF0390C1 -:040DBD0045D1F9DF44 -:040DBE00F0EF4551BC -:040DBF0045D1F95FC2 -:040DC0000710051300 -:040DC100F8BFF0EF98 -:040DC200051345D1FF -:040DC300F0EF05E068 -:040DC40045D1F81FFE -:040DC50006D005133C -:040DC600F77FF0EFD4 -:040DC700453145D19C -:040DC800F6FFF0EF53 -:040DC900320005935C -:040DCA00F0EF4505FC -:040DCB0045D1F65FB9 -:040DCC00F0EF4519E6 -:040DCD000593F5DFB6 -:040DCE0045093200A1 -:040DCF00F53FF0EF0D -:040DD0003884578389 -:040DD100E79340B2B2 -:040DD20014230407DB -:040DD300442238F48A -:040DD4000EF4A02356 -:040DD5000141449202 -:040DD6001101808205 -:040DD700645DCC2269 -:040DD8003884578381 -:040DD900CA26CE0652 -:040DDA00F9F7F7939B -:040DDB0083C107C207 -:040DDC00C64EC84AED -:040DDD001423C452C5 -:040DDE0014B738F41A -:040DDF008A2A00025A -:040DE0000EF4A0234A -:040DE100892E45050D -:040DE2003200059343 -:040DE300F03FF0EFFE -:040DE4003884578375 -:040DE500855245C12D -:040DE6000207E79386 -:040DE70038F41423A5 -:040DE8000EF4A02342 -:040DE90026C010EF21 -:040DEA000FF57993F5 -:040DEB0000099B63FD -:040DEC00000175B7D6 -:040DED00859346455F -:040DEE008552A08505 -:040DEF0021C010EF20 -:040DF000448149856C -:040DF100009A07B3AA -:040DF2000007C5032E -:040DF300048545D15D -:040DF400EBFFF0EF32 -:040DF5000FF4F7936D -:040DF600FF37E6E3FA -:040DF7003884578362 -:040DF800000214B72A -:040DF900F79345D156 -:040DFA0007C2FDF738 -:040DFB00142383C179 -:040DFC00A02338F404 -:040DFD0005130EF4D8 -:040DFE00F0EF0C0006 -:040DFF005783E95FCE -:040E000045C138842C -:040E0100E793854AA4 -:040E020014230207AC -:040E0300A02338F4FC -:040E040010EF0EF4E9 -:040E050079931FE0DE -:040E06009B630FF5E6 -:040E070075B70009B2 -:040E0800464500015A -:040E0900A0858593A8 -:040E0A0010EF854A16 -:040E0B0049851AE01B -:040E0C0007B3448163 -:040E0D00C503009980 -:040E0E0045D10007C3 -:040E0F00F0EF048577 -:040E1000F793E51F50 -:040E1100E6E30FF411 -:040E12005783FF37CC -:040E130040F23884ED -:040E1400000217378A -:040E15000407E79354 -:040E160038F4142375 -:040E170020234462EE -:040E180044D20EF7BB -:040E190049B249424F -:040E1A0061054A2202 -:040E1B00114180827F -:040E1C001437C422A1 -:040E1D00C2260002E7 -:040E1E0084AA46015B -:040E1F0002C0059375 -:040E200004040513AE -:040E2100C0EFC60652 -:040E220085A6C7FFDB -:040E23000513460568 -:040E2400C0EF040413 -:040E25000593CADF88 -:040E2600051302C0EE -:040E27004605040474 -:040E2800C65FC0EFF2 -:040E290004040513A5 -:040E2A0040B244226C -:040E2B004585449223 -:040E2C00C06F014151 -:040E2D001141C73F69 -:040E2E001437C4228F -:040E2F00C2260002D5 -:040E300084AEC04A82 -:040E31004601892AC3 -:040E320002C0059362 -:040E3300040405139B -:040E3400C0EFC6063F -:040E350085CAC33F68 -:040E36000513460159 -:040E3700C0EF040400 -:040E38000513C61FB9 -:040E39004422040447 -:040E3A00490240B277 -:040E3B00449285A6B2 -:040E3C000141460525 -:040E3D00C4BFC06FFF -:040E3E000002153762 -:040E3F004601114116 -:040E400005134581D0 -:040E4100C6060405D8 -:040E4200C37FC0EFBB -:040E4300C0EF45298E -:040E440045E1811FE4 -:040E4500F0EF450580 -:040E460045E1FA1F69 -:040E4700F0EF45097A -:040E480045E1F99FE8 -:040E4900F0EF450D74 -:040E4A004505F91F42 -:040E4B00F43FF0EF91 -:040E4C00152140B27A -:040E4D000015351344 -:040E4E00808201415C -:040E4F00C422114167 -:040E50004505842AA6 -:040E5100F0EFC606F2 -:040E5200991DF29F55 -:040E53008C49040EB4 -:040E54000FF4741310 -:040E5500450585A228 -:040E5600F5FFF0EFC5 -:040E5700450985A222 -:040E5800F57FF0EF43 -:040E5900442285A208 -:040E5A00450D40B250 -:040E5B00F06F0141F2 -:040E5C001141F49FAD -:040E5D00842AC422FD -:040E5E00C60645057A -:040E5F00EF3FF0EF82 -:040E60007513478936 -:040E610013630D8585 -:040E6200641302F41F -:040E630085A200154F -:040E6400F0EF450561 -:040E650085A2F25F11 -:040E6600F0EF45095B -:040E670085A2F1DF90 -:040E680040B244222E -:040E69000141450DF1 -:040E6A00F0FFF06F36 -:040E6B008C49041694 -:040E6C000FF47413F8 -:040E6D0000446413C6 -:040E6E001141BFD996 -:040E6F001437C4224E -:040E7000C226000294 -:040E710084AA460108 -:040E720005C005931F -:040E7300040405135B -:040E7400C0EFC606FF -:040E7500F593B33FFF -:040E760046050FF42A -:040E77000404051357 -:040E7800B5FFC0EF13 -:040E790005C0059318 -:040E7A000404051354 -:040E7B00C0EF460579 -:040E7C000513B17F2A -:040E7D004422040403 -:040E7E00449240B2A8 -:040E7F000141458563 -:040E8000B25FC06F2E -:040E8100C422114135 -:040E8200000214371F -:040E8300C04AC22679 -:040E8400892A84AE85 -:040E8500059346018A -:040E8600051305C08B -:040E8700C606040493 -:040E8800AE5FC0EFAA -:040E89000FF9759355 -:040E8A000513460105 -:040E8B00C0EF0404AC -:040E8C000513B11F7A -:040E8D0044220404F3 -:040E8E00490240B223 -:040E8F00449285A65E -:040E900001414605D1 -:040E9100AFBFC06FC0 -:040E920005131141F2 -:040E9300C60602206D -:040E9400C226C4228C -:040E9500F67FF0EF05 -:040E96000FF57413CD -:040E9700455945CDA7 -:040E9800FA5FF0EF1E -:040E990085136489D0 -:040E9A00C0EF710430 -:040E9B00458DEB4F47 -:040E9C00F0EF455DD1 -:040E9D008513F93F81 -:040E9E00C0EF71042C -:040E9F006593EA4F1E -:040EA000051308042A -:040EA100F0EF02204C -:040EA2000513F7FF3E -:040EA300C0EF3E80DE -:040EA4007593E90F4A -:040EA500442207F4E8 -:040EA600449240B280 -:040EA700022005130D -:040EA800F06F0141A5 -:040EA9001141F63FBE -:040EAA006409C422F1 -:040EAB0071040513B6 -:040EAC00C0EFC606C7 -:040EAD0045C5E6CF82 -:040EAE00F0EF4559C3 -:040EAF000513F4BF74 -:040EB000C0EF71041A -:040EB100455DE5CFE7 -:040EB200F0EF45898F -:040EB3000513F3BF71 -:040EB400442271045F -:040EB500014140B205 -:040EB600E46FC06FB6 -:040EB700C4221141FF -:040EB80085AA842E55 -:040EB900C6064549DB -:040EBA00F1DFF0EF85 -:040EBB00442285A2A6 -:040EBC00454D40B2AE -:040EBD00F06F014190 -:040EBE0085AAF0FF12 -:040EBF0003D0051344 -:040EC000F05FF06F80 -:040EC100454585AA74 -:040EC200EFDFF06FFF -:040EC300C60611410D -:040EC4004783C4227A -:040EC500842A006516 -:040EC6009593456D4E -:040EC7008DDD004776 -:040EC8000FF5F5939A -:040EC900EE1FF0EF39 -:040ECA0000644583F8 -:040ECB00F0EF45718E -:040ECC004583ED7FEE -:040ECD00452900347F -:040ECE00ECDFF0EF76 -:040ECF000044458313 -:040ED000F0EF4525D5 -:040ED1004583EC3F2A -:040ED2004521005462 -:040ED300EB9FF0EFB2 -:040ED400000445834E -:040ED500F0EF4535C0 -:040ED6004583EAFF67 -:040ED700453100148D -:040ED800EA5FF0EFEE -:040ED9000024458329 -:040EDA0040B24422BC -:040EDB000141452D5F -:040EDC00E95FF06F6B -:040EDD00CC22110111 -:040EDE004511842A0C -:040EDF00C632CA2627 -:040EE000CE0684AE08 -:040EE100E37FF0EFCC -:040EE200759346328C -:040EE300CE4D0F855C -:040EE4007FF0079301 -:040EE5000A87EA632B -:040EE600E59345113A -:040EE700F0EF001513 -:040EE8001513E67F79 -:040EE90014130014CA -:040EEA00804101053D -:040EEB0000445593D7 -:040EEC000FF5F59376 -:040EED00F0EF4505D8 -:040EEE001593E4FF75 -:040EEF00F593004433 -:040EF00045090F059C -:040EF100E41FF0EF1B -:040EF200019C05B7A3 -:040EF300CC05859312 -:040EF4000295D5B3DB -:040EF5000225573744 -:040EF6000FF70713D8 -:040EF70085B34781F7 -:040EF800706302859C -:040EF900273702B7DE -:040EFA000713042CAA -:040EFB004785D7F759 -:040EFC0000B779635F -:040EFD00080BF7B730 -:040EFE00FBF78793E4 -:040EFF0000B7B7B3CE -:040F0000675D078999 -:040F0100310707139A -:040F02004503973ECE -:040F030007130007C9 -:040F0400053302802F -:040F0500571302E597 -:040F0600953A001404 -:040F070002854533E7 -:040F08007513471DF9 -:040F090075930FF5D8 -:040F0A0073630FF509 -:040F0B00459D00A759 -:040F0C0040F2446209 -:040F0D00058E44D237 -:040F0E008DDD079AD4 -:040F0F000F85F593C2 -:040F10006105450D25 -:040F1100DC1FF06F82 -:040F1200F0EF4511A6 -:040F1300BFB9DBBFC8 -:040F1400C4221141A1 -:040F15004569842A7C -:040F1600F0EFC6062C -:040F17004785D61F15 -:040F18000FA5759319 -:040F190000F41A6363 -:040F1A000025E59336 -:040F1B0040B244227A -:040F1C0001414569E1 -:040F1D00D91FF06F79 -:040F1E0000A5E593B2 -:040F1F005583BFC572 -:040F2000114100A5D6 -:040F210081A1C422C4 -:040F22000513842A05 -:040F2300C60604B04A -:040F2400D75FF0EFB4 -:040F250000A445835C -:040F260004A005130B -:040F2700D69FF0EF72 -:040F280000C4558329 -:040F290004D00513D8 -:040F2A00F0EF81A1C2 -:040F2B004583D5BF66 -:040F2C00051300C4E5 -:040F2D00F0EF04C01D -:040F2E005583D4FF14 -:040F2F00051300E4C2 -:040F300081A104F0A7 -:040F3100D41FF0EFEA -:040F320000E445830F -:040F330004E00513BE -:040F3400D35FF0EFA8 -:040F3500004455839C -:040F3600051005138A -:040F3700F0EF81A1B5 -:040F38004583D27F9C -:040F39000513004458 -:040F3A00F0EF0500CF -:040F3B005583D1BF4A -:040F3C000513006435 -:040F3D0081A1053059 -:040F3E00D0DFF0EF21 -:040F3F000064458382 -:040F40000520051370 -:040F4100D01FF0EFDE -:040F4200008455834F -:040F4300055005133D -:040F4400F0EF81A1A8 -:040F45004583CF3FD2 -:040F4600051300840B -:040F4700F0EF054082 -:040F48005583CE7F80 -:040F49000513010487 -:040F4A0081A105700C -:040F4B00CD9FF0EF57 -:040F4C0001044583D4 -:040F4D000560051323 -:040F4E00CCDFF0EF15 -:040F4F0001245583A1 -:040F500005900513F0 -:040F5100F0EF81A19B -:040F52004583CBFF09 -:040F5300051301245D -:040F5400F0EF058035 -:040F55005583CB3FB6 -:040F5600051301443A -:040F570081A105B0BF -:040F5800CA5FF0EF8D -:040F59000144458387 -:040F5A0040B244223B -:040F5B0005A00513D5 -:040F5C00F06F0141F0 -:040F5D001141C93F36 -:040F5E00842AC422FB -:040F5F0003F0051383 -:040F6000F0EFC606E2 -:040F61007593C39F22 -:040F62008DC10F0529 -:040F630040B2442232 -:040F640003F005137E -:040F6500F06F0141E7 -:040F66001141C6FF70 -:040F6700842AC422F2 -:040F6800C60645690B -:040F6900C17FF0EF65 -:040F6A000064159377 -:040F6B007513442294 -:040F6C0040B203F597 -:040F6D00F5938DC9A2 -:040F6E0045690FF5CD -:040F6F00F06F0141DD -:040F70001101C47F28 -:040F7100461D65DDD7 -:040F7200A4058593BA -:040F7300CE0600287E -:040F7400241000EF56 -:040F7500C75FF0EF73 -:040F7600F0EF450152 -:040F77004585E77F46 -:040F7800035005130A -:040F7900C21FF0EFB4 -:040F7A00051365599D -:040F7B00F0EF6A85A4 -:040F7C004501E91F23 -:040F7D00FA7FF0EF18 -:040F7E00F0EF451932 -:040F7F000513D01F67 -:040F8000F0EF04404A -:040F81004581D03F97 -:040F8200F0EF450542 -:040F83000028CD3F36 -:040F8400CFDFF0EFDC -:040F8500610540F2D0 -:040F86001101808253 -:040F8700842ACC22CA -:040F8800CE0645113B -:040F8900F0EFC62E91 -:040F8A0045B2B95F54 -:040F8B0002B4043375 -:040F8C0000757593E4 -:040F8D007793451100 -:040F8E00078E01F4D5 -:040F8F00F0EF8DDD15 -:040F90005513BC7FBA -:040F910040F2405496 -:040F9200751344622D -:040F930061050FF5F0 -:040F94001141808205 -:040F9500842AC422C4 -:040F9600C606454105 -:040F9700B5FFF0EFC3 -:040F98000034159379 -:040F9900891D442248 -:040F9A008DC940B20B -:040F9B000FF5F593C6 -:040F9C000141454189 -:040F9D00B91FF06F19 -:040F9E001141C13903 -:040F9F000513C2264E -:040FA00084AE0260B9 -:040FA10008000593AC -:040FA200C606C42299 -:040FA300F0EF8432B5 -:040FA4004785B77F47 -:040FA500009405931C -:040FA60000F4896367 -:040FA700059347A1C6 -:040FA800846305A4B5 -:040FA900059300F4B8 -:040FAA004422018458 -:040FAB00449240B27A -:040FAC000FF5F593B5 -:040FAD000310051315 -:040FAE00F06F01419E -:040FAF004581B4BF05 -:040FB00002600513C3 -:040FB1001101BFDD8E -:040FB200CA26CC225D -:040FB300C64EC84A14 -:040FB400CE06C4524F -:040FB500842A4785BE -:040FB60089B2892E45 -:040FB70084BA8A3638 -:040FB80000F50E63CF -:040FB900006347A1E9 -:040FBA00059306F5A0 -:040FBB00F593006743 -:040FBC0045150FF5D3 -:040FBD00B11FF0EF81 -:040FBE00A80945C178 -:040FBF00002705936F -:040FC0000FF5F593A1 -:040FC100F0EF4515F3 -:040FC2004599AFFF9F -:040FC300F0EF4519ED -:040FC40085A2AF7FD4 -:040FC5004505862632 -:040FC600F61FF0EF33 -:040FC70045B147C128 -:040FC80000F40363CB -:040FC900051345A126 -:040FCA00F0EF022022 -:040FCB004462ADBF10 -:040FCC0044D240F2D9 -:040FCD0085CE8652F5 -:040FCE0049B24A22B8 -:040FCF004942854AC4 -:040FD000F06F610558 -:040FD1000593C33F82 -:040FD200F593032769 -:040FD30045150FF5BC -:040FD400AB5FF0EF30 -:040FD500020005937E -:040FD6001141BF55B1 -:040FD700FFE5879318 -:040FD800C226C42247 -:040FD900F793C606BE -:040FDA0047050FF7C1 -:040FDB00842E84AA32 -:040FDC0006F7696348 -:040FDD0047814709F8 -:040FDE0000E51363B4 -:040FDF009713478994 -:040FE00095930024C1 -:040FE1008DD9004462 -:040FE2008DC5079A18 -:040FE300F5938DDD18 -:040FE40045650FF55B -:040FE500A71FF0EF63 -:040FE600F0EF4541A2 -:040FE700478DA21F71 -:040FE8000F85759369 -:040FE90000F4146399 -:040FEA000055E59336 -:040FEB00F0EF45419D -:040FEC004789A57F0D -:040FED0002F49D630A -:040FEE00EA634785E6 -:040FEF0005930287DD -:040FF0000463052071 -:040FF100059300F470 -:040FF2004539053048 -:040FF300A39FF0EFD9 -:040FF4003E80051323 -:040FF50094AFC0EF06 -:040FF600F0EF455182 -:040FF70045819E1F73 -:040FF8004789A03D48 -:040FF90037B3FD49C4 -:040FFA00078500B0B7 -:040FFB000593BF4952 -:040FFC00453905B0BE -:040FFD00A11FF0EF51 -:040FFE003E80051319 -:040FFF00922FC0EF7E -:04100000F0EF455177 -:04100100478D9B9FDD -:041002001AE345C1E7 -:041003004561FCF453 -:041004009F5FF0EF0B -:0410050040B244228F -:04100600458144924A -:04100700036005136A -:04100800F06F014143 -:0410090011019E3FF4 -:04100A00842ACC2246 -:04100B00C62E455157 -:04100C00F0EFCE062D -:04100D004709989F58 -:04100E000FF57793D0 -:04100F00156345B26E -:04101000470502E4AA -:0410110000E59C63F7 -:041012000905751344 -:04101300F7050513C5 -:04101400001535137B -:04101500446240F2FF -:04101600808261056E -:041017007513E591D7 -:04101800051308852F -:04101900B7EDF785B3 -:04101A000017D513D3 -:04101B00B7DD8905AF -:04101C00479171592E -:04101D0000F11E239D -:04101E00871367DDF0 -:04101F00D6A23B47D3 -:04102000D2CAD4A6B6 -:04102100CED2D0CE8D -:04102200CADACCD684 -:04102300C6E2C8DE7B -:04102400C2EAC4E672 -:04102500842AC0EE6B -:0410260001E7450396 -:041027004E0346210D -:04102800163302E792 -:04102900450300A6D5 -:04102A00661301F751 -:04102B001F230086F9 -:04102C00061300C1E6 -:04102D001633040072 -:04102E00450300A6D0 -:04102F001023020781 -:04103000061302C1E0 -:041031001633400032 -:04103200450300A6CC -:04103300112302176C -:04103400660902C186 -:0410350000A61633C8 -:0410360002C11223BE -:0410370001B10613EA -:04103800665DD432EB -:041039003D760613E7 -:04103A00665DD632E7 -:04103B003D860613D5 -:04103C00665DD832E3 -:04103D003D960613C3 -:04103E00665DDA32DF -:04103F003DA60613B1 -:041040006759DC32DE -:041041000613665DCF -:04104200635D3DB6F7 -:041043006D8707139B -:0410440004000F375E -:041045000DA3DE32E7 -:04104600061300018C -:04104700488149C3D0 -:041048003B47879308 -:0410490049C3031381 -:04104A000F93C63A00 -:04104B0062851000AA -:04104C0040000493C9 -:04104D002000091363 -:04104E00099343C1FE -:04104F000A1304007C -:041050000A930800F7 -:041051004B1102003D -:041052000C134BA18F -:041053004C8D20D0D0 -:041054000F134D0524 -:041055009513040FDC -:041056004775018851 -:0410570094638561B8 -:04105800557D00E8DA -:041059004703A27136 -:04105A004E95017638 -:04105B0001664803DF -:04105C000EEEE5634C -:04105D001E934DB2DF -:04105E009DF60027D4 -:04105F00000DAD8350 -:04106000D07A8D8233 -:0410610000D8783308 -:041062000C0809630A -:0410630004010D93E4 -:04106400AE839EEECB -:041065005803FE8EA0 -:04106600CE830186AE -:041067000E86000EE3 -:04106800DE839EEE97 -:041069007EB3FDCE87 -:04106A00886301D8BE -:04106B0048130A0E0E -:04106C007813FFF8FE -:04106D0012630018F2 -:04106E0058030AB861 -:04106F0008790106F5 -:0410700008886D631C -:041071009723480574 -:0410720088230007C8 -:0410730089230107C5 -:041074009A230007B4 -:041075008E6301D7AE -:04107600E96319FE13 -:0410770084630BDFA4 -:04107800E763167E96 -:04107900856309D3AF -:04107A0098630F6EFA -:04107B004685077E21 -:04107C0000D78623F0 -:04107D0003B7C6836C -:04107E0010069E6357 -:04107F0086B346E905 -:04108000969A02D862 -:0410810000E6D603AC -:04108200577006930A -:0410830010C6E4634C -:04108400FFF7061359 -:041085000FF67613D9 -:04108600ED63468947 -:0410870086A30EC668 -:04108800882300D7E2 -:04108900156300D714 -:04108A00C7030CD7B5 -:04108B0018630317CC -:04108C0047051007FD -:04108D0000E78723CE -:04108E005D83A86571 -:04108F0093E30106E0 -:041090004D83F58D0A -:041091009D63FFD686 -:041092001563019D44 -:041093007813000EC0 -:04109400BF0D0EF886 -:0410950017E34D8987 -:041096000885F3BE18 -:04109700BDE5066944 -:04109800000E1563CE -:041099000FB8781301 -:04109A001DE3BF3162 -:04109B00B7F5F1AE06 -:04109C000F3E81631F -:04109D000F4E8A6305 -:04109E00FF5E91E37D -:04109F002010071303 -:0410A00000E79623AC -:0410A1008823471940 -:0410A200A09D00E726 -:0410A3000E5E8B63EF -:0410A40001D2EF6323 -:0410A5000E9E8263B6 -:0410A600800E87131E -:0410A7009EE3C3659C -:0410A8000713FB2E01 -:0410A900962320204A -:0410AA00471500E7FF -:0410AB006711BFE921 -:0410AC000CEE8C6357 -:0410AD0082636721D2 -:0410AE0067090EEED2 -:0410AF00F8EE9FE3D5 -:0410B000962347112B -:0410B100071300E73A -:0410B2008923078007 -:0410B300A00D00E7A5 -:0410B4000007962378 -:0410B5000FD77713C7 -:0410B6001B634685ED -:0410B700C70300D794 -:0410B800C70D031746 -:0410B9008823470938 -:0410BA00470500E7FF -:0410BB0000E787A320 -:0410BC0054A65436AC -:0410BD0059865916E1 -:0410BE004AE64A763E -:0410BF004BC64B567B -:0410C0004CA64C36B8 -:0410C1004D864D16F5 -:0410C2008082616562 -:0410C3008723470533 -:0410C400BFE100E7A1 -:0410C500FFE70693A8 -:0410C600000786A3F6 -:0410C7000FD6F693B7 -:0410C8004695D689EA -:0410C900FCD716E357 -:0410CA0088B3476937 -:0410CB00071302E81D -:0410CC0093464AF00D -:0410CD0000E3568363 -:0410CE00EED779E3FD -:0410CF0086A3BF55E0 -:0410D00047090007C5 -:0410D1000713B789C1 -:0410D2009623201031 -:0410D300471100E7DA -:0410D4004689BF1D6D -:0410D50000D7962387 -:0410D6001BE3468D45 -:0410D700C703F8D77C -:0410D80008E303170F -:0410D900BFF1EC0770 -:0410DA0010200713C8 -:0410DB0000E7962371 -:0410DC000713B741FE -:0410DD00BFD120203F -:0410DE00BFE9468D93 -:0410DF0020300713A3 -:0410E0000713B7E952 -:0410E100B7052030FF -:0410E2002040071390 -:0410E30000E7962369 -:0410E4008823471501 -:0410E500476100E778 -:0410E6000713BF0D20 -:0410E70096232040EC -:0410E800471900E7BD -:0410E90000E7882371 -:0410EA00B7054751AE -:0410EB001793110145 -:0410EC00CC2201858C -:0410ED00CE06CA263B -:0410EE00842A87E1E8 -:0410EF00DD6384AE8B -:0410F000458100072F -:0410F100077005136C -:0410F20001A337D54A -:0410F300478500A18C -:0410F40006A7EE63FA -:0410F50007F4741375 -:0410F60045912651A9 -:0410F7002E81450100 -:0410F800D7932685DF -:0410F90002A30184C9 -:0410FA00D79300F197 -:0410FB0003230104C6 -:0410FC00D79300F195 -:0410FD0003A30084C5 -:0410FE00022300F1D8 -:0410FF000423008145 -:041100000713009140 -:04110100079304004C -:041102000963095024 -:04110300071300E4EA -:041104004785048097 -:0411050000E414638B -:0411060008700793D3 -:0411070000484599BE -:0411080000F104A34B -:0411090045152CED6F -:04110A00842A26B558 -:04110B0005134585FE -:04110C002CF500318D -:04110D000031078323 -:04110E000007D6639D -:04110F0047852649A1 -:04111000FEF506E3FF -:041111002E41E0117A -:041112000031450360 -:04111300446240F200 -:04111400610544D25B -:04111500713980822A -:041116004581DA260F -:04111700051384AA8E -:04111800DE0604905B -:04111900D84ADC22B2 -:04111A00F0EFD64ECE -:04111B00C909F43FCB -:04111C0050F2450147 -:04111D0054D25462F2 -:04111E0059B2594227 -:04111F008082612148 -:041120004515842AC3 -:04112100892A2E01E8 -:041122000FF009932E -:0411230005134585E6 -:041124002C7100B179 -:0411250000B147834B -:041126000137966394 -:041127004785260DC5 -:04112800FEF506E3E7 -:041129000009136343 -:04112A004703263D14 -:04112B00079300B175 -:04112C001FE30FE0CE -:04112D0045C9FAF7BF -:04112E002C95006894 -:04112F000044C7832E -:041130000027F7138A -:041131004503CF158E -:0411320047830121CD -:04113300470301313C -:04113400890D0161BF -:041135008D5D0522A5 -:0411360001414783A9 -:041137004403050A5E -:041138008399011185 -:0411390047838D5DFE -:04113A00831D0151BF -:04113B000786883D5E -:04113C008FD98B9923 -:04113D000505943ED2 -:04113E0015331465EC -:04113F00BF950085D3 -:04114000CB898B913B -:041141000141450320 -:04114200015147838D -:041143008D5D052297 -:04114400B7CD47C517 -:041145004501478198 -:041146007179BFF10B -:04114700D04A65D94C -:04114800892A462981 -:0411490099058593EC -:04114A00D226004861 -:04114B00CA56CE4E64 -:04114C00D422D606CD -:04114D00498DCC52AA -:04114E0044852CE1C7 -:04114F000AA00A9355 -:041150002C2922C95B -:0411510045A924B1D7 -:041152002AD1004856 -:04115300202345818F -:041154000513000976 -:04115500F0EF0400B3 -:04115600842AE57F83 -:041157000E9514637A -:041158001AA0059341 -:0411590004800513F6 -:04115A00E45FF0EF6F -:04115B00089513637D -:04115C00850A45912A -:04115D0047832A6D2D -:04115E009563002174 -:04115F0047830C971F -:041160009163003166 -:0411610005130D570E -:0411620024293E807E -:0411630019632C0DD3 -:0411640005B7009536 -:04116500051340002E -:04116600F0EF0E9008 -:04116700F57DE13FF2 -:0411680007632C39B4 -:0411690044010295A6 -:04116A0019FD243D0A -:04116B000FF9F993EC -:04116C0008098C637F -:04116D004785D4518D -:04116E0000890223CF -:04116F0000F9202340 -:04117000F0EF854ACD -:04117100157DE95FA0 -:0411720000A9242389 -:04117300A8B5226594 -:041174000513458199 -:04117500F0EF07A0F0 -:04117600F571DD7FB3 -:04117700850A45910F -:04117800478322B9CE -:0411790044310001FC -:04117A000407F793DC -:04117B004411FFD547 -:04117C004581BF6585 -:04117D000E900513B8 -:04117E00DB5FF0EF54 -:04117F0004100A133B -:0411800000A4E5637F -:041181000A13440900 -:0411820005130E90B3 -:0411830022590FA03E -:041184001763227D4E -:04118500458100950B -:04118600F0EF8552AF -:04118700F96DD93FE6 -:04118800E1112A79CE -:041189002A454401AE -:04118A000513458183 -:04118B00F0EF07B0CA -:04118C00C111D7FFB7 -:04118D000593440181 -:04118E000513200025 -:04118F00F0EF050078 -:04119000D525D6FF8C -:04119100B7954401C9 -:0411920028D5F43D2B -:0411930000143513FC -:04119400542250B2DF -:041195005902549215 -:041196004A6249F26E -:0411970061454AD292 -:04119800451C8082F0 -:04119900D422717972 -:04119A00D226D6067D -:04119B00CE4ED04A1A -:04119C00E463440DB7 -:04119D0084BA02C747 -:04119E00C305440D34 -:04119F00004547833D -:0411A000893689AE55 -:0411A100E3918BA1AA -:0411A20085B20626E6 -:0411A300051005131B -:0411A400D1DFF0EFB8 -:0411A5004409C91917 -:0411A6008522205D21 -:0411A700542250B2CC -:0411A8005902549202 -:0411A900614549F261 -:0411AA000513808227 -:0411AB0020DD0640FD -:0411AC000FF0041329 -:0411AD00051345855C -:0411AE00289500F18F -:0411AF0000F1478381 -:0411B00000879663BB -:0411B100478528ED59 -:0411B200FEF506E35D -:0411B30047032229A3 -:0411B400079300F1AC -:0411B50010E30FE054 -:0411B6000413FCF72B -:0411B70004332020BD -:0411B8008C0541243D -:0411B900804104422B -:0411BA0000090563C0 -:0411BB00450185CA9B -:0411BC0085A6283D9F -:0411BD002825854E0E -:0411BE00450185A2C0 -:0411BF004401280DB2 -:0411C0002637BF61AE -:0411C10005B7000668 -:0411C2001537019C40 -:0411C300061300020D -:0411C4008593A80661 -:0411C5000513CC053D -:0411C600B06F0205FF -:0411C700862EDC1F75 -:0411C800153785AAA8 -:0411C9000513000208 -:0411CA00B06F0205FB -:0411CB00862EE5FF88 -:0411CC00153785AAA4 -:0411CD000513000204 -:0411CE00B06F0205F7 -:0411CF008082E23FF9 -:0411D0005783675D7D -:0411D100F7933887D1 -:0411D20007C2F7F762 -:0411D300142383C19D -:0411D400173738F79A -:0411D50020230002D1 -:0411D60080820EF70E -:0411D7005783675D76 -:0411D800E7933887DA -:0411D90014230807CC -:0411DA00173738F794 -:0411DB0020230002CB -:0411DC0080820EF708 -:0411DD000029363778 -:0411DE00019C05B7B4 -:0411DF0000021537BE -:0411E0002E060613BE -:0411E100CC05859321 -:0411E20002050513EA -:0411E300D4FFB06F16 -:0411E400F73FF06F72 -:0411E5002783675D98 -:0411E600E38579071D -:0411E7008793679DE6 -:0411E80005339787AD -:0411E900114102F5B9 -:0411EA002823C606EA -:0411EB00B0EF78A742 -:0411EC0040B2995F15 -:0411ED000141450176 -:0411EE0045058082B1 -:0411EF0011418082A8 -:0411F000B0EFC60690 -:0411F10067DD9A5FBD -:0411F2007907A7834F -:0411F300353340B29E -:0411F400014100F5C0 -:0411F50067DD8082B0 -:0411F6007807A823AB -:0411F70000008082F2 -:0411F80000000713D9 -:0411F90000E6166393 -:0411FA0000000513D9 -:0411FB000000806709 -:0411FC0000E507B350 -:0411FD0000170713BD -:0411FE0000E586B3CF -:0411FF000007C7839B -:04120000FFF6C683AC -:04120100FED780E3B1 -:0412020040D7853319 -:041203000000806700 -:0412040000A5C7B3C7 -:041205000037F79324 -:0412060000C50733E5 -:0412070000079663E3 -:041208000030079318 -:0412090002C7E263D3 -:04120A000005079341 -:04120B000AE57C6311 -:04120C000005C68390 -:04120D0000178793AC -:04120E0000158593AF -:04120F00FED78FA3D4 -:04121000FEE7E8E32A -:0412110000008067F2 -:04121200003576939A -:041213000005079338 -:0412140000068E63DF -:041215000005C68387 -:0412160000178793A3 -:0412170000158593A6 -:04121800FED78FA3CB -:041219000037F69311 -:04121A00FE9FF06FD4 -:04121B00FFC7769300 -:04121C00FE06861331 -:04121D0006C7F463A9 -:04121E000005A383A1 -:04121F000045A28361 -:041220000085AF8313 -:0412210000C5AF0352 -:041222000105AE8391 -:041223000145AE03D0 -:041224000185A3039A -:0412250001C5A883D4 -:041226000245859365 -:041227000077A02389 -:04122800FFC5A80353 -:041229000057A223A5 -:04122A0001F7A42301 -:04122B0001E7A6230E -:04122C0001D7A8231B -:04122D0001C7AA2328 -:04122E000067AC2386 -:04122F000117AE23D2 -:041230000247879357 -:04123100FF07AE23E2 -:04123200FADFF06F80 -:041233000005A60309 -:041234000047879355 -:041235000045859358 -:04123600FEC7AE231E -:04123700FED7E8E313 -:04123800F4E7E8E30C -:0412390000008067CA -:04123A00F6010113A5 -:04123B0006912A23CB -:04123C0008F12A2368 -:04123D0006112E2345 -:04123E00000177B77D -:04123F0006812C23D5 -:0412400008D1262388 -:0412410008E1282375 -:0412420009012C234F -:0412430009112E233C -:041244002BC7A4838D -:041245000205D26369 -:0412460008B0079352 -:0412470000F4A023EC -:04124800FFF005139B -:0412490007C1208336 -:04124A0007812403F1 -:04124B0007412483B0 -:04124C000A0101137F -:04124D0000008067B6 -:04124E002080079362 -:04124F0000F11A236D -:0412500000A12423B2 -:0412510000A12C23A9 -:0412520000000793FE -:0412530000058463AB -:04125400FFF5879388 -:0412550000F1282359 -:0412560000F12E2352 -:0412570008C1069331 -:04125800FFF0079309 -:0412590000058413F5 -:04125A0000048513F4 -:04125B000081059376 -:04125C0000F11B235F -:04125D0000D1222377 -:04125E00484000EF15 -:04125F00FFF0079302 -:0412600000F55663DC -:0412610008B0079337 -:0412620000F4A023D1 -:04126300F8040CE39C -:04126400008127835B -:0412650000078023DB -:04126600F8DFF06F4E -:0412670002060A630E -:04126800FFF6061374 -:041269000000071367 -:04126A0000E507B3E1 -:04126B0000E586B361 -:04126C000007C7832D -:04126D000006C6832E -:04126E0000D79863AA -:04126F0000C706634B -:041270000017071349 -:04127100FE0792E3FF -:0412720040D78533A9 -:041273000000806790 -:04127400000005135E -:04127500000080678E -:0412760000050793D5 -:0412770000060E63FC -:041278000015859345 -:04127900FFF5C703B3 -:04127A00001787933F -:04127B00FFF6061361 -:04127C00FEE78FA357 -:04127D00FE0714E371 -:04127E0000C78633EC -:04127F0000C79463AD -:041280000000806783 -:041281000017879338 -:04128200FE078FA331 -:04128300FF1FF06FEA -:0412840000B505B3F9 -:0412850000050793C6 -:0412860000B78663C4 -:041287000007C70392 -:0412880000071663E2 -:0412890040A78533C2 -:04128A000000806779 -:04128B00001787932E -:04128C00FE9FF06F62 -:04128D001005846361 -:04128E00FFC5A7836E -:04128F00FF01011347 -:041290000081242392 -:0412910000112623FF -:041292000091222382 -:04129300FFC58413FC -:041294000007D46318 -:0412950000F404332A -:0412960000050493B8 -:0412970058D000EF3C -:0412980000017737A3 -:0412990032C72783AE -:04129A000007061330 -:04129B000207926351 -:04129C000004222305 -:04129D00328726234B -:04129E0000812403A4 -:04129F0000C12083E7 -:0412A00000048513AE -:0412A1000041248361 -:0412A2000101011332 -:0412A3005610006F72 -:0412A40002F4786375 -:0412A5000004268398 -:0412A60000D4073336 -:0412A70000E79A635F -:0412A8000007A70391 -:0412A9000047A783D0 -:0412AA0000D707332F -:0412AB0000E4202318 -:0412AC0000F4222305 -:0412AD00328626233C -:0412AE00FC1FF06FC2 -:0412AF00000707939A -:0412B0000047A70349 -:0412B10000070463CB -:0412B200FEE47AE3F9 -:0412B3000007A68307 -:0412B40000D78633A6 -:0412B5000286186332 -:0412B6000004260307 -:0412B70000C686B334 -:0412B80000D7A02398 -:0412B90000D78633A1 -:0412BA00F8C718E376 -:0412BB0000072603FF -:0412BC0000472703BD -:0412BD0000D606B39E -:0412BE0000D7A02392 -:0412BF0000E7A2237F -:0412C000F79FF06F35 -:0412C10000C478638A -:0412C20000C00793CE -:0412C30000F4A02370 -:0412C400F69FF06F32 -:0412C50000042603F8 -:0412C60000C406B3A7 -:0412C70000D71A63CF -:0412C8000007268372 -:0412C90000472703B0 -:0412CA0000C686B321 -:0412CB0000D4202308 -:0412CC0000E42223F5 -:0412CD000087A223D1 -:0412CE00F41FF06FAA -:0412CF000000806734 -:0412D000FE01011307 -:0412D10000912A233B -:0412D20000358493CC -:0412D300FFC4F493CD -:0412D40000112E23B4 -:0412D50000812C2345 -:0412D60001212823A7 -:0412D7000131262398 -:0412D8000084849377 -:0412D90000C00793B7 -:0412DA0006F4F863BB -:0412DB0000C00493B8 -:0412DC0006B4E6630B -:0412DD0000050913EC -:0412DE00471000EFC6 -:0412DF00000177B7DC -:0412E00032C7A70367 -:0412E10032C78693F7 -:0412E20000070413EA -:0412E30006041C637E -:0412E400000174375A -:0412E50033040413B7 -:0412E6000004278356 -:0412E70000079A63FF -:0412E800000005936A -:0412E90000090513E0 -:0412EA00375000EF8A -:0412EB0000A4202318 -:0412EC0000048593E2 -:0412ED0000090513DC -:0412EE00365000EF87 -:0412EF00FFF0099370 -:0412F0000B351A633D -:0412F10000C007939F -:0412F20000F92023BC -:0412F30000090513D6 -:0412F40041D000EFF6 -:0412F5000100006F85 -:0412F600F804DCE339 -:0412F70000C0079399 -:0412F80000F52023BA -:0412F90000000513D9 -:0412FA0001C120838B -:0412FB000181240346 -:0412FC000141248305 -:0412FD0001012903BF -:0412FE0000C129837F -:0412FF0002010113D4 -:041300000000806702 -:04130100000427833A -:04130200409787B3D6 -:041303000407CE63AA -:0413040000B006131C -:0413050000F67A6311 -:0413060000F42023AC -:0413070000F40433B7 -:04130800009420230A -:041309000100006F70 -:04130A0000442783F1 -:04130B0002871A63D8 -:04130C0000F6A02324 -:04130D0000090513BB -:04130E003B5000EF61 -:04130F0000B405130E -:0413100000440793FB -:04131100FF857513CC -:0413120040F5073368 -:04131300F8070EE3E6 -:0413140000E40433BA -:0413150040A787B3B3 -:0413160000F420239C -:04131700F8DFF06F9C -:0413180000F7222395 -:04131900FD1FF06F55 -:04131A0000040713B1 -:04131B000044240363 -:04131C00F1DFF06F9E -:04131D000035041380 -:04131E00FFC4741381 -:04131F00FA8502E366 -:0413200040A405B32D -:0413210000090513A7 -:04132200295000EF5F -:04132300F9351AE39B -:04132400F35FF06F14 -:04132500FE010113B1 +:0403F60086AEC226E7 +:0403F70085AA84AEA1 +:0403F8004887A5038A +:0403F900C04AC42210 +:0403FA008432C6067D +:0403FB00BF2FF0EF31 +:0403FC00F37009137E +:0403FD00892AE5095B +:0403FE00996394A2C9 +:0403FF0040B2008484 +:04040000854A4422C3 +:0404010049024492D6 +:0404020080820141B2 +:0404030000044503A9 +:0404040020EF0405DC +:040405000FA35BC026 +:04040600B7C5FEA4D4 +:04040700CC221101F1 +:04040800C64EC84ACA +:04040900CE06C45205 +:04040A00C256CA26E6 +:04040B000FF67793DE +:04040C008A2E892A81 +:04040D001993843289 +:04040E00C795008608 +:04040F000AB384CADE +:040410009563012AC5 +:0404110067DD049A05 +:040412004887A5036F +:04041300F0047593E9 +:0404140086CA8752BB +:0404150005A2864E68 +:040416009FEFF0EF75 +:04041700CD0987AADA +:04041800F350079303 +:0404190067DDA811E2 +:04041A004887A50367 +:04041B00F0EF85CEAB +:04041C00079399CFDA +:04041D00D179F3603E +:04041E00446240F202 +:04041F00494244D238 +:040420004A2249B271 +:04042100853E4A9238 +:04042200808261056E +:040423000004C50309 +:0404240020EF04853C +:040425008FA353C08E +:04042600B765FEA414 +:04042700CC221101D1 +:04042800C64EC84AAA +:04042900C256C452A1 +:04042A00CA26CE060A +:04042B008A32892E5A +:04042C00440189B648 +:04042D000A93478166 +:04042E0060631000F7 +:04042F00450103245C +:040430000147846399 +:04043100F34005137C +:04043200446240F2EE +:04043300494244D224 +:040434004A2249B25D +:0404350061054A9281 +:0404360004B3808209 +:04043700F4634089A1 +:040438000493009A8F +:04043900864E1000DB +:04043A00852285A6EC +:04043B00FD6935E53D +:04043C00001436135F +:04043D00854E85A6BD +:04043E00536020EFF8 +:04043F00041387AA71 +:04044000BF65100480 +:04044100CC221101B7 +:04044200C62A645D05 +:0404430048C4051391 +:0404440030EFCE06C1 +:0404450045B25480E8 +:040446000713E909A6 +:0404470046812000CA +:040448000513460151 +:0404490030EF48C484 +:04044A0040F267C055 +:04044B0061054462A1 +:04044C0065DD808268 +:04044D008593655DD1 +:04044E0005134E053F +:04044F00206F4A05CB +:0404500065DD75C031 +:040451008593655DCD +:040452000513540535 +:04045300206F4B4586 +:04045400114174C01E +:04045500C606C422F1 +:04045600F0EF842A15 +:040457004581A0FF3C +:04045800F0EF45017B +:04045900E435AF7F58 +:04045A000713675DC0 +:04045B0043484F477C +:04045C00019C07B741 +:04045D00CC078793AE +:04045E0002A7D7B367 +:04045F0000A70603E9 +:04046000450345E922 +:0404610066DD01074C +:040462005DC686935A +:040463000413645DBD +:04046400063355C442 +:04046500458302B613 +:0404660087B30264F2 +:0404670096B202A7A0 +:0404680000E6D503D2 +:0404690002744603D0 +:04046A0002A787B3AB +:04046B0000C745037E +:04046C0087B3050548 +:04046D00450302A79A +:04046E00050500E799 +:04046F0002F505335A +:0404700080BFF0EF6A +:0404710002644503D9 +:0404720040B244222E +:04047300F06F0141E4 +:0404740040B2DFAF04 +:0404750001414422DB +:04047600114180822E +:04047700892AC04AC4 +:04047800C60645056A +:04047900C226C422B1 +:04047A00AF5FF0EF91 +:04047B0095BFF0EF4A +:04047C004501458170 +:04047D0098DFF0EF25 +:04047E000019369398 +:04047F00458146016C +:04048000F0EF45054F +:040481001563B0DF70 +:04048200645D0209AA +:04048300041364DD1D +:0404840084934F44CA +:04048500470355C410 +:04048600C68300F435 +:0404870046010164C5 +:040488004501458164 +:04048900D60FF0EFAB +:04048A000164C783BF +:04048B0002F406A3CE +:04048C003705854A61 +:04048D0040B2442213 +:04048E004902449249 +:04048F0001414501E1 +:04049000A9DFF06F81 +:04049100C606114149 +:04049200ED2DC42266 +:04049300C78367DDD7 +:0404940047214C5759 +:0404950004E78C6389 +:0404960089634741EE +:04049700471100E722 +:0404980004E79E6374 +:04049900453020EFDB +:04049A00A0A14505D3 +:04049B000713675D7F +:04049C0043504F4733 +:04049D00019C07B700 +:04049E00CC0787936D +:04049F0002C7D7B306 +:0404A00000A70603A8 +:0404A100675D45E965 +:0404A2005DC7071318 +:0404A300063386AAEC +:0404A400973202B6D3 +:0404A50000E7570312 +:0404A60002E787B32F +:0404A70001C9C73789 +:0404A80037F7071308 +:0404A90000F7636392 +:0404AA00853646BD90 +:0404AB0040B020EF4E +:0404AC004422450D94 +:0404AD00014140B217 +:0404AE007C40206FFF +:0404AF003FB020EF4B +:0404B000BFC545017E +:0404B100871367DD69 +:0404B200468355C761 +:0404B30047090207EC +:0404B40055C7841391 +:0404B5008363453DDB +:0404B600450100E616 +:0404B7003DB020EF45 +:0404B8000204478370 +:0404B900450D47099D +:0404BA00FCF775E3F3 +:0404BB008D1D451539 +:0404BC000FF57513B0 +:0404BD007179BF7D15 +:0404BE00D60667896E +:0404BF00D226D4224B +:0404C000CE4ED04A02 +:0404C100CA56CC52F9 +:0404C200C65EC85AF0 +:0404C300C266C462E7 +:0404C40071078793A2 +:0404C50000021737E3 +:0404C6000C07268376 +:0404C7000006D463F4 +:0404C800FBFD17FD24 +:0404C900643020EF8C +:0404CA0087936BDDCC +:0404CB00C4834F4B4C +:0404CC008A2A00B7C1 +:0404CD004F4B8413FA +:0404CE0017B7C499FF +:0404CF00A483000200 +:0404D00080C10C07D4 +:0404D1000513888DFA +:0404D20020EF0370A4 +:0404D3008AAA7B0076 +:0404D4000380051389 +:0404D5007A6020EF3A +:0404D600199367858A +:0404D7008793008582 +:0404D800F9B3F0077D +:0404D900579300F93C +:0404DA008B850065A9 +:0404DB000513892A52 +:0404DC0004A30390E2 +:0404DD0020EF00F418 +:0404DE008CAA78402C +:0404DF0003A005135E +:0404E00077A020EFF2 +:0404E100000217B747 +:0404E2000C07A783D9 +:0404E300E9B3470929 +:0404E4008B630159CC +:0404E500470302E4E3 +:0404E600E70900B46E +:0404E70018F00713EF +:0404E80003377463FF +:0404E9009D634705C3 +:0404EA00D99300E4BE +:0404EB00F993012759 +:0404EC0009857FF906 +:0404ED001909B7131F +:0404EE000017471399 +:0404EF00A8114C0103 +:0404F0000209791371 +:0404F100FE0908E315 +:0404F2000C89B713A7 +:0404F3000017471394 +:0404F40046834C05EA +:0404F50067DD00B40B +:0404F60087936ADDA1 +:0404F700849346871D +:0404F8006B5D55CA19 +:0404F90018069F63DF +:0404FA00380A006359 +:0404FB0036070E634F +:0404FC00000787036B +:0404FD00D1634689F8 +:0404FE00802318E659 +:0404FF004785000726 +:0405000000F405A35B +:04050100A783491172 +:040502001A134F4B2E +:040503006505008505 +:04050400F0050513E6 +:0405050000AA7A339B +:0405060000178693C1 +:04050700019A6A33B8 +:04050800ED63675DDB +:04050900869301369E +:04050A00E963001988 +:04050B00405C00F65A +:04050C0001479663AA +:04050D00008447839C +:04050E0033878B6341 +:04050F0052070EA3DE +:0405100000C4C70359 +:0405110002344783E6 +:0405120008F71A6369 +:0405130000D4C70346 +:0405140002444783D3 +:0405150008F714636C +:0405160000E4C70333 +:0405170002544783C0 +:0405180006F71E6361 +:0405190000F4C70320 +:04051A0002644783AD +:04051B0006F7186364 +:04051C000104C7030C +:04051D00027447839A +:04051E0006F7126367 +:04051F000074C7039A +:0405200001E4478328 +:0405210004F71C635C +:040522000084C70387 +:0405230001F4478315 +:0405240004F716635F +:040525000094C70374 +:040526000204478301 +:0405270004F7106362 +:0405280000A4C70361 +:0405290002144783EE +:04052A0002F71A6357 +:04052B0000B4C7034E +:04052C0002244783DB +:04052D0002F714635A +:04052E000194C7036A +:04052F0003044783F7 +:0405300000F71E634F +:0405310001A4C70357 +:0405320003144783E4 +:0405330000F7186352 +:040534000244C703B3 +:0405350003B4478341 +:0405360000F709635E +:0405370087CA47091F +:0405380000E9736300 +:04053900F9134789E2 +:04053A00C7030FF7ED +:04053B00478301747D +:04053C00086302E46A +:04053D00078302F737 +:04053E00476900A465 +:04053F0002E787B395 +:040540000713675DD9 +:0405410097BA5DC741 +:040542000107D703D3 +:0405430020D007932A +:0405440000F7196340 +:0405450087CA470911 +:0405460000E97363F2 +:04054700F9134789D4 +:0405480047830FF7DF +:04054900C3B1555B8A +:04054A0000A40703FF +:04054B0067DD46E939 +:04054C0002D7073398 +:04054D005DC787936C +:04054E00010445035C +:04054F00010A1593F5 +:04055000460181C11E +:04055100D70397BA7B +:04055200053300E786 +:04055300470302E573 +:040554000542030455 +:04055500C709814110 +:040556000187D60340 +:040557008A0582058A +:04055800754020EFDB +:04055900000913631F +:04055A00AA23490582 +:04055B0022234F3BCD +:04055C00042301442F +:04055D00A035018440 +:04055E0080230705EA +:04055F00490100E767 +:040560000463B55922 +:040561001163000A18 +:0405620086831E0767 +:0405630056710007C6 +:040564001CC6D76377 +:0405650000078023E8 +:04056600000405A3E5 +:0405670014E349113F +:04056800C703E607D8 +:04056900478355CAA5 +:04056A001E63017497 +:04056B00C70306F7C5 +:04056C0047830014AD +:04056D00186301848A +:04056E00C70306F7C2 +:04056F00478300249A +:04057000126301947D +:04057100C70306F7BF +:040572004783003487 +:040573001C6301A460 +:04057400C70304F7BE +:040575004783004474 +:04057600166301B453 +:04057700C70304F7BB +:040578004783005461 +:04057900106301C446 +:04057A00C70304F7B8 +:04057B00478301248D +:04057C001A63029468 +:04057D00C70302F7B7 +:04057E00478301347A +:04057F00146302A45B +:04058000C70302F7B4 +:040581004783014467 +:040582001E6302B43E +:04058300C70300F7B3 +:040584004783011494 +:040585001863028471 +:04058600C70300F7B0 +:040587004783025450 +:04058800056303C440 +:04058900136300F701 +:04058A004905000916 +:04058B000184C5031F +:04058C0002F44783AB +:04058D0000A78B63D5 +:04058E00010445839C +:04058F0011F020EF58 +:0405900000A408A318 +:0405910000091363E7 +:04059200C50349054F +:04059300478301C4D5 +:040594008463033445 +:0405950020EF00A7AC +:04059600C50313D0B6 +:04059700478301D4C1 +:040598008463034431 +:0405990020EF00A7A8 +:04059A00C5035D40F8 +:04059B00478301E4AD +:04059C00846303541D +:04059D0020EF00A7A4 +:04059E00C5035CE055 +:04059F004783021478 +:0405A000C583038488 +:0405A1009663022437 +:0405A200478300A7E4 +:0405A30084630394D6 +:0405A40020EF00B78D +:0405A500C50358A092 +:0405A600478301B4D2 +:0405A7008B6303243B +:0405A80047E100A780 +:0405A90002F505331F +:0405AA00879367D9F3 +:0405AB00953E7E8774 +:0405AC0070E020EFEC +:0405AD000204C5037C +:0405AE000374478308 +:0405AF0000A78463BA +:0405B000B85FF0EF51 +:0405B10001F4C50389 +:0405B2000364478314 +:0405B30000A78463B6 +:0405B40000B020EF84 +:0405B50069DD65DDBA +:0405B6008593461DC6 +:0405B7008513534510 +:0405B80030EF58596F +:0405B900C50923E06D +:0405BA0058598513F4 +:0405BB00560020EFD7 +:0405BC000264C7030B +:0405BD0003D4478399 +:0405BE0000F71863C7 +:0405BF000274C703F8 +:0405C00003E4478386 +:0405C10000F70663D6 +:0405C2000154C50318 +:0405C300A47FF0EF32 +:0405C400C78367DDA5 +:0405C500CF81556726 +:0405C6000284C503E3 +:0405C70003F447836F +:0405C80000A787639E +:0405C9000562155161 +:0405CA0020EF856138 +:0405CB0085930240D2 +:0405CC00051355CAF4 +:0405CD00061301749C +:0405CE0030EF0310F7 +:0405CF0050B22160A5 +:0405D0000AA3542204 +:0405D100854A540BF8 +:0405D20059025492E4 +:0405D3004A6249F23D +:0405D4004B424AD27A +:0405D5004C224BB2B7 +:0405D60061454C929D +:0405D70016FD80820B +:0405D80000D78023A5 +:0405D900BD254901F2 +:0405DA000007802373 +:0405DB004783BFE5AE +:0405DC00468553D726 +:0405DD00CCD786E30E +:0405DE00F793078503 +:0405DF000EA30FF761 +:0405E0009FE352F74C +:0405E1004709CAD725 +:0405E200736387CAEE +:0405E300478900E95B +:0405E4000FF7F91301 +:0405E500675DB17528 +:0405E600C6221141D7 +:0405E7004F470693E1 +:0405E80000A68E03D8 +:0405E90068DD45E99B +:0405EA0002BE05B395 +:0405EB000176C503CD +:0405EC005DC88793CC +:0405ED000106C6033A +:0405EE000713468920 +:0405EF0088934F4757 +:0405F00097AE5DC89D +:0405F1000147C303F8 +:0405F20000C7D80363 +:0405F3000137C58384 +:0405F40018D514639F +:0405F50001874683B1 +:0405F600F6930685ED +:0405F70055030FF6A3 +:0405F8004F830147E5 +:0405F90047910227FD +:0405FA0080050E93D7 +:0405FB00020E826307 +:0405FC008E936785EE +:0405FD00E56380072B +:0405FE0007931AAE97 +:0405FF00096302008A +:04060000E1631CF5A1 +:040601004EC118A727 +:0406020003634791B6 +:0406030087B201D5E4 +:040604004E83655D5F +:0406050045214C55EA +:0406060000AE966349 +:0406070003420306A1 +:040608000103531384 +:04060900012745037D +:04060A0078634F05BD +:04060B000333006550 +:04060C001F1340A3D5 +:04060D005F13010373 +:04060E004569010F2A +:04060F0002AE0533FF +:0406100040F602B3FB +:0406110044054E0945 +:040612005E83954628 +:04061300450300A5F6 +:040614008333012506 +:0406150003B303D256 +:04061600433300AFBB +:040617004E0303C3C8 +:0406180082B3029710 +:040619000342025343 +:04061A000103531372 +:04061B00200003B701 +:04061C0003C78E334F +:04061D0093169E1A78 +:04061E0000D742833C +:04061F0003420E4242 +:04062000010E5E1356 +:04062100010353136B +:04062200936302FAE2 +:040623004381008F80 +:0406240002A60533F2 +:0406250000100FB7FB +:04062600800F8F931F +:040627000E4E07C2AA +:0406280005AE06EE27 +:040629000EB3443296 +:04062A00052E03D6C0 +:04062B0001F575332D +:04062C0003E60633A8 +:04062D007FFEFE93BB +:04062E00005EEEB3C9 +:04062F0001D5653359 +:040630000FF00EB702 +:0406310076330652C4 +:040632008E4901D616 +:0406330000766633B4 +:040634000002153774 +:040635000AC52823A7 +:04063600011746035F +:0406370001074E83E6 +:040638000EAA0636CA +:0406390001D666334D +:04063A00E7B38FD1C2 +:04063B00E7B301C759 +:04063C002023006710 +:04063D0047830AF5F0 +:04063E00460300C7A8 +:04063F00436901C743 +:04064000066A07F649 +:0406410046038FD10C +:04064200065202A7B3 +:0406430006038FD14A +:04064400063300A7D2 +:0406450098B20266FF +:040646000158C6038E +:040647008FD1064603 +:04064800E6B38EDDAA +:040649008ECD01064B +:04064A0008D5282384 +:04064B0003C7478317 +:04064C0002B7468328 +:04064D0006AA07BA38 +:04064E0046838FD57B +:04064F008FD501B78B +:0406500001A7468335 +:0406510001974703C3 +:040652008FD506A694 +:040653008FD9071222 +:0406540008F5202362 +:04065500808201415D +:0406560046814E8506 +:04065700E9D511E3ED +:040658000187D5033E +:0406590000C746830D +:04065A00C509890540 +:04065B00E60689E343 +:04065C00B5B5468D5D +:04065D00E60685E345 +:04065E000177C683D7 +:04065F0036B316F1A7 +:04066000BDB100D058 +:0406610010000E93E4 +:0406620003E3478DDA +:040663000793E9D53B +:040664001EE3200071 +:040665004503E6F56E +:04066600479102872F +:04066700A8358F899A +:040668000F636E8925 +:04066900E86303D56A +:04066A0012E300AEE9 +:04066B004503E6F568 +:04066C004795028725 +:04066D006791B7E5F5 +:04066E0002F50F631F +:04066F0018E367A184 +:040670004503E4F565 +:04067100479902871C +:04067200F7938F89E2 +:04067300A8110FF7C4 +:0406740002874503B1 +:040675008F89478D95 +:04067600F793078669 +:04067700BD0D0FF7AF +:0406780097E387B2CB +:040679001821E20F53 +:04067A00084205B17C +:04067B00581305C249 +:04067C0081C101082F +:04067D004795BD31AF +:04067E001101B7EDC2 +:04067F00C64E67DD1F +:0406800069DD4705E4 +:0406810052E78EA30B +:040682004F498793C2 +:0406830043C4CA267C +:04068400CE06CC22B0 +:04068500C452C84A49 +:040686008413C256C1 +:040687008A634F49EA +:04068800A783180428 +:0406890086634F49EC +:04068A00C83718074E +:04068B000813A0EEC2 +:04068C005833B00827 +:04068D00478302F8A5 +:04068E000A370084A3 +:04068F000A13019CAD +:040690005A33CC0A03 +:040691008463029AE2 +:0406920054B3160740 +:0406930005130298B1 +:0406940020EF03B0A0 +:04069500892A0A8024 +:0406960003C0051385 +:0406970009E020EF67 +:04069800016447832F +:040699000084460390 +:04069A00002797138B +:04069B00879367D901 +:04069C0097BAB3470F +:04069D00A7034394D8 +:04069E0007934F4926 +:04069F00E219070055 +:0406A0000690079326 +:0406A10000016637B7 +:0406A2000613655D79 +:0406A30045C5BD8606 +:0406A4004B450513AA +:0406A500795020EF79 +:0406A6003E800693F9 +:0406A70006400813EE +:0406A800655D47291C +:0406A900051345C52B +:0406AA00763354054A +:0406AB00DAB302DAE2 +:0406AC0057330304B9 +:0406AD00663702E6C4 +:0406AE0087D60001EA +:0406AF00BE0606136A +:0406B0000304F83314 +:0406B10002DA56B360 +:0406B200761020EFAF +:0406B300C78367DDB5 +:0406B400E3995D97D2 +:0406B500E6EFF0EF8D +:0406B600C68364DDB6 +:0406B70045835544DE +:0406B800A503008412 +:0406B90086564F49C9 +:0406BA006C8020EF41 +:0406BB00096357FD7B +:0406BC0005230CF511 +:0406BD00070300A48B +:0406BE0067DD00A450 +:0406BF008D2346E958 +:0406C000073348E7CD +:0406C10067DD02D718 +:0406C2005DC78793F6 +:0406C3000104468365 +:0406C4004803405057 +:0406C50097BA0304D9 +:0406C6000167C50300 +:0406C7005544C703CC +:0406C800675D8D7964 +:0406C9004CA702A395 +:0406CA0000E7D583ED +:0406CB000FF9771399 +:0406CC0002D585B31B +:0406CD000733468128 +:0406CE0005C202B7A8 +:0406CF00573381C15B +:0406D000064202C715 +:0406D10006638241F9 +:0406D200D6830008C3 +:0406D3008285018794 +:0406D40077138A8589 +:0406D50020EF0FF70C +:0406D60045034B008D +:0406D700F0EF0374C9 +:0406D8004583EE6FF9 +:0406D90045030104D0 +:0406DA0020EF02F417 +:0406DB0008A33F0031 +:0406DC00F0EF00A497 +:0406DD004783C25F2E +:0406DE00450302C40A +:0406DF00458100E46D +:0406E0004583E791D6 +:0406E1008D8900F40B +:0406E2000015B593B7 +:0406E300BE4FF0EF27 +:0406E40003A44783A1 +:0406E50002C4450303 +:0406E6004462CB851A +:0406E70044D240F2C7 +:0406E80049B2494288 +:0406E9004A924A22C5 +:0406EA00F06F610547 +:0406EB000806E30F0B +:0406EC006485BD69FB +:0406ED0084936A1177 +:0406EE000A13770470 +:0406EF00BD41D54AEA +:0406F0000523479106 +:0406F100BF0500F44D +:0406F2004703E10DCC +:0406F300468300F446 +:0406F400446202D486 +:0406F50044D240F2B9 +:0406F60049B249427A +:0406F7004A924A22B7 +:0406F80045814601F1 +:0406F900E06F610548 +:0406FA0040F2B9FF12 +:0406FB0044D244623F +:0406FC0049B2494274 +:0406FD004A924A22B1 +:0406FE008082610590 +:0406FF00C2261141BD +:04070000C50364DDEC +:04070100C42249D4F1 +:0407020010EFC60628 +:04070300842A7E20A6 +:04070400C683E121A6 +:0407050067DD49D48F +:0407060088A3472954 +:0407070067DD4ED785 +:0407080058C7C78384 +:0407090000E785631D +:04070A000F23675DF5 +:04070B0067DD48F767 +:04070C004F27C703A9 +:04070D00C701478158 +:04070E00C78367DD59 +:04070F00675D49E7F2 +:040710004D07071377 +:04071100453D97BA11 +:0407120000D7802369 +:0407130061C010EFC2 +:0407140040B2852248 +:0407150044924422A4 +:04071600808201419B +:04071700C2261141A4 +:04071800C50364DDD4 +:04071900C42249D4D9 +:04071A0010EFC60610 +:04071B00842A5FE0ED +:04071C00C683E51D8E +:04071D0067DD49D477 +:04071E004ED788A387 +:04071F00C70367DDC8 +:0407200047814F2797 +:0407210067DDC701C8 +:0407220050A7C78392 +:040723000713675DF4 +:0407240097BA4D072C +:040725008023453DAB +:0407260010EF00D7F9 +:0407270085225CE0EB +:04072800442240B275 +:0407290001414492B4 +:04072A0067DD808285 +:04072B0049A7C70310 +:04072C0067DD46E956 +:04072D0052E78E23DE +:04072E0002D70733B4 +:04072F00879367DD68 +:0407300097BA5DC750 +:0407310000E7D68384 +:040732001E23675DBE +:04073300C6834CD756 +:04073400675D0147B5 +:0407350054D71C2356 +:040736000127C6834E +:040737001C23675DBB +:04073800D68348D745 +:04073900675D00A751 +:04073A004CD716235F +:04073B000157C68319 +:04073C001323675DBF +:04073D00C6834CD74C +:04073E00675D0137BB +:04073F0054D719234F +:0407400000C7D70314 +:04074100952367DDB8 +:0407420080824CE77E +:04074300C60367DDA5 +:0407440067DD53C753 +:040745004DC7DF03BA +:04074600DE8367DD0A +:0407470067DD55878E +:040748004987CE030C +:0407490067DD675DA4 +:04074A004CC7D303C2 +:04074B004F470713FA +:04074C0086AA67DD35 +:04074D004C67D8839A +:04074E0000B74503A8 +:04074F00C80367DD97 +:0407500067DD5527E5 +:040751004CA7D58359 +:04075200879367DD45 +:04075300C9295DC78C +:0407540000A70703F0 +:0407550004C716635C +:0407560007334769B5 +:04075700973E02E6E1 +:0407580000E755035E +:0407590003E51A6337 +:04075A00014745030B +:04075B0003D5166349 +:04075C000127450329 +:04075D0003C512635B +:04075E0000A7550398 +:04075F0000651E63B0 +:0407600001574503F5 +:0407610001151A6301 +:040762000137450313 +:040763000105166313 +:0407640000C7570370 +:0407650000B7066370 +:040766004505675D81 +:0407670054A70AA3E6 +:0407680006334769A4 +:04076900655D02E6E2 +:04076A004E05051320 +:04076B00663797B2A4 +:04076C0096230001CF +:04076D00972300B717 +:04076E008A2301E7F2 +:04076F00892301D702 +:04077000952301C705 +:040771008AA30067F0 +:0407720089A301173F +:040773000613010761 +:0407740045C57D06F4 +:040775004550206F5C +:04077600C606114161 +:0407770047C5C4228C +:040778000002143730 +:040779000EF4202337 +:04077A000E04202326 +:04077B000A04282321 +:04077C0028236509C0 +:04077D000513080454 +:04077E00E0EF710532 +:04077F000713B24F5B +:0407800067DD1D1004 +:040781004CE794238A +:040782000003153724 +:040783001D100793AB +:040784000EF420232C +:04078500D40505137F +:04078600B06FE0EF81 +:04078700000626370B +:04078800019C05B714 +:04078900040405134C +:04078A00A8060613A4 +:04078B00CC05859381 +:04078C00EAAFE0EF01 +:04078D001C7010EFDD +:04078E00401010EF18 +:04078F00C94154798F +:0407900010EF450120 +:0407910007934B90EF +:0407920054750FF09B +:0407930008F5016301 +:040794000B2020EF27 +:04079500E0EF450943 +:0407960047CDA45F48 +:04079700186354711E +:04079800E0EF06F593 +:0407990010EFAB5F53 +:04079A00C50910B0CD +:04079B00470567DDCA +:04079C0054E78B2370 +:04079D0093AFF0EF37 +:04079E00ED39842A83 +:04079F0065D9239560 +:0407A0000613655D7A +:0407A1008593031029 +:0407A2000513C8056E +:0407A30020EF50B53E +:0407A40065D92C30B7 +:0407A5000613655D75 +:0407A60085930360D4 +:0407A7000513DF0552 +:0407A80020EF5905E0 +:0407A900453D2AF0B0 +:0407AA00544010EFB8 +:0407AB00C50367DD3E +:0407AC0010EF4F17E4 +:0407AD0017B753A087 +:0407AE00A78300021B +:0407AF0097130D0788 +:0407B000436300E7B8 +:0407B1002B99000779 +:0407B200F0EF45011E +:0407B3008522B10FDB +:0407B400442240B2E9 +:0407B50080820141FC +:0407B600BFD5547DDA +:0407B70067DD713950 +:0407B800C483DA26F6 +:0407B900DC2249B73E +:0407BA000485645DF1 +:0407BB000FF4F493B0 +:0407BC004C8457838F +:0407BD0004C204B2BC +:0407BE00672180C16E +:0407BF008FD98FC57A +:0407C0004CF41423BE +:0407C100D84ADE062E +:0407C200D05AD452E3 +:0407C300CC62CE5ED8 +:0407C400C86ACA66CF +:0407C50000021737E0 +:0407C600D256D64EE3 +:0407C7006637C66E5D +:0407C800695D000166 +:0407C9000EF72023E4 +:0407CA00B606061356 +:0407CB00051345C508 +:0407CC0020EF4E09C3 +:0407CD00F0EF2F70AA +:0407CE006C419FCF0C +:0407CF007B716A5D73 +:0407D00047857BF5E9 +:0407D100FFFC0C938A +:0407D200590A0A13A3 +:0407D3001BFD1B7D72 +:0407D40000016D377C +:0407D50000021DB74A +:0407D6000D0DA983D9 +:0407D7000199F9B3D8 +:0407D8008263E3DD78 +:0407D90057030A09AF +:0407DA005783016AD6 +:0407DB0016634C84D1 +:0407DC00F7B30F3729 +:0407DD001423017769 +:0407DE00A0234CF414 +:0407DF0000230EFDE8 +:0407E000F0EF4E09DF +:0407E10025379B0F0E +:0407E20005130006F5 +:0407E300E0EFA80596 +:0407E4005783990F8F +:0407E50067114C84C8 +:0407E6003E80051339 +:0407E7008FD98FC552 +:0407E8004CF4142396 +:0407E9000EFDA0233E +:0407EA0012D020EF1A +:0407EB0020EF4D8529 +:0407EC0017B7151016 +:0407ED00166300028D +:0407EE00AA8301B524 +:0407EF00D8E307073D +:0407F00020EFFE0AEE +:0407F10097131550F5 +:0407F2008341010A34 +:0407F300FFF7079372 +:0407F40083C107C2F4 +:0407F500FFDC06938C +:0407F60002F6FE63A6 +:0407F7000001663760 +:0407F800B6C6061368 +:0407F900051345C5DA +:0407FA0020EF4E0995 +:0407FB00F0EF23F008 +:0407FC005783944F3C +:0407FD0017374C84DA +:0407FE0077B30002CB +:0407FF00142300FBC4 +:0408000020234CF471 +:0408010065090EF780 +:040802007105051364 +:04080300912FE0EF62 +:04080400B78987CE5B +:04080500D79365859B +:0408060015FD010AD1 +:04080700064006138E +:0408080056B38FED67 +:04080900773302C778 +:04080A009C6302C722 +:04080B00663700B795 +:04080C0006130001CE +:04080D0045C5B746E0 +:04080E004E09051377 +:04080F001ED020EFE8 +:04081000F833B77D85 +:0408110045C502C710 +:040812004E09051373 +:0408130002C7D7B38E +:04081400B80D061302 +:040815001D5020EF63 +:040816005703BF596C +:040817001AE3018A55 +:0408180007D2F937D3 +:04081900142383D150 +:04081A0050F24CF458 +:04081B00A023546260 +:04081C0054D20EFDA7 +:04081D0059B2594231 +:04081E005A925A226E +:04081F004BF25B023B +:040820004CD24C6208 +:040821004DB24D4245 +:04082200612145010A +:04082300114180827D +:04082400F0EFC60625 +:0408250010EF969F9B +:0408260067DD351045 +:04082700C50340B213 +:04082800014157171C +:04082900936FF06F6A +:04082A00DC52715DCE +:04082B00C686DA564D +:04082C00C2A6C4A2FA +:04082D00DE4EC0CA11 +:04082E00D65ED85A60 +:04082F00D266D46257 +:04083000CE6ED06A4E +:04083100D15FF0EFB4 +:040832006ADD6A5DB4 +:040833001005426307 +:040834000001663722 +:040835000520071380 +:0408360006134681DE +:0408370045C5B946B4 +:040838004B4A05130F +:04083900145020EF48 +:04083A00000165B79D +:04083B008593464516 +:04083C008513BC451F +:04083D0020EF540A4A +:04083E00F0EF05B022 +:04083F00A537848FC6 +:040840000513000795 +:04084100645D1205DB +:04084200E0EF64DDA2 +:040843004D01814F93 +:040844006B5D49019E +:040845004F44041305 +:040846004C3D6BDDDD +:0408470055C484937D +:04084800000217B7DC +:040849000D07A7836D +:04084A00000306B7EA +:04084B00971365DDBD +:04084C0083410107DC +:04084D005CEB282315 +:04084E00FFF7C713D6 +:04084F008F7583E13D +:040850000FF7F61395 +:040851008C2366DDB1 +:04085200AA235CC5B4 +:0408530065DD5CE61D +:040854000793CF81B6 +:04085500F793FFE630 +:04085600450D0FF746 +:0408570000F57663CF +:040858005CC5C78331 +:0408590000C79463DD +:04085A005C0B2823E8 +:04085B00862367DDAC +:04085C00A6035CC5CE +:04085D00A4235C87ED +:04085E00E2495CE728 +:04085F0069DD2EC55C +:040860005D99C78354 +:04086100C7818CAA15 +:0408620000EF45015D +:0408630067DD62905B +:0408640049E7C70396 +:040865000164468361 +:040866008D6385BE5B +:040867008A2312E6E8 +:04086800478D558BD8 +:0408690004E7FF633E +:04086A00F06347955B +:04086B004D8506E7CA +:04086C004909468967 +:04086D00FFF70793F7 +:04086E000FF7F793F6 +:04086F006A63462151 +:04087000665904F6CB +:040871000613078AD9 +:0408720097B2B10682 +:040873008782439C99 +:0408740000016637E2 +:04087500061386AA36 +:0408760045C5BA8634 +:040877004B4A0513D0 +:04087800049020EFD9 +:04087900000165B75E +:04087A0085934645D7 +:04087B00851312C50A +:04087C0020EF540A0B +:04087D00E0EF127026 +:04087E00A001F4DF02 +:04087F005C06AA2346 +:040880004D8DBFB526 +:04088100490146855E +:040882004D89B77570 +:04088300BFE5468106 +:0408840067DD4D09D6 +:040885004F27C783AF +:0408860067DDC39DCA +:040887004D078793FF +:0408880067DD973E53 +:04088900000745031C +:04088A004F17C7033A +:04088B0000A7096356 +:04088C0088A3C63641 +:04088D0010EF4EA773 +:04088E0046B21B60F3 +:04088F00C78365DDD9 +:0408900005A349E58E +:040891004705000413 +:0408920000F40B2340 +:040893000374478320 +:040894007663458DB5 +:04089500459500F78E +:04089600F5938D9DAC +:0408970085360FF59E +:04089800053010EF28 +:04089900125010EFFA +:04089A00901FE0EFDC +:04089B00C78367DDCB +:04089C00C781556754 +:04089D0010EF856E65 +:04089E0085EA4B207C +:04089F0010EF854A87 +:0408A000478361D059 +:0408A1004645016463 +:0408A2004B4A0513A5 +:0408A3000027971380 +:0408A400879367D9F6 +:0408A50097BAB34704 +:0408A6002223438C3A +:0408A70020EF00043A +:0408A80065B707B079 +:0408A90046450001BF +:0408AA00BB858593F2 +:0408AB00540A851353 +:0408AC00069020EFA3 +:0408AD005D99C78307 +:0408AE00E0EFE399FB +:0408AF0067DDE89F7A +:0408B00049C7C7036A +:0408B100176347A9D9 +:0408B200856300F763 +:0408B300453D000CB3 +:0408B400799000EF48 +:0408B5000154C70320 +:0408B60002C44783AE +:0408B70002F70363DE +:0408B800468147012D +:0408B900458146012E +:0408BA00E0EF450125 +:0408BB00C503C9AFF9 +:0408BC00E0EF015414 +:0408BD00C783EE9F60 +:0408BE00222301549C +:0408BF000623000408 +:0408C000C78302F4F4 +:0408C100E395015466 +:0408C2000164C68384 +:0408C30002D4478391 +:0408C40000D78D6369 +:0408C50000F44703F1 +:0408C6004581460121 +:0408C700E0EF450118 +:0408C800C783C66FAD +:0408C90006A301641D +:0408CA00478302F46A +:0408CB00CFA901644C +:0408CC00854A85EAEA +:0408CD00FC3FE0EF1D +:0408CE000D634789E6 +:0408CF00479108F550 +:0408D00002F50463C6 +:0408D10011634785E3 +:0408D200478304F55F +:0408D300CF8D00B411 +:0408D400C46FF0EF0E +:0408D5004D0DA81508 +:0408D60047C1BD6DEC +:0408D70054FB8A2321 +:0408D800BD454D05C8 +:0408D900B5754D01A3 +:0408DA0000B447839C +:0408DB00675DC39DF5 +:0408DC004C8757836B +:0408DD000027E79376 +:0408DE004CF714239C +:0408DF0000021737C5 +:0408E0000EF72023CC +:0408E100D0BFF0EFA5 +:0408E20012C0051328 +:0408E300D93FD0EF3A +:0408E4002223BB41CF +:0408E50010EF00040C +:0408E60047837F20A5 +:0408E700464501641D +:0408E8004B4A05135F +:0408E900002797133A +:0408EA00879367D9B0 +:0408EB0097BAB347BE +:0408EC0020EF438C2A +:0408ED0065B7766015 +:0408EE00464500017A +:0408EF00BB858593AD +:0408F000540A85130E +:0408F100754020EF3F +:0408F2005D99C783C2 +:0408F300E0EFFFD55E +:0408F400BF5DD75FAE +:0408F50000B4478381 +:0408F600F0EFDBC57F +:0408F700B76DE20FE8 +:0408F800655D65D9FC +:0408F9000613114190 +:0408FA0085930310CF +:0408FB000513C80514 +:0408FC00C60655C512 +:0408FD0055C020EFD3 +:0408FE00655D65DDF2 +:0408FF002F2006138D +:040900008305859353 +:040901005DC50513B8 +:04090200548020EF0E +:0409030067DD40B2BA +:040904008AA3470576 +:04090500450154E76D +:0409060080820141A9 +:04090700C4A2715DB8 +:04090800DE4EC2A657 +:0409090069DD64D967 +:04090A00C0CA645D9E +:04090B00D266DC5282 +:04090C00DA56C6866B +:04090D00D65ED85A80 +:04090E00D06AD46275 +:04090F004901CE6E5E +:0409100084934C81FF +:040911008A4ED844EE +:04091200590404136D +:04091300000165B7C3 +:04091400859346453C +:040915008513E285DF +:0409160020EF4A097B +:0409170097136BE0E7 +:040918009726002CF2 +:04091900655D430CC9 +:04091A000513464536 +:04091B0020EF4E0576 +:04091C00E0EF6AA0FE +:04091D006AC1CC1FC0 +:04091E008D134701ED +:04091F006B5DFFFA13 +:040920006DB76BDD67 +:040921006C5D000108 +:04092200000216B702 +:040923000D06A58395 +:040924000003053790 +:0409250001A5F633FF +:040926005CCB28235B +:040927000D06A68390 +:04092800FFF6C6937D +:04092900AA238EE986 +:04092A00C21D5CDBB3 +:04092B00032602633A +:04092C00001C969382 +:04092D00E32D96A27E +:04092E0000B690235C +:04092F008593464521 +:040930000513E30DBB +:0409310020EF4A0A5F +:04093200E0EF65206D +:040933004705C69F0F +:040934005C8C2603AE +:040935005D4BA683ED +:040936009063E20DDB +:040937009F63035661 +:0409380065D9040C6D +:04093900036006133E +:04093A00DF058593BD +:04093B00C636852215 +:04093C00460020EF62 +:04093D00470946B26E +:04093E0024234CED35 +:04093F00C63A5CDC7C +:0409400029034689B8 +:040941000E635D0BD9 +:04094200650902D76A +:040943007105051322 +:04094400C0FFD0EF31 +:04094500BF8D4732E9 +:040946000006D6834E +:0409470009E3470970 +:0409480067B7FAD6BD +:04094900464500011E +:04094A00E387859327 +:04094B004A0A05133C +:04094C005E8020EFBA +:04094D00BFFFE0EF19 +:04094E00BF59470145 +:04094F0047091CF93F +:040950000C85BF6DE6 +:0409510053E34769BC +:040952004426F197AF +:04095300449640B6D0 +:0409540059F2490605 +:040955005AD25A62B6 +:040956005BB25B42F3 +:040957005C925C2230 +:040958004DF25D02FD +:040959006161453D56 +:04095A005010006FCA +:04095B000793711D70 +:04095C00CA3E00B1DE +:04095D00879367DD38 +:04095E00CC3E5687AE +:04095F00879367DD36 +:04096000CE3E56979A +:04096100879367DD34 +:04096200D03E56A786 +:04096300879367DD32 +:04096400D23E56B772 +:0409650067DDD43E38 +:0409660056C7879356 +:0409670027B7D63E9A +:0409680087930F035F +:04096900C63EF0177F +:04096A00303007938F +:04096B0000F118235C +:04096C00675D478DEF +:04096D0000F1092369 +:04096E00CCA267DDD3 +:04096F005D07A60377 +:04097000590704130C +:04097100CAA6CE86BE +:04097200C6CEC8CA5B +:04097300C2D6C4D252 +:04097400DE5EC0DAA9 +:04097500000105A3D5 +:040976005907071303 +:0409770045E9478186 +:04097800000756839B +:0409790000D61C6325 +:04097A006363476903 +:04097B00675910F7B1 +:04097C000713078ACC +:04097D0097BACB4713 +:04097E008782439C8D +:04097F00846307097D +:0409800007853AB7F6 +:040981004789BFF1F2 +:04098200D70366DD54 +:0409830047134C8644 +:0409840094231007A1 +:04098500675D4CE678 +:040986005D47268320 +:0409870000F69713CC +:0409880000075D63A4 +:04098900C70367DD5C +:04098A00462550A707 +:04098B000663478533 +:04098C00079300C706 +:04098D00F7930017C5 +:04098E0097130FF7B5 +:04098F005E6300E6BD +:04099000675D000798 +:0409910055C746837D +:040992004601458550 +:0409930000D5E56343 +:04099400F6130685CB +:040995000E230FF628 +:04099600472954C7D2 +:04099700866345012D +:04099800675D00E7B0 +:0409990048F70F23E9 +:04099A0067DD4505CB +:04099B004C87D703AB +:04099C00C68366DDCB +:04099D009B4D5D967B +:04099E008341074248 +:04099F004CE794236A +:0409A00066DDEA81A5 +:0409A1004DA6C68316 +:0409A2008F55068ADD +:0409A3004CE7942366 +:0409A4004C87D703A2 +:0409A500446640F66E +:0409A600000217B77D +:0409A7000EE7A02394 +:0409A800494644D6A2 +:0409A9004A2649B6DB +:0409AA004B064A9618 +:0409AB0061255BF275 +:0409AC00478D808271 +:0409AD004791BF911E +:0409AE004795BF8129 +:0409AF004799B7B1FC +:0409B000479DB7A107 +:0409B10047A1B79112 +:0409B20047A5B7811D +:0409B300675DBF3588 +:0409B4005D97478381 +:0409B5000017B693DE +:0409B6005CD70CA35B +:0409B7004683675DAF +:0409B800675D4F1711 +:0409B90048D70EA36A +:0409BA004505E79177 +:0409BB000C7000EFCD +:0409BC00BF1947A96F +:0409BD00A4FFE0EFC4 +:0409BE0064DDBFE550 +:0409BF00000217B764 +:0409C0004F44849389 +:0409C1000C07A40378 +:0409C20000A4878383 +:0409C30066374769E3 +:0409C40087B30001F4 +:0409C500675D02E781 +:0409C6005DC70713EF +:0409C7000613655D51 +:0409C80045C5D20649 +:0409C9004A050513C3 +:0409CA0067DD973E10 +:0409CB004F17C68379 +:0409CC002F8020EF69 +:0409CD0000B4C78328 +:0409CE006785C7B9B9 +:0409CF008007879383 +:0409D00076938FE1AA +:0409D10037337FF445 +:0409D200068500F0A6 +:0409D30000E696B3F1 +:0409D400071396BAB5 +:0409D500C3990700BB +:0409D600069007136D +:0409D700880D8041C6 +:0409D80002A00793DF +:0409D9000793E01987 +:0409DA0016370200CA +:0409DB0028030002EB +:0409DC00655D060649 +:0409DD000001663778 +:0409DE00D2C6061364 +:0409DF00051345C5F2 +:0409E00020EF4E05B1 +:0409E100E0EF2A60B9 +:0409E200B79D9ADF44 +:0409E3005783675D72 +:0409E400C7934C87E2 +:0409E50014230107CF +:0409E600BF994CF772 +:0409E700C70367DDFE +:0409E800460555C7A4 +:0409E900656346817B +:0409EA00070500E617 +:0409EB000FF77693F9 +:0409EC0054D78E232B +:0409ED0067DDBF35CE +:0409EE0055C787134F +:0409EF0000174703A3 +:0409F000879346059E +:0409F100468155C71F +:0409F20000E6656353 +:0409F30076930705EB +:0409F40080A30FF7D6 +:0409F500BF2900D73F +:0409F6000793675D9F +:0409F700C78355C796 +:0409F800071300479A +:0409F900C78155C796 +:0409FA00F79317FD5B +:0409FB0002230FF7CD +:0409FC00BDFD00F746 +:0409FD000713675D18 +:0409FE00478355C70F +:0409FF0046B90047AE +:040A000000F6F363A6 +:040A0100078547B965 +:040A020065B7B7DD40 +:040A0300655D00012C +:040A0400859346454B +:040A05000513D3C53D +:040A060020EF4A058E +:040A070065B72FE0C0 +:040A08006ADD0001A2 +:040A09008593464546 +:040A0A008513D4C5B7 +:040A0B0020EF4E0A80 +:040A0C0069412EA06E +:040A0D006A5D69DDD8 +:040A0E00E0EF648928 +:040A0F001B378F9F63 +:040A1000197D00024A +:040A110089934BED8D +:040A12000A134F492B +:040A130084935DCAA1 +:040A1400270371043F +:040A150087A20D0B9C +:040A1600773346816B +:040A1700D6030127DA +:040A1800066300076A +:040A1900068500E668 +:040A1A009AE30789CB +:040A1B008783FF7658 +:040A1C00476900A97D +:040A1D0002E787B3B2 +:040A1E0097D247150F +:040A1F000177C78311 +:040A200002F7646312 +:040A21004A634711CC +:040A2200181804D7C5 +:040A23004703973EB0 +:040A24005733FDC780 +:040A25008B0540D726 +:040A2600078ACF115B +:040A270097BA18184A +:040A2800FE47A7835B +:040A290000D780234F +:040A2A0089BFE0EFB1 +:040A2B008CA367DD54 +:040A2C00BD3D5C0769 +:040A2D000001663727 +:040A2E000613068520 +:040A2F0045C5D5865E +:040A30004E0A8513D2 +:040A3100164020EF5C +:040A320086BFE0EFAC +:040A33000007A537DC +:040A3400120505138F +:040A350084BFD0EFBB +:040A360047B1BFC144 +:040A3700FCF686E360 +:040A3800D0EF852650 +:040A3900B7B583DFEB +:040A3A00871367DDDA +:040A3B00470355C751 +:040A3C00467901876F +:040A3D0055C787937F +:040A3E006563468125 +:040A3F00070500E6C1 +:040A40000FF77693A3 +:040A410000D78C232B +:040A420067DDB3E5D4 +:040A430055C78713F9 +:040A440001874703DC +:040A450055C7879377 +:040A4600D76D46FD25 +:040A4700B7CD177D93 +:040A4800000165B78D +:040A49004645655D5C +:040A4A00D685859335 +:040A4B004A05051340 +:040A4C001E8020EFF9 +:040A4D00000165B788 +:040A4E004645655D57 +:040A4F00D78585932F +:040A50004E05051337 +:040A51001D4020EF35 +:040A52006489694109 +:040A5300FE6FE0EF63 +:040A5400000219B7CC +:040A55004A6D197D50 +:040A56004B314AA531 +:040A5700710484930F +:040A58000D09A6835B +:040A59004781872228 +:040A5A000126F6B3C8 +:040A5B000007560337 +:040A5C0000D60A6353 +:040A5D0007090785F9 +:040A5E00FF479AE3D1 +:040A5F00D0EF852629 +:040A6000BFF9FA0FD1 +:040A610000FACC6368 +:040A62000785472994 +:040A630002E7E7B30C +:040A64000EA3675D19 +:040A6500F0EF48F76F +:040A6600B739A66F87 +:040A6700FF6790E3B2 +:040A68004785B721E6 +:040A690047A9B19553 +:040A6A000113B1BD06 +:040A6B001808DA018C +:040A6C0024112E2300 +:040A6D0024812C2391 +:040A6E0024912A2382 +:040A6F0025212823F2 +:040A700025312623E3 +:040A710025412423D4 +:040A720025512223C5 +:040A730025612023B6 +:040A740023712E2399 +:040A750023812C238A +:040A760023912A237B +:040A770023A128236C +:040A7800F24FE0EF6A +:040A790064DD892A85 +:040A7A006B5010EFBE +:040A7B001963440DAA +:040A7C004611160900 +:040A7D000808180C41 +:040A7E00120020EF53 +:040A7F00000165B756 +:040A80008593461103 +:040A81000808FD055F +:040A82000D4020EF14 +:040A8300064009130D +:040A840014051863DA +:040A8500034157834F +:040A86000361059370 +:040A870005134621EC +:040A88001A230161CB +:040A890020EF00F169 +:040A8A0057830F205F +:040A8B000EA303E1D2 +:040A8C00162300012C +:040A8D00578300F19A +:040A8E001723040125 +:040A8F00453200F1FB +:040A90003CB000EF87 +:040A91000421578362 +:040A92001623D02A2D +:040A9300578300F194 +:040A940017230441DF +:040A9500453200F1F5 +:040A96003B3000EF02 +:040A9700046157831C +:040A98001623D22A25 +:040A9900578300F18E +:040A9A001723048199 +:040A9B00453200F1EF +:040A9C0039B000EF7E +:040A9D002503D42A2F +:040A9E00C62A22C181 +:040A9F0038F000EF3C +:040AA000D62A55827B +:040AA1001E20079379 +:040AA200FE65871353 +:040AA3000CE7E86311 +:040AA40018084605E3 +:040AA50039B000EF75 +:040AA600091357B227 +:040AA7001163066071 +:040AA80066370CF5AC +:040AA900645D000187 +:040AAA00FD860613AC +:040AAB00051345C525 +:040AAC0010EF4A04F9 +:040AAD005692777076 +:040AAE0000016637A6 +:040AAF00FE860613A6 +:040AB000851345C5A0 +:040AB10010EF4E04F0 +:040AB200E0EF7630CB +:040AB3005A92E68FDE +:040AB40049815BA277 +:040AB5000C134501D8 +:040AB6006CDD2000D3 +:040AB7000F59E4638C +:040AB80024AB9C636C +:040AB900016147830D +:040ABA00014146832D +:040ABB00015147039B +:040ABC001007886334 +:040ABD00000167B716 +:040ABE00EE878793A5 +:040ABF000001663795 +:040AC00001610813B5 +:040AC100FF460613D3 +:040AC200051345C50E +:040AC30010EF4A04E2 +:040AC40065B771B0F1 +:040AC50046450001A1 +:040AC60004C585934B +:040AC7004E04851341 +:040AC800631010EFB8 +:040AC9006ADD69C1B8 +:040ACA00E0EF6909E7 +:040ACB001A37E08F67 +:040ACC0019FD00020E +:040ACD00590A8B1324 +:040ACE00710909138E +:040ACF000D0A278362 +:040AD000590AD703E5 +:040AD1000137F7B33F +:040AD2000CF70163B9 +:040AD300002B57039A +:040AD4001EF708639E +:040AD500D0EF854A8F +:040AD600B7CDDC8F2D +:040AD70006500913A9 +:040AD80053D010EFF8 +:040AD9000E634785DC +:040ADA00CC6322F9CE +:040ADB0007931F2737 +:040ADC000D63F35063 +:040ADD00C96322F9CE +:040ADE0007931D2736 +:040ADF000C63F34071 +:040AE00065B722F9DB +:040AE10085930001F8 +:040AE2004645F1C5CF +:040AE3004E04851325 +:040AE400789010EF07 +:040AE500D9EFE0EF76 +:040AE600000F453781 +:040AE70024050513CA +:040AE800D7EFD0EF85 +:040AE9002409526327 +:040AEA0024805063B1 +:040AEB000001663769 +:040AEC000613655D2B +:040AED0045C503C632 +:040AEE004A0505139D +:040AEF0066D010EFCE +:040AF000A8B5147D14 +:040AF100413A8A33C9 +:040AF200014C7463DC +:040AF30020000A13C2 +:040AF40020098B1337 +:040AF500010A1713C8 +:040AF6004681834171 +:040AF700009B5613F7 +:040AF8008513180C3E +:040AF90010EF48CCE6 +:040AFA00892A3BD03A +:040AFB001405146367 +:040AFC000019B61314 +:040AFD00180885D27E +:040AFE00237000EF72 +:040AFF00BDF989DADA +:040B0000000167B7D2 +:040B010012C78793FD +:040B020000EFBDD56E +:040B0300675D77F0C3 +:040B04004C87578340 +:040B05000513650966 +:040B0600E7937105FB +:040B0700142300278C +:040B080020234CF763 +:040B0900D0EF0EFA21 +:040B0A0065B7CF8F6D +:040B0B0005130001CD +:040B0C0046454A040C +:040B0D0000058593C7 +:040B0E006E1010EF66 +:040B0F006B37440DEF +:040B10004645000155 +:040B110000CB05937D +:040B12004E048513F5 +:040B13006CD010EFA3 +:040B1400CE2FE0EF11 +:040B15000C134981F3 +:040B16006CDD200072 +:040B170010000B932C +:040B1800E5635A1225 +:040B190065B707496C +:040B1A0069DD000190 +:040B1B008593464533 +:040B1C00851301C577 +:040B1D0010EF4A0982 +:040B1E0046456A30AE +:040B1F0000CB05936F +:040B20004E048513E7 +:040B2100695010EF18 +:040B2200CAAFE0EF87 +:040B2300559256226F +:040B2400450118145B +:040B2500C08FE0EFAE +:040B260013E3892A22 +:040B270010EFEC05DA +:040B280065B73FF07E +:040B2900464500013C +:040B2A0006058593A4 +:040B2B004A098513DB +:040B2C004A1010EF6C +:040B2D00000165B7A7 +:040B2E008593464520 +:040B2F00851302C563 +:040B300010EF4E0470 +:040B3100E0EF65701C +:040B3200A001C6CF89 +:040B3300413A0A3306 +:040B340074638AD28A +:040B35000A93014CD2 +:040B36008D132000FB +:040B370097132009E7 +:040B38008341010AEA +:040B39005613468188 +:040B3A00180C409DB6 +:040B3B0048CC85130A +:040B3C002B3010EF5B +:040B3D000933C501B2 +:040B3E00B59D40A081 +:040B3F004089D9937D +:040B4000F46385D6FF +:040B41000593015BBC +:040B4200864E1000CB +:040B4300E0EF1808BF +:040B4400892AB0EF5B +:040B4500E40516E3CA +:040B4600014BE46318 +:040B4700B78989EAF7 +:040B480000198613F7 +:040B4900F00A859396 +:040B4A00E0EF1A08B6 +:040B4B00892AAF2F15 +:040B4C00B53DD57569 +:040B4D00B52D440D71 +:040B4E000913440D36 +:040B4F00B50D06706A +:040B50000913440D34 +:040B5100BD29068034 +:040B5200F3600793B2 +:040B530006F90A6332 +:040B5400F3700793A0 +:040B5500E2F917E3C7 +:040B5600000165B77E +:040B5700F7C58593C6 +:040B58000793B52D1D +:040B590002630660CD +:040B5A00CF6306F966 +:040B5B0007930127D4 +:040B5C0001630640EB +:040B5D00079306F9FB +:040B5E0014E3065046 +:040B5F0065B7E0F99D +:040B60008593000178 +:040B6100B511F34592 +:040B6200067007937F +:040B630004F9096325 +:040B6400068007936D +:040B6500DEF917E3BB +:040B6600000165B76E +:040B6700F6858593F7 +:040B680065B7B3EDCD +:040B6900859300016F +:040B6A00B3C5F245D8 +:040B6B00000165B769 +:040B6C00F9C58593AF +:040B6D0065B7BBD9D4 +:040B6E00859300016A +:040B6F00B3F1FAC51F +:040B7000000165B764 +:040B7100F8C58593AB +:040B720065B7B3C9E7 +:040B73008593000165 +:040B7400BB65F44524 +:040B7500000165B75F +:040B7600FC05859362 +:040B770065B7B37D2E +:040B78008593000160 +:040B7900B355F54536 +:040B7A0025C12083EE +:040B7B0025812403A9 +:040B7C002541248368 +:040B7D002501290322 +:040B7E0024C12983E2 +:040B7F0024812A03A0 +:040B800024412A835F +:040B810024012B031D +:040B820023C12B83DD +:040B830023812C039B +:040B840023412C835A +:040B850023012D0318 +:040B86000113557D85 +:040B87008082260141 +:040B8800663786AA9C +:040B8900655D0001A5 +:040B8A007CC606130C +:040B8B00051345C544 +:040B8C00106F4E0593 +:040B8D0006933F701C +:040B8E0006B3465014 +:040B8F00079302D5F1 +:040B9000663706407E +:040B9100655D00019D +:040B9200120606132E +:040B9300051345C53C +:040B9400C6B34E0591 +:040B9500106F02F6E5 +:040B960086AA3D30BE +:040B970000016637BC +:040B98000613655D7E +:040B990045C50DC67B +:040B9A004E050513EC +:040B9B003BD0106FCC +:040B9C00000F46B749 +:040B9D002406869311 +:040B9E0002D5053344 +:040B9F008793678948 +:040BA000071396475A +:040BA10036B73E80A5 +:040BA20086930063D3 +:040BA3006637EA06C1 +:040BA4000613000133 +:040BA50045C50D062F +:040BA60002F557B34A +:040BA70002E7F7B3B7 +:040BA80056B34729D0 +:040BA900655D02D5AF +:040BAA004E050513DC +:040BAB0002E7D73353 +:040BAC003790106FFF +:040BAD0046700693F5 +:040BAE0002D506B3B3 +:040BAF000640079362 +:040BB00000016637A3 +:040BB1000613655D65 +:040BB20045C57C4673 +:040BB3004E050513D3 +:040BB40002F6C6B3CC +:040BB5003550106F38 +:040BB600663786AA6E +:040BB700655D000177 +:040BB800108606138A +:040BB900051345C516 +:040BBA00106F4E0565 +:040BBB00069333F07A +:040BBC0006B3271045 +:040BBD00079302D5C3 +:040BBE006637064050 +:040BBF00655D00016F +:040BC0001286061380 +:040BC100051345C50E +:040BC200C6B34E0563 +:040BC300106F02F6B7 +:040BC400069331B0B3 +:040BC50005052710EB +:040BC60002D505331C +:040BC700064006934B +:040BC800000166378B +:040BC9001286061377 +:040BCA0046B345C524 +:040BCB00655D02D58D +:040BCC004E050513BA +:040BCD002F50106F26 +:040BCE0001851693F4 +:040BCF00663786E11E +:040BD000655D00015E +:040BD100061316D120 +:040BD20045C50B46C4 +:040BD3004E050513B3 +:040BD4002D90106FE1 +:040BD500053345E9B6 +:040BD60065DD02B522 +:040BD7005DC58593E0 +:040BD80095AA46454F +:040BD9000513655D3E +:040BDA00106F4E0545 +:040BDB0017933AF042 +:040BDC006559002532 +:040BDD000FC5051328 +:040BDE00410C953EF3 +:040BDF004645655DC5 +:040BE0004E050513A6 +:040BE1003950106F08 +:040BE2000F6347A9AD +:040BE300179300F56F +:040BE400655D002526 +:040BE500CB450513E4 +:040BE600410C953EEB +:040BE7004645655DBD +:040BE8004E0505139E +:040BE9003750106F02 +:040BEA00000165B7EA +:040BEB000E8585935B +:040BEC0067DDB7F515 +:040BED005D07A703F6 +:040BEE00879367DDA5 +:040BEF0046AD5907AF +:040BF000D58346451E +:040BF10094630167A1 +:040BF200F69306E58B +:040BF300E1190FF6FF +:040BF40028070B6360 +:040BF500C64E1101D6 +:040BF600C80369DDEA +:040BF700C84A469909 +:040BF8000793695D99 +:040BF90015132B891C +:040BFA0097AA00387E +:040BFB00CC22CE0634 +:040BFC00A883CA26DA +:040BFD00C7830007A3 +:040BFE004751004714 +:040BFF000048A60301 +:040C000002E787334D +:040C0100FF56859382 +:040C02000FF5F59362 +:040C03008993431579 +:040C040009134699F1 +:040C050097322B896E +:040C0600004746035A +:040C070002B3686369 +:040C0800058A63599D +:040C09000743031387 +:040C0A00418C959AEA +:040C0B000685858253 +:040C0C0098E30789D9 +:040C0D004681F8C65E +:040C0E00E399BF594E +:040C0F000008C7838F +:040C1000F79317FD42 +:040C1100954A0FF7FA +:040C120000F50223C4 +:040C130044814401D3 +:040C1400C703A099D9 +:040C15000785000847 +:040C1600E7B3954A61 +:040C1700B7ED02E74C +:040C18000008066367 +:040C19008023187D9F +:040C1A00B7CD010948 +:040C1B0040F24462FD +:040C1C00494244D233 +:040C1D0067DD49B294 +:040C1E005C078CA340 +:040C1F00E06F61051C +:040C2000478D8C4F21 +:040C210004F60D6365 +:040C220011E3479102 +:040C2300471CFCF678 +:040C240097824485EA +:040C2500C783842AD3 +:040C2600655D0009FF +:040C2700078E4645A9 +:040C28004783993E27 +:040C290029830049D2 +:040C2A004951000923 +:040C2B0003278933DF +:040C2C000049A78351 +:040C2D004A0505135C +:040C2E00438C97CA92 +:040C2F0025D010EFCD +:040C30000049A7834D +:040C310097CA469187 +:040C32000047C703AD +:040C330012E6EA6378 +:040C3400070A66D96C +:040C350008C68693D4 +:040C36004318973692 +:040C37004B1C8702C9 +:040C38009782C3914B +:040C39000009C78364 +:040C3A008593485105 +:040C3B00078E001709 +:040C3C0000F9073381 +:040C3D004703431412 +:040C3E0042D0004759 +:040C3F00030707336D +:040C40000035969352 +:040C410000D905339E +:040C4200000528037E +:040C43004718973285 +:040C440000E804635D +:040C45000005022381 +:040C4600C78397CAFF +:040C470047510047CA +:040C480087B396CA0E +:040C4900802302E71B +:040C4A00963E00B919 +:040C4B00C29C461CE5 +:040C4C004791BF31DC +:040C4D00F0C7ECE31D +:040C4E00879367D948 +:040C4F00060A0A0780 +:040C5000421C963E6E +:040C5100470C878243 +:040C52004503483DD1 +:040C5300460300C78D +:040C5400C78300D77B +:040C5500470300054C +:040C56009D6300E7B3 +:040C570078630106B7 +:040C580017FD00F68E +:040C59000FF7F71387 +:040C5A0000E580230E +:040C5B00FD6DB5C5B1 +:040C5C00BFDD87323F +:040C5D0000E7F86351 +:040C5E00F6130785FD +:040C5F0080230FF7E8 +:040C6000B5E900C52D +:040C6100863AFD6D65 +:040C62004710BFDD9B +:040C6300578345BDB1 +:040C64009C63000687 +:040C6500570300B67B +:040C6600756300C7EB +:040C670017FD00F77E +:040C680083C107C27B +:040C690000F610235E +:040C6A005703B55522 +:040C6B00FBE300E7C0 +:040C6C000785FEE713 +:040C6D004750B7F540 +:040C6E00421845BD26 +:040C6F0000074783B0 +:040C700000B69963CE +:040C710017FDC78123 +:040C72000FF7F793EE +:040C730000F7002363 +:040C74004683BDB541 +:040C7500F5630046DD +:040C7600078500D717 +:040C77000FF7F693EA +:040C780000D700237E +:040C79004798B5A53E +:040C7A00655D4B9CCD +:040C7B000007470324 +:040C7C0005134645D1 +:040C7D00070A4E050F +:040C7E00438C97BA52 +:040C7F0011D010EF91 +:040C800040F2446298 +:040C8100494244D2CE +:040C8200610549B20D +:040C8300F27FD06FBD +:040C84004B9C4798A6 +:040C8500000745031C +:040C8600B7DD9782BD +:040C87004B9C4798A3 +:040C88000007550309 +:040C8900BFE99782A6 +:040C8A00802367DD7F +:040C8B00BFC94E0788 +:040C8C00C005C49546 +:040C8D00000166B745 +:040C8E000C468693F7 +:040C8F0000016637C3 +:040C90000613655D85 +:040C910045C50CC683 +:040C92004E050513F3 +:040C93007DC010EF21 +:040C940066B7BF453B +:040C95008693000141 +:040C9600B7CD0BC605 +:040C9700D7E947DC76 +:040C9800479C43989A +:040C99008082BF4551 +:040C9A00EF6347BD00 +:040C9B0057B716A78A +:040C9C00712D445220 +:040C9D0035578793AD +:040C9E0057B7C03E46 +:040C9F0087930041F6 +:040CA000C23E4417F5 +:040CA1008793679539 +:040CA20014232007F0 +:040CA300379300F192 +:040CA400242300A560 +:040CA50005231141D1 +:040CA6000A1300F13C +:040CA7002E230105F2 +:040CA8002C231011D8 +:040CA9002A23108169 +:040CAA00282310915A +:040CAB0026231121CA +:040CAC0022231131BD +:040CAD00202311519E +:040CAE0047A51161E4 +:040CAF00F9630A22B9 +:040CB00065DD08A74F +:040CB1000440079361 +:040CB20085934629B7 +:040CB30005134D05D3 +:040CB40005A300D1C3 +:040CB500062300F121 +:040CB60010EF00013A +:040CB70067DD67602E +:040CB80049E7C783BE +:040CB900061365DDDC +:040CBA000C230360A4 +:040CBB0067DD00F100 +:040CBC0049C7C783DA +:040CBD0059058593BD +:040CBE0001B1051368 +:040CBF0000F10CA391 +:040CC000C78367DDA2 +:040CC1000BA34F270B +:040CC20067DD00F1F9 +:040CC3004DA7C783EF +:040CC40000F10D230B +:040CC50063C010EF09 +:040CC60005938652BA +:040CC700850A051085 +:040CC800CFDFD0EFBB +:040CC90000A035331F +:040CCA0040A005330E +:040CCB0011C12083B0 +:040CCC00118124036B +:040CCD00114124832A +:040CCE0011012903E4 +:040CCF0010C12983A4 +:040CD00010812A0362 +:040CD10010412A8321 +:040CD20010012B03DF +:040CD30080826115A5 +:040CD400031007936F +:040CD50000F105A382 +:040CD60057C965DDB8 +:040CD70000F106A37F +:040CD80003100613EC +:040CD900859347892F +:040CDA00051355C5E4 +:040CDB00072300F1FA +:040CDC006ADD00F1DC +:040CDD0000010623E9 +:040CDE005D8010EF36 +:040CDF005DCA8593D2 +:040CE0000C000613EB +:040CE10010EF008888 +:040CE20086525CA03A +:040CE3001000059365 +:040CE400D0EF850ABE +:040CE5004905C8BF36 +:040CE6000C00099362 +:040CE70023200413AF +:040CE80010000B13DA +:040CE9005DCA8A93C3 +:040CEA00746384A209 +:040CEB000493008BE3 +:040CEC0004C210002E +:040CED0085B380C18A +:040CEE008626013A1B +:040CEF008C05850AE1 +:040CF000590010EFA8 +:040CF100044299A67A +:040CF200014906337B +:040CF300850A85A643 +:040CF400090509C223 +:040CF500D0EF80417B +:040CF600D993C47F4B +:040CF7007913010963 +:040CF800F0790FF987 +:040CF900B799450161 +:040CFA008082557D22 +:040CFB0026237169D2 +:040CFC00242312118A +:040CFD00222312811B +:040CFE00202312910C +:040CFF002E2313216C +:040D00002C2311315E +:040D01002A2311414F +:040D02002823115140 +:040D03002623116131 +:040D04002423117122 +:040D050047BD118154 +:040D060002A7FA63E3 +:040D0700208359FDEF +:040D0800240312C1ED +:040D0900854E128180 +:040D0A0012412483EB +:040D0B0012012903A5 +:040D0C0011C1298365 +:040D0D0011812A0323 +:040D0E0011412A83E2 +:040D0F0011012B03A0 +:040D100010C12B8360 +:040D110010812C031E +:040D12008082615525 +:040D1300010504933F +:040D1400860A04C285 +:040D15001000059332 +:040D1600D0EF85266F +:040D1700FD5DB7BF08 +:040D1800000165B7BA +:040D19007E0585933B +:040D1A00D0EF850A87 +:040D1B0089AA99EF19 +:040D1C0014051B633C +:040D1D000081478387 +:040D1E0014079963BA +:040D1F0000914703F5 +:040D20000520079310 +:040D210014F713634D +:040D220000A1478362 +:040D23004705CFC9E8 +:040D2400F8E797E372 +:040D25004703493205 +:040D2600779300B10E +:040D270007A20FF917 +:040D280007138FD945 +:040D29009CE3031034 +:040D2A005913F6E77C +:040D2B0009420089F0 +:040D2C00010959134D +:040D2D002F200793D9 +:040D2E00F6F913E3DC +:040D2F000613655DE5 +:040D30000593031014 +:040D3100051300F1B5 +:040D32006ADD55C55C +:040D3300484010EF35 +:040D340004134A0159 +:040D35000B93040018 +:040D36008A9310008C +:040D37000C135DCA72 +:040D38000B130F206A +:040D390086331000ED +:040D3A000533408BB2 +:040D3B0005B3015AA1 +:040D3C00849300811B +:040D3D000F6310042C +:040D3E0004330B89E6 +:040D3F0010EF408BE6 +:040D40009452452064 +:040D4100F009091399 +:040D420001041A137B +:040D4300860A0942D1 +:040D44001000059303 +:040D45005A13852692 +:040D46005913010A32 +:040D4700D0EF0109DF +:040D48004401AB7F38 +:040D49004783B7C95C +:040D4A00470300C19A +:040D4B0007A200B14A +:040D4C0007138FD921 +:040D4D0094E30440E7 +:040D4E0066DDEEE789 +:040D4F004781870A47 +:040D5000869345251C +:040D510045A94D065D +:040D520000D746037D +:040D530000C566630E +:040D540000D7883309 +:040D550000C80023AF +:040D56000705078501 +:040D5700FEB796E36A +:040D5800019147833B +:040D59000E23675DA1 +:040D5A00472548F7EA +:040D5B0000F76663D4 +:040D5C000F23675D9D +:040D5D00A02948F78A +:040D5E000181478345 +:040D5F00FEF77AE33E +:040D600001714703D3 +:040D6100655D67DD88 +:040D62004EE78923AC +:040D6300C70367DD7E +:040D640067DD4D07F3 +:040D6500036006130E +:040D66004EE788A329 +:040D670001A147039C +:040D6800059367DDAB +:040D6900051301B1BC +:040D6A008D23590577 +:040D6B0010EF4CE752 +:040D6C00B5B53A20BF +:040D6D000F2006133A +:040D6E00398010EFC9 +:040D6F00470567DDF0 +:040D700054E78AA317 +:040D71004985BDA94A +:040D72004989BD9955 +:040D73006785BD894A +:040D740080278793BA +:040D750002F507B3C9 +:040D76000002273719 +:040D77001107071346 +:040D780067218FF967 +:040D79000207071353 +:040D7A0002E5053356 +:040D7B0000088737AE +:040D7C00440707130E +:040D7D008D5D8D7982 +:040D7E00879367C12F +:040D7F000533101711 +:040D8000814102F5B6 +:040D81000FF57513E2 +:040D82005713808201 +:040D8300179301853C +:040D84008FD901857D +:040D850000FF06B7AE +:040D860000851713BA +:040D87008FD98F75FC +:040D880007136741A5 +:040D89008121F007CD +:040D8A008D5D8D7975 +:040D8B00C609808293 +:040D8C00000217B793 +:040D8D000007A02398 +:040D8E00F693470190 +:040D8F001637FFC54F +:040D900007B30002A3 +:040D9100646300E5B2 +:040D9200898D02D76E +:040D93009463470D11 +:040D9400D68302E51B +:040D95001737000705 +:040D96001223000222 +:040D9700C78300D737 +:040D9800022300270B +:040D990017B700F791 +:040D9A004B88000280 +:040D9B00439C808273 +:040D9C00C25C07111D +:040D9D004709B7F952 +:040D9E0000E5996370 +:040D9F000007D7036F +:040DA000000217B77F +:040DA10000E79223B2 +:040DA2004705BFF949 +:040DA300FCE59DE3EB +:040DA4000007C7037A +:040DA500000217B77A +:040DA60000E78223BD +:040DA7001141B7E956 +:040DA8001437C42216 +:040DA900C22600025C +:040DAA0084AA4601D0 +:040DAB0004A0059308 +:040DAC000404051323 +:040DAD00C0EFC606C7 +:040DAE0085A6E4FF33 +:040DAF0005134601E1 +:040DB000C0EF040488 +:040DB1000593E7DFE0 +:040DB200051304A081 +:040DB30046050404E9 +:040DB400E35FC0EF4A +:040DB500040405131A +:040DB60040B24422E1 +:040DB7004585449298 +:040DB800C06F0141C6 +:040DB9001141E43FC1 +:040DBA001437C42204 +:040DBB00C22600024A +:040DBC0084AEC04AF7 +:040DBD004601892A38 +:040DBE0004A00593F5 +:040DBF000404051310 +:040DC000C0EFC606B4 +:040DC10085CAE03FC0 +:040DC20005134601CE +:040DC300C0EF040475 +:040DC4000513E31F11 +:040DC50044220404BC +:040DC600490240B2EC +:040DC700449285A627 +:040DC800014146059A +:040DC900E1BFC06F57 +:040DCA00C4221141ED +:040DCB001433440594 +:040DCC00741300A4F8 +:040DCD0085A20FF4F8 +:040DCE00C6064519F7 +:040DCF00FABFF0EF88 +:040DD000442285A292 +:040DD100451D40B2CA +:040DD200F06F01417C +:040DD3001141F9DFF2 +:040DD400C42205062A +:040DD5000FF574138F +:040DD600450585A2A8 +:040DD700F0EFC6066D +:040DD80085A2F89F59 +:040DD90040B24422BE +:040DDA000141450985 +:040DDB00F7BFF06FFF +:040DDC004515114167 +:040DDD00F0EFC60667 +:040DDE000713F29F66 +:040DDF0047810860E0 +:040DE00004E51363B0 +:040DE100090005936D +:040DE20002000513F3 +:040DE300F5BFF0EF79 +:040DE400051345812D +:040DE500F0EF021019 +:040DE6004581F51F2F +:040DE70002200513CE +:040DE800F47FF0EFB5 +:040DE9000513458D1C +:040DEA00F0EF0230F4 +:040DEB004581F3DF6C +:040DEC000280051369 +:040DED00F33FF0EFF1 +:040DEE000300059366 +:040DEF0007100513D1 +:040DF000F27FF0EFAF +:040DF10040B2478540 +:040DF2000141853EF8 +:040DF30011018082E8 +:040DF40000A107A3B0 +:040DF50000021537AC +:040DF6004605CC22C0 +:040DF7000513842E2E +:040DF8000593040556 +:040DF900CE0600F131 +:040DFA00DA1FC0EF4D +:040DFB00C0EF85229E +:040DFC0040F2931F0F +:040DFD0061054462E6 +:040DFE00114180829D +:040DFF00645DC42249 +:040E00004C84578344 +:040E0100C226C60639 +:040E0200F9F7F79372 +:040E030083C107C2DE +:040E04004CF4142373 +:040E0500000214B71C +:040E06000EF4A02323 +:040E0700C0EF4551A2 +:040E080045D1901F21 +:040E0900038005134A +:040E0A00FA7FF0EF8C +:040E0B00051345D1B5 +:040E0C00F0EF039070 +:040E0D0045D1F9DFF3 +:040E0E00F0EF45516B +:040E0F0045D1F95F71 +:040E100007100513AF +:040E1100F8BFF0EF47 +:040E1200051345D1AE +:040E1300F0EF05E017 +:040E140045D1F81FAD +:040E150006D00513EB +:040E1600F77FF0EF83 +:040E1700453145D14B +:040E1800F6FFF0EF02 +:040E1900320005930B +:040E1A00F0EF4505AB +:040E1B0045D1F65F68 +:040E1C00F0EF451995 +:040E1D000593F5DF65 +:040E1E004509320050 +:040E1F00F53FF0EFBC +:040E20004C84578324 +:040E2100E79340B261 +:040E2200142304078A +:040E230044224CF425 +:040E24000EF4A02305 +:040E250001414492B1 +:040E260011018082B4 +:040E2700645DCC2218 +:040E28004C8457831C +:040E2900CA26CE0601 +:040E2A00F9F7F7934A +:040E2B0083C107C2B6 +:040E2C00C64EC84A9C +:040E2D001423C45274 +:040E2E0014B74CF4B5 +:040E2F008A2A000209 +:040E30000EF4A023F9 +:040E3100892E4505BC +:040E320032000593F2 +:040E3300F03FF0EFAD +:040E34004C84578310 +:040E3500855245C1DC +:040E36000207E79335 +:040E37004CF4142340 +:040E38000EF4A023F1 +:040E390026C010EFD0 +:040E3A000FF57993A4 +:040E3B0000099B63AC +:040E3C00000175B785 +:040E3D00859346450E +:040E3E008552B485A0 +:040E3F0021C010EFCF +:040E4000448149851B +:040E4100009A07B359 +:040E42000007C503DD +:040E4300048545D10C +:040E4400EBFFF0EFE1 +:040E45000FF4F7931C +:040E4600FF37E6E3A9 +:040E47004C845783FD +:040E4800000214B7D9 +:040E4900F79345D105 +:040E4A0007C2FDF7E7 +:040E4B00142383C128 +:040E4C00A0234CF49F +:040E4D0005130EF487 +:040E4E00F0EF0C00B5 +:040E4F005783E95F7D +:040E500045C14C84C8 +:040E5100E793854A54 +:040E5200142302075C +:040E5300A0234CF498 +:040E540010EF0EF499 +:040E550079931FE08E +:040E56009B630FF596 +:040E570075B7000962 +:040E5800464500010A +:040E5900B485859344 +:040E5A0010EF854AC6 +:040E5B0049851AE0CB +:040E5C0007B3448113 +:040E5D00C503009930 +:040E5E0045D1000773 +:040E5F00F0EF048527 +:040E6000F793E51F00 +:040E6100E6E30FF4C1 +:040E62005783FF377C +:040E630040F24C8489 +:040E6400000217373A +:040E65000407E79304 +:040E66004CF4142311 +:040E6700202344629E +:040E680044D20EF76B +:040E690049B24942FF +:040E6A0061054A22B2 +:040E6B00114180822F +:040E6C001437C42251 +:040E6D00C226000297 +:040E6E0084AA46010B +:040E6F0002C0059325 +:040E7000040405135E +:040E7100C0EFC60602 +:040E720085A6B3FF9F +:040E73000513460518 +:040E7400C0EF0404C3 +:040E75000593B6DF4C +:040E7600051302C09E +:040E77004605040424 +:040E7800B25FC0EFB6 +:040E79000404051355 +:040E7A0040B244221C +:040E7B0045854492D3 +:040E7C00C06F014101 +:040E7D001141B33F2D +:040E7E001437C4223F +:040E7F00C226000285 +:040E800084AEC04A32 +:040E81004601892A73 +:040E820002C0059312 +:040E8300040405134B +:040E8400C0EFC606EF +:040E850085CAAF3F2C +:040E86000513460109 +:040E8700C0EF0404B0 +:040E88000513B21F7D +:040E890044220404F7 +:040E8A00490240B227 +:040E8B00449285A662 +:040E8C0001414605D5 +:040E8D00B0BFC06FC3 +:040E8E000002153712 +:040E8F0046011141C6 +:040E90000513458180 +:040E9100C606040588 +:040E9200AF7FC0EF7F +:040E9300C0EF45293E +:040E940045E1ED0F38 +:040E9500F0EF450530 +:040E960045E1FA1F19 +:040E9700F0EF45092A +:040E980045E1F99F98 +:040E9900F0EF450D24 +:040E9A004505F91FF2 +:040E9B00F43FF0EF41 +:040E9C00152140B22A +:040E9D0000153513F4 +:040E9E00808201410C +:040E9F00C422114117 +:040EA0004505842A56 +:040EA100F0EFC606A2 +:040EA200991DF29F05 +:040EA3008C49040E64 +:040EA4000FF47413C0 +:040EA500450585A2D8 +:040EA600F5FFF0EF75 +:040EA700450985A2D2 +:040EA800F57FF0EFF3 +:040EA900442285A2B8 +:040EAA00450D40B200 +:040EAB00F06F0141A2 +:040EAC001141F49F5D +:040EAD00842AC422AD +:040EAE00C60645052A +:040EAF00EF3FF0EF32 +:040EB00075134789E6 +:040EB10013630D8535 +:040EB200641302F4CF +:040EB30085A20015FF +:040EB400F0EF450511 +:040EB50085A2F25FC1 +:040EB600F0EF45090B +:040EB70085A2F1DF40 +:040EB80040B24422DE +:040EB9000141450DA1 +:040EBA00F0FFF06FE6 +:040EBB008C49041644 +:040EBC000FF47413A8 +:040EBD000044641376 +:040EBE001141BFD946 +:040EBF001437C422FE +:040EC000C226000244 +:040EC10084AA4601B8 +:040EC20005C00593CF +:040EC300040405130B +:040EC400C0EFC606AF +:040EC500F5939F3FC3 +:040EC60046050FF4DA +:040EC7000404051307 +:040EC800A1FFC0EFD7 +:040EC90005C00593C8 +:040ECA000404051304 +:040ECB00C0EF460529 +:040ECC0005139D7FEE +:040ECD0044220404B3 +:040ECE00449240B258 +:040ECF000141458513 +:040ED0009E5FC06FF2 +:040ED100C4221141E5 +:040ED20000021437CF +:040ED300C04AC22629 +:040ED400892A84AE35 +:040ED500059346013A +:040ED600051305C03B +:040ED700C606040443 +:040ED8009A5FC0EF6E +:040ED9000FF9759305 +:040EDA0005134601B5 +:040EDB00C0EF04045C +:040EDC0005139D1F3E +:040EDD0044220404A3 +:040EDE00490240B2D3 +:040EDF00449285A60E +:040EE0000141460581 +:040EE1009BBFC06F84 +:040EE20005131141A2 +:040EE300C60602201D +:040EE400C226C4223C +:040EE500F67FF0EFB5 +:040EE6000FF574137D +:040EE700455945CD57 +:040EE800FA5FF0EFCE +:040EE9008513648980 +:040EEA00C0EF7104E0 +:040EEB00458DD74F0B +:040EEC00F0EF455D81 +:040EED008513F93F31 +:040EEE00C0EF7104DC +:040EEF006593D64FE2 +:040EF00005130804DA +:040EF100F0EF0220FC +:040EF2000513F7FFEE +:040EF300C0EF3E808E +:040EF4007593D50F0E +:040EF500442207F498 +:040EF600449240B230 +:040EF70002200513BD +:040EF800F06F014155 +:040EF9001141F63F6E +:040EFA006409C422A1 +:040EFB007104051366 +:040EFC00C0EFC60677 +:040EFD0045C5D2CF46 +:040EFE00F0EF455973 +:040EFF000513F4BF24 +:040F0000C0EF7104C9 +:040F0100455DD1CFAA +:040F0200F0EF45893E +:040F03000513F3BF20 +:040F0400442271040E +:040F0500014140B2B4 +:040F0600D06FC06F79 +:040F0700C4221141AE +:040F080085AA842E04 +:040F0900C60645498A +:040F0A00F1DFF0EF34 +:040F0B00442285A255 +:040F0C00454D40B25D +:040F0D00F06F01413F +:040F0E0085AAF0FFC1 +:040F0F0003D00513F3 +:040F1000F05FF06F2F +:040F1100454585AA23 +:040F1200EFDFF06FAE +:040F1300C6061141BC +:040F14004783C42229 +:040F1500842A0065C5 +:040F16009593456DFD +:040F17008DDD004725 +:040F18000FF5F59349 +:040F1900EE1FF0EFE8 +:040F1A0000644583A7 +:040F1B00F0EF45713D +:040F1C004583ED7F9D +:040F1D00452900342E +:040F1E00ECDFF0EF25 +:040F1F0000444583C2 +:040F2000F0EF452584 +:040F21004583EC3FD9 +:040F22004521005411 +:040F2300EB9FF0EF61 +:040F240000044583FD +:040F2500F0EF45356F +:040F26004583EAFF16 +:040F2700453100143C +:040F2800EA5FF0EF9D +:040F290000244583D8 +:040F2A0040B244226B +:040F2B000141452D0E +:040F2C00E95FF06F1A +:040F2D00CC221101C0 +:040F2E004511842ABB +:040F2F00C632CA26D6 +:040F3000CE0684AEB7 +:040F3100E37FF0EF7B +:040F3200759346323B +:040F3300CE4D0F850B +:040F34007FF00793B0 +:040F35000A87EA63DA +:040F3600E5934511E9 +:040F3700F0EF0015C2 +:040F38001513E67F28 +:040F39001413001479 +:040F3A0080410105EC +:040F3B000044559386 +:040F3C000FF5F59325 +:040F3D00F0EF450587 +:040F3E001593E4FF24 +:040F3F00F5930044E2 +:040F400045090F054B +:040F4100E41FF0EFCA +:040F4200019C05B752 +:040F4300CC058593C1 +:040F44000295D5B38A +:040F450002255737F3 +:040F46000FF7071387 +:040F470085B34781A6 +:040F4800706302854B +:040F4900273702B78D +:040F4A000713042C59 +:040F4B004785D7F708 +:040F4C0000B779630E +:040F4D00080BF7B7DF +:040F4E00FBF7879393 +:040F4F0000B7B7B37D +:040F5000675D078949 +:040F51004507071336 +:040F52004503973E7E +:040F53000713000779 +:040F540005330280DF +:040F5500571302E547 +:040F5600953A0014B4 +:040F57000285453397 +:040F58007513471DA9 +:040F590075930FF588 +:040F5A0073630FF5B9 +:040F5B00459D00A709 +:040F5C0040F24462B9 +:040F5D00058E44D2E7 +:040F5E008DDD079A84 +:040F5F000F85F59372 +:040F60006105450DD5 +:040F6100DC1FF06F32 +:040F6200F0EF451156 +:040F6300BFB9DBBF78 +:040F6400C422114151 +:040F65004569842A2C +:040F6600F0EFC606DC +:040F67004785D61FC5 +:040F68000FA57593C9 +:040F690000F41A6313 +:040F6A000025E593E6 +:040F6B0040B244222A +:040F6C000141456991 +:040F6D00D91FF06F29 +:040F6E0000A5E59362 +:040F6F005583BFC522 +:040F7000114100A586 +:040F710081A1C42274 +:040F72000513842AB5 +:040F7300C60604B0FA +:040F7400D75FF0EF64 +:040F750000A445830C +:040F760004A00513BB +:040F7700D69FF0EF22 +:040F780000C45583D9 +:040F790004D0051388 +:040F7A00F0EF81A172 +:040F7B004583D5BF16 +:040F7C00051300C495 +:040F7D00F0EF04C0CD +:040F7E005583D4FFC4 +:040F7F00051300E472 +:040F800081A104F057 +:040F8100D41FF0EF9A +:040F820000E44583BF +:040F830004E005136E +:040F8400D35FF0EF58 +:040F8500004455834C +:040F8600051005133A +:040F8700F0EF81A165 +:040F88004583D27F4C +:040F89000513004408 +:040F8A00F0EF05007F +:040F8B005583D1BFFA +:040F8C0005130064E5 +:040F8D0081A1053009 +:040F8E00D0DFF0EFD1 +:040F8F000064458332 +:040F90000520051320 +:040F9100D01FF0EF8E +:040F920000845583FF +:040F930005500513ED +:040F9400F0EF81A158 +:040F95004583CF3F82 +:040F960005130084BB +:040F9700F0EF054032 +:040F98005583CE7F30 +:040F99000513010437 +:040F9A0081A10570BC +:040F9B00CD9FF0EF07 +:040F9C000104458384 +:040F9D0005600513D3 +:040F9E00CCDFF0EFC5 +:040F9F000124558351 +:040FA00005900513A0 +:040FA100F0EF81A14B +:040FA2004583CBFFB9 +:040FA300051301240D +:040FA400F0EF0580E5 +:040FA5005583CB3F66 +:040FA60005130144EA +:040FA70081A105B06F +:040FA800CA5FF0EF3D +:040FA9000144458337 +:040FAA0040B24422EB +:040FAB0005A0051385 +:040FAC00F06F0141A0 +:040FAD001141C93FE6 +:040FAE00842AC422AB +:040FAF0003F0051333 +:040FB000F0EFC60692 +:040FB1007593C39FD2 +:040FB2008DC10F05D9 +:040FB30040B24422E2 +:040FB40003F005132E +:040FB500F06F014197 +:040FB6001141C6FF20 +:040FB700842AC422A2 +:040FB800C6064569BB +:040FB900C17FF0EF15 +:040FBA000064159327 +:040FBB007513442244 +:040FBC0040B203F547 +:040FBD00F5938DC952 +:040FBE0045690FF57D +:040FBF00F06F01418D +:040FC0001101C47FD8 +:040FC100461D65DD87 +:040FC200B805859356 +:040FC300CE0600282E +:040FC400241000EF06 +:040FC500C75FF0EF23 +:040FC600F0EF450102 +:040FC7004585E77FF6 +:040FC80003500513BA +:040FC900C21FF0EF64 +:040FCA00051365594D +:040FCB00F0EF7E8540 +:040FCC004501E91FD3 +:040FCD00FA7FF0EFC8 +:040FCE00F0EF4519E2 +:040FCF000513D01F17 +:040FD000F0EF0440FA +:040FD1004581D03F47 +:040FD200F0EF4505F2 +:040FD3000028CD3FE6 +:040FD400CFDFF0EF8C +:040FD500610540F280 +:040FD6001101808203 +:040FD700842ACC227A +:040FD800CE064511EB +:040FD900F0EFC62E41 +:040FDA0045B2B95F04 +:040FDB0002B4043325 +:040FDC000075759394 +:040FDD0077934511B0 +:040FDE00078E01F485 +:040FDF00F0EF8DDDC5 +:040FE0005513BC7F6A +:040FE10040F2405446 +:040FE20075134462DD +:040FE30061050FF5A0 +:040FE40011418082B5 +:040FE500842AC42274 +:040FE600C6064541B5 +:040FE700B5FFF0EF73 +:040FE8000034159329 +:040FE900891D4422F8 +:040FEA008DC940B2BB +:040FEB000FF5F59376 +:040FEC000141454139 +:040FED00B91FF06FC9 +:040FEE001141C139B3 +:040FEF000513C226FE +:040FF00084AE026069 +:040FF100080005935C +:040FF200C606C42249 +:040FF300F0EF843265 +:040FF4004785B77FF7 +:040FF50000940593CC +:040FF60000F4896317 +:040FF700059347A176 +:040FF800846305A465 +:040FF900059300F468 +:040FFA004422018408 +:040FFB00449240B22A +:040FFC000FF5F59365 +:040FFD0003100513C5 +:040FFE00F06F01414E +:040FFF004581B4BFB5 +:041000000260051372 +:041001001101BFDD3D +:04100200CA26CC220C +:04100300C64EC84AC3 +:04100400CE06C452FE +:04100500842A47856D +:0410060089B2892EF4 +:0410070084BA8A36E7 +:0410080000F50E637E +:04100900006347A198 +:04100A00059306F54F +:04100B00F5930067F2 +:04100C0045150FF582 +:04100D00B11FF0EF30 +:04100E00A80945C127 +:04100F00002705931E +:041010000FF5F59350 +:04101100F0EF4515A2 +:041012004599AFFF4E +:04101300F0EF45199C +:0410140085A2AF7F83 +:0410150045058626E1 +:04101600F61FF0EFE2 +:0410170045B147C1D7 +:0410180000F403637A +:04101900051345A1D5 +:04101A00F0EF0220D1 +:04101B004462ADBFBF +:04101C0044D240F288 +:04101D0085CE8652A4 +:04101E0049B24A2267 +:04101F004942854A73 +:04102000F06F610507 +:041021000593C33F31 +:04102200F593032718 +:0410230045150FF56B +:04102400AB5FF0EFDF +:04102500020005932D +:041026001141BF5560 +:04102700FFE58793C7 +:04102800C226C422F6 +:04102900F793C6066D +:04102A0047050FF770 +:04102B00842E84AAE1 +:04102C0006F76963F7 +:04102D0047814709A7 +:04102E0000E5136363 +:04102F009713478943 +:041030009593002470 +:041031008DD9004411 +:041032008DC5079AC7 +:04103300F5938DDDC7 +:0410340045650FF50A +:04103500A71FF0EF12 +:04103600F0EF454151 +:04103700478DA21F20 +:041038000F85759318 +:0410390000F4146348 +:04103A000055E593E5 +:04103B00F0EF45414C +:04103C004789A57FBC +:04103D0002F49D63B9 +:04103E00EA63478595 +:04103F00059302878C +:041040000463052020 +:04104100059300F41F +:0410420045390530F7 +:04104300A39FF0EF88 +:041044003E800513D2 +:0410450080AFC0EFC9 +:04104600F0EF455131 +:0410470045819E1F22 +:041048004789A03DF7 +:0410490037B3FD4973 +:04104A00078500B066 +:04104B000593BF4901 +:04104C00453905B06D +:04104D00A11FF0EF00 +:04104E003E800513C8 +:04104F00FE3FB0EFC1 +:04105000F0EF455127 +:04105100478D9B9F8D +:041052001AE345C197 +:041053004561FCF403 +:041054009F5FF0EFBB +:0410550040B244223F +:0410560045814492FA +:04105700036005131A +:04105800F06F0141F3 +:0410590011019E3FA4 +:04105A00842ACC22F6 +:04105B00C62E455107 +:04105C00F0EFCE06DD +:04105D004709989F08 +:04105E000FF5779380 +:04105F00156345B21E +:04106000470502E45A +:0410610000E59C63A7 +:0410620009057513F4 +:04106300F705051375 +:04106400001535132B +:04106500446240F2AF +:04106600808261051E +:041067007513E59187 +:0410680005130885DF +:04106900B7EDF78563 +:04106A000017D51383 +:04106B00B7DD89055F +:04106C0047917159DE +:04106D0000F11E234D +:04106E00871367DDA0 +:04106F00D6A24F476F +:04107000D2CAD4A666 +:04107100CED2D0CE3D +:04107200CADACCD634 +:04107300C6E2C8DE2B +:04107400C2EAC4E622 +:04107500842AC0EE1B +:0410760001E7450346 +:041077004E034621BD +:04107800163302E742 +:04107900450300A685 +:04107A00661301F701 +:04107B001F230086A9 +:04107C00061300C196 +:04107D001633040022 +:04107E00450300A680 +:04107F001023020731 +:04108000061302C190 +:0410810016334000E2 +:04108200450300A67C +:04108300112302171C +:04108400660902C136 +:0410850000A6163378 +:0410860002C112236E +:0410870001B106139A +:04108800665DD4329B +:041089005176061383 +:04108A00665DD63297 +:04108B005186061371 +:04108C00665DD83293 +:04108D00519606135F +:04108E00665DDA328F +:04108F0051A606134D +:04109000675DDC328A +:041091000613665D7F +:04109200635D51B693 +:041093008187071337 +:0410940004000F370E +:041095000DA3DE3297 +:04109600061300013C +:0410970048815DC36C +:041098004F478793A4 +:041099005DC303131D +:04109A000F93C63AB0 +:04109B00628510005A +:04109C004000049379 +:04109D002000091313 +:04109E00099343C1AE +:04109F000A1304002C +:0410A0000A930800A7 +:0410A1004B110200ED +:0410A2000C134BA13F +:0410A3004C8D20D080 +:0410A4000F134D05D4 +:0410A5009513040F8C +:0410A6004775018801 +:0410A7009463856168 +:0410A800557D00E88A +:0410A9004703A271E6 +:0410AA004E950176E8 +:0410AB00016648038F +:0410AC000EEEE563FC +:0410AD001E934DB28F +:0410AE009DF6002784 +:0410AF00000DAD8300 +:0410B000D07A8D82E3 +:0410B10000D87833B8 +:0410B2000C080963BA +:0410B30004010D9394 +:0410B400AE839EEE7B +:0410B5005803FE8E50 +:0410B600CE8301865E +:0410B7000E86000E93 +:0410B800DE839EEE47 +:0410B9007EB3FDCE37 +:0410BA00886301D86E +:0410BB0048130A0EBE +:0410BC007813FFF8AE +:0410BD0012630018A2 +:0410BE0058030AB811 +:0410BF0008790106A5 +:0410C00008886D63CC +:0410C1009723480524 +:0410C2008823000778 +:0410C3008923010775 +:0410C4009A23000764 +:0410C5008E6301D75E +:0410C600E96319FEC3 +:0410C70084630BDF54 +:0410C800E763167E46 +:0410C900856309D35F +:0410CA0098630F6EAA +:0410CB004685077ED1 +:0410CC0000D78623A0 +:0410CD0003B7C6831C +:0410CE0010069E6307 +:0410CF0086B346E9B5 +:0410D000969A02D812 +:0410D10000E6D6035C +:0410D20057700693BA +:0410D30010C6E463FC +:0410D400FFF7061309 +:0410D5000FF6761389 +:0410D600ED634689F7 +:0410D70086A30EC618 +:0410D800882300D792 +:0410D900156300D7C4 +:0410DA00C7030CD765 +:0410DB00186303177C +:0410DC0047051007AD +:0410DD0000E787237E +:0410DE005D83A86521 +:0410DF0093E3010690 +:0410E0004D83F58DBA +:0410E1009D63FFD636 +:0410E2001563019DF4 +:0410E3007813000E70 +:0410E400BF0D0EF836 +:0410E50017E34D8937 +:0410E6000885F3BEC8 +:0410E700BDE50669F4 +:0410E800000E15637E +:0410E9000FB87813B1 +:0410EA001DE3BF3112 +:0410EB00B7F5F1AEB6 +:0410EC000F3E8163CF +:0410ED000F4E8A63B5 +:0410EE00FF5E91E32D +:0410EF0020100713B3 +:0410F00000E796235C +:0410F10088234719F0 +:0410F200A09D00E7D6 +:0410F3000E5E8B639F +:0410F40001D2EF63D3 +:0410F5000E9E826366 +:0410F600800E8713CE +:0410F7009EE3C3654C +:0410F8000713FB2EB1 +:0410F90096232020FA +:0410FA00471500E7AF +:0410FB006711BFE9D1 +:0410FC000CEE8C6307 +:0410FD008263672182 +:0410FE0067090EEE82 +:0410FF00F8EE9FE385 +:0411000096234711DA +:04110100071300E7E9 +:0411020089230780B6 +:04110300A00D00E754 +:041104000007962327 +:041105000FD7771376 +:041106001B6346859C +:04110700C70300D743 +:04110800C70D0317F5 +:0411090088234709E7 +:04110A00470500E7AE +:04110B0000E787A3CF +:04110C0054A654365B +:04110D005986591690 +:04110E004AE64A76ED +:04110F004BC64B562A +:041110004CA64C3667 +:041111004D864D16A4 +:041112008082616511 +:0411130087234705E2 +:04111400BFE100E750 +:04111500FFE7069357 +:04111600000786A3A5 +:041117000FD6F69366 +:041118004695D68999 +:04111900FCD716E306 +:04111A0088B34769E6 +:04111B00071302E8CC +:04111C0093464AF0BC +:04111D0000E3568312 +:04111E00EED779E3AC +:04111F0086A3BF558F +:041120004709000774 +:041121000713B78970 +:0411220096232010E0 +:04112300471100E789 +:041124004689BF1D1C +:0411250000D7962336 +:041126001BE3468DF4 +:04112700C703F8D72B +:0411280008E30317BE +:04112900BFF1EC071F +:04112A001020071377 +:04112B0000E7962320 +:04112C000713B741AD +:04112D00BFD12020EE +:04112E00BFE9468D42 +:04112F002030071352 +:041130000713B7E901 +:04113100B7052030AE +:04113200204007133F +:0411330000E7962318 +:0411340088234715B0 +:04113500476100E727 +:041136000713BF0DCF +:04113700962320409B +:04113800471900E76C +:0411390000E7882320 +:04113A00B70547515D +:04113B0017931101F4 +:04113C00CC2201853B +:04113D00CE06CA26EA +:04113E00842A87E197 +:04113F00DD6384AE3A +:0411400045810007DE +:04114100077005131B +:0411420001A337D5F9 +:04114300478500A13B +:0411440006A7EE63A9 +:0411450007F4741324 +:041146004591265158 +:041147002E814501AF +:04114800D79326858E +:0411490002A3018478 +:04114A00D79300F146 +:04114B000323010475 +:04114C00D79300F144 +:04114D0003A3008474 +:04114E00022300F187 +:04114F0004230081F4 +:0411500007130091F0 +:0411510007930400FC +:0411520009630950D4 +:04115300071300E49A +:041154004785048047 +:0411550000E414633B +:041156000870079383 +:04115700004845996E +:0411580000F104A3FB +:0411590045152CED1F +:04115A00842A26B508 +:04115B0005134585AE +:04115C002CF500313D +:04115D0000310783D3 +:04115E000007D6634D +:04115F004785264951 +:04116000FEF506E3AF +:041161002E41E0112A +:041162000031450310 +:04116300446240F2B0 +:04116400610544D20B +:0411650071398082DA +:041166004581DA26BF +:04116700051384AA3E +:04116800DE0604900B +:04116900D84ADC2262 +:04116A00F0EFD64E7E +:04116B00C909F43F7B +:04116C0050F24501F7 +:04116D0054D25462A2 +:04116E0059B25942D7 +:04116F0080826121F8 +:041170004515842A73 +:04117100892A2E0198 +:041172000FF00993DE +:041173000513458596 +:041174002C7100B129 +:0411750000B14783FB +:041176000137966344 +:041177004785260D75 +:04117800FEF506E397 +:0411790000091363F3 +:04117A004703263DC4 +:04117B00079300B125 +:04117C001FE30FE07E +:04117D0045C9FAF76F +:04117E002C95006844 +:04117F000044C783DE +:041180000027F7133A +:041181004503CF153E +:04118200478301217D +:0411830047030131EC +:04118400890D01616F +:041185008D5D052255 +:041186000141478359 +:041187004403050A0E +:041188008399011135 +:0411890047838D5DAE +:04118A00831D01516F +:04118B000786883D0E +:04118C008FD98B99D3 +:04118D000505943E82 +:04118E00153314659C +:04118F00BF95008583 +:04119000CB898B91EB +:0411910001414503D0 +:04119200015147833D +:041193008D5D052247 +:04119400B7CD47C5C7 +:041195004501478148 +:041196007179BFF1BB +:04119700D04A65D9FC +:04119800892A462931 +:04119900AD05859388 +:04119A00D226004811 +:04119B00CA56CE4E14 +:04119C00D422D6067D +:04119D00498DCC525A +:04119E0044852CE177 +:04119F000AA00A9305 +:0411A0002C2922C90B +:0411A10045A924B187 +:0411A2002AD1004806 +:0411A300202345813F +:0411A4000513000926 +:0411A500F0EF040063 +:0411A600842AE57F33 +:0411A7000E9514632A +:0411A8001AA00593F1 +:0411A90004800513A6 +:0411AA00E45FF0EF1F +:0411AB00089513632D +:0411AC00850A4591DA +:0411AD0047832A6DDD +:0411AE009563002124 +:0411AF0047830C97CF +:0411B0009163003116 +:0411B10005130D57BE +:0411B20024293E802E +:0411B30019632C0D83 +:0411B40005B70095E6 +:0411B50005134000DE +:0411B600F0EF0E90B8 +:0411B700F57DE13FA2 +:0411B80007632C3964 +:0411B9004401029556 +:0411BA0019FD243DBA +:0411BB000FF9F9939C +:0411BC0008098C632F +:0411BD004785D4513D +:0411BE00008902237F +:0411BF0000F92023F0 +:0411C000F0EF854A7D +:0411C100157DE95F50 +:0411C20000A9242339 +:0411C300A8B5226544 +:0411C4000513458149 +:0411C500F0EF07A0A0 +:0411C600F571DD7F63 +:0411C700850A4591BF +:0411C800478322B97E +:0411C90044310001AC +:0411CA000407F7938C +:0411CB004411FFD5F7 +:0411CC004581BF6535 +:0411CD000E90051368 +:0411CE00DB5FF0EF04 +:0411CF0004100A13EB +:0411D00000A4E5632F +:0411D1000A134409B0 +:0411D20005130E9063 +:0411D30022590FA0EE +:0411D4001763227DFE +:0411D50045810095BB +:0411D600F0EF85525F +:0411D700F96DD93F96 +:0411D800E1112A797E +:0411D9002A4544015E +:0411DA000513458133 +:0411DB00F0EF07B07A +:0411DC00C111D7FF67 +:0411DD000593440131 +:0411DE0005132000D5 +:0411DF00F0EF050028 +:0411E000D525D6FF3C +:0411E100B795440179 +:0411E20028D5F43DDB +:0411E30000143513AC +:0411E400542250B28F +:0411E50059025492C5 +:0411E6004A6249F21E +:0411E70061454AD242 +:0411E800451C8082A0 +:0411E900D422717922 +:0411EA00D226D6062D +:0411EB00CE4ED04ACA +:0411EC00E463440D67 +:0411ED0084BA02C7F7 +:0411EE00C305440DE4 +:0411EF0000454783ED +:0411F000893689AE05 +:0411F100E3918BA15A +:0411F20085B2062696 +:0411F30005100513CB +:0411F400D1DFF0EF68 +:0411F5004409C919C7 +:0411F6008522205DD1 +:0411F700542250B27C +:0411F80059025492B2 +:0411F900614549F211 +:0411FA0005138082D7 +:0411FB0020DD0640AD +:0411FC000FF00413D9 +:0411FD00051345850C +:0411FE00289500F13F +:0411FF0000F1478331 +:04120000008796636A +:04120100478528ED08 +:04120200FEF506E30C +:041203004703222952 +:04120400079300F15B +:0412050010E30FE003 +:041206000413FCF7DA +:04120700043320206C +:041208008C054124EC +:0412090080410442DA +:04120A00000905636F +:04120B00450185CA4A +:04120C0085A6283D4E +:04120D002825854EBD +:04120E00450185A26F +:04120F004401280D61 +:041210002637BF615D +:0412110005B7000617 +:041212001537019CEF +:0412130006130002BC +:041214008593A80610 +:041215000513CC05EC +:04121600B06F0205AE +:04121700862EC81F38 +:04121800153785AA57 +:0412190005130002B7 +:04121A00B06F0205AA +:04121B00862ED1FF4B +:04121C00153785AA53 +:04121D0005130002B3 +:04121E00B06F0205A6 +:04121F008082CE3FBC +:041220005783675D2C +:04122100F7934C876C +:0412220007C2F7F711 +:04122300142383C14C +:0412240017374CF735 +:041225002023000280 +:0412260080820EF7BD +:041227005783675D25 +:04122800E7934C8775 +:04122900142308077B +:04122A0017374CF72F +:04122B00202300027A +:04122C0080820EF7B7 +:04122D000029363727 +:04122E00019C05B763 +:04122F00000215376D +:041230002E0606136D +:04123100CC058593D0 +:041232000205051399 +:04123300C0FFB06FD9 +:04123400F73FF06F21 +:041235002783676143 +:04123600E3858D07B8 +:041237008793679D95 +:04123800053397875C +:04123900114102F568 +:04123A002823C60699 +:04123B00B0EF8CA7DD +:04123C0040B2855FD8 +:04123D000141450125 +:04123E004505808260 +:04123F001141808257 +:04124000B0EFC6063F +:0412410067E1865F7C +:041242008D07A783EA +:04124300353340B24D +:04124400014100F56F +:0412450067E180825B +:041246008C07A82346 +:0412470000008082A1 +:041248000000071388 +:0412490000E6166342 +:04124A000000051388 +:04124B0000008067B8 +:04124C0000E507B3FF +:04124D00001707136C +:04124E0000E586B37E +:04124F000007C7834A +:04125000FFF6C6835C +:04125100FED780E361 +:0412520040D78533C9 +:0412530000008067B0 +:0412540000A5C7B377 +:041255000037F793D4 +:0412560000C5073395 +:041257000007966393 +:0412580000300793C8 +:0412590002C7E26383 +:04125A0000050793F1 +:04125B000AE57C63C1 +:04125C000005C68340 +:04125D00001787935C +:04125E00001585935F +:04125F00FED78FA384 +:04126000FEE7E8E3DA +:0412610000008067A2 +:04126200003576934A +:0412630000050793E8 +:0412640000068E638F +:041265000005C68337 +:041266000017879353 +:041267000015859356 +:04126800FED78FA37B +:041269000037F693C1 +:04126A00FE9FF06F84 +:04126B00FFC77693B0 +:04126C00FE068613E1 +:04126D0006C7F46359 +:04126E000005A38351 +:04126F000045A28311 +:041270000085AF83C3 +:0412710000C5AF0302 +:041272000105AE8341 +:041273000145AE0380 +:041274000185A3034A +:0412750001C5A88384 +:041276000245859315 +:041277000077A02339 +:04127800FFC5A80303 +:041279000057A22355 +:04127A0001F7A423B1 +:04127B0001E7A623BE +:04127C0001D7A823CB +:04127D0001C7AA23D8 +:04127E000067AC2336 +:04127F000117AE2382 +:041280000247879307 +:04128100FF07AE2392 +:04128200FADFF06F30 +:041283000005A603B9 +:041284000047879305 +:041285000045859308 +:04128600FEC7AE23CE +:04128700FED7E8E3C3 +:04128800F4E7E8E3BC +:04128900000080677A +:04128A00F601011355 +:04128B0006912A237B +:04128C0008F12A2318 +:04128D0006112E23F5 +:04128E00000177B72D +:04128F0006812C2385 +:0412900008D1262338 +:0412910008E1282325 +:0412920009012C23FF +:0412930009112E23EC +:041294003FC7A48329 +:041295000205D26319 +:0412960008B0079302 +:0412970000F4A0239C +:04129800FFF005134B +:0412990007C12083E6 +:04129A0007812403A1 +:04129B000741248360 +:04129C000A0101132F +:04129D000000806766 +:04129E002080079312 +:04129F0000F11A231D +:0412A00000A1242362 +:0412A10000A12C2359 +:0412A20000000793AE +:0412A300000584635B +:0412A400FFF5879338 +:0412A50000F1282309 +:0412A60000F12E2302 +:0412A70008C10693E1 +:0412A800FFF00793B9 +:0412A90000058413A5 +:0412AA0000048513A4 +:0412AB000081059326 +:0412AC0000F11B230F +:0412AD0000D1222327 +:0412AE00484000EFC5 +:0412AF00FFF00793B2 +:0412B00000F556638C +:0412B10008B00793E7 +:0412B20000F4A02381 +:0412B300F8040CE34C +:0412B400008127830B +:0412B500000780238B +:0412B600F8DFF06FFE +:0412B70002060A63BE +:0412B800FFF6061324 +:0412B9000000071317 +:0412BA0000E507B391 +:0412BB0000E586B311 +:0412BC000007C783DD +:0412BD000006C683DE +:0412BE0000D798635A +:0412BF0000C70663FB +:0412C00000170713F9 +:0412C100FE0792E3AF +:0412C20040D7853359 +:0412C3000000806740 +:0412C400000005130E +:0412C500000080673E +:0412C6000005079385 +:0412C70000060E63AC +:0412C80000158593F5 +:0412C900FFF5C70363 +:0412CA0000178793EF +:0412CB00FFF6061311 +:0412CC00FEE78FA307 +:0412CD00FE0714E321 +:0412CE0000C786339C +:0412CF0000C794635D +:0412D0000000806733 +:0412D10000178793E8 +:0412D200FE078FA3E1 +:0412D300FF1FF06F9A +:0412D40000B505B3A9 +:0412D5000005079376 +:0412D60000B7866374 +:0412D7000007C70342 +:0412D8000007166392 +:0412D90040A7853372 +:0412DA000000806729 +:0412DB0000178793DE +:0412DC00FE9FF06F12 +:0412DD001005846311 +:0412DE00FFC5A7831E +:0412DF00FF010113F7 +:0412E0000081242342 +:0412E10000112623AF +:0412E2000091222332 +:0412E300FFC58413AC +:0412E4000007D463C8 +:0412E50000F40433DA +:0412E6000005049368 +:0412E70058D000EFEC +:0412E8000001773753 +:0412E90046C727834A +:0412EA0000070613E0 +:0412EB000207926301 +:0412EC0000042223B5 +:0412ED0046872623E7 +:0412EE000081240354 +:0412EF0000C1208397 +:0412F000000485135E +:0412F1000041248311 +:0412F20001010113E2 +:0412F3005610006F22 +:0412F40002F4786325 +:0412F5000004268348 +:0412F60000D40733E6 +:0412F70000E79A630F +:0412F8000007A70341 +:0412F9000047A78380 +:0412FA0000D70733DF +:0412FB0000E42023C8 +:0412FC0000F42223B5 +:0412FD0046862623D8 +:0412FE00FC1FF06F72 +:0412FF00000707934A +:041300000047A703F8 +:04130100000704637A +:04130200FEE47AE3A8 +:041303000007A683B6 +:0413040000D7863355 +:0413050002861863E1 +:0413060000042603B6 +:0413070000C686B3E3 +:0413080000D7A02347 +:0413090000D7863350 +:04130A00F8C718E325 +:04130B0000072603AE +:04130C00004727036C +:04130D0000D606B34D +:04130E0000D7A02341 +:04130F0000E7A2232E +:04131000F79FF06FE4 +:0413110000C4786339 +:0413120000C007937D +:0413130000F4A0231F +:04131400F69FF06FE1 +:0413150000042603A7 +:0413160000C406B356 +:0413170000D71A637E +:041318000007268321 +:04131900004727035F +:04131A0000C686B3D0 +:04131B0000D42023B7 +:04131C0000E42223A4 +:04131D000087A22380 +:04131E00F41FF06F59 +:04131F0000008067E3 +:04132000FE010113B6 +:0413210000912A23EA +:04132200003584937B +:04132300FFC4F4937C +:0413240000112E2363 +:0413250000812C23F4 :041326000121282356 -:041327000085A90391 -:0413280000812C23F1 -:041329000141242337 -:04132A00016120231A -:04132B0000112E235C -:04132C0000912A23DF -:04132D000131262341 -:04132E000151222324 -:04132F00000584131E -:0413300000060B1395 -:0413310000068A1315 -:041332000D26EE6333 -:0413330000C5D78397 -:041334004807F7135C -:041335000C07066338 -:041336000004248308 -:041337000105A58384 -:041338000144270342 -:0413390000050A930E -:04133A0040B489B37F -:04133B0000300493E7 -:04133C0002E484B390 -:04133D000020071372 -:04133E0002E4C4B34E -:04133F0000168713FA -:041340000137073337 -:0413410000E4F4636D -:041342000007049309 -:041343004007F793D5 -:041344000C078463AB -:041345000004859388 -:04134600000A851301 -:04134700E25FF0EF82 -:041348000005091380 -:041349000405126322 -:04134A0000C0079345 -:04134B0000FAA023E1 -:04134C0000C45783FF -:04134D00FFF0051395 -:04134E000407E79316 -:04134F0000F416236D -:0413500001C1208334 -:0413510001812403EF -:0413520001412483AE -:041353000101290368 -:0413540000C1298328 -:0413550000812A03E6 -:0413560000412A83A5 -:0413570000012B0363 -:04135800020101137A -:0413590000008067A9 -:04135A0001042583E2 -:04135B0000098613EC -:04135C00AA1FF0EFE5 -:04135D0000C45783EE -:04135E00B7F7F79353 -:04135F000807E79301 -:0413600000F416235C -:041361000124282318 -:0413620000942A23A6 -:041363000139093310 -:04136400413484B3D9 -:04136500012420231C -:0413660000942423A8 -:04136700000A09135C -:04136800012A74637F -:04136900000A09135A -:04136A000004250353 -:04136B00000906135C -:04136C00000B0593DA -:04136D001D9000EFE0 -:04136E00008427834D -:04136F000000051362 -:04137000412787B3D7 -:0413710000F424233D -:0413720000042783C9 -:041373000127893392 -:04137400012420230D -:04137500F6DFF06F40 -:0413760000048613D6 -:04137700000A8513D0 -:04137800211000EF51 -:04137900000509134F -:04137A00F8051EE371 -:04137B0001042583C1 -:04137C00000A8513CB -:04137D00C41FF0EFAA -:04137E00F31FF06FFA -:04137F0000C5D7834B -:04138000F401011360 -:041381000A912A2380 -:041382000B212823F0 -:041383000B312623E1 -:041384000A112E23F9 -:041385000A812C238A -:041386000B412423D0 -:041387000B512223C1 -:041388000B612023B2 -:0413890009712E2395 -:04138A0009812C2386 -:04138B0009912A2377 -:04138C0009A1282368 -:04138D0009B1262359 -:04138E000807F793C2 -:04138F0000050993B9 -:0413900000058913B8 -:0413910000060493BB -:0413920006078C635B -:041393000105A78326 -:04139400060798634D -:0413950004000593B8 -:0413960000D1262339 -:04139700CE5FF0EF46 -:0413980000A9202365 -:0413990000A928235C -:04139A0000C12683E5 -:04139B0004051663CC -:04139C0000C00793F3 -:04139D0000F9A02390 -:04139E00FFF0051344 -:04139F000BC12083DB -:0413A0000B81240396 -:0413A1000B41248355 -:0413A2000B0129030F -:0413A3000AC12983CF -:0413A4000A812A038D -:0413A5000A412A834C -:0413A6000A012B030A -:0413A70009C12B83CA -:0413A80009812C0388 -:0413A90009412C8347 -:0413AA0009012D0305 -:0413AB0008C12D83C5 -:0413AC000C0101131C -:0413AD000000806755 -:0413AE00040007939D -:0413AF0000F92A23F4 -:0413B000020007939D -:0413B10002F10CA396 -:0413B20000000AB776 -:0413B3000300079399 -:0413B40002012A23E5 -:0413B50002F10D2311 -:0413B60000D12E2311 -:0413B70002500C9341 -:0413B80000017B377E -:0413B90000017BB7FD -:0413BA0000017D377A -:0413BB0000015C379A -:0413BC00000A8A9306 -:0413BD000004841391 -:0413BE00000447835D -:0413BF00000784633C -:0413C0000D979C6386 -:0413C10040940DB394 -:0413C200020D86632F -:0413C300000D869300 -:0413C4000004861388 -:0413C5000009059383 -:0413C6000009851382 -:0413C700D79FF0EFCD -:0413C800FFF0079398 -:0413C90024F506639E -:0413CA000341268332 -:0413CB0001B686B32E -:0413CC0002D12A23FD -:0413CD00000447834E -:0413CE0022078C6303 -:0413CF00FFF0079391 -:0413D000001404936E -:0413D10002012023D2 -:0413D20002012623CB -:0413D30002F12223DE -:0413D40002012423CB -:0413D500060101A369 -:0413D60006012C23BD -:0413D70000100D9362 -:0413D8000004C583C5 -:0413D90000500613A7 -:0413DA00A04B05130C -:0413DB007FC000EFE0 -:0413DC000014841362 -:0413DD00020127835F -:0413DE000605146389 -:0413DF000107F713F8 -:0413E0000007066399 -:0413E10002000713EC -:0413E20006E101A37C -:0413E3000087F71375 -:0413E4000007066395 -:0413E50002B0071338 -:0413E60006E101A378 -:0413E7000004C683B5 -:0413E80002A0071345 -:0413E90004E68C6327 -:0413EA0002C1278392 -:0413EB000004841363 -:0413EC000000069364 -:0413ED000090061353 -:0413EE0000A0051343 -:0413EF0000044703AC -:0413F000001405934D -:0413F100FD070713DA -:0413F20008E67C632A -:0413F3000406846305 -:0413F40002F12623B9 -:0413F5000400006F81 -:0413F60000140413C8 -:0413F700F1DFF06FC3 -:0413F800A04B0713EC -:0413F90040E5053393 -:0413FA0000AD95337A -:0413FB0000A7E7B3AD -:0413FC0002F12023B7 -:0413FD000004049351 -:0413FE00F69FF06FF7 -:0413FF0001C12703FE -:041400000047069308 -:0414010000072703B6 -:0414020000D12E23C4 -:041403000407406337 -:0414040002E12623B8 -:041405000004470395 -:0414060002E0079366 -:0414070008F7166369 -:041408000014470382 -:0414090002A00793A3 -:04140A0004F71A6366 -:04140B0001C1278371 -:04140C0000240413A1 -:04140D0000478713FA -:04140E000007A783A9 -:04140F0000E12E23A7 -:041410000207CA63A2 -:0414110002F122239F -:041412000600006F61 -:0414130040E007337B -:041414000027E79333 -:0414150002E12623A7 -:0414160002F120239C -:04141700FB9FF06FD8 -:0414180002A787B3ED -:041419000010069326 -:04141A000005841332 -:04141B0000E787B3AC -:04141C00F4DFF06F9A -:04141D00FFF0079342 -:04141E00FCDFF06F90 -:04141F00001404139E -:041420000201222380 -:04142100000006932E -:04142200000007932C -:04142300009006131C -:0414240000A005130C -:041425000004470375 -:041426000014059316 -:04142700FD070713A3 -:0414280008E67263FD -:04142900FA0690E34C -:04142A0000044583F2 -:04142B000030061374 -:04142C00A0CB8513B9 -:04142D006B4000EF21 -:04142E00020502634E -:04142F00A0CB879334 -:0414300040F505334B -:041431000400079319 -:0414320000A797B3C5 -:04143300020125038A -:041434000014041389 -:0414350000F5653326 -:0414360002A12023CC -:0414370000044583E5 -:041438000060061337 -:04143900A10D0513E9 -:04143A000014049303 -:04143B0002B10C23CB -:04143C00678000EFD6 -:04143D0008050A6331 -:04143E00040A9863A1 -:04143F00020127037C -:0414400001C127833C -:041441001007771306 -:041442000207086332 -:041443000047879344 -:0414440000F12E2362 -:0414450003412783B5 -:04144600014787B320 -:0414470002F12A2361 -:04144800DD5FF06F05 -:0414490002A787B3BC -:04144A0000100693F5 -:04144B000005841301 -:04144C0000E787B37B -:04144D00F61FF06F27 -:04144E000077879309 -:04144F00FF87F79389 -:0414500000878793F7 -:04145100FCDFF06F5D -:0414520001C10713BA -:04145300C94C0693E7 -:041454000009061372 -:0414550002010593F8 -:0414560000098513F1 -:04145700FFFEB0974D -:04145800EA4080E7FF -:04145900FFF0079306 -:04145A0000050A136C -:04145B00FAF514E3A7 -:04145C0000C95783E9 -:04145D00FFF0051384 -:04145E000407F793F5 -:04145F00D00790E33F -:04146000034125031C -:04146100CF9FF06FBA -:0414620001C10713AA -:04146300C94C0693D7 -:041464000009061362 -:0414650002010593E8 -:0414660000098513E1 -:041467001B8000EFF7 -:04146800FC5FF06FC6 -:04146900FD0101136D -:04146A0001512A23DF -:04146B000105A7834D -:04146C0000070A93D8 -:04146D000085A7034C -:04146E0002812423B0 -:04146F0002912223A1 -:0414700001312E23F5 -:0414710001412C23E6 -:04147200021126231A -:04147300032120230E -:0414740001612823C7 -:0414750001712623B8 -:0414760000050993D1 -:0414770000058413D5 -:0414780000060493D3 -:0414790000068A13CC -:04147A0000E7D46350 -:04147B0000070793CC -:04147C0000F4A023B5 -:04147D0004344703E9 -:04147E0000070663FA -:04147F000017879338 -:0414800000F4A023B1 -:0414810000042783B9 -:041482000207F793D3 -:041483000007886373 -:041484000004A78336 -:041485000027879322 -:0414860000F4A023AB -:041487000004290331 -:04148800006979136B -:0414890000091E63D5 -:04148A0001940B13AB -:04148B00FFF00B93D0 -:04148C0000C42783EE -:04148D000004A703AD -:04148E0040E787B3F9 -:04148F0008F94263B3 -:0414900000042783AA -:041491000434468356 -:041492000207F793C3 -:0414930000D036B39C -:041494000C0790634E -:041495000434061302 -:04149600000A0593B0 -:0414970000098513B0 -:04149800000A80E7DF -:04149900FFF00793C6 -:04149A0006F50863E8 -:04149B00000427839F -:04149C0000400613F3 -:04149D000004A7039D -:04149E000067F79359 -:04149F0000C42683DC -:0414A00000000493B1 -:0414A10000C7986385 -:0414A20040E684B3E9 -:0414A3000004D4630A -:0414A40000000493AD -:0414A5000084278315 -:0414A6000104270313 -:0414A70000F7566391 -:0414A80040E787B3DF -:0414A90000F484B314 -:0414AA000000091322 -:0414AB0001A4041381 -:0414AC00FFF00B132F -:0414AD00092490631B -:0414AE000000051322 -:0414AF000200006FC8 -:0414B000001006938F -:0414B100000B061313 -:0414B200000A059394 -:0414B3000009851394 -:0414B400000A80E7C3 -:0414B50003751A633E -:0414B600FFF005132B -:0414B70002C12083CB -:0414B8000281240386 -:0414B9000241248345 -:0414BA0002012903FF -:0414BB0001C12983BF -:0414BC0001812A037D -:0414BD0001412A833C -:0414BE0001012B03FA -:0414BF0000C12B83BA -:0414C0000301011310 -:0414C1000000806740 -:0414C20000190913F1 -:0414C300F25FF06F75 -:0414C40000D4073316 -:0414C5000300061307 -:0414C60004C701A3B3 -:0414C700045447037F -:0414C80000168793F0 -:0414C90000F407B371 -:0414CA0000268693DF -:0414CB0004E781A30E -:0414CC00F25FF06F6C -:0414CD000010069372 -:0414CE0000040613FD -:0414CF00000A059377 -:0414D0000009851377 -:0414D100000A80E7A6 -:0414D200F96508E3CD -:0414D30000190913E0 -:0414D400F65FF06F60 -:0414D500FD01011301 -:0414D6000281242348 -:0414D7000291222339 -:0414D80003212023A9 -:0414D90001312E238C -:0414DA0002112623B2 -:0414DB0001412C237C -:0414DC0001512A236D -:0414DD00016128235E -:0414DE0000068993E8 -:0414DF000185C6833A -:0414E00006E0079388 -:0414E10000060913E5 -:0414E200000504936A -:0414E3000005841369 -:0414E4000435861332 -:0414E50028F68663FC -:0414E60006D7EA63D8 -:0414E7000630079331 -:0414E8000AF68E630F -:0414E90000D7EE63D7 -:0414EA002A068A63E1 -:0414EB0005800793DE -:0414EC001EF68A63FB -:0414ED0004240A9336 -:0414EE0004D40123FE -:0414EF000B80006FFF -:0414F0000640079318 -:0414F10000F6866318 -:0414F20006900793C6 -:0414F300FEF694E38A -:0414F4000004278346 -:0414F50000072503C4 -:0414F6000807F6935A -:0414F7000045059314 -:0414F80008068E63F1 -:0414F9000005278340 -:0414FA0000B72023F4 -:0414FB00000176B7BF -:0414FC000007D863AA -:0414FD0002D00713FF -:0414FE0040F007B300 -:0414FF0004E401A35D -:04150000A1868693A7 -:0415010000A007132C -:041502000D80006FE9 -:041503000730079313 -:0415040024F68C63DA -:0415050002D7E263C4 -:0415060006F0079351 -:0415070006F68E63F3 -:04150800070007933E -:04150900F8F698E375 -:04150A000005A783AE -:04150B000207E79359 -:04150C0000F5A02323 -:04150D000140006F2A -:04150E0007500793E8 -:04150F0004F68E63ED -:0415100007800793B6 -:04151100F6F698E36F -:0415120007800793B4 -:04151300000176B7A6 -:0415140004F402A336 -:04151500A2C6869351 -:041516001580006FCD -:04151700000727831F -:0415180004258A9389 -:04151900004786936E -:04151A000007A7839C -:04151B0000D72023B2 -:04151C0004F581232E -:04151D000010079320 -:04151E002200006F38 -:04151F000407F69334 -:041520000005278318 -:0415210000B72023CC -:04152200F60682E364 -:041523000107979392 -:041524004107D79311 -:04152500F59FF06FCF -:041526000004258315 -:04152700000727830F -:041528000805F813A7 -:0415290000478513DF -:04152A00000808634A -:04152B0000A72023D2 -:04152C000007A7838A -:04152D000140006F0A -:04152E000405F59328 -:04152F0000A72023CE -:04153000FE0588E349 -:041531000007D78355 -:0415320006F0059327 -:041533000001773705 -:0415340012B68E63FA -:04153500A1870693F1 -:0415360000A00713F7 -:04153700040401A304 -:0415380000442583C3 -:0415390000B42423B3 -:04153A000005C8637D -:04153B000004250380 -:04153C00FFB575136F -:04153D0000A42023C3 -:04153E0000079663A9 -:04153F0000060A9305 -:0415400002058263BB -:0415410000060A9303 -:0415420002E7F5B314 -:04154300FFFA8A938E -:0415440000B685B3B5 -:041545000005C58355 -:0415460000BA802344 -:0415470002E7D5B32F -:041548000EE7FC634B -:041549000080079384 -:04154A0002F714632D -:04154B0000042783EE -:04154C000017F793FA -:04154D0000078E63A2 -:04154E00004427032B -:04154F0001042783E9 -:0415500000E7C86385 -:0415510003000793F9 -:04155200FEFA8FA36B -:04155300FFFA8A937E -:0415540041560633C3 -:0415550000C4282383 -:0415560000098713EE -:0415570000090693EE -:0415580000C10613B5 -:0415590000040593F2 -:04155A0000048513F1 -:04155B00C39FF0EF4B -:04155C00FFF00A137F -:04155D0013451863B7 -:04155E00FFF0051382 -:04155F0002C1208322 -:0415600002812403DD -:04156100024124839C -:041562000201290356 -:0415630001C1298316 -:0415640001812A03D4 -:0415650001412A8393 -:0415660001012B0351 -:041567000301011368 -:041568000000806798 -:0415690004D582A380 -:04156A00000176B74F -:04156B00A18686933C -:04156C0000042583CF -:04156D00000725034B -:04156E000805F81361 -:04156F0000052783C9 -:04157000004505131A -:041571000208086301 -:0415720000A720238B -:041573000015F71355 -:041574000007066303 -:041575000205E593F3 -:0415760000B420237A -:041577000100071355 -:04157800EE079EE3F9 -:0415790000042583C2 -:04157A00FDF5F593F3 -:04157B0000B4202375 -:04157C00EEDFF06F3F -:04157D000405F81356 -:04157E0000A720237F -:04157F00FC0808E379 -:041580000107979335 -:041581000107D793F4 -:04158200FC5FF06FAB -:04158300A1870693A3 -:0415840000800713C9 -:04158500EC9FF06F78 -:041586000005879342 -:04158700EEDFF06F34 -:041588000005A68331 -:0415890000072783AD -:04158A000145A583EF -:04158B000806F81343 -:04158C00004785137C -:04158D0000080A63E5 -:04158E0000A720236F -:04158F000007A78327 -:0415900000B7A023DD -:041591000180006F66 -:0415920000A720236B -:041593000406F693C1 -:041594000007A78322 -:04159500FE0686E3E5 -:0415960000B79023E7 -:041597000004282301 -:0415980000060A93AC -:04159900EF5FF06FA1 -:04159A00000727839C -:04159B000045A6035E -:04159C0000000593B3 -:04159D0000478693EA -:04159E0000D720232F -:04159F000007AA8314 -:0415A000000A8513A5 -:0415A1000E4000EF09 -:0415A20000050663D7 -:0415A3004155053376 -:0415A40000A422235A -:0415A5000044278354 -:0415A60000F4282302 -:0415A700040401A394 -:0415A800EB9FF06F56 -:0415A9000104268390 -:0415AA00000A86139A -:0415AB00000905939B -:0415AC00000485139F -:0415AD00000980E7CA -:0415AE00ED4500E324 -:0415AF00000427838A -:0415B0000027F79386 -:0415B1000407946334 -:0415B20000C12783CA -:0415B30000C4250348 -:0415B400EAF556E31B -:0415B5000007851393 -:0415B600EA5FF06F89 -:0415B7000010069387 -:0415B800000A86138C -:0415B900000905938D -:0415BA000004851391 -:0415BB00000980E7BC -:0415BC00E96504E3F6 -:0415BD00001A0A13F3 -:0415BE0000C42783BB -:0415BF0000C127033D -:0415C00040E787B3C6 -:0415C100FCFA4CE301 -:0415C200FC1FF06FAB -:0415C30000000A1307 -:0415C40001940A93F1 -:0415C500FFF00B1315 -:0415C600FE1FF06FA5 -:0415C700FF0101130C -:0415C8000081242357 -:0415C9000091222348 -:0415CA000001743771 -:0415CB000005049380 -:0415CC00000585137E -:0415CD0000112623C0 -:0415CE0078042A2350 -:0415CF00168000EF93 -:0415D000FFF007938E -:0415D10000F51863A6 -:0415D20079442783AE -:0415D3000007846326 -:0415D40000F4A0235C -:0415D50000C12083AE -:0415D6000081240369 -:0415D7000041248328 -:0415D80001010113F9 -:0415D9000000806727 -:0415DA000FF5F59381 -:0415DB0000C506330E -:0415DC0000C51663CD -:0415DD0000000513F2 -:0415DE000000806722 -:0415DF000005478339 -:0415E000FEB78CE3E3 -:0415E10000150513D9 -:0415E200FE9FF06F09 -:0415E30004A5FA63FE -:0415E40000C586B305 -:0415E50004D5766350 -:0415E600FFF6459334 -:0415E7000000079366 -:0415E800FFF78793EF -:0415E90000F5946312 -:0415EA000000806716 -:0415EB0000F687334C -:0415EC0000074803A9 -:0415ED0000C7873379 -:0415EE0000E50733DA -:0415EF0001070023CD -:0415F000FE1FF06F7B -:0415F10000F5873347 -:0415F2000007468325 -:0415F30000F50733C5 -:0415F40000178793C2 -:0415F50000D70023F8 -:0415F600FEF616E304 -:0415F7000000806709 -:0415F8000000079355 -:0415F900FF5FF06F31 -:0415FA000000806706 -:0415FB000000806705 -:0415FC0000059663ED -:0415FD00000605934C -:0415FE00B48FF06F47 -:0415FF00FE010113D5 -:0416000000812C2316 -:0416010000112E2383 -:0416020000912A2306 -:041603000121282376 -:041604000131262367 -:0416050000060413C4 -:04160600020616635F -:04160700A18FF0EFD0 -:0416080000000913C2 -:0416090001C1208378 -:04160A000181240333 -:04160B0000090513BA -:04160C0001412483F1 -:04160D0001012903AB -:04160E0000C129836B -:04160F0002010113C0 -:0416100000008067EF -:0416110000058493B9 -:041612000005099333 -:0416130003C000EF21 -:041614000004891332 -:04161500FC8578E3F5 -:041616000004059334 -:04161700000985132E -:04161800AE0FF0EF32 -:0416190000050913AC -:04161A00FA050EE3DC -:04161B0000048593AF -:04161C0000040613AD -:04161D00F9DFE0EF22 -:04161E0000048593AC -:04161F000009851326 -:041620009B4FF0EFFD -:04162100FA1FF06F4D -:04162200FFC5A783D6 -:04162300FFC7851365 -:041624000007D86380 -:0416250000A585B3E4 -:041626000005A78391 -:0416270000F5053392 -:0416280000008067D7 -:04162900FF010113A9 -:04162A0000812423F4 -:04162B00000174370F -:04162C003344278399 -:04162D000121202354 -:04162E00001126235E -:04162F0000912223E1 -:041630000005091395 -:041631003344041327 -:0416320004079A63AC -:04163300000005139B -:04163400000005931A -:041635000000061398 -:041636000000069317 -:041637000000071395 -:041638000D600893A6 -:04163900000000733A -:04163A000005049310 -:04163B0002055663EB -:04163C00084000EF73 -:04163D00409004B322 -:04163E0000952023D0 -:04163F00FFF00513A0 -:0416400000C1208342 -:0416410000812403FD -:0416420000412483BC -:041643000001290376 -:04164400010101138C -:0416450000008067BA -:0416460000A42023B9 -:041647000004250373 -:041648000000059306 -:041649000000061384 -:04164A0000A90533BB -:04164B000000069302 -:04164C000000071380 -:04164D0000000793FF -:04164E000D60089390 -:04164F000000007324 -:0416500000050493FA -:0416510000055A63D3 -:04165200409004B30D -:04165300028000EF22 -:0416540000952023BA -:04165500FFF004930B -:0416560000042783E2 -:04165700FFF0051388 -:0416580000F9093359 -:04165900F9249EE3EF -:04165A0000942023B5 -:04165B0000078513EC -:04165C00F91FF06F13 -:04165D00000177B75A -:04165E002BC7A503EE -:04165F0000008067A0 -:041660000000000086 -:041661000000000085 -:041662000000000084 -:041663000000000083 -:04166400FFFFFFFF86 -:04166500FFFFFFFF85 -:041666000000FFFF82 -:0416670000010494E6 -:041668000001049ADF -:04166900000104A0D8 -:04166A00000104A6D1 -:04166B00000104482E -:04166C00000104680D -:04166D00000104680C -:04166E00000104680B -:04166F00000104680A -:041670000001046809 -:041671000001046808 -:041672000001046C03 -:0416730000010472FC -:041674000001232826 -:04167500000121D47B -:041676000001231A32 -:041677000001231A31 -:04167800000121D478 -:041679000001231E2B -:04167A000001232820 -:04167B00000121D475 -:04167C000001231A2C -:04167D0000015AB856 -:04167E0000015AC845 -:04167F0000015AD438 -:0416800000015AE02B -:0416810000015AEC1E -:0416820000015AF811 -:0416830000015B0403 -:0416840000015B10F6 -:0416850000015B1CE9 -:0416860000015B28DC -:0416870000015B34CF -:0416880074204B4F30 -:041689006E69206FF7 -:04168A00000074697F -:04168B00656D6954CC -:04168C000074756F02 -:04168D00252E75256C -:04168E006D75322E16 -:04168F0000000073E4 -:04169000252E752569 -:041691006D75322E13 -:0416920075252F7318 -:04169300322E252EA0 -:0416940000736D75FD -:041695004353534F19 -:041696007766202033 -:041697007525202E67 -:04169800322E252E9B -:041699000000617577 -:04169A0074696E49B8 -:04169B0072726520E2 -:04169C002020726F29 -:04169D0000006425C0 -:04169E0020202020C8 -:04169F0053204F4E37 -:0416A00000434E595C -:0416A100343130327E -:0416A2003130322D84 -:0416A3006D2020385E -:0416A400737172618B -:0416A5000000000041 -:0416A6002520732563 -:0416A7000063257542 -:0416A800252E752551 -:0416A9006B75322EFD -:0416AA0025207A4835 -:0416AB002E252E7545 -:0416AC007A487532D1 -:0416AD000000000039 -:0416AE007473655498 -:0416AF0074617020D2 -:0416B0006E7265747D +:041327000131262347 +:041328000084849326 +:0413290000C0079366 +:04132A0006F4F8636A +:04132B0000C0049367 +:04132C0006B4E663BA +:04132D00000509139B +:04132E00471000EF75 +:04132F00000177B78B +:0413300046C7A70302 +:0413310046C7869392 +:041332000007041399 +:0413330006041C632D +:041334000001743709 +:041335004704041352 +:041336000004278305 +:0413370000079A63AE +:041338000000059319 +:04133900000905138F +:04133A00375000EF39 +:04133B0000A42023C7 +:04133C000004859391 +:04133D00000905138B +:04133E00365000EF36 +:04133F00FFF009931F +:041340000B351A63EC +:0413410000C007934E +:0413420000F920236B +:041343000009051385 +:0413440041D000EFA5 +:041345000100006F34 +:04134600F804DCE3E8 +:0413470000C0079348 +:0413480000F5202369 +:041349000000051388 +:04134A0001C120833A +:04134B0001812403F5 +:04134C0001412483B4 +:04134D00010129036E +:04134E0000C129832E +:04134F000201011383 +:0413500000008067B2 +:0413510000042783EA +:04135200409787B386 +:041353000407CE635A +:0413540000B00613CC +:0413550000F67A63C1 +:0413560000F420235C +:0413570000F4043367 +:0413580000942023BA +:041359000100006F20 +:04135A0000442783A1 +:04135B0002871A6388 +:04135C0000F6A023D4 +:04135D00000905136B +:04135E003B5000EF11 +:04135F0000B40513BE +:0413600000440793AB +:04136100FF8575137C +:0413620040F5073318 +:04136300F8070EE396 +:0413640000E404336A +:0413650040A787B363 +:0413660000F420234C +:04136700F8DFF06F4C +:0413680000F7222345 +:04136900FD1FF06F05 +:04136A000004071361 +:04136B000044240313 +:04136C00F1DFF06F4E +:04136D000035041330 +:04136E00FFC4741331 +:04136F00FA8502E316 +:0413700040A405B3DD +:041371000009051357 +:04137200295000EF0F +:04137300F9351AE34B +:04137400F35FF06FC4 +:04137500FE01011361 +:041376000121282306 +:041377000085A90341 +:0413780000812C23A1 +:0413790001412423E7 +:04137A0001612023CA +:04137B0000112E230C +:04137C0000912A238F +:04137D0001312623F1 +:04137E0001512223D4 +:04137F0000058413CE +:0413800000060B1345 +:0413810000068A13C5 +:041382000D26EE63E3 +:0413830000C5D78347 +:041384004807F7130C +:041385000C070663E8 +:0413860000042483B8 +:041387000105A58334 +:0413880001442703F2 +:0413890000050A93BE +:04138A0040B489B32F +:04138B000030049397 +:04138C0002E484B340 +:04138D000020071322 +:04138E0002E4C4B3FE +:04138F0000168713AA +:0413900001370733E7 +:0413910000E4F4631D +:0413920000070493B9 +:041393004007F79385 +:041394000C0784635B +:041395000004859338 +:04139600000A8513B1 +:04139700E25FF0EF32 +:041398000005091330 +:0413990004051263D2 +:04139A0000C00793F5 +:04139B0000FAA02391 +:04139C0000C45783AF +:04139D00FFF0051345 +:04139E000407E793C6 +:04139F0000F416231D +:0413A00001C12083E4 +:0413A100018124039F +:0413A200014124835E +:0413A3000101290318 +:0413A40000C12983D8 +:0413A50000812A0396 +:0413A60000412A8355 +:0413A70000012B0313 +:0413A800020101132A +:0413A9000000806759 +:0413AA000104258392 +:0413AB00000986139C +:0413AC00AA1FF0EF95 +:0413AD0000C457839E +:0413AE00B7F7F79303 +:0413AF000807E793B1 +:0413B00000F416230C +:0413B10001242823C8 +:0413B20000942A2356 +:0413B30001390933C0 +:0413B400413484B389 +:0413B50001242023CC +:0413B6000094242358 +:0413B700000A09130C +:0413B800012A74632F +:0413B900000A09130A +:0413BA000004250303 +:0413BB00000906130C +:0413BC00000B05938A +:0413BD001D9000EF90 +:0413BE0000842783FD +:0413BF000000051312 +:0413C000412787B387 +:0413C10000F42423ED +:0413C2000004278379 +:0413C3000127893342 +:0413C40001242023BD +:0413C500F6DFF06FF0 +:0413C6000004861386 +:0413C700000A851380 +:0413C800211000EF01 +:0413C90000050913FF +:0413CA00F8051EE321 +:0413CB000104258371 +:0413CC00000A85137B +:0413CD00C41FF0EF5A +:0413CE00F31FF06FAA +:0413CF0000C5D783FB +:0413D000F401011310 +:0413D1000A912A2330 +:0413D2000B212823A0 +:0413D3000B31262391 +:0413D4000A112E23A9 +:0413D5000A812C233A +:0413D6000B41242380 +:0413D7000B51222371 +:0413D8000B61202362 +:0413D90009712E2345 +:0413DA0009812C2336 +:0413DB0009912A2327 +:0413DC0009A1282318 +:0413DD0009B1262309 +:0413DE000807F79372 +:0413DF000005099369 +:0413E0000005891368 +:0413E100000604936B +:0413E20006078C630B +:0413E3000105A783D6 +:0413E40006079863FD +:0413E5000400059368 +:0413E60000D12623E9 +:0413E700CE5FF0EFF6 +:0413E80000A9202315 +:0413E90000A928230C +:0413EA0000C1268395 +:0413EB00040516637C +:0413EC0000C00793A3 +:0413ED0000F9A02340 +:0413EE00FFF00513F4 +:0413EF000BC120838B +:0413F0000B81240346 +:0413F1000B41248305 +:0413F2000B012903BF +:0413F3000AC129837F +:0413F4000A812A033D +:0413F5000A412A83FC +:0413F6000A012B03BA +:0413F70009C12B837A +:0413F80009812C0338 +:0413F90009412C83F7 +:0413FA0009012D03B5 +:0413FB0008C12D8375 +:0413FC000C010113CC +:0413FD000000806705 +:0413FE00040007934D +:0413FF0000F92A23A4 +:04140000020007934C +:0414010002F10CA345 +:0414020000000AB725 +:041403000300079348 +:0414040002012A2394 +:0414050002F10D23C0 +:0414060000D12E23C0 +:0414070002500C93F0 +:0414080000017B372D +:0414090000017BB7AC +:04140A0000017D3729 +:04140B0000015C3749 +:04140C00000A8A93B5 +:04140D000004841340 +:04140E00000447830C +:04140F0000078463EB +:041410000D979C6335 +:0414110040940DB343 +:04141200020D8663DE +:04141300000D8693AF +:041414000004861337 +:041415000009059332 +:041416000009851331 +:04141700D79FF0EF7C +:04141800FFF0079347 +:0414190024F506634D +:04141A0003412683E1 +:04141B0001B686B3DD +:04141C0002D12A23AC +:04141D0000044783FD +:04141E0022078C63B2 +:04141F00FFF0079340 +:04142000001404931D +:041421000201202381 +:04142200020126237A +:0414230002F122238D +:04142400020124237A +:04142500060101A318 +:0414260006012C236C +:0414270000100D9311 +:041428000004C58374 +:041429000050061356 +:04142A00B44B0513A7 +:04142B007FC000EF8F +:04142C000014841311 +:04142D00020127830E +:04142E000605146338 +:04142F000107F713A7 +:041430000007066348 +:04143100020007139B +:0414320006E101A32B +:041433000087F71324 +:041434000007066344 +:0414350002B00713E7 +:0414360006E101A327 +:041437000004C68364 +:0414380002A00713F4 +:0414390004E68C63D6 +:04143A0002C1278341 +:04143B000004841312 +:04143C000000069313 +:04143D000090061302 +:04143E0000A00513F2 +:04143F00000447035B +:0414400000140593FC +:04144100FD07071389 +:0414420008E67C63D9 +:0414430004068463B4 +:0414440002F1262368 +:041445000400006F30 +:041446000014041377 +:04144700F1DFF06F72 +:04144800B44B071387 +:0414490040E5053342 +:04144A0000AD953329 +:04144B0000A7E7B35C +:04144C0002F1202366 +:04144D000004049300 +:04144E00F69FF06FA6 +:04144F0001C12703AD +:0414500000470693B8 +:041451000007270366 +:0414520000D12E2374 +:0414530004074063E7 +:0414540002E1262368 +:041455000004470345 +:0414560002E0079316 +:0414570008F7166319 +:041458000014470332 +:0414590002A0079353 +:04145A0004F71A6316 +:04145B0001C1278321 +:04145C000024041351 +:04145D0000478713AA +:04145E000007A78359 +:04145F0000E12E2357 +:041460000207CA6352 +:0414610002F122234F +:041462000600006F11 +:0414630040E007332B +:041464000027E793E3 +:0414650002E1262357 +:0414660002F120234C +:04146700FB9FF06F88 +:0414680002A787B39D +:0414690000100693D6 +:04146A0000058413E2 +:04146B0000E787B35C +:04146C00F4DFF06F4A +:04146D00FFF00793F2 +:04146E00FCDFF06F40 +:04146F00001404134E +:041470000201222330 +:0414710000000693DE +:0414720000000793DC +:0414730000900613CC +:0414740000A00513BC +:041475000004470325 +:0414760000140593C6 +:04147700FD07071353 +:0414780008E67263AD +:04147900FA0690E3FC +:04147A0000044583A2 +:04147B000030061324 +:04147C00B4CB851355 +:04147D006B4000EFD1 +:04147E0002050263FE +:04147F00B4CB8793D0 +:0414800040F50533FB +:0414810004000793C9 +:0414820000A797B375 +:04148300020125033A +:041484000014041339 +:0414850000F56533D6 +:0414860002A120237C +:041487000004458395 +:0414880000600613E7 +:04148900B50D051385 +:04148A0000140493B3 +:04148B0002B10C237B +:04148C00678000EF86 +:04148D0008050A63E1 +:04148E00040A986351 +:04148F00020127032C +:0414900001C12783EC +:0414910010077713B6 +:0414920002070863E2 +:0414930000478793F4 +:0414940000F12E2312 +:041495000341278365 +:04149600014787B3D0 +:0414970002F12A2311 +:04149800DD5FF06FB5 +:0414990002A787B36C +:04149A0000100693A5 +:04149B0000058413B1 +:04149C0000E787B32B +:04149D00F61FF06FD7 +:04149E0000778793B9 +:04149F00FF87F79339 +:0414A00000878793A7 +:0414A100FCDFF06F0D +:0414A20001C107136A +:0414A300DD4C069383 +:0414A4000009061322 +:0414A50002010593A8 +:0414A60000098513A1 +:0414A700FFFEB097FD +:0414A800D64080E7C3 +:0414A900FFF00793B6 +:0414AA0000050A131C +:0414AB00FAF514E357 +:0414AC0000C9578399 +:0414AD00FFF0051334 +:0414AE000407F793A5 +:0414AF00D00790E3EF +:0414B00003412503CC +:0414B100CF9FF06F6A +:0414B20001C107135A +:0414B300DD4C069373 +:0414B4000009061312 +:0414B5000201059398 +:0414B6000009851391 +:0414B7001B8000EFA7 +:0414B800FC5FF06F76 +:0414B900FD0101131D +:0414BA0001512A238F +:0414BB000105A783FD +:0414BC0000070A9388 +:0414BD000085A703FC +:0414BE000281242360 +:0414BF000291222351 +:0414C00001312E23A5 +:0414C10001412C2396 +:0414C20002112623CA +:0414C30003212023BE +:0414C4000161282377 +:0414C5000171262368 +:0414C6000005099381 +:0414C7000005841385 +:0414C8000006049383 +:0414C90000068A137C +:0414CA0000E7D46300 +:0414CB00000707937C +:0414CC0000F4A02365 +:0414CD000434470399 +:0414CE0000070663AA +:0414CF0000178793E8 +:0414D00000F4A02361 +:0414D1000004278369 +:0414D2000207F79383 +:0414D3000007886323 +:0414D4000004A783E6 +:0414D50000278793D2 +:0414D60000F4A0235B +:0414D70000042903E1 +:0414D800006979131B +:0414D90000091E6385 +:0414DA0001940B135B +:0414DB00FFF00B9380 +:0414DC0000C427839E +:0414DD000004A7035D +:0414DE0040E787B3A9 +:0414DF0008F9426363 +:0414E000000427835A +:0414E1000434468306 +:0414E2000207F79373 +:0414E30000D036B34C +:0414E4000C079063FE +:0414E50004340613B2 +:0414E600000A059360 +:0414E7000009851360 +:0414E800000A80E78F +:0414E900FFF0079376 +:0414EA0006F5086398 +:0414EB00000427834F +:0414EC0000400613A3 +:0414ED000004A7034D +:0414EE000067F79309 +:0414EF0000C426838C +:0414F0000000049361 +:0414F10000C7986335 +:0414F20040E684B399 +:0414F3000004D463BA +:0414F400000004935D +:0414F50000842783C5 +:0414F60001042703C3 +:0414F70000F7566341 +:0414F80040E787B38F +:0414F90000F484B3C4 +:0414FA0000000913D2 +:0414FB0001A4041331 +:0414FC00FFF00B13DF +:0414FD0009249063CB +:0414FE0000000513D2 +:0414FF000200006F78 +:04150000001006933E +:04150100000B0613C2 +:04150200000A059343 +:041503000009851343 +:04150400000A80E772 +:0415050003751A63ED +:04150600FFF00513DA +:0415070002C120837A +:041508000281240335 +:0415090002412483F4 +:04150A0002012903AE +:04150B0001C129836E +:04150C0001812A032C +:04150D0001412A83EB +:04150E0001012B03A9 +:04150F0000C12B8369 +:0415100003010113BF +:0415110000008067EF +:0415120000190913A0 +:04151300F25FF06F24 +:0415140000D40733C5 +:0415150003000613B6 +:0415160004C701A362 +:04151700045447032E +:04151800001687939F +:0415190000F407B320 +:04151A00002686938E +:04151B0004E781A3BD +:04151C00F25FF06F1B +:04151D000010069321 +:04151E0000040613AC +:04151F00000A059326 +:041520000009851326 +:04152100000A80E755 +:04152200F96508E37C +:04152300001909138F +:04152400F65FF06F0F +:04152500FD010113B0 +:0415260002812423F7 +:0415270002912223E8 +:041528000321202358 +:0415290001312E233B +:04152A000211262361 +:04152B0001412C232B +:04152C0001512A231C +:04152D00016128230D +:04152E000006899397 +:04152F000185C683E9 +:0415300006E0079337 +:041531000006091394 +:041532000005049319 +:041533000005841318 +:0415340004358613E1 +:0415350028F68663AB +:0415360006D7EA6387 +:0415370006300793E0 +:041538000AF68E63BE +:0415390000D7EE6386 +:04153A002A068A6390 +:04153B00058007938D +:04153C001EF68A63AA +:04153D0004240A93E5 +:04153E0004D40123AD +:04153F000B80006FAE +:0415400006400793C7 +:0415410000F68663C7 +:041542000690079375 +:04154300FEF694E339 +:0415440000042783F5 +:041545000007250373 +:041546000807F69309 +:0415470000450593C3 +:0415480008068E63A0 +:0415490000052783EF +:04154A0000B72023A3 +:04154B00000176B76E +:04154C000007D86359 +:04154D0002D00713AE +:04154E0040F007B3AF +:04154F0004E401A30C +:04155000B586869343 +:0415510000A00713DC +:041552000D80006F99 +:0415530007300793C3 +:0415540024F68C638A +:0415550002D7E26374 +:0415560006F0079301 +:0415570006F68E63A3 +:0415580007000793EE +:04155900F8F698E325 +:04155A000005A7835E +:04155B000207E79309 +:04155C0000F5A023D3 +:04155D000140006FDA +:04155E000750079398 +:04155F0004F68E639D +:041560000780079366 +:04156100F6F698E31F +:041562000780079364 +:04156300000176B756 +:0415640004F402A3E6 +:04156500B6C68693ED +:041566001580006F7D +:0415670000072783CF +:0415680004258A9339 +:04156900004786931E +:04156A000007A7834C +:04156B0000D7202362 +:04156C0004F58123DE +:04156D0000100793D0 +:04156E002200006FE8 +:04156F000407F693E4 +:0415700000052783C8 +:0415710000B720237C +:04157200F60682E314 +:041573000107979342 +:041574004107D793C1 +:04157500F59FF06F7F +:0415760000042583C5 +:0415770000072783BF +:041578000805F81357 +:04157900004785138F +:04157A0000080863FA +:04157B0000A7202382 +:04157C000007A7833A +:04157D000140006FBA +:04157E000405F593D8 +:04157F0000A720237E +:04158000FE0588E3F9 +:041581000007D78305 +:0415820006F00593D7 +:0415830000017737B5 +:0415840012B68E63AA +:04158500B58706938D +:0415860000A00713A7 +:04158700040401A3B4 +:041588000044258373 +:0415890000B4242363 +:04158A000005C8632D +:04158B000004250330 +:04158C00FFB575131F +:04158D0000A4202373 +:04158E000007966359 +:04158F0000060A93B5 +:04159000020582636B +:0415910000060A93B3 +:0415920002E7F5B3C4 +:04159300FFFA8A933E +:0415940000B685B365 +:041595000005C58305 +:0415960000BA8023F4 +:0415970002E7D5B3DF +:041598000EE7FC63FB +:041599000080079334 +:04159A0002F71463DD +:04159B00000427839E +:04159C000017F793AA +:04159D0000078E6352 +:04159E0000442703DB +:04159F000104278399 +:0415A00000E7C86335 +:0415A10003000793A9 +:0415A200FEFA8FA31B +:0415A300FFFA8A932E +:0415A4004156063373 +:0415A50000C4282333 +:0415A600000987139E +:0415A700000906939E +:0415A80000C1061365 +:0415A90000040593A2 +:0415AA0000048513A1 +:0415AB00C39FF0EFFB +:0415AC00FFF00A132F +:0415AD001345186367 +:0415AE00FFF0051332 +:0415AF0002C12083D2 +:0415B000028124038D +:0415B100024124834C +:0415B2000201290306 +:0415B30001C12983C6 +:0415B40001812A0384 +:0415B50001412A8343 +:0415B60001012B0301 +:0415B7000301011318 +:0415B8000000806748 +:0415B90004D582A330 +:0415BA00000176B7FF +:0415BB00B5868693D8 +:0415BC00000425837F +:0415BD0000072503FB +:0415BE000805F81311 +:0415BF000005278379 +:0415C00000450513CA +:0415C10002080863B1 +:0415C20000A720233B +:0415C3000015F71305 +:0415C40000070663B3 +:0415C5000205E593A3 +:0415C60000B420232A +:0415C7000100071305 +:0415C800EE079EE3A9 +:0415C9000004258372 +:0415CA00FDF5F593A3 +:0415CB0000B4202325 +:0415CC00EEDFF06FEF +:0415CD000405F81306 +:0415CE0000A720232F +:0415CF00FC0808E329 +:0415D00001079793E5 +:0415D1000107D793A4 +:0415D200FC5FF06F5B +:0415D300B58706933F +:0415D4000080071379 +:0415D500EC9FF06F28 +:0415D60000058793F2 +:0415D700EEDFF06FE4 +:0415D8000005A683E1 +:0415D900000727835D +:0415DA000145A5839F +:0415DB000806F813F3 +:0415DC00004785132C +:0415DD0000080A6395 +:0415DE0000A720231F +:0415DF000007A783D7 +:0415E00000B7A0238D +:0415E1000180006F16 +:0415E20000A720231B +:0415E3000406F69371 +:0415E4000007A783D2 +:0415E500FE0686E395 +:0415E60000B7902397 +:0415E70000042823B1 +:0415E80000060A935C +:0415E900EF5FF06F51 +:0415EA00000727834C +:0415EB000045A6030E +:0415EC000000059363 +:0415ED00004786939A +:0415EE0000D72023DF +:0415EF000007AA83C4 +:0415F000000A851355 +:0415F1000E4000EFB9 +:0415F2000005066387 +:0415F3004155053326 +:0415F40000A422230A +:0415F5000044278304 +:0415F60000F42823B2 +:0415F700040401A344 +:0415F800EB9FF06F06 +:0415F9000104268340 +:0415FA00000A86134A +:0415FB00000905934B +:0415FC00000485134F +:0415FD00000980E77A +:0415FE00ED4500E3D4 +:0415FF00000427833A +:041600000027F79335 +:0416010004079463E3 +:0416020000C1278379 +:0416030000C42503F7 +:04160400EAF556E3CA +:041605000007851342 +:04160600EA5FF06F38 +:041607000010069336 +:04160800000A86133B +:04160900000905933C +:04160A000004851340 +:04160B00000980E76B +:04160C00E96504E3A5 +:04160D00001A0A13A2 +:04160E0000C427836A +:04160F0000C12703EC +:0416100040E787B375 +:04161100FCFA4CE3B0 +:04161200FC1FF06F5A +:0416130000000A13B6 +:0416140001940A93A0 +:04161500FFF00B13C4 +:04161600FE1FF06F54 +:04161700FF010113BB +:041618000081242306 +:0416190000912223F7 +:04161A000001843710 +:04161B00000504932F +:04161C00000585132D +:04161D00001126236F +:04161E008C042A23EB +:04161F00168000EF42 +:04162000FFF007933D +:0416210000F5186355 +:041622008D44278349 +:0416230000078463D5 +:0416240000F4A0230B +:0416250000C120835D +:041626000081240318 +:0416270000412483D7 +:0416280001010113A8 +:0416290000008067D6 +:04162A000FF5F59330 +:04162B0000C50633BD +:04162C0000C516637C +:04162D0000000513A1 +:04162E0000008067D1 +:04162F0000054783E8 +:04163000FEB78CE392 +:041631000015051388 +:04163200FE9FF06FB8 +:0416330004A5FA63AD +:0416340000C586B3B4 +:0416350004D57663FF +:04163600FFF64593E3 +:041637000000079315 +:04163800FFF787939E +:0416390000F59463C1 +:04163A0000008067C5 +:04163B0000F68733FB +:04163C000007480358 +:04163D0000C7873328 +:04163E0000E5073389 +:04163F00010700237C +:04164000FE1FF06F2A +:0416410000F58733F6 +:0416420000074683D4 +:0416430000F5073374 +:041644000017879371 +:0416450000D70023A7 +:04164600FEF616E3B3 +:0416470000008067B8 +:041648000000079304 +:04164900FF5FF06FE0 +:04164A0000008067B5 +:04164B0000008067B4 +:04164C00000596639C +:04164D0000060593FB +:04164E00B48FF06FF6 +:04164F00FE01011384 +:0416500000812C23C6 +:0416510000112E2333 +:0416520000912A23B6 +:041653000121282326 +:041654000131262317 +:041655000006041374 +:04165600020616630F +:04165700A18FF0EF80 +:041658000000091372 +:0416590001C1208328 +:04165A0001812403E3 +:04165B00000905136A +:04165C0001412483A1 +:04165D00010129035B +:04165E0000C129831B +:04165F000201011370 +:04166000000080679F +:041661000005849369 +:0416620000050993E3 +:0416630003C000EFD1 +:0416640000048913E2 +:04166500FC8578E3A5 +:0416660000040593E4 +:0416670000098513DE +:04166800AE0FF0EFE2 +:04166900000509135C +:04166A00FA050EE38C +:04166B00000485935F +:04166C00000406135D +:04166D00F9DFE0EFD2 +:04166E00000485935C +:04166F0000098513D6 +:041670009B4FF0EFAD +:04167100FA1FF06FFD +:04167200FFC5A78386 +:04167300FFC7851315 +:041674000007D86330 +:0416750000A585B394 +:041676000005A78341 +:0416770000F5053342 +:041678000000806787 +:04167900FF01011359 +:04167A0000812423A4 +:04167B0000017437BF +:04167C004744278335 +:04167D000121202304 +:04167E00001126230E +:04167F000091222391 +:041680000005091345 +:0416810047440413C3 +:0416820004079A635C +:04168300000005134B +:0416840000000593CA +:041685000000061348 +:0416860000000693C7 +:041687000000071345 +:041688000D60089356 +:0416890000000073EA +:04168A0000050493C0 +:04168B00020556639B +:04168C00084000EF23 +:04168D00409004B3D2 +:04168E000095202380 +:04168F00FFF0051350 +:0416900000C12083F2 +:0416910000812403AD +:04169200004124836C +:041693000001290326 +:04169400010101133C +:04169500000080676A +:0416960000A4202369 +:041697000004250323 +:0416980000000593B6 +:041699000000061334 +:04169A0000A905336B +:04169B0000000693B2 +:04169C000000071330 +:04169D0000000793AF +:04169E000D60089340 +:04169F0000000073D4 +:0416A00000050493AA +:0416A10000055A6383 +:0416A200409004B3BD +:0416A300028000EFD2 +:0416A400009520236A +:0416A500FFF00493BB +:0416A6000004278392 +:0416A700FFF0051338 +:0416A80000F9093309 +:0416A900F9249EE39F +:0416AA000094202365 +:0416AB00000785139C +:0416AC00F91FF06FC3 +:0416AD00000177B70A +:0416AE003FC7A5038A +:0416AF000000806750 +:0416B0000000000036 :0416B1000000000035 -:0416B2003A31564132 -:0416B3004247522038 -:0416B40000000053DF -:0416B5003A3156412F -:0416B6007347522004 -:0416B70000000042ED -:0416B8003A3156412C -:0416B9006250592002 -:0416BA00000072506A -:0416BB003A32564128 -:0416BC0062505920FF -:0416BD000000725067 -:0416BE003A32564125 -:0416BF0073475220FB -:0416C00000000042E4 -:0416C1003A33564121 -:0416C2004247522029 -:0416C3000000564885 -:0416C4003A3356411E -:0416C5004247522026 -:0416C60000000053CD -:0416C7003A3356411B -:0416C80073475220F2 -:0416C90000000042DB -:0416CA003A33564118 -:0416CB0062505920F0 -:0416CC000000725058 -:0416CD007473614C85 -:0416CE0065737520AB -:0416CF0000000064B3 -:0416D0000000000016 -:0416D1000000000015 -:0416D2000000000113 -:0416D3000001010110 -:0416D4000000000111 -:0416D5000000000011 -:0416D60000000110FF -:0416D7000044060BBA -:0416D800000001000D -:0416D900000100000C -:0416DA008080800C80 -:0416DB00081A1A1AB5 -:0416DC000000000A00 -:0416DD000001296679 -:0416DE000001267A67 -:0416DF00000126825E -:0416E000000125CA16 -:0416E1000001267E60 -:0416E2000001268657 -:0416E3000001267666 -:0416E400000126B427 -:0416E5000001268A50 -:0416E6000001268E4B -:0416E7000001269246 -:0416E800000126B423 -:0416E900000126B422 -:0416EA00000126B421 -:0416EB00000126B420 -:0416EC00000126B41F -:0416ED00000126B41E -:0416EE00000126BE13 -:0416EF00000127507F -:0416F000000127606E -:0416F1000001277A53 -:0416F200000127B814 -:0416F3000001279C2F -:0416F400000127CEFC -:0416F500000128AC1C -:0416F600000128CEF9 -:0416F700000128E4E2 -:0416F800666F725057 -:0416F9002075252E05 -:0416FA00007339251B -:0416FB00756C3425B1 -:0416FC0063256325DA -:0416FD006C25202018 -:0416FE000000007573 -:0416FF00656E694C5F -:04170000746C756D23 -:04170100646F6D2084 -:0417020000003A6544 -:041703007365727028 -:041704002D312073F0 -:0417050000000035AB -:0417060020787525AD -:0417070075736E7513 -:04170800726F70701C -:04170900006465749F -:04170A00666F725044 -:04170B0020656C6980 -:04170C0064616F6C39 -:04170D000000003A9E -:04170E00736572701D -:04170F002D302073E6 -:04171000000000399C -:0417110000015D086E -:0417120000015D0C69 -:0417130000015D1064 -:0417140000015D145F -:0417150000015C145F -:0417160000015D1859 -:0417170000015D1C54 -:0417180000015D204F -:0417190000015C402F -:04171A000001659CC9 -:04171B0000015D2448 -:04171C0000015D2C3F -:04171D0000015D303A -:04171E0000015D3831 -:04171F0000015D3C2C -:0417200000015D4423 -:0417210000015D4C1A -:0417220000015D5411 -:0417230000015D5C08 -:0417240000015D6CF7 -:0417250000015D7CE6 -:0417260000015D8CD5 -:0417270000015D9CC4 -:0417280000015DACB3 -:0417290000015DBCA2 -:04172A0000015DC499 -:04172B0000015DCC90 -:04172C003EA93E296B -:04172D003EE93E69EA -:04172E003E993E1989 -:04172F003ED93E5908 -:041730003EC93E3937 -:041731003E1D3E4DCE -:041732003E2D3EED1D -:041733003EAD3ECDBC -:041734003E653E6D63 -:041735001C483E010D -:041736001C501C180F -:041737001CC81CD0DE -:041738005ED85E58C1 -:0417390000003EB9B5 -:04173A007365725011 -:04173B000000007337 -:04173C00666E6F4323 -:04173D00006D726960 -:04173E006D73694D11 -:04173F006863746106 -:041740006572202C82 -:041741000079727445 -:041742000000003172 -:041743000000003270 -:04174400000000336E -:04174500000000346C -:041746000000003669 -:041747000000003767 -:041748000000003865 -:04174900554E454D67 -:04174A00000000009B -:04174B0000004B4F00 -:04174C004B43414288 -:04174D000000000098 -:04174E0000005055F2 -:04174F004E574F445E -:041750000000000095 -:041751005446454C69 -:041752000000000093 -:041753004847495268 -:04175400000000543D -:041755004F464E4964 -:04175600000000008F -:041757005F44434C5C -:041758004B4341427C -:041759004847494C68 -:04175A000000005437 -:04175B004E41435365 -:04175C00454E494C61 -:04175D00444F4D5F49 -:04175E000000004542 -:04175F004E41435361 -:04176000454E494C5D -:041761005059545F28 -:04176200000000453E -:041763004E4143535D -:04176400454E494C59 -:04176500544E495F36 -:041766000000002B54 -:041767004E41435359 -:04176800454E494C55 -:04176900544E495F32 -:04176A000000002D4E -:04176B00454E494C52 -:04176C00544C554D37 -:04176D00444F4D5F39 -:04176E000000004532 -:04176F00534148504A -:0417700000002B4505 -:041771005341485048 -:0417720000002D4501 -:04177300464F52503B -:041774005F454C4938 -:041775004B544F483A -:0417760000005945D1 -:041777006F727245D6 -:0417780000000072FB -:0417790053206F4E3C -:04177A006163204443 -:04177B006420647210 -:04177C00002E746562 -:04177D0061766E49DA -:04177E002064696C0E -:04177F0064616568D4 -:04178000000072658E -:0417810061766E49D6 -:041782002064696C0A -:041783002072646804 -:041784000043524389 -:0417850061766E49D2 -:041786002064696C06 -:0417870061746164C4 -:041788004352432065 -:04178900000000005C -:04178A0061647055D1 -:04178B0063206574FE -:04178C0065636E61C2 -:04178D0064656C6CB7 -:04178E000000000057 -:04178F0073616C46D0 -:0417900065722068F6 -:04179100652064610A -:04179200000072726F -:0417930073616C46CC -:0417940072652068F2 -:0417950020657361F7 -:041796000072726506 -:0417970073616C46C8 -:0417980072772068DC -:0417990020657469EA -:04179A000072726502 -:04179B0073616C46C4 -:04179C0065762068E6 -:04179D0020666972E7 -:04179E006C696166AB -:04179F000000000046 -:0417A00061766E49B7 -:0417A1002064696CEB -:0417A20067616D69A5 -:0417A30000000065DD -:0417A4004353534F09 -:0417A5000000000040 -:0417A600696C6156B3 -:0417A700697461649C -:0417A8006420676EE4 -:0417A9000061746106 -:0417AA00622075251F -:0417AB007365747975 -:0417AC000000000039 -:0417AD00252E75254B -:0417AE002575322E3D -:0417AF00007325732B -:0417B00061647055AB -:0417B100676E697482 -:0417B2000057462076 -:0417B30061656C7090 -:0417B40077206573C2 -:0417B5002E746961C4 -:0417B60000002E2ED3 -:0417B7006972655698 -:0417B8006E69796677 -:0417B9006C662067D3 -:0417BA0000687361EF -:0417BB0061656C7088 -:0417BC0072206573BF -:0417BD00617473657B -:0417BE000000747241 -:0417BF007274655289 -:0417C000676E69796E -:0417C10064707520BB -:0417C20000657461E9 -:0417C3006164705598 -:0417C400203F6574E9 -:0417C5002C593D312D -:0417C6004E3D322042 -:0417C700000000001E -:0417C8006D7269468F -:0417C900657261776D -:0417CA0064707520B2 -:0417CB00646574617C -:0417CC000000000019 -:0417CD0000013012D5 -:0417CE0000012FF0F7 -:0417CF0000012FCA1C -:0417D00000012FE203 -:0417D100000130C221 -:0417D200000130C220 -:0417D300000131766A -:0417D400000131A03F -:0417D500000131AC32 -:0417D600000131ECF1 -:0417D700000131C01C -:0417D800000130D606 -:0417D900000130D605 -:0417DA000001311ABF -:0417DB000001314692 -:0417DC000001314691 -:0417DD0064206425FB -:0417DE0000000042C5 -:0417DF00656E6F4480 -:0417E0000000000005 -:0417E1006C69614688 -:0417E200000064653A -:0417E300000073256A -:0417E400252E752514 -:0417E5002075322E0B -:0417E6000000737517 -:0417E7006C207525D8 -:0417E80073656E694E -:0417E90000000000FC -:0417EA006C206F4EB2 -:0417EB00006B6E69B8 -:0417EC000001735B2A -:0417ED0000000002F6 -:0417EE0000012EFECA -:0417EF00000164741D -:0417F0000001648010 -:0417F1000001648807 -:0417F20070207525C9 -:0417F3006C65786940 -:0417F400000000737E -:0417F5000001735D1F -:0417F60000000009E6 -:0417F70000012DB010 -:0417F80064206425E0 -:0417F9000000676520 -:0417FA002525752507 -:0417FB0000000000EA -:0417FC006564695661 -:0417FD006E69206F82 -:0417FE006F72702076 -:0417FF003E20206305 -:0418000000000000E4 -:04180100706D615352 -:04180200676E696C38 -:0418030074706F206E -:041804003E20202E34 -:0418050000000000DF -:04180600636E795341 -:0418070074706F206A -:041808002020202E4E -:041809003E2020203D -:04180A0000000000DA -:04180B007074754F31 -:04180C006F20747560 -:04180D00202E7470A5 -:04180E003E20202038 -:04180F0000000000D5 -:0418100074736F502E -:041811006F72702D55 -:0418120020202E6301 -:041813003E20202033 -:0418140000000000D0 -:04181500706D6F4340 -:04181600626974612E -:0418170074696C691B -:041818003E202079D5 -:0418190000000000CB -:04181A006964754147 -:04181B00706F206F5B -:04181C006E6F69740E -:04181D003E202073D6 -:04181E0000000000C6 -:04181F007474655325 -:0418200073676E6913 -:0418210074706F2050 -:041822003E20202024 -:0418230000000000C1 -:04182400616F4C3C68 -:041825007270206459 -:041826006C69666F14 -:04182700003E2065FA -:041828007661533C56 -:041829007270206554 -:04182A006C69666F10 -:04182B00003E2065F6 -:04182C007365523C52 -:04182D00732074654B -:04182E006974746500 -:04182F003E73676E2F +:0416B2000000000034 +:0416B3000000000033 +:0416B400FFFFFFFF36 +:0416B500FFFFFFFF35 +:0416B6000000FFFF32 +:0416B7000001049496 +:0416B8000001049A8F +:0416B900000104A088 +:0416BA00000104A681 +:0416BB0000010448DE +:0416BC0000010468BD +:0416BD0000010468BC +:0416BE0000010468BB +:0416BF0000010468BA +:0416C00000010468B9 +:0416C10000010468B8 +:0416C2000001046CB3 +:0416C30000010472AC +:0416C400000123649A +:0416C50000012210EE +:0416C60000012356A6 +:0416C70000012356A5 +:0416C80000012210EB +:0416C9000001235A9F +:0416CA000001236494 +:0416CB0000012210E8 +:0416CC0000012356A0 +:0416CD0000015BF8C5 +:0416CE0000015C08B3 +:0416CF0000015C14A6 +:0416D00000015C2099 +:0416D10000015C2C8C +:0416D20000015C387F +:0416D30000015C4472 +:0416D40000015C5065 +:0416D50000015C5C58 +:0416D60000015C684B +:0416D70000015C743E +:0416D80074204B4FE0 +:0416D9006E69206FA7 +:0416DA00000074692F +:0416DB00656D69547C +:0416DC000074756FB2 +:0416DD00252E75251C +:0416DE006D75322EC6 +:0416DF000000007394 +:0416E000252E752519 +:0416E1006D75322EC3 +:0416E20075252F73C8 +:0416E300322E252E50 +:0416E40000736D75AD +:0416E5004353534FC9 +:0416E60077662020E3 +:0416E7007525202E17 +:0416E800322E252E4B +:0416E9000000617527 +:0416EA0074696E4968 +:0416EB007272652092 +:0416EC002020726FD9 +:0416ED000000642570 +:0416EE002020202078 +:0416EF0053204F4EE7 +:0416F00000434E590C +:0416F100343130322E +:0416F2003130322D34 +:0416F3006D2020380E +:0416F400737172613B +:0416F50000000000F1 +:0416F6002520732513 +:0416F70000632575F2 +:0416F800252E752501 +:0416F9006B75322EAD +:0416FA0025207A48E5 +:0416FB002E252E75F5 +:0416FC007A48753281 +:0416FD0000000000E9 +:0416FE007473655448 +:0416FF007461702082 +:041700006E7265742C +:0417010000000000E4 +:041702003A315641E1 +:0417030042475220E7 +:04170400000000538E +:041705003A315641DE +:0417060073475220B3 +:04170700000000429C +:041708003A315641DB +:0417090062505920B1 +:04170A000000725019 +:04170B003A325641D7 +:04170C0062505920AE +:04170D000000725016 +:04170E003A325641D4 +:04170F0073475220AA +:041710000000004293 +:041711003A335641D0 +:0417120042475220D8 +:041713000000564834 +:041714003A335641CD +:0417150042475220D5 +:04171600000000537C +:041717003A335641CA +:0417180073475220A1 +:04171900000000428A +:04171A003A335641C7 +:04171B00625059209F +:04171C000000725007 +:04171D007473614C34 +:04171E00657375205A +:04171F000000006462 +:0417200000000000C5 +:0417210000000000C4 +:0417220000000001C2 +:0417230000010101BF +:0417240000000001C0 +:0417250000000000C0 +:0417260000000110AE +:041727000044060B69 +:0417280000000100BC +:0417290000010000BB +:04172A008080800C2F +:04172B00081A1A1A64 +:04172C000000000AAF +:04172D00000129A2EC +:04172E00000126B6DA +:04172F00000126BED1 +:041730000001260688 +:04173100000126BAD3 +:04173200000126C2CA +:04173300000126B2D9 +:04173400000126F09A +:04173500000126C6C3 +:04173600000126CABE +:04173700000126CEB9 +:04173800000126F096 +:04173900000126F095 +:04173A00000126F094 +:04173B00000126F093 +:04173C00000126F092 +:04173D00000126F091 +:04173E00000126FA86 +:04173F000001278CF2 +:041740000001279CE1 +:04174100000127B6C6 +:04174200000127F487 +:04174300000127D8A2 +:041744000001280A6E +:04174500000128E88F +:041746000001290A6B +:041747000001292054 +:04174800666F725006 +:041749002075252EB4 +:04174A0000733925CA +:04174B00756C342560 +:04174C006325632589 +:04174D006C252020C7 +:04174E000000007522 +:04174F00656E694C0E +:04175000746C756DD3 +:04175100646F6D2034 +:0417520000003A65F4 +:0417530073657270D8 +:041754002D312073A0 +:04175500000000355B +:04175600207875255D +:0417570075736E75C3 +:04175800726F7070CC +:04175900006465744F +:04175A00666F7250F4 +:04175B0020656C6930 +:04175C0064616F6CE9 +:04175D000000003A4E +:04175E0073657270CD +:04175F002D30207396 +:04176000000000394C +:0417610000015E48DD +:0417620000015E4CD8 +:0417630000015E50D3 +:0417640000015E54CE +:0417650000015D54CE +:0417660000015E58C8 +:0417670000015E5CC3 +:0417680000015E60BE +:0417690000015D809E +:04176A00000166DC38 +:04176B0000015E64B7 +:04176C0000015E6CAE +:04176D0000015E70A9 +:04176E0000015E78A0 +:04176F0000015E7C9B +:0417700000015E8492 +:0417710000015E8C89 +:0417720000015E9480 +:0417730000015E9C77 +:0417740000015EAC66 +:0417750000015EBC55 +:0417760000015ECC44 +:0417770000015EDC33 +:0417780000015EEC22 +:0417790000015EFC11 +:04177A0000015F0407 +:04177B0000015F0CFE +:04177C003EA93E291B +:04177D003EE93E699A +:04177E003E993E1939 +:04177F003ED93E59B8 +:041780003EC93E39E7 +:041781003E1D3E4D7E +:041782003E2D3EEDCD +:041783003EAD3ECD6C +:041784003E653E6D13 +:041785001C483E01BD +:041786001C501C18BF +:041787001CC81CD08E +:041788005ED85E5871 +:0417890000003EB965 +:04178A0073657250C1 +:04178B0000000073E7 +:04178C00666E6F43D3 +:04178D00006D726910 +:04178E006D73694DC1 +:04178F0068637461B6 +:041790006572202C32 +:0417910000797274F5 +:041792000000003122 +:041793000000003220 +:04179400000000331E +:04179500000000341C +:041796000000003619 +:041797000000003717 +:041798000000003815 +:04179900554E454D17 +:04179A00000000004B +:04179B0000004B4FB0 +:04179C004B43414238 +:04179D000000000048 +:04179E0000005055A2 +:04179F004E574F440E +:0417A0000000000045 +:0417A1005446454C19 +:0417A2000000000043 +:0417A3004847495218 +:0417A40000000054ED +:0417A5004F464E4914 +:0417A600000000003F +:0417A7005F44434C0C +:0417A8004B4341422C +:0417A9004847494C18 +:0417AA0000000054E7 +:0417AB004E41435315 +:0417AC00454E494C11 +:0417AD00444F4D5FF9 +:0417AE0000000045F2 +:0417AF004E41435311 +:0417B000454E494C0D +:0417B1005059545FD8 +:0417B20000000045EE +:0417B3004E4143530D +:0417B400454E494C09 +:0417B500544E495FE6 +:0417B6000000002B04 +:0417B7004E41435309 +:0417B800454E494C05 +:0417B900544E495FE2 +:0417BA000000002DFE +:0417BB00454E494C02 +:0417BC00544C554DE7 +:0417BD00444F4D5FE9 +:0417BE0000000045E2 +:0417BF0053414850FA +:0417C00000002B45B5 +:0417C10053414850F8 +:0417C20000002D45B1 +:0417C300464F5250EB +:0417C4005F454C49E8 +:0417C5004B544F48EA +:0417C6000000594581 +:0417C7006F72724586 +:0417C80000000072AB +:0417C90053206F4EEC +:0417CA0061632044F3 +:0417CB0064206472C0 +:0417CC00002E746512 +:0417CD0061766E498A +:0417CE002064696CBE +:0417CF006461656884 +:0417D000000072653E +:0417D10061766E4986 +:0417D2002064696CBA +:0417D30020726468B4 +:0417D4000043524339 +:0417D50061766E4982 +:0417D6002064696CB6 +:0417D7006174616474 +:0417D8004352432015 +:0417D900000000000C +:0417DA006164705581 +:0417DB0063206574AE +:0417DC0065636E6172 +:0417DD0064656C6C67 +:0417DE000000000007 +:0417DF0073616C4680 +:0417E00065722068A6 +:0417E10065206461BA +:0417E200000072721F +:0417E30073616C467C +:0417E40072652068A2 +:0417E50020657361A7 +:0417E60000727265B6 +:0417E70073616C4678 +:0417E800727720688C +:0417E900206574699A +:0417EA0000727265B2 +:0417EB0073616C4674 +:0417EC006576206896 +:0417ED002066697297 +:0417EE006C6961665B +:0417EF0000000000F6 +:0417F00061766E4967 +:0417F1002064696C9B +:0417F20067616D6955 +:0417F300000000658D +:0417F4004353534FB9 +:0417F50000000000F0 +:0417F600696C615663 +:0417F700697461644C +:0417F8006420676E94 +:0417F90000617461B6 +:0417FA0062207525CF +:0417FB007365747925 +:0417FC0000000000E9 +:0417FD00252E7525FB +:0417FE002575322EED +:0417FF0000732573DB +:04180000616470555A +:04180100676E697431 +:041802000057462025 +:0418030061656C703F +:041804007720657371 +:041805002E74696173 +:0418060000002E2E82 +:041807006972655647 +:041808006E69796626 +:041809006C66206782 +:04180A00006873619E +:04180B0061656C7037 +:04180C00722065736E +:04180D00617473652A +:04180E0000007472F0 +:04180F007274655238 +:04181000676E69791D +:04181100647075206A +:041812000065746198 +:041813006164705547 +:04181400203F657498 +:041815002C593D31DC +:041816004E3D3220F1 +:0418170000000000CD +:041818006D7269463E +:04181900657261771C +:04181A006470752061 +:04181B00646574612B +:04181C0000000000C8 +:04181D000001308214 +:04181E000001306035 +:04181F000001303A5A +:041820000001305241 +:04182100000131325F +:04182200000131325E +:04182300000131E6A9 +:04182400000132107D +:041825000001321C70 +:041826000001325C2F +:04182700000132305A +:041828000001314644 +:041829000001314643 +:04182A000001318AFE +:04182B00000131B6D1 +:04182C00000131B6D0 +:04182D0064206425AA +:04182E000000004274 +:04182F00656E6F442F :0418300000000000B4 -:041831006B6E694C25 -:041832006F72702041 -:04183300693E2D6677 -:041834007475706EE9 -:0418350000000000AF -:041836006B6E694C20 -:04183700706E692046 -:041838003E2D747558 -:04183900666F7270F4 -:04183A0000000000AA -:04183B0074696E4915 -:04183C00206C616952 -:04183D0075706E69EB -:04183E000000007432 -:04183F002044434CB2 -:0418400074204C4282 -:041841006F656D69F9 -:0418420000007475B9 -:041843002E77463C7A -:041844006470752037 -:041845002065746145 -:041846003E20202000 -:04184700000000009D -:041848006E776F4404 -:041849006D61732D2D -:04184A006E696C70E7 -:04184B000000006732 -:04184C0070617753FD -:04184D0066656C2040 -:04184E0069722F7418 -:04184F000074686752 -:041850002D65725040 -:0418510020434441AB -:041852006E696167F3 -:041853000000000091 -:041854006C6C7546FD -:0418550020585420A3 -:0418560075746573CD -:04185700000000701D -:0418580020335641A2 -:0418590065746E69DB -:04185A0063616C72E8 -:04185B0078696665DD -:04185C000000000088 -:04185D006E61635302 -:04185E00656E696CDE -:04185F000000007312 -:041860006E616353FF -:04186100656E696CDB -:041862007274732009 -:041863000000002E53 -:04186400202E6C5373 -:0418650072627968CA -:04186600732064691E -:04186700002E727469 -:041868006E616353F7 -:04186900656E696CD3 -:04186A0074656D2014 -:04186B0000646F683E -:04186C006E616353F3 -:04186D00656E696CCF -:04186E0070797420F9 -:04186F000000006510 -:041870006E616353EF -:04187100656E696CCB -:04187200696C61201C -:041873002E6D6E6701 -:041874000000000070 -:0418750069726F48DD -:04187600746E6F7AA3 -:041877006D206C6113 -:04187800006B73612D -:0418790074726556CA -:04187A006C616369D1 -:04187B0073616D2008 -:04187C000000006BFD -:04187D006B73614DDB -:04187E006972622009 -:04187F006E746867B4 -:041880000073736519 -:0418810065766552D1 -:0418820020657372F8 -:041883000046504C7F -:041884005949443C3E -:0418850074616C20FE -:041886006574202E37 -:04188700003E747338 -:041888007030343256 -:041889003838322F8A -:04188A0072702070E8 -:04188B000000636F87 -:04188C007034383349 -:04188D006F727020E6 -:04188E0000000063F3 -:04188F006930383450 -:041890003637352F83 -:0418910072702069E8 -:041892000000636F80 -:041893007030383445 -:041894003637352F7F -:0418950072702070DD -:041896000000636F7C -:041897006930363945 -:041898003830312F84 -:041899007020693022 -:04189A0000636F7206 -:04189B00656E694CC1 -:04189C006D20783211 -:04189D000065646F0F -:04189E00656E694CBE -:04189F006D2078330D -:0418A0000065646F0C -:0418A100656E694CBB -:0418A2006D20783409 -:0418A3000065646F09 -:0418A400656E694CB8 -:0418A5006D20783505 -:0418A6000065646F06 -:0418A700656E694CB5 -:0418A8006620783509 -:0418A900616D726F8C -:0418AA0000000074C6 -:0418AB007836353224 -:0418AC002030343282 -:0418AD00657073618E -:0418AE00000074635F -:0418AF006D205854FC -:0418B0000065646FFC -:0418B100494D444811 -:0418B2004354492032 -:0418B3000000000031 -:0418B4006C616E41B4 -:0418B5007320676FC6 -:0418B60020636E79C4 -:0418B7000046504C4B -:0418B8006C616E41B0 -:0418B9007320676FC2 -:0418BA0020636E79C0 -:0418BB0000687456F7 -:0418BC006E79734886 -:0418BD006F742063C1 -:0418BE006172656C82 -:0418BF000065636EEF -:0418C0006E79735674 -:0418C10068742063C4 -:0418C2006873657270 -:0418C30000646C6FE2 -:0418C4004C502D480F -:0418C5007250204CF1 -:0418C6006F432D65DA -:0418C70000747361D5 -:0418C8004C502D480B -:0418C9006F50204CF0 -:0418CA00432D7473C3 -:0418CB007473616F62 -:0418CC000000000018 -:0418CD00706D615386 -:0418CE00676E696C6C -:0418CF0061687020BC -:0418D000000065733C -:0418D1007030383407 -:0418D200206E6920FB -:0418D300706D617360 -:0418D4000072656CCD -:0418D5006F6C6C4187 -:0418D60056542077CD -:0418D7005048205005 -:0418D80078324C4CCA -:0418D900000000000B -:0418DA006F6C6C4182 -:0418DB00707520778D -:0418DC00706D617357 -:0418DD007832656C8C -:0418DE000000000006 -:0418DF007664413CAE -:0418E0006974202ED9 -:0418E100676E696D58 -:0418E2003E20202064 -:0418E3000000000001 -:0418E4006564695678 -:0418E500504C206FD4 -:0418E60000000046B8 -:0418E70050625059A2 -:0418E8006E69207293 -:0418E9006C6F4320BD -:0418EA0000617053D6 -:0418EB0072502F52B6 -:0418EC0066666F209D -:0418ED0000746573AB -:0418EE0020592F4707 -:0418EF007366666F47 -:0418F000000074651B -:0418F10062502F42D0 -:0418F20066666F2097 -:0418F30000746573A5 -:0418F40072502F52AD -:0418F500696167209E -:0418F6000000006E80 -:0418F70020592F47FE -:0418F8006E6961674D -:0418F90000000000EB -:0418FA0062502F42C7 -:0418FB006961672098 -:0418FC000000006E7A -:0418FD002D65725093 -:0418FE0020434441FE -:0418FF006E69614766 -:0419000000000000E3 -:0419010073202E48D9 -:041902006C706D6137 -:041903007461726534 -:04190400000000657A -:0419050073202E48D5 -:041906006C636E7927 -:0419070000006E6509 -:0419080062202E48E3 -:04190900706B63613B -:04190A006863726F2D -:04190B0000000000D8 -:04190C0061202E48E0 -:04190D007669746320 -:04190E000000006570 -:04190F0073202E56BD -:041910006C636E791D -:0419110000006E65FF -:0419120062202E56CB -:04191300706B636131 -:041914006863726F23 -:0419150000000000CE -:0419160061202E56C8 -:041917007669746316 -:041918000000006566 -:041919000066664FAF -:04191A000000733323 -:04191B0000733031F4 -:04191C0000733033F1 -:04191D002D706F5466 -:04191E007466656C1A -:04191F0000000000C4 -:04192000746E654339 -:0419210000007265EB -:0419220074746F4228 -:04192300722D6D6F45 -:041924007468676913 -:0419250000000000BE -:041926002066664F82 -:04192700207366289B -:041928003639203DEF -:04192900297A486B64 -:04192A0000000000B9 -:04192B0020207832CE -:04192C002073662896 -:04192D003834203DED -:04192E00297A486B5F -:04192F0000000000B4 -:0419300000706F5480 -:0419310074746F4219 -:0419320000006D6FD5 -:0419330069726F481E -:04193400746E6F7AE4 -:0419350000006C61E1 -:04193600747265560C -:041937006C61636913 -:0419380000000000AB -:0419390065746C4124 -:04193A0074616E72F4 -:04193B0000676E696A -:04193C00746C754D05 -:04193D00696C7069F8 -:04193E006974616304 -:04193F0000006E6FC7 -:041940007462755305 -:0419410074636172F8 -:04194200006E6F695B -:041943006F74754107 -:04194400000000009F -:04194500756E614D0D -:0419460000006C61D0 -:04194700494D44487A -:04194800000000009B -:0419490000495644B7 -:04194A0000373A38F0 -:04194B007373615001 -:04194C0075726874D4 -:04194D000000000096 -:04194E00656E694C0D -:04194F0028207832A2 -:0419500029626F6237 -:041951000000000092 -:04195200656E694C09 -:0419530000007832E6 -:04195400656E694C07 -:04195500282078339B -:041956006563616CF8 -:0419570000002964FF -:04195800656E694C03 -:041959002820783496 -:04195A0029626F622D +:041831006C69614637 +:0418320000006465E9 +:041833000000732519 +:04183400252E7525C3 +:041835002075322EBA +:0418360000007375C6 +:041837006C20752587 +:0418380073656E69FD +:0418390000000000AB +:04183A006C206F4E61 +:04183B00006B6E6967 +:04183C000001749B98 +:04183D0000000002A5 +:04183E0000012F6E08 +:04183F00000165B48B +:04184000000165C07E +:04184100000165C875 +:041842007020752578 +:041843006C657869EF +:04184400000000732D +:041845000001749D8D +:041846000000000995 +:0418470000012E204E +:04184800642064258F +:0418490000006765CF +:04184A0025257525B6 +:04184B000000000099 +:04184C006564695610 +:04184D006E69206F31 +:04184E006F72702025 +:04184F003E202063B4 +:041850000000000094 +:04185100706D615302 +:04185200676E696CE8 +:0418530074706F201E +:041854003E20202EE4 +:04185500000000008F +:04185600636E7953F1 +:0418570074706F201A +:041858002020202EFE +:041859003E202020ED +:04185A00000000008A +:04185B007074754FE1 +:04185C006F20747510 +:04185D00202E747055 +:04185E003E202020E8 +:04185F000000000085 +:0418600074736F50DE +:041861006F72702D05 +:0418620020202E63B1 +:041863003E202020E3 +:041864000000000080 +:04186500706D6F43F0 +:0418660062697461DE +:0418670074696C69CB +:041868003E20207985 +:04186900000000007B +:04186A0069647541F7 +:04186B00706F206F0B +:04186C006E6F6974BE +:04186D003E20207386 +:04186E000000000076 +:04186F0074746553D5 +:0418700073676E69C3 +:0418710074706F2000 +:041872003E202020D4 +:041873000000000071 +:04187400616F4C3C18 +:041875007270206409 +:041876006C69666FC4 +:04187700003E2065AA +:041878007661533C06 +:041879007270206504 +:04187A006C69666FC0 +:04187B00003E2065A6 +:04187C007365523C02 +:04187D0073207465FB +:04187E0069747465B0 +:04187F003E73676EDF +:041880000000000064 +:041881006B6E694CD5 +:041882006F727020F1 +:04188300693E2D6627 +:041884007475706E99 +:04188500000000005F +:041886006B6E694CD0 +:04188700706E6920F6 +:041888003E2D747508 +:04188900666F7270A4 +:04188A00000000005A +:04188B0074696E49C5 +:04188C00206C616902 +:04188D0075706E699B +:04188E0000000074E2 +:04188F002044434C62 +:0418900074204C4232 +:041891006F656D69A9 +:041892000000747569 +:041893002E77463C2A +:0418940064707520E7 +:0418950020657461F5 +:041896003E202020B0 +:04189700000000004D +:041898006E776F44B4 +:041899006D61732DDD +:04189A006E696C7097 +:04189B0000000067E2 +:04189C0070617753AD +:04189D0066656C20F0 +:04189E0069722F74C8 +:04189F000074686702 +:0418A0002D657250F0 +:0418A100204344415B +:0418A2006E696167A3 +:0418A3000000000041 +:0418A4006C6C7546AD +:0418A5002058542053 +:0418A600757465737D +:0418A70000000070CD +:0418A8002033564152 +:0418A90065746E698B +:0418AA0063616C7298 +:0418AB00786966658D +:0418AC000000000038 +:0418AD006E616353B2 +:0418AE00656E696C8E +:0418AF0000000073C2 +:0418B0006E616353AF +:0418B100656E696C8B +:0418B20072747320B9 +:0418B3000000002E03 +:0418B400202E6C5323 +:0418B500726279687A +:0418B60073206469CE +:0418B700002E727419 +:0418B8006E616353A7 +:0418B900656E696C83 +:0418BA0074656D20C4 +:0418BB0000646F68EE +:0418BC006E616353A3 +:0418BD00656E696C7F +:0418BE0070797420A9 +:0418BF0000000065C0 +:0418C0006E6163539F +:0418C100656E696C7B +:0418C200696C6120CC +:0418C3002E6D6E67B1 +:0418C4000000000020 +:0418C50069726F488D +:0418C600746E6F7A53 +:0418C7006D206C61C3 +:0418C800006B7361DD +:0418C900747265567A +:0418CA006C61636981 +:0418CB0073616D20B8 +:0418CC000000006BAD +:0418CD006B73614D8B +:0418CE0069726220B9 +:0418CF006E74686764 +:0418D00000737365C9 +:0418D1006576655281 +:0418D20020657372A8 +:0418D3000046504C2F +:0418D4005949443CEE +:0418D50074616C20AE +:0418D6006574202EE7 +:0418D700003E7473E8 +:0418D8007030343206 +:0418D9003838322F3A +:0418DA007270207098 +:0418DB000000636F37 +:0418DC0070343833F9 +:0418DD006F72702096 +:0418DE0000000063A3 +:0418DF006930383400 +:0418E0003637352F33 +:0418E1007270206998 +:0418E2000000636F30 +:0418E30070303834F5 +:0418E4003637352F2F +:0418E500727020708D +:0418E6000000636F2C +:0418E70069303639F5 +:0418E8003830312F34 +:0418E90070206930D2 +:0418EA0000636F72B6 +:0418EB00656E694C71 +:0418EC006D207832C1 +:0418ED000065646FBF +:0418EE00656E694C6E +:0418EF006D207833BD +:0418F0000065646FBC +:0418F100656E694C6B +:0418F2006D207834B9 +:0418F3000065646FB9 +:0418F400656E694C68 +:0418F5006D207835B5 +:0418F6000065646FB6 +:0418F700656E694C65 +:0418F80066207835B9 +:0418F900616D726F3C +:0418FA000000007476 +:0418FB0078363532D4 +:0418FC002030343232 +:0418FD00657073613E +:0418FE00000074630F +:0418FF006D205854AC +:041900000065646FAB +:04190100494D4448C0 +:0419020043544920E1 +:0419030000000000E0 +:041904006C616E4163 +:041905007320676F75 +:0419060020636E7973 +:041907000046504CFA +:041908006C616E415F +:041909007320676F71 +:04190A0020636E796F +:04190B0000687456A6 +:04190C006E79734835 +:04190D006F74206370 +:04190E006172656C31 +:04190F000065636E9E +:041910006E79735623 +:041911006874206373 +:04191200687365721F +:0419130000646C6F91 +:041914004C502D48BE +:041915007250204CA0 +:041916006F432D6589 +:041917000074736184 +:041918004C502D48BA +:041919006F50204C9F +:04191A00432D747372 +:04191B007473616F11 +:04191C0000000000C7 +:04191D00706D615335 +:04191E00676E696C1B +:04191F00616870206B +:0419200000006573EB +:0419210070303834B6 +:04192200206E6920AA +:04192300706D61730F +:041924000072656C7C +:041925006F6C6C4136 +:04192600565420777C +:0419270050482050B4 +:0419280078324C4C79 +:0419290000000000BA +:04192A006F6C6C4131 +:04192B00707520773C +:04192C00706D617306 +:04192D007832656C3B +:04192E0000000000B5 +:04192F007664413C5D +:041930006974202E88 +:04193100676E696D07 +:041932003E20202013 +:0419330000000000B0 +:041934006564695627 +:04193500504C206F83 +:041936000000004667 +:041937005062505951 +:041938006E69207242 +:041939006C6F43206C +:04193A000061705385 +:04193B0072502F5265 +:04193C0066666F204C +:04193D00007465735A +:04193E0020592F47B6 +:04193F007366666FF6 +:0419400000007465CA +:0419410062502F427F +:0419420066666F2046 +:041943000074657354 +:0419440072502F525C +:04194500696167204D +:041946000000006E2F +:0419470020592F47AD +:041948006E696167FC +:04194900000000009A +:04194A0062502F4276 +:04194B006961672047 +:04194C000000006E29 +:04194D002D65725042 +:04194E0020434441AD +:04194F006E69614715 +:041950000000000093 +:0419510073202E4889 +:041952006C706D61E7 +:0419530074617265E4 +:04195400000000652A +:0419550073202E4885 +:041956006C636E79D7 +:0419570000006E65B9 +:0419580062202E4893 +:04195900706B6361EB +:04195A006863726FDD :04195B000000000088 -:04195C00656E694CFF -:04195D0000007833DB -:04195E00656E694CFD -:04195F0000007834D8 -:04196000656E694CFB -:0419610000007835D5 -:0419620030323931B5 -:04196300383031786F -:04196400000000304F -:0419650030303631B7 -:041966003032317872 -:04196700000000304C -:0419680030323931AF -:04196900303231786F -:04196A000000003049 -:04196B00656E6547F9 -:04196C002063697219 -:04196D0000333A34D5 -:04196E007830323368 -:04196F0020303432BE -:041970006974706FB7 -:0419710000002E6DD7 -:04197200783635325C -:0419730020303432BA -:041974006974706FB3 -:0419750000002E6DD3 -:04197600656E6547EE -:04197700206369720E -:04197800393A363191 -:04197900000000006A -:04197A004D352E3287 -:04197B0028207A485E -:04197C002978616DF8 -:04197D000000000066 -:04197E00484D30316F -:04197F006D28207A35 -:041980000029646571 -:04198100484D333367 -:041982006D28207A32 -:0419830000296E6960 -:041984002056544451 -:041985007030383452 -:04198600000000005D -:04198700415345562D -:0419880030343620A1 -:041989003038347846 -:04198A0000303640B3 -:04198B002E63655210 -:04198C0031303620A0 -:04198D000000000056 -:04198E002E6365520D -:04198F003930372094 -:041990000000000053 -:04199100484D35394F -:041992004828207A47 -:041993002056544442 -:041994000029494994 -:04199500484D353351 -:041996004828207A43 -:04199700205654443E -:0419980000002949D9 -:04199900484D36314E -:04199A004528207A42 -:04199B002956544431 -:04199C000000000047 -:04199D007A484D39FE -:04199E004453282066 -:04199F000029565471 -:0419A00000006E4F86 -:0419A1006D2064252C -:0419A20000000056EB -:0419A30020202020C0 -:0419A40000007525A5 -:0419A5000001735A70 -:0419A6000000001C21 -:0419A70000012EE429 -:0419A80044525355FD -:0419A9000041544164 -:0419AA000001662CA6 -:0419AB000000200018 -:0419AC0020002CE506 -:0419AD00E926F4FD36 -:0419AE0038BC200021 -:0419AF000000000034 -:0419B0000001663894 -:0419B1000000200012 -:0419B2002000323EA1 -:0419B300F113FA042E -:0419B4003B61200073 -:0419B500000000002E -:0419B6000001418467 -:0419B7000001418466 -:0419B8000001418465 -:0419B9000001418266 -:0419BA000001423AAC -:0419BB000001418462 -:0419BC003633353158 -:0419BD003034327818 -:0419BE00060000001F -:0419BF0007FE00F02F -:0419C0000FEA010623 -:0419C1000112039676 -:0419C200323120029C -:0419C300327830380E -:0419C40000003034BB -:0419C50000F0050029 -:0419C60001060618F8 -:0419C70003480FAA18 -:0419C80004420112C2 -:0419C9007830363903 -:0419CA000030343283 -:0419CB0003C0000055 -:0419CC00049200F091 -:0419CD000F80010680 -:0419CE0001120336C9 -:0419CF00323300822D -:0419D0003432783005 -:0419D10000000030E2 -:0419D20000F00140E0 -:0419D300010601AA5E -:0419D400031F0E31AE -:0419D50049100112A2 -:0419D60078363532F8 -:0419D7000030343276 -:0419D800010000000A -:0419D900015500F0C4 -:0419DA000E270106CD -:0419DB0001120319D9 -:0419DC0034329220EF -:0419DD000000703066 -:0419DE000000000005 -:0419DF0000F002D042 -:0419E0000106035A9F -:0419E100033E0F3979 -:0419E200000E0112E0 -:0419E3003633353131 -:0419E40030343278F1 -:0419E5000600004CAC -:0419E60007FE00F008 -:0419E70029EA0138B0 -:0419E800011203964F -:0419E9003231200275 -:0419EA0032783038E7 -:0419EB000000383888 -:0419EC0001200500D1 -:0419ED00013806189F -:0419EE0003480FAAF1 -:0419EF00044201129B -:0419F00078303639DC -:0419F1000038383250 -:0419F20003C000002E -:0419F3000492012039 -:0419F4000F80013827 -:0419F50001120336A2 -:0419F6003233008206 -:0419F70034327830DE -:0419F80000424C302D -:0419F90000F00140B9 -:0419FA00013801AA05 -:0419FB00031F29316C -:0419FC00491001127B -:0419FD0078363532D1 -:0419FE004C30343203 -:0419FF0001000042A1 -:041A0000015500F09C -:041A01002927013858 -:041A020001120319B1 -:041A030038329220C3 -:041A04000000703836 +:04195C0061202E4890 +:04195D0076697463D0 +:04195E000000006520 +:04195F0073202E566D +:041960006C636E79CD +:0419610000006E65AF +:0419620062202E567B +:04196300706B6361E1 +:041964006863726FD3 +:04196500000000007E +:0419660061202E5678 +:0419670076697463C6 +:041968000000006516 +:041969000066664F5F +:04196A0000007333D3 +:04196B0000733031A4 +:04196C0000733033A1 +:04196D002D706F5416 +:04196E007466656CCA +:04196F000000000074 +:04197000746E6543E9 +:04197100000072659B +:0419720074746F42D8 +:04197300722D6D6FF5 +:0419740074686769C3 +:04197500000000006E +:041976002066664F32 +:04197700207366284B +:041978003639203D9F +:04197900297A486B14 +:04197A000000000069 +:04197B00202078327E +:04197C002073662846 +:04197D003834203D9D +:04197E00297A486B0F +:04197F000000000064 +:0419800000706F5430 +:0419810074746F42C9 +:0419820000006D6F85 +:0419830069726F48CE +:04198400746E6F7A94 +:0419850000006C6191 +:0419860074726556BC +:041987006C616369C3 +:04198800000000005B +:0419890065746C41D4 +:04198A0074616E72A4 +:04198B0000676E691A +:04198C00746C754DB5 +:04198D00696C7069A8 +:04198E0069746163B4 +:04198F0000006E6F77 +:0419900074627553B5 +:0419910074636172A8 +:04199200006E6F690B +:041993006F747541B7 +:04199400000000004F +:04199500756E614DBD +:0419960000006C6180 +:04199700494D44482A +:04199800000000004B +:041999000049564467 +:04199A0000373A38A0 +:04199B0073736150B1 +:04199C007572687484 +:04199D000000000046 +:04199E00656E694CBD +:04199F002820783252 +:0419A00029626F62E7 +:0419A1000000000042 +:0419A200656E694CB9 +:0419A3000000783296 +:0419A400656E694CB7 +:0419A500282078334B +:0419A6006563616CA8 +:0419A70000002964AF +:0419A800656E694CB3 +:0419A9002820783446 +:0419AA0029626F62DD +:0419AB000000000038 +:0419AC00656E694CAF +:0419AD00000078338B +:0419AE00656E694CAD +:0419AF000000783488 +:0419B000656E694CAB +:0419B1000000783585 +:0419B2003032393165 +:0419B300383031781F +:0419B40000000030FF +:0419B5003030363167 +:0419B6003032317822 +:0419B70000000030FC +:0419B800303239315F +:0419B900303231781F +:0419BA0000000030F9 +:0419BB00656E6547A9 +:0419BC0020636972C9 +:0419BD0000333A3485 +:0419BE007830323318 +:0419BF00203034326E +:0419C0006974706F67 +:0419C10000002E6D87 +:0419C200783635320C +:0419C300203034326A +:0419C4006974706F63 +:0419C50000002E6D83 +:0419C600656E65479E +:0419C70020636972BE +:0419C800393A363141 +:0419C900000000001A +:0419CA004D352E3237 +:0419CB0028207A480E +:0419CC002978616DA8 +:0419CD000000000016 +:0419CE00484D30311F +:0419CF006D28207AE5 +:0419D0000029646521 +:0419D100484D333317 +:0419D2006D28207AE2 +:0419D30000296E6910 +:0419D4002056544401 +:0419D5007030383402 +:0419D600000000000D +:0419D70041534556DD +:0419D8003034362051 +:0419D90030383478F6 +:0419DA000030364063 +:0419DB002E636552C0 +:0419DC003130362050 +:0419DD000000000006 +:0419DE002E636552BD +:0419DF003930372044 +:0419E0000000000003 +:0419E100484D3539FF +:0419E2004828207AF7 +:0419E30020565444F2 +:0419E4000029494944 +:0419E500484D353301 +:0419E6004828207AF3 +:0419E70020565444EE +:0419E8000000294989 +:0419E900484D3631FE +:0419EA004528207AF2 +:0419EB0029565444E1 +:0419EC0000000000F7 +:0419ED007A484D39AE +:0419EE004453282016 +:0419EF000029565421 +:0419F00000006E4F36 +:0419F1006D206425DC +:0419F200000000569B +:0419F3002020202070 +:0419F4000000752555 +:0419F5000001749ADF +:0419F6000000001CD1 +:0419F70000012F5468 +:0419F80044525355AD +:0419F9000041544114 +:0419FA000001676C15 +:0419FB0000002000C8 +:0419FC0020002CE5B6 +:0419FD00E926F4FDE6 +:0419FE0038BC2000D1 +:0419FF0000000000E4 +:041A00000001677802 +:041A010000002000C1 +:041A02002000323E50 +:041A0300F113FA04DD +:041A04003B61200022 :041A050000000000DD -:041A0600012002D0E9 -:041A0700013803603F -:041A0800033F134540 -:041A0900000E0112B8 -:041A0A0070343833C9 -:041A0B0000000000D7 -:041A0C0001F00000E5 -:041A0D0002800180D2 -:041A0E001D3201A7DD -:041A0F000204033E8C -:041A10003436000E5A -:041A110030347830C5 -:041A120000000030A0 -:041A130001900280BC -:041A140001C10320E9 -:041A15000260243017 -:041A1600000C0210AE -:041A170078303436B9 -:041A1800003438332B -:041A19000280000047 -:041A1A000320018024 -:041A1B003F3001EC6B -:041A1C000210026052 -:041A1D003834000E4B -:041A1E00000069302B -:041A1F0000000000C3 -:041A200000F002D000 -:041A2100020D035A55 -:041A2200033E0F3937 -:041A2300044F031257 -:041A240070303834B2 -:041A250000000000BD -:041A260002D00000EA -:041A2700035A01E07D -:041A28001E3C020D51 -:041A29000414063E5D -:041A2A003436000C42 -:041A2B0038347830A3 -:041A2C000000003086 -:041A2D0001E0028052 -:041A2E00020D032082 -:041A2F000260213000 -:041A3000000C04148E -:041A3100783034369F -:041A32000032313518 -:041A3300028000002D -:041A34000320020089 -:041A35001C30023827 -:041A36000414026032 -:041A37003735000C33 -:041A3800000069360B -:041A390000000000A9 -:041A3A00012002D0B5 -:041A3B0002710360D1 -:041A3C00033F13450C -:041A3D00044F03123D -:041A3E007036373592 -:041A3F0000000000A3 -:041A400002D00000D0 -:041A410003600240FC -:041A420027440271C2 -:041A43000404054052 -:041A44003038000C2A -:041A4500303678308F -:041A4600000000306C -:041A4700025803201E -:041A48000274042000 -:041A490004801758A6 -:041A4A000004001084 -:041A4B00703032378E -:041A4C000000000096 -:041A4D000500000090 -:041A4E00067202D04A -:041A4F0014DC02EEB3 -:041A5000001805284D -:041A5100303100042C -:041A5200377834327B -:041A53000000383621 -:041A54000300040087 -:041A5500032605401F -:041A560006881DA041 -:041A57000004001077 -:041A580030383231BF -:041A5900323031787E -:041A5A00050000344F -:041A5B0006980400E5 -:041A5C0026F8042A3A -:041A5D000010037002 -:041A5E003436000416 -:041A5F00363978306C -:041A600000006930E9 -:041A610001E002801E -:041A6200041A03203F -:041A630002602130CC -:041A6400000D051458 -:041A650030383031B4 -:041A66000000006913 -:041A670007800000F4 -:041A68000898021CBC -:041A6900109404656C -:041A6A000518052C2A -:041A6B003031000D09 -:041A6C00007030389E -:041A6D000000000075 -:041A6E0004380780B1 -:041A6F00046508986A -:041A7000052C249489 -:041A71000004001855 -:041A720030303631A9 -:041A73003032317864 -:041A740006400030F8 -:041A7500087004B041 -:041A76002EFF04E259 -:041A7700001003F167 -:041A78000000000466 -:041A79007665642FFB -:041A7A006370652F01 -:041A7B006F635F71C5 -:041A7C006F72746EA3 -:041A7D0072656C6CB6 -:041A7E00615F305F15 -:041A7F006D5F6C76B5 -:041A800000006D6590 -:041A81002B302D23B6 -:041A82000000002040 -:041A8300004C6C683F -:041A840045676665E7 -:041A850000004746D0 -:041A86003332313096 -:041A87003736353485 -:041A88004241393866 -:041A89004645444347 -:041A8A000000000058 -:041A8B003332313091 -:041A8C003736353480 -:041A8D006261393821 -:041A8E0066656463C2 +:041A0600000142C4D5 +:041A0700000142C4D4 +:041A0800000142C4D3 +:041A0900000142C2D4 +:041A0A000001437A1A +:041A0B00000142C4D0 +:041A0C003633353107 +:041A0D0030343278C7 +:041A0E0006000000CE +:041A0F0007FE00F0DE +:041A10000FEA0106D2 +:041A11000112039625 +:041A1200323120024B +:041A130032783038BD +:041A1400000030346A +:041A150000F00500D8 +:041A160001060618A7 +:041A170003480FAAC7 +:041A18000442011271 +:041A190078303639B2 +:041A1A000030343232 +:041A1B0003C0000004 +:041A1C00049200F040 +:041A1D000F8001062F +:041A1E000112033678 +:041A1F0032330082DC +:041A200034327830B4 +:041A21000000003091 +:041A220000F001408F +:041A2300010601AA0D +:041A2400031F0E315D +:041A25004910011251 +:041A260078363532A7 +:041A27000030343225 +:041A280001000000B9 +:041A2900015500F073 +:041A2A000E2701067C +:041A2B000112031988 +:041A2C00343292209E +:041A2D000000703015 +:041A2E0000000000B4 +:041A2F0000F002D0F1 +:041A30000106035A4E +:041A3100033E0F3928 +:041A3200000E01128F +:041A330036333531E0 +:041A340030343278A0 +:041A35000600004C5B +:041A360007FE00F0B7 +:041A370029EA01385F +:041A380001120396FE +:041A39003231200224 +:041A3A003278303896 +:041A3B000000383837 +:041A3C000120050080 +:041A3D00013806184E +:041A3E0003480FAAA0 +:041A3F00044201124A +:041A4000783036398B +:041A410000383832FF +:041A420003C00000DD +:041A430004920120E8 +:041A44000F800138D6 +:041A45000112033651 +:041A460032330082B5 +:041A4700343278308D +:041A480000424C30DC +:041A490000F0014068 +:041A4A00013801AAB4 +:041A4B00031F29311B +:041A4C00491001122A +:041A4D007836353280 +:041A4E004C303432B2 +:041A4F000100004250 +:041A5000015500F04C +:041A51002927013808 +:041A52000112031961 +:041A53003832922073 +:041A540000007038E6 +:041A5500000000008D +:041A5600012002D099 +:041A570001380360EF +:041A5800033F1345F0 +:041A5900000E011268 +:041A5A007034383379 +:041A5B000000000087 +:041A5C0001F0000095 +:041A5D000280018082 +:041A5E001D3201A78D +:041A5F000204033E3C +:041A60003436000E0A +:041A61003034783075 +:041A62000000003050 +:041A6300019002806C +:041A640001C1032099 +:041A650002602430C7 +:041A6600000C02105E +:041A67007830343669 +:041A680000343833DB +:041A690002800000F7 +:041A6A0003200180D4 +:041A6B003F3001EC1B +:041A6C000210026002 +:041A6D003834000EFB +:041A6E0000006930DB +:041A6F000000000073 +:041A700000F002D0B0 +:041A7100020D035A05 +:041A7200033E0F39E7 +:041A7300044F031207 +:041A74007030383462 +:041A7500000000006D +:041A760002D000009A +:041A7700035A01E02D +:041A78001E3C020D01 +:041A79000414063E0D +:041A7A003436000CF2 +:041A7B003834783053 +:041A7C000000003036 +:041A7D0001E0028002 +:041A7E00020D032032 +:041A7F0002602130B0 +:041A8000000C04143E +:041A8100783034364F +:041A820000323135C8 +:041A830002800000DD +:041A84000320020039 +:041A85001C300238D7 +:041A860004140260E2 +:041A87003735000CE3 +:041A880000006936BB +:041A89000000000059 +:041A8A00012002D065 +:041A8B000271036081 +:041A8C00033F1345BC +:041A8D00044F0312ED +:041A8E007036373542 :041A8F000000000053 -:041A90001A808080B8 -:041A910000081A1A15 -:041A92000000000050 -:041A9300000000004F -:041A9400000169E400 -:041A9500000000004D -:041A9600000000004C -:041A97000001032A1D -:041A9800000103DE68 -:041A9900000101EE59 -:041A9A000001020A3B -:041A9B0000010256EE -:041A9C0000800000C6 -:041A9D0000800000C5 -:041A9E000000000044 -:041A9F000000000043 -:041AA0000000000042 -:041AA1000000000041 -:041AA2000000000040 -:041AA300000000003F -:041AA400000000003E -:041AA500000000003D -:041AA600000000003C -:041AA700000000003B -:041AA800000000003A -:041AA9000000000039 -:041AAA000000000038 -:041AAB000000000037 -:041AAC000000000036 -:041AAD000000000035 -:041AAE000000000034 -:041AAF000000000033 -:041AB0000000000032 -:041AB1000000000031 -:041AB2000000000030 -:041AB300000000002F -:041AB400000000002E -:041AB500000000002D -:041AB600000000002C -:041AB700000000002B -:041AB800000000002A -:041AB9000000000029 -:041ABA000000000028 -:041ABB000000000027 -:041ABC000000000026 +:041A900002D0000080 +:041A910003600240AC +:041A92002744027172 +:041A93000404054002 +:041A94003038000CDA +:041A9500303678303F +:041A9600000000301C +:041A970002580320CE +:041A980002740420B0 +:041A99000480175856 +:041A9A000004001034 +:041A9B00703032373E +:041A9C000000000046 +:041A9D000500000040 +:041A9E00067202D0FA +:041A9F0014DC02EE63 +:041AA00000180528FD +:041AA10030310004DC +:041AA200377834322B +:041AA30000003836D1 +:041AA4000300040037 +:041AA50003260540CF +:041AA60006881DA0F1 +:041AA7000004001027 +:041AA800303832316F +:041AA900323031782E +:041AAA0005000034FF +:041AAB000698040095 +:041AAC0026F8042AEA +:041AAD0000100370B2 +:041AAE0034360004C6 +:041AAF00363978301C +:041AB0000000693099 +:041AB10001E00280CE +:041AB200041A0320EF +:041AB300026021307C +:041AB400000D051408 +:041AB5003038303164 +:041AB60000000069C3 +:041AB70007800000A4 +:041AB8000898021C6C +:041AB900109404651C +:041ABA000518052CDA +:041ABB003031000DB9 +:041ABC00007030384E :041ABD000000000025 -:041ABE000000000024 -:041ABF00000101948D -:041AC00000800000A2 -:041AC1000100000020 -:041AC200000201001D -:041AC300008000009F -:041AC400000000011D -:041AC500000000809D -:041AC600000100001B -:041AC700000001001A -:041AC800000000001A -:041AC900020E0409FC -:041ACA003D0E00C805 -:041ACB003F6E0383E4 -:041ACC003ED03DAC1F -:041ACD00000003838F -:041ACE00026404B2F8 -:041ACF003C9300E95B -:041AD0003F56041663 -:041AD1003E9F3D49AE -:041AD20000000416F6 -:041AD300017804E5AD -:041AD4003CCE008183 -:041AD5003FAE03839A -:041AD6003F333D4914 -:041AD7000000038385 -:041AD80001B405B898 -:041AD9003C490093F1 -:041ADA003F9F041610 -:041ADB003F103CD9A3 -:041ADC0000000416EC -:041ADD0000015AB8F2 -:041ADE0000015AC8E1 -:041ADF0000015AD4D4 -:041AE00000015AE0C7 -:041AE10000015AECBA -:041AE20000015AF8AD -:041AE30000015B049F -:041AE40000015B1092 -:041AE50000015B1C85 -:041AE60000015B2878 -:041AE70000015B346B -:041AE800000165ACE8 -:041AE900000165B8DB -:041AEA00000165C8CA -:041AEB00000165D8B9 -:041AEC00000165ACE4 -:041AED00000165B8D7 -:041AEE00000165C8C6 -:041AEF000001658805 -:041AF00000016594F8 -:041AF100000165A0EB -:041AF2000001646427 -:041AF3000001646822 -:041AF4000001646C1D -:041AF5000001647018 -:041AF6000001640483 -:041AF70000000002E9 -:041AF8000001739CDA -:041AF90008FC012CB8 -:041AFA0000011CD0FB -:041AFB00000164146E -:041AFC0000000002E4 -:041AFD000001741858 -:041AFE0000FF000ADB -:041AFF0000011CD0F6 -:041B0000000164205C -:041B010000000002DE -:041B02000001735813 -:041B030000FF0001DE -:041B040000011CD0F0 -:041B05000001643047 -:041B060000000002D9 -:041B07000001738CDA -:041B0800078000C88A -:041B090000011CD0EB -:041B0A000001643C36 -:041B0B0000000002D4 -:041B0C0000017386DB -:041B0D0000070001CC -:041B0E0000011CD0E6 -:041B0F000001644825 -:041B100000000002CF -:041B11000001741249 -:041B1200003F00018F -:041B130000011CD0E1 -:041B14000001645810 -:041B150000000002CA -:041B16000001738ACD -:041B170004B000C84E -:041B180000011CD0DC -:041B19000001612046 -:041B1A0000000000C7 -:041B1B00000174420F -:041B1C0000010001C3 -:041B1D000001727CD5 -:041B1E000001613031 -:041B1F0000000000C2 -:041B20000001744309 -:041B210000010001BE -:041B220000017284C8 -:041B2300000161401C -:041B240000000001BC -:041B25000001744403 -:041B260000180000A3 -:041B270000012EC8C3 -:041B28000001615007 -:041B290000000000B8 -:041B2A000001743F03 -:041B2B0000010001B4 -:041B2C0000017284BE -:041B2D0000016160F2 -:041B2E0000000000B3 -:041B2F0000017440FD -:041B300000010001AF -:041B310000017284B9 -:041B320000015FF05F -:041B330000000003AB -:041B34000001730831 -:041B350000000000AC -:041B360000000000AB -:041B37000001600445 -:041B380000000003A6 -:041B3900000172F045 -:041B3A0000000000A7 -:041B3B0000000000A6 -:041B3C00000160182C -:041B3D0000000003A1 -:041B3E00000173002F -:041B3F0000000000A2 -:041B400000000000A1 -:041B41000001602C13 -:041B4200000000039C -:041B4300000172E04B -:041B4400000000009D -:041B4500000000009C -:041B460000016040FA -:041B47000000000397 -:041B4800000172E83E -:041B49000000000098 -:041B4A000000000097 -:041B4B0000016054E1 -:041B4C000000000392 -:041B4D00000172D051 -:041B4E000000000093 -:041B4F000000000092 -:041B500000016068C8 -:041B5100000000038D -:041B5200000172C854 -:041B5300000000008E -:041B5400000000008D -:041B55000001607CAF -:041B56000000000388 -:041B5700000172F81F -:041B58000000000089 -:041B59000000000088 -:041B5A000001622004 -:041B5B000000000086 -:041B5C0000017428E8 -:041B5D00000400017F -:041B5E000001719081 -:041B5F0000016230EF -:041B60000000000081 -:041B610000017429E2 -:041B6200000100017D -:041B63000001729477 -:041B64000001623CDE -:041B6500000000007C -:041B66000001742ADC -:041B67000003000176 -:041B6800000171A463 -:041B69000001624CC9 +:041ABE000438078061 +:041ABF00046508981A +:041AC000052C249439 +:041AC1000004001805 +:041AC2003030363159 +:041AC3003032317814 +:041AC40006400030A8 +:041AC500087004B0F1 +:041AC6002EFF04E209 +:041AC700001003F117 +:041AC8000000000416 +:041AC9007665642FAB +:041ACA006370652FB1 +:041ACB006F635F7175 +:041ACC006F72746E53 +:041ACD0072656C6C66 +:041ACE00615F305FC5 +:041ACF006D5F6C7665 +:041AD00000006D6540 +:041AD1002B302D2366 +:041AD20000000020F0 +:041AD300004C6C68EF +:041AD4004567666597 +:041AD5000000474680 +:041AD6003332313046 +:041AD7003736353435 +:041AD8004241393816 +:041AD90046454443F7 +:041ADA000000000008 +:041ADB003332313041 +:041ADC003736353430 +:041ADD0062613938D1 +:041ADE006665646372 +:041ADF000000000003 +:041AE0001A80808068 +:041AE10000081A1AC5 +:041AE2000000000000 +:041AE30000000000FF +:041AE40000016B246E +:041AE50000000000FD +:041AE60000000000FC +:041AE7000001032ACD +:041AE800000103DE18 +:041AE900000101EE09 +:041AEA000001020AEB +:041AEB00000102569E +:041AEC000080000076 +:041AED000080000075 +:041AEE0000000000F4 +:041AEF0000000000F3 +:041AF00000000000F2 +:041AF10000000000F1 +:041AF20000000000F0 +:041AF30000000000EF +:041AF40000000000EE +:041AF50000000000ED +:041AF60000000000EC +:041AF70000000000EB +:041AF80000000000EA +:041AF90000000000E9 +:041AFA0000000000E8 +:041AFB0000000000E7 +:041AFC0000000000E6 +:041AFD0000000000E5 +:041AFE0000000000E4 +:041AFF0000000000E3 +:041B000000000000E1 +:041B010000000000E0 +:041B020000000000DF +:041B030000000000DE +:041B040000000000DD +:041B050000000000DC +:041B060000000000DB +:041B070000000000DA +:041B080000000000D9 +:041B090000000000D8 +:041B0A0000000000D7 +:041B0B0000000000D6 +:041B0C0000000000D5 +:041B0D0000000000D4 +:041B0E0000000000D3 +:041B0F00000101943C +:041B10000080000051 +:041B110001000000CF +:041B120000020100CC +:041B1300008000004E +:041B140000000001CC +:041B1500000000804C +:041B160000010000CA +:041B170000000100C9 +:041B180000000000C9 +:041B1900020E0409AB +:041B1A003D0E00C8B4 +:041B1B003F6E038393 +:041B1C003ED03DACCE +:041B1D00000003833E +:041B1E00026404B2A7 +:041B1F003C9300E90A +:041B20003F56041612 +:041B21003E9F3D495D +:041B220000000416A5 +:041B2300017804E55C +:041B24003CCE008132 +:041B25003FAE038349 +:041B26003F333D49C3 +:041B27000000038334 +:041B280001B405B847 +:041B29003C490093A0 +:041B2A003F9F0416BF +:041B2B003F103CD952 +:041B2C00000004169B +:041B2D0000015BF860 +:041B2E0000015C084E +:041B2F0000015C1441 +:041B300000015C2034 +:041B310000015C2C27 +:041B320000015C381A +:041B330000015C440D +:041B340000015C5000 +:041B350000015C5CF3 +:041B360000015C68E6 +:041B370000015C74D9 +:041B3800000166EC56 +:041B3900000166F849 +:041B3A000001670837 +:041B3B000001671826 +:041B3C00000166EC52 +:041B3D00000166F845 +:041B3E000001670833 +:041B3F00000166C873 +:041B4000000166D466 +:041B4100000166E059 +:041B4200000165A495 +:041B4300000165A890 +:041B4400000165AC8B +:041B4500000165B086 +:041B460000016544F1 +:041B47000000000298 +:041B4800000174DC48 +:041B490008FC012C67 +:041B4A0000011D0C6D +:041B4B0000016554DC +:041B4C000000000293 +:041B4D0000017558C6 +:041B4E0000FF000A8A +:041B4F0000011D0C68 +:041B500000016560CB +:041B5100000000028E +:041B52000001749882 +:041B530000FF00018E +:041B540000011D0C63 +:041B550000016570B6 +:041B56000000000289 +:041B5700000174CC49 +:041B5800078000C83A +:041B590000011D0C5E +:041B5A000001657CA5 +:041B5B000000000284 +:041B5C00000174C64A +:041B5D00000700017C +:041B5E0000011D0C59 +:041B5F000001658894 +:041B6000000000027F +:041B610000017552B8 +:041B6200003F00013F +:041B630000011D0C54 +:041B6400000165987F +:041B6500000000027A +:041B6600000174CA3C +:041B670004B000C8FE +:041B680000011D0C4F +:041B690000016260B5 :041B6A000000000077 -:041B6B000001742BD6 +:041B6B00000175827E :041B6C000001000173 -:041B6D00000172946D -:041B6E000001625CB4 +:041B6D00000173BC44 +:041B6E0000016270A0 :041B6F000000000072 -:041B70000001742CD0 +:041B70000001758378 :041B7100000100016E -:041B72000001728C70 -:041B73000001626C9F -:041B7400000000006D -:041B750000017423D4 -:041B76000002000168 -:041B770000016BA05E -:041B7800000162788E +:041B7200000173C437 +:041B7300000162808B +:041B7400000000016C +:041B75000001758472 +:041B76000018000053 +:041B770000012F3802 +:041B78000001629076 :041B79000000000068 -:041B7A0000017424CE -:041B7B000003000162 -:041B7C0000016BAC4D -:041B7D00000162847D +:041B7A000001757F72 +:041B7B000001000164 +:041B7C00000173C42D +:041B7D00000162A061 :041B7E000000000063 -:041B7F0000017425C8 -:041B8000000200015E -:041B810000016BA054 -:041B8200000162906C -:041B8300000000005E -:041B840000017426C2 -:041B85000002000159 -:041B860000016BA04F -:041B87000001629C5B -:041B88000000000059 -:041B890000017427BC -:041B8A000002000154 -:041B8B0000016BBC2E -:041B8C00000162AC46 -:041B8D000000000054 -:041B8E000001742DB1 -:041B8F000001000150 -:041B9000000172746A -:041B9100000162BC31 -:041B9200000000004F -:041B930000017431A8 -:041B9400000100014B -:041B9500000172AC2D -:041B9600000162C424 -:041B9700000000004A -:041B980000017432A2 -:041B99000001000146 -:041B9A000001728450 -:041B9B000001617470 -:041B9C000000000045 -:041B9D000001741CB3 -:041B9E000002000140 -:041B9F00000171C010 -:041BA000000161805F -:041BA100000000013F -:041BA20000017420AA -:041BA300000F00002F -:041BA40000012EA26C -:041BA500000161904A -:041BA600000000013A -:041BA7000001741EA7 -:041BA800001C00001D -:041BA90000012E7E8B -:041BAA00000161A035 +:041B7F00000175806C +:041B8000000100015F +:041B8100000173C428 +:041B820000016130CD +:041B8300000000035B +:041B840000017448A0 +:041B8500000000005C +:041B8600000000005B +:041B870000016144B4 +:041B88000000000356 +:041B890000017430B3 +:041B8A000000000057 +:041B8B000000000056 +:041B8C00000161589B +:041B8D000000000351 +:041B8E00000174409E +:041B8F000000000052 +:041B90000000000051 +:041B91000001616C82 +:041B9200000000034C +:041B930000017420B9 +:041B9400000000004D +:041B9500000000004C +:041B96000001618069 +:041B97000000000347 +:041B980000017428AC +:041B99000000000048 +:041B9A000000000047 +:041B9B000001619450 +:041B9C000000000342 +:041B9D0000017410BF +:041B9E000000000043 +:041B9F000000000042 +:041BA000000161A837 +:041BA100000000033D +:041BA20000017408C2 +:041BA300000000003E +:041BA400000000003D +:041BA500000161BC1E +:041BA6000000000338 +:041BA700000174388D +:041BA8000000000039 +:041BA9000000000038 +:041BAA000001636073 :041BAB000000000036 -:041BAC000001741FA1 -:041BAD000001000132 -:041BAE00000172A41C -:041BAF00000161B020 +:041BAC000001756857 +:041BAD00000400012F +:041BAE00000172D0F0 +:041BAF00000163705E :041BB0000000000031 -:041BB1000001741D9E -:041BB200000200012C -:041BB300000171CCF0 -:041BB400000161C00B +:041BB1000001756951 +:041BB200000100012D +:041BB300000173D4E6 +:041BB4000001637C4D :041BB500000000002C -:041BB6000001742195 -:041BB7000001000128 -:041BB8000001729C1A -:041BB900000161D4F2 -:041BBA000000000126 -:041BBB000001742E83 -:041BBC0000FF000026 -:041BBD0000012E688D -:041BBE00000161E4DD -:041BBF000000000121 -:041BC0000001742F7D -:041BC100003F0000E1 -:041BC20000012E6888 -:041BC300000161F4C8 -:041BC400000000011C -:041BC5000001743077 -:041BC600000F00000C -:041BC70000012DB03C -:041BC80000016204B2 -:041BC9000000000117 -:041BCA000001744161 -:041BCB00001F0000F7 -:041BCC0000012DB037 -:041BCD0000016210A1 -:041BCE00000000040F -:041BCF0000011EA053 -:041BD00000015FB001 -:041BD1000000000010 -:041BD2000001633477 -:041BD300000000010D -:041BD4000001743464 -:041BD500001F0001EC -:041BD60000012DC617 -:041BD7000001634462 +:041BB6000001756A4B +:041BB7000003000126 +:041BB800000172E4D2 +:041BB9000001638C38 +:041BBA000000000027 +:041BBB000001756B45 +:041BBC000001000123 +:041BBD00000173D4DC +:041BBE000001639C23 +:041BBF000000000022 +:041BC0000001756C3F +:041BC100000100011E +:041BC200000173CCDF +:041BC300000163AC0E +:041BC400000000001D +:041BC5000001756343 +:041BC6000002000118 +:041BC70000016CE0CD +:041BC800000163B8FD +:041BC9000000000018 +:041BCA00000175643D +:041BCB000003000112 +:041BCC0000016CECBC +:041BCD00000163C4EC +:041BCE000000000013 +:041BCF000001756537 +:041BD000000200010E +:041BD10000016CE0C3 +:041BD200000163D0DB +:041BD300000000000E +:041BD4000001756631 +:041BD5000002000109 +:041BD60000016CE0BE +:041BD700000163DCCA :041BD8000000000009 -:041BD9000001743360 +:041BD900000175672B :041BDA000002000104 -:041BDB00000171B4E0 -:041BDC00000163544D +:041BDB0000016CFC9D +:041BDC00000163ECB5 :041BDD000000000004 -:041BDE000001743559 +:041BDE000001756D20 :041BDF000001000100 -:041BE000000172840A -:041BE1000001636834 +:041BE000000173B4D9 +:041BE100000163FCA0 :041BE20000000000FF -:041BE3000001743653 +:041BE3000001757117 :041BE40000010001FB -:041BE5000001728405 -:041BE6000001637C1B -:041BE70000000003F7 -:041BE800000172C0C6 -:041BE90000016694FD -:041BEA0000011C6E6C -:041BEB000001609005 -:041BEC0000000004F1 -:041BED0000011BC018 -:041BEE0000015FD4BF -:041BEF0000000000F2 -:041BF000000160A0F0 -:041BF10000000004EC -:041BF20000011C20B2 -:041BF30000015FD4BA -:041BF40000000000ED -:041BF500000160B0DB -:041BF60000000004E7 -:041BF700000123A422 -:041BF80000000000E9 -:041BF90000000000E8 -:041BFA00000160C4C2 -:041BFB0000000001E5 -:041BFC000001744C24 -:041BFD00000A0101D8 -:041BFE0000012F189B -:041BFF00000160D8A9 +:041BE500000173EC9C +:041BE6000001640492 +:041BE70000000000FA +:041BE8000001757211 +:041BE90000010001F6 +:041BEA00000173C4BF +:041BEB00000162B4DF +:041BEC0000000000F5 +:041BED000001755C22 +:041BEE0000020001F0 +:041BEF00000173007E +:041BF000000162C0CE +:041BF10000000001EF +:041BF2000001756019 +:041BF300000F0000DF +:041BF40000012F12AB +:041BF500000162D0B9 +:041BF60000000001EA +:041BF7000001755E16 +:041BF800001C0000CD +:041BF90000012EEECB +:041BFA00000162E0A4 +:041BFB0000000000E6 +:041BFC000001755F10 +:041BFD0000010001E2 +:041BFE00000173E48B +:041BFF00000162F08F :041C000000000000E0 -:041C0100000173B2B9 -:041C020000010001DC -:041C030000017284E6 -:041C0400000160EC8F +:041C01000001755D0C +:041C020000020001DB +:041C03000001730C5D +:041C04000001630078 :041C050000000000DB -:041C06000001735C0A -:041C0700000A0001CE -:041C080000016B74F8 -:041C0900000160FC7A -:041C0A0000000000D6 -:041C0B000001739AC7 -:041C0C0000030001D0 -:041C0D0000016BC89F -:041C0E000001610C64 -:041C0F0000000004CD -:041C10000001296E38 -:041C110000000000CF -:041C120000000000CE -:041C1300000162D09A -:041C140000000000CC -:041C15000001743B1B -:041C160000030001C6 -:041C1700000171D87F -:041C1800000162E085 +:041C06000001756103 +:041C070000010001D7 +:041C0800000173DC88 +:041C0900000163145F +:041C0A0000000001D5 +:041C0B000001756EF1 +:041C0C0000FF0000D5 +:041C0D0000012ED8CC +:041C0E00000163244A +:041C0F0000000001D0 +:041C10000001756FEB +:041C1100003F000090 +:041C120000012ED8C7 +:041C13000001633435 +:041C140000000001CB +:041C150000017570E5 +:041C1600000F0000BB +:041C170000012E207A +:041C18000001634420 :041C190000000001C6 -:041C1A000001743819 +:041C1A0000017581CF :041C1B00001F0000A6 -:041C1C0000012E4451 -:041C1D00000162F070 -:041C1E0000000001C1 -:041C1F000001743913 -:041C200000FF0000C1 -:041C210000012E0090 -:041C2200000163005A +:041C1C0000012E2075 +:041C1D00000163500F +:041C1E0000000004BE +:041C1F0000011EDCC6 +:041C2000000160F06F +:041C210000000000BF +:041C220000016474E5 :041C230000000001BC -:041C24000001743A0D -:041C250000C80A00E9 -:041C260000012E008B -:041C27000001631045 -:041C280000000001B7 -:041C29000001743D05 -:041C2A0000050000B1 -:041C2B0000012DEA9D -:041C2C000001632030 -:041C2D0000000001B2 -:041C2E000001743EFF -:041C2F0000050000AC -:041C300000012DEA98 -:041C310000016390BB +:041C240000017574D2 +:041C2500001F00019B +:041C260000012E3655 +:041C270000016484D0 +:041C280000000000B8 +:041C290000017573CE +:041C2A0000020001B3 +:041C2B00000172F44E +:041C2C0000016494BB +:041C2D0000000000B3 +:041C2E0000017575C7 +:041C2F0000010001AF +:041C3000000173C478 +:041C3100000164A8A2 :041C320000000000AE -:041C33000001743CFC -:041C340000050001A6 -:041C3500000171E851 -:041C36000001639CAA -:041C370000000000A9 -:041C380000017437FC -:041C390000010001A5 -:041C3A00000172B47F -:041C3B00000163AC95 -:041C3C0000000001A3 -:041C3D0000017445E9 -:041C3E0000FF0000A3 -:041C3F0000012DB0C3 -:041C4000000163B884 -:041C4100000000019E -:041C420000017446E3 -:041C430000FF00009E -:041C440000012DB0BE -:041C4500000163C473 -:041C46000000000199 -:041C470000017447DD -:041C480000FF000099 -:041C490000012DB0B9 -:041C4A00000163D062 +:041C330000017576C1 +:041C340000010001AA +:041C3500000173C473 +:041C3600000164BC89 +:041C370000000003A6 +:041C38000001740033 +:041C3900000167D46B +:041C3A0000011CAADF +:041C3B00000161D073 +:041C3C0000000004A0 +:041C3D0000011BFC8B +:041C3E00000161142C +:041C3F0000000000A1 +:041C4000000161E05E +:041C4100000000049B +:041C420000011C5C25 +:041C43000001611427 +:041C4400000000009C +:041C4500000161F049 +:041C46000000000496 +:041C4700000123E095 +:041C48000000000098 +:041C49000000000097 +:041C4A00000162042F :041C4B000000000194 -:041C4C0000017448D7 -:041C4D0000FF000094 -:041C4E0000012DB0B4 -:041C4F00000163DC51 -:041C5000000000018F -:041C510000017449D1 -:041C520000FF00008F -:041C530000012DB0AF -:041C5400000163E840 -:041C5500000000018A -:041C56000001744ACB -:041C570000FF00008A -:041C580000012DB0AA -:041C5900000163F42F -:041C5A000000000185 -:041C5B000001744BC5 -:041C5C00000F000075 -:041C5D0000012DB0A5 -:041C5E00000172D837 -:041C5F000000000081 -:041C60000000000080 +:041C4C000001758C92 +:041C4D00000A010187 +:041C4E0000012F88DA +:041C4F000001621816 +:041C50000000000090 +:041C5100000174F228 +:041C5200000100018C +:041C5300000173C455 +:041C54000001622CFD +:041C5500000000008B +:041C56000001749C79 +:041C5700000A00017E +:041C580000016CB467 +:041C59000001623CE8 +:041C5A000000000086 +:041C5B00000174DA36 +:041C5C000003000180 +:041C5D0000016D080D +:041C5E000001624CD3 +:041C5F00000000047D +:041C6000000129AAAC :041C6100000000007F :041C6200000000007E -:041C6300000000007D -:041C64000001652CEA -:041C650000016548CD -:041C660000016570A4 -:041C6700000165789B -:041C68000001658092 -:041C69000001652CE5 -:041C6A0000016538D8 -:041C6B0000016550BF -:041C6C0000016560AE -:041C6D000001650C01 -:041C6E0000016610FB -:041C6F000001661CEE -:041C700000016464A7 -:041C71000001650CFD -:041C720000016514F4 -:041C7300000164CC3C -:041C7400000164D82F -:041C7500000164E422 -:041C7600000165E81C -:041C7700000165F80B -:041C780000016604FD -:041C7900000164649E -:041C7A000001650CF4 -:041C7B00000164649C -:041C7C0000016644B9 -:041C7D0000016654A8 -:041C7E000001666497 -:041C7F000001667486 -:041C80000000000060 -:041C8100000000005F +:041C63000001641008 +:041C6400000000007C +:041C65000001757B8A +:041C66000003000176 +:041C670000017318ED +:041C680000016420F3 +:041C69000000000176 +:041C6A000001757888 +:041C6B00001F000056 +:041C6C0000012EB491 +:041C6D0000016430DE +:041C6E000000000171 +:041C6F000001757982 +:041C700000FF000071 +:041C710000012E70D0 +:041C720000016440C9 +:041C7300000000016C +:041C74000001757A7C +:041C750000C80A0099 +:041C760000012E70CB +:041C770000016450B4 +:041C78000000000167 +:041C79000001757D74 +:041C7A000005000061 +:041C7B0000012E5ADC +:041C7C00000164609F +:041C7D000000000162 +:041C7E000001757E6E +:041C7F00000500005C +:041C800000012E5AD7 +:041C8100000164D02A :041C8200000000005E -:041C8300000000005D -:041C8400000000005C -:041C8500000000005B -:041C8600000000005A +:041C83000001757C6B +:041C84000005000156 +:041C850000017328BF +:041C8600000164DC19 :041C87000000000059 -:041C88000000000058 -:041C89000000000057 -:041C8A000000000056 -:041C8B000000000055 -:041C8C000000000054 -:041C8D000000000053 -:041C8E000000000052 -:041C8F000000000051 -:041C90000000000050 -:041C9100000000004F -:041C9200000000004E -:041C9300000000004D -:041C9400000000004C -:041C9500000000004B -:041C9600000000004A -:041C97000000000049 -:041C9800000159747A -:041C99000001726470 -:041C9A00000172646F -:041C9B0000108010A5 -:041C9C0000008000C4 -:041C9D00000165B429 -:041C9E0000016528B4 -:041C9F000001649844 -:041CA000000164AC2F -:041CA1000001646476 -:041CA2000001668057 -:041CA3000001652CAB -:041CA400000165389E -:041CA5000001652CA9 -:041CA600000165488C -:041CA700000164C014 -:041CA800000164C40F -:041CA900000164F0E2 -:041CAA0000016500D0 -:041CAB000001651CB3 -:041CAC0000016524AA -:041CAD000001662CA0 -:041CAE000001663893 -:041CAF0000017200BE -:041CB0000000000729 -:041CB10000016BD8EB -:041CB200000000032B -:041CB30000016C645C -:041CB400000000022A -:041CB50000016CA01E -:041CB6000000000822 -:041CB70000016CC8F4 -:041CB8000000000D1B -:041CB90000016D6851 -:041CBA000000000B1B -:041CBB0000016E6C4A -:041CBC00000000051F -:041CBD0000016F486B -:041CBE00000000081A -:041CBF0000016FAC05 -:041CC000000000061A -:041CC1000001704C62 -:041CC2000000000915 -:041CC300000170C4E8 -:041CC400C896554B1E +:041C8800000175776B +:041C89000001000155 +:041C8A00000173F4EE +:041C8B00000164EC04 +:041C8C000000000153 +:041C8D000001758558 +:041C8E0000FF000053 +:041C8F0000012E2002 +:041C9000000164F8F3 +:041C9100000000014E +:041C92000001758652 +:041C930000FF00004E +:041C940000012E20FD +:041C950000016504E1 +:041C96000000000149 +:041C9700000175874C +:041C980000FF000049 +:041C990000012E20F8 +:041C9A0000016510D0 +:041C9B000000000144 +:041C9C000001758846 +:041C9D0000FF000044 +:041C9E0000012E20F3 +:041C9F000001651CBF +:041CA000000000013F +:041CA1000001758940 +:041CA20000FF00003F +:041CA30000012E20EE +:041CA40000016528AE +:041CA500000000013A +:041CA6000001758A3A +:041CA70000FF00003A +:041CA80000012E20E9 +:041CA900000165349D +:041CAA000000000135 +:041CAB000001758B34 +:041CAC00000F000025 +:041CAD0000012E20E4 +:041CAE0000017418A5 +:041CAF000000000031 +:041CB0000000000030 +:041CB100000000002F +:041CB200000000002E +:041CB300000000002D +:041CB4000001666C59 +:041CB500000166883C +:041CB600000166B013 +:041CB700000166B80A +:041CB800000166C001 +:041CB9000001666C54 +:041CBA000001667847 +:041CBB00000166902E +:041CBC00000166A01D +:041CBD000001664C70 +:041CBE00000167506A +:041CBF000001675C5D +:041CC000000165A416 +:041CC1000001664C6C +:041CC2000001665463 +:041CC3000001660CAA +:041CC400000166189D +:041CC5000001662490 +:041CC600000167288A +:041CC7000001673879 +:041CC800000167446C +:041CC900000165A40D +:041CCA000001664C63 +:041CCB00000165A40B +:041CCC000001678428 +:041CCD000001679417 +:041CCE00000167A406 +:041CCF00000167B4F5 +:041CD0000000000010 +:041CD100000000000F +:041CD200000000000E +:041CD300000000000D +:041CD400000000000C +:041CD500000000000B +:041CD600000000000A +:041CD7000000000009 +:041CD8000000000008 +:041CD9000000000007 +:041CDA000000000006 +:041CDB000000000005 +:041CDC000000000004 +:041CDD000000000003 +:041CDE000000000002 +:041CDF000000000001 +:041CE0000000000000 +:041CE10000000000FF +:041CE20000000000FE +:041CE30000000000FD +:041CE40000000000FC +:041CE50000000000FB +:041CE60000000000FA +:041CE70000000000F9 +:041CE80000015AB4E9 +:041CE900000173A4DF +:041CEA00000173A4DE +:041CEB000010801055 +:041CEC000000800074 +:041CED00000166F498 +:041CEE000001666823 +:041CEF00000165D8B3 +:041CF000000165EC9E +:041CF100000165A4E5 +:041CF200000167C0C6 +:041CF3000001666C1A +:041CF400000166780D +:041CF5000001666C18 +:041CF60000016688FB +:041CF7000001660082 +:041CF800000166047D +:041CF9000001663050 +:041CFA00000166403F +:041CFB000001665C22 +:041CFC000001666419 +:041CFD000001676C0F +:041CFE000001677802 +:041CFF00000173402D +:041D000000000007D8 +:041D010000016D1858 +:041D020000000003DA +:041D030000016DA4CA +:041D040000000002D9 +:041D050000016DE08C +:041D060000000008D1 +:041D070000016E0861 +:041D08000000000DCA +:041D090000016EA8BF +:041D0A000000000BCA +:041D0B0000016FACB8 +:041D0C0000000005CE +:041D0D0000017088D9 +:041D0E0000000008C9 +:041D0F00000170EC73 +:041D100000000006C9 +:041D11000001718CD0 +:041D120000000009C4 +:041D13000001720455 +:041D1400C896554BCD :00000001FF diff --git a/software/sys_controller_bsp/HAL/src/alt_main.c b/software/sys_controller_bsp/HAL/src/alt_main.c index a96229b..b6a22a2 100644 --- a/software/sys_controller_bsp/HAL/src/alt_main.c +++ b/software/sys_controller_bsp/HAL/src/alt_main.c @@ -39,7 +39,7 @@ #include "sys/alt_dev.h" #include "sys/alt_sys_init.h" -#include "sys/alt_irq.h" +//#include "sys/alt_irq.h" #include "sys/alt_dev.h" #include "os/alt_hooks.h" @@ -51,6 +51,8 @@ #include "sys/alt_log_printf.h" +extern void alt_irq_init ( const void* base ); + extern void _do_ctors(void); extern void _do_dtors(void); diff --git a/software/sys_controller_bsp/Makefile b/software/sys_controller_bsp/Makefile index d40fbf4..a036223 100644 --- a/software/sys_controller_bsp/Makefile +++ b/software/sys_controller_bsp/Makefile @@ -439,7 +439,6 @@ ifneq ($(wildcard $(NEWLIB_DIR)),) endif @$(ECHO) [BSP clean complete] - #------------------------------------------------------------------------------ # BUILD PRE/POST PROCESS #------------------------------------------------------------------------------ @@ -470,14 +469,7 @@ Makefile: $(wildcard $(SETTINGS_FILE)) @$(ECHO) Makefile not up to date. @$(ECHO) $(SETTINGS_FILE) has been modified since the BSP Makefile was generated. @$(ECHO) - @$(ECHO) Generate the BSP to update the Makefile, and then build again. - @$(ECHO) - @$(ECHO) To generate from Eclipse: - @$(ECHO) " 1. Right-click the BSP project." - @$(ECHO) " 2. In the Nios II Menu, click Generate BSP." - @$(ECHO) - @$(ECHO) To generate from the command line: - @$(ECHO) " nios2-bsp-generate-files --settings= --bsp-dir=" + @$(ECHO) Update system.h etc. BSP files manually, then run \"touch public.mk Makefile\" on BSP dir. @$(ECHO) @exit 1 @@ -489,14 +481,7 @@ public.mk: $(wildcard $(SOPC_FILE)) @$(ECHO) Makefile not up to date. @$(ECHO) $(SOPC_FILE) has been modified since the BSP was generated. @$(ECHO) - @$(ECHO) Generate the BSP to update the Makefile, and then build again. - @$(ECHO) - @$(ECHO) To generate from Eclipse: - @$(ECHO) " 1. Right-click the BSP project." - @$(ECHO) " 2. In the Nios II Menu, click Generate BSP." - @$(ECHO) - @$(ECHO) To generate from the command line: - @$(ECHO) " nios2-bsp-generate-files --settings= --bsp-dir=" + @$(ECHO) Update system.h etc. BSP files manually, then run \"touch public.mk Makefile\" on BSP dir. @$(ECHO) @exit 1 diff --git a/software/sys_controller_bsp/libhal_bsp.a b/software/sys_controller_bsp/libhal_bsp.a index e773605..0a61965 100644 Binary files a/software/sys_controller_bsp/libhal_bsp.a and b/software/sys_controller_bsp/libhal_bsp.a differ diff --git a/sys.sopcinfo b/sys.sopcinfo index 5548d6b..c7aa4bf 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1538869480 + 1538946813 false true false