From 827df7930f0530a68f3a207912c82a1254a33567 Mon Sep 17 00:00:00 2001 From: marqs Date: Tue, 16 Aug 2016 22:45:23 +0300 Subject: [PATCH] * L3 optimized mode scanlines fixed * Advanced timing tweaker implemented --- ossc.sdc | 2 +- rtl/ossc.v | 27 +- rtl/scanconverter.v | 19 +- .../mem_init/sys_onchip_memory2_0.hex | 1692 ++++++++--------- software/sys_controller/ossc/av_controller.c | 60 + software/sys_controller/ossc/av_controller.h | 3 + software/sys_controller/ossc/avconfig.c | 5 + software/sys_controller/ossc/menu.c | 89 +- software/sys_controller/ossc/menu.h | 23 +- software/sys_controller/tvp7002/video_modes.c | 7 +- software/sys_controller/tvp7002/video_modes.h | 13 + software/sys_controller_bsp/settings.bsp | 6 +- sys.sopcinfo | 4 +- 13 files changed, 1058 insertions(+), 892 deletions(-) diff --git a/ossc.sdc b/ossc.sdc index d0cd6d2..1b13f18 100644 --- a/ossc.sdc +++ b/ossc.sdc @@ -77,7 +77,7 @@ set_false_path -from pclk_3x_M1 -through $clkmuxnodes -to pclk_4x_M1 set_false_path -from [get_cells {scanconverter_inst|H_* scanconverter_inst|V_* scanconverter:scanconverter_inst|lines_*}] # Ignore paths from registers which are updated only at the end of hsync -set_false_path -from [get_cells {scanconverter:scanconverter_inst|vcnt_* scanconverter:scanconverter_inst|line_idx}] +set_false_path -from [get_cells {scanconverter:scanconverter_inst|vcnt_* scanconverter:scanconverter_inst|line_idx scanconverter:scanconverter_inst|line_out_idx*}] # Ignore following clock transfers set_false_path -from [get_clocks pclk_2x] -to [get_clocks pclk_sdtv] diff --git a/rtl/ossc.v b/rtl/ossc.v index d3251f5..d7af51d 100644 --- a/rtl/ossc.v +++ b/rtl/ossc.v @@ -18,6 +18,7 @@ // //`define DEBUG +//`define INPUTLATCH `define VIDEOGEN module ossc ( @@ -83,6 +84,21 @@ wire [7:0] lcd_ctrl; reg [3:0] reset_n_ctr; reg reset_n_reg = 1'b1; +`ifdef INPUTLATCH +reg HSYNC_in_l, VSYNC_in_l, FID_in_l; +reg [7:0] R_in_l, G_in_l, B_in_l; + +always @(posedge PCLK_in) +begin + HSYNC_in_l <= HSYNC_in; + VSYNC_in_l <= VSYNC_in; + FID_in_l <= FID_in; + R_in_l <= R_in; + G_in_l <= G_in; + B_in_l <= B_in; +end +`endif + `ifdef DEBUG assign LED_R = HSYNC_in; assign LED_G = VSYNC_in; @@ -149,13 +165,22 @@ sys sys_inst( scanconverter scanconverter_inst ( .reset_n (reset_n), + .PCLK_in (PCLK_in), +`ifdef INPUTLATCH + .HSYNC_in (HSYNC_in_l), + .VSYNC_in (VSYNC_in_l), + .FID_in (FID_in_l), + .R_in (R_in_l), + .G_in (G_in_l), + .B_in (B_in_l), +`else .HSYNC_in (HSYNC_in), .VSYNC_in (VSYNC_in), - .PCLK_in (PCLK_in), .FID_in (FID_in), .R_in (R_in), .G_in (G_in), .B_in (B_in), +`endif .h_info (h_info), .v_info (v_info), .R_out (R_out), diff --git a/rtl/scanconverter.v b/rtl/scanconverter.v index d8f4ec1..978189c 100644 --- a/rtl/scanconverter.v +++ b/rtl/scanconverter.v @@ -120,7 +120,7 @@ reg h_enable_3x, h_enable_3x_h1x, v_enable_3x, v_enable_3x_h1x; reg prev_hs, prev_vs; reg [11:0] hmax[0:1]; reg line_idx; -reg [1:0] line_out_idx_2x, line_out_idx_3x; +reg [1:0] line_out_idx_2x, line_out_idx_3x, line_out_idx_3x_h1x; reg [23:0] warn_h_unstable, warn_pll_lock_lost, warn_pll_lock_lost_3x, warn_pll_lock_lost_3x_lowfreq; @@ -141,7 +141,6 @@ reg [7:0] R_1x, G_1x, B_1x, R_pp1, G_pp1, B_pp1; wire [7:0] R_lbuf, G_lbuf, B_lbuf; wire [7:0] R_act, G_act, B_act; - assign pclk_1x = PCLK_in; assign pclk_lock = {pclk_2x_lock, pclk_3x_lock, pclk_3x_lowfreq_lock}; @@ -246,7 +245,6 @@ begin G_act = G_lbuf; B_act = B_lbuf; VSYNC_act = VSYNC_1x; - slid_act = line_out_idx_3x; case (H_L3MODE) `LINETRIPLE_M0: begin DATA_enable_act = (h_enable_3x & v_enable_3x); @@ -256,6 +254,7 @@ begin linebuf_rdclock = pclk_3x; linebuf_hoffset = hcnt_3x; pclk_act = pclk_3x; + slid_act = line_out_idx_3x; hcnt_act = hcnt_3x; vcnt_act = vcnt_3x/2'h3; //divider generated end @@ -267,6 +266,7 @@ begin linebuf_rdclock = pclk_4x; linebuf_hoffset = hcnt_4x; pclk_act = pclk_4x; + slid_act = line_out_idx_3x; hcnt_act = hcnt_4x; vcnt_act = vcnt_3x/2'h3; //divider generated end @@ -278,6 +278,7 @@ begin linebuf_rdclock = pclk_3x_h4x; linebuf_hoffset = hcnt_3x_h4x; pclk_act = pclk_3x_h4x; + slid_act = line_out_idx_3x_h1x; hcnt_act = hcnt_3x_h4x; vcnt_act = vcnt_3x_h1x/2'h3; //divider generated end @@ -289,6 +290,7 @@ begin linebuf_rdclock = pclk_3x_h5x; linebuf_hoffset = hcnt_3x_h5x; pclk_act = pclk_3x_h5x; + slid_act = line_out_idx_3x_h1x; hcnt_act = hcnt_3x_h5x; vcnt_act = vcnt_3x_h1x/2'h3; //divider generated end @@ -682,13 +684,20 @@ begin v_enable_3x_h1x <= 0; pclk_3x_h1x_cnt <= 0; pclk_1x_prev3x_h1x <= 0; + line_out_idx_3x_h1x <= 0; end else begin if ((pclk_3x_h1x_cnt == 0) & `HSYNC_TRAILING_EDGE) //sync with posedge of pclk_1x - hcnt_3x_h1x <= 0; + begin + hcnt_3x_h1x <= 0; + line_out_idx_3x_h1x <= 0; + end else if (hcnt_3x_h1x == hmax[~line_idx]) //line_idx_prev? - hcnt_3x_h1x <= 0; + begin + hcnt_3x_h1x <= 0; + line_out_idx_3x_h1x <= line_out_idx_3x_h1x + 1'b1; + end else hcnt_3x_h1x <= hcnt_3x_h1x + 1'b1; diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 0e95d9d..f5aca05 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -1,7 +1,7 @@ :020000020000FC :2000000000402074084008140800683A0000000000000000000000000000000000000000FE -:2000080006C02074DEE4001406802074D6BBB61400802074109DC31400C0207418DE03143A -:2000100010C00326100000151080010410FFFD360814B1C00814C140003FFF06DEFFFB0411 +:2000080006C02074DEE4001406802074D6BD061400802074109F3E1400C0207418E0381434 +:2000100010C00326100000151080010410FFFD360814F5C008150540003FFF06DEFFFB0488 :2000180000BFE084D880000500800084D880004500800344D8800085DFC0041529403FCCD7 :200020000080004428800426008000842880041E008014040000030600800C040000010624 :2000280000800404D88000C5D88000C331803FCC10800094D88000C5300002260080080417 @@ -10,20 +10,20 @@ :20004000D8000205D8000245D8000285D80002C5D8000305D8000345D8000385D80003C5A4 :2000480008104640DFC00417DEC00504F800283ADEFFFC04DC000015040020B48405080404 :20005000DC80021504801304DC400115900B883A2023883A000D883A8009883ADFC003151D -:2000580008155C008009883A89403FCC000D883A08156240044000448009883A900B883A32 -:20006000880D883A08155C008009883A880B883ADFC00317DC800217DC400117DC000017BB -:20006800DEC0040408155F81DEFFFC04DC000015040020B484050804DC800215DC400115F5 -:200070002025883A2823883A8009883A01401304000D883ADFC0031508155C008009883A6F -:2000780091403FCC000D883A081562408009883A89403FCC01800044DFC00317DC800217EC -:20008000DC400117DC000017DEC0040408156241DEFFFF0421003FCCDFC0001508101300E8 +:200058000815A0008009883A89403FCC000D883A0815A640044000448009883A900B883AAA +:20006000880D883A0815A0008009883A880B883ADFC00317DC800217DC400117DC00001777 +:20006800DEC004040815A381DEFFFC04DC000015040020B484050804DC800215DC400115B1 +:200070002025883A2823883A8009883A01401304000D883ADFC003150815A0008009883A2B +:2000780091403FCC000D883A0815A6408009883A89403FCC01800044DFC00317DC800217A8 +:20008000DC400117DC000017DEC004040815A641DEFFFF0421003FCCDFC0001508101300A4 :20008800DFC00017DEC00104F800283ADEFFFF0421003FCC29403FCCDFC0001508101A80BF :200090000005883ADFC00017DEC00104F800283ADEFFFB04DCC00315DC800215DC400115A1 :20009800DC000015DFC004152827883A3023883A2025883A0021883A8440070E9C05883A53 :2000A000114000038489883A21003FCC08101A8084000044003FF8060005883ADFC00417B9 :2000A800DCC00317DC800217DC400117DC000017DEC00504F800283ADEFFFF040140FA04C6 -:2000B000DFC00015081427001009883ADFC00017DEC001040814C541DEFFFF04010003C43B +:2000B000DFC0001508146B001009883ADFC00017DEC0010408150941DEFFFF04010003C4B2 :2000B800000B883ADFC00015081022C001001844000B883ADFC00017DEC00104081022C12F -:2000C000DEFFFD04DC00001504002074841DDE048140008301000144DFC00215DC400115C4 +:2000C000DEFFFD04DC00001504002074841F5D048140008301000144DFC00215DC40011543 :2000C800081022C0808000838100030300FFFEC41004D1FA20C6703A044001041085883A44 :2000D0001085883A1884B03A8809883A01400F4480800305081022C00100004408102B8042 :2000D8008809883A01400744081022C00100184401400C04081022C00100024401402C844F @@ -47,7 +47,7 @@ :200168002021883A010003C4DFC00215DC400115081022C0044030448809883A0810210086 :2001700084003FCC800AC03A10803F8C8809883A114AB03A081022C001003184014000C4B4 :20017800DFC00217DC400117DC000017DEC00304081022C1DEFFF904DCC00315DC800215E7 -:20018000DC4001152025883A2823883A010001040140074404C020749CDDDE04DD000415DE +:20018000DC4001152025883A2823883A010001040140074404C020749CDF5D04DD0004155D :20018800DC000015DFC006153821883ADD4005153029883A081022C098C0030381C0004C5A :2001900000BFFF441884703A39CF883A11CEB03A99C00305988003171080008C100002268D :20019800010000440810594001001C049C0000C308102100108000CC8D4000CC010000445E @@ -66,11 +66,11 @@ :200200000100010408102100010001041140054C081022C00400190401000384081021001B :200208001080040C1000321E0100004408102B8080BFFFC41021883A10BFFFCC103FF61EDC :2002100000002B06053FE8048C400C0C008008048880081E01001CC4D16000C4018000C4B4 -:20021800081025000140207401001D842959F6840000090600800C0488800B1E01001CC465 -:20022000D16000C4018000C4081025000140207401001D842959ED84018004840810250097 +:20021800081025000140207401001D84295B21840000090600800C0488800B1E01001CC438 +:20022000D16000C4018000C4081025000140207401001D84295B188401800484081025006A :2002280004000084003FA306008004048880081E01001CC4D1600004018000C408102500F8 -:200230000140207401001D842959E904003FF20601001CC4D1600004018000C408102500F9 -:200238000140207401001D842959F204003FEA0601000304000B883A081022C00100034471 +:200230000140207401001D84295B1404003FF20601001CC4D1600004018000C408102500CC +:200238000140207401001D84295B1D04003FEA0601000304000B883A081022C00100034444 :2002400001401004081022C00100038401400044081022C001000384000B883A081022C0F9 :2002480008102D8000800044DFC00617DD400517DD000417DCC00317DC800217DC400117C1 :20025000DC000017DEC00704F800283ADEFFFD04DC0000152021883A01001644DC40011539 @@ -78,794 +78,794 @@ :20026000081022C0010003C401400044081022C001001704800B883A00000A06802091BAD9 :200268000100164481400414114AB03A081022C0010003C401400044081022C001001704A0 :20027000000B883A081022C0010003C4000B883ADFC00217DC400117DC000017DEC003048E -:20027800081022C100802074109B0A0410C03217D0A20E15180004261080351710804018EA -:200280000085C83AF800283A00BFFFC4F800283ADEFFFD042005883AD1220E17DC40011592 -:200288002823883A880F883A100B883ADC000015DFC002153021883A0815318010000B1E52 +:20027800081022C100802074109C5A0410C03217D0A239151800042610803517108040186E +:200280000085C83AF800283A00BFFFC4F800283ADEFFFD042005883AD1223917DC40011567 +:200288002823883A880F883A100B883ADC000015DFC002153021883A0815758010000B1E0E :20029000800D883A8463883A34400626308000031005C2721005D63A3180004430BFFFC5ED :20029800003FF9060005883A0000010600BFFFC4DFC00217DC400117DC000017DEC0030434 :2002A000F800283ADEFFFA04DC800315DC400215DC000115DFC00515DCC0041530803FCC47 -:2002A8002023883A2825883A3021883A100003268805883A8C89883A00001706D1220E177B -:2002B000300A923A081501C01027883A103FF8260100207401402074211DE20429573204A0 -:2002B8000180044408143BC00100207401802074211DE2040140044431973504980F883A85 -:2002C00008142C0000802074109DEA841000000500FFCE0400001D061100062610C000038E -:2002C8001807C2721807D63A1080004410FFFFC5003FF906800AD23AD1220E17800C923AA9 -:2002D000280A943ADC800015880F883A08150B000007883A10000D260100207401402074A6 -:2002D800211DE204295738040180044408143BC00100207401402074211DEA8429573B0471 -:2002E0000180044408143BC000FFCDC41805883ADFC00517DCC00417DC800317DC40021792 +:2002A8002023883A2825883A3021883A100003268805883A8C89883A00001706D122391750 +:2002B000300A923A081545C01027883A103FF8260100207401402074211F610429584204CA +:2002B8000180044408147FC00100207401802074211F61040140044431984504980F883AAF +:2002C0000814700000802074109F69841000000500FFCE0400001D061100062610C00003C9 +:2002C8001807C2721807D63A1080004410FFFFC5003FF906800AD23AD1223917800C923A7E +:2002D000280A943ADC800015880F883A08154F000007883A10000D26010020740140207462 +:2002D800211F6104295848040180044408147FC00100207401402074211F698429584B0409 +:2002E0000180044408147FC000FFCDC41805883ADFC00517DCC00417DC800317DC4002174E :2002E800DC000117DEC00604F800283ADEFFF904DD400515DD000415DCC00315DC800215D2 :2002F000DC000015DFC00615DC4001152825883A3029883A3827883A0021883A0007883A1A :2002F8000540400484800F2E9423C83AAC40012E044040048009883A880B883A980D883AB9 -:200300000810A1001000141E800D003A9809883A880B883A08154D801007883A84004004D8 -:20030800003FF0060005883A1D000C260100207401802074211DE2040140044431973D042A -:2003100008142C0000802074109DEA841000000500BFCD440000010600BFCD84DFC006179E +:200300000810A1001000141E800D003A9809883A880B883A081591801007883A8400400494 +:20030800003FF0060005883A1D000C260100207401802074211F61040140044431984D0498 +:200310000814700000802074109F69841000000500BFCD440000010600BFCD84DFC00617D9 :20031800DD400517DD000417DCC00317DC800217DC400117DC000017DEC00704F800283A3F :20032000DEFFFD04DFC00215DC400115DC00001520807FCC1000031E008080042821883ADB -:2003280011400B2E0100207401802074211DE204014004443197420408142C00008020746A -:20033000109DEA841000000500BFFFC400001C062008D27A000B883A3023883A0814DF4048 -:2003380010803FCC0007883A10000B1E0100207401802074211DE20401400444319747049E -:2003400008142C0000802074109DEA841000000500BFFF8400000A061C00082ED0A20F17D5 +:2003280011400B2E0100207401802074211F61040140044431985204081470000080207494 +:20033000109F69841000000500BFFFC400001C062008D27A000B883A3023883A0815234082 +:2003380010803FCC0007883A10000B1E0100207401802074211F610401400444319857040C +:200340000814700000802074109F69841000000500BFFF8400000A061C00082ED0A23A17E5 :2003480010800A171885883A1100003788C5883A1100001518C00104003FF7060005883A28 :20035000DFC00217DC400117DC000017DEC00304F800283ADEFFFE04DC0000152021883ADC -:200358000100207421174B04DFC001150814CC40D0A20F1510000A260814D20010803FCC2D +:200358000100207421185B04DFC0011508151040D0A23A1510000A260815160010803FCC67 :20036000100007260009883A01408004800D883ADFC00117DC000017DEC002040810C80132 -:200368000100207401802074211DE204014004443197560408142C0000802074109DEA8485 +:200368000100207401802074211F610401400444319866040814700000802074109F69842E :200370001000000500800044DFC00117DC000017DEC00204F800283A010020740140207482 -:20037800211DE204295DEA8408130CC10100207401402074211DE644295DF90408130CC128 +:20037800211F6104295F6984081350C10100207401402074211F6544295F7804081350C19C :20038000DEFFFE04DC0000152021883A01000044DFC0011508105940081042400009883A7A :20038800000B883A84003FCC081046408021003A01000044000B883A000D883A800F883A4E :2003900008105F408000052601000084000B883A000D883A000F883A081005C00009883A51 :20039800DFC00117DC000017DEC0020408105941DEFFFC0400802074DC800215DC400115AF -:2003A000DC000015109DEF04DFC003152021883A110002870140070414800117081427001D -:2003A80000C0207418D9270410C5883A84003FCC1440000B8000321ED0A2104300C003C424 +:2003A000DC000015109F6E04DFC003152021883A11000287014007041480011708146B0058 +:2003A80000C0207418DF860410C5883A84003FCC1440000B8000321ED0A23C4300C003C493 :2003B00010BFFFC410803FCC18804536100490BA00C0207418C3B90410C5883A1080001765 :2003B8001000683A00810F7000810F7000810FE000810F6000810FE000810FE000810FE0C3 :2003C00000810F5400810FE000810FE000810FE000810FE000810FE000810FE000810FE029 -:2003C80000810F2401006734213F3004900B883A081426001009883A897FFFCC08142700A1 -:2003D00000C072B418F0DFC418800236010003C4000001060009883A081394000000190644 -:2003D8000009883A0813940001000044000016060009883A081394000009883A00001206CD -:2003E00000802074109DFD4410C00403044000841021883A1C4002260009883A0000010613 -:2003E800010003C4081394008100040320803FCC8880042E008001441109C83A21003FCC04 -:2003F00000000106010000C4DFC00317DC800217DC400117DC000017DEC004040813388152 +:2003C80000810F2401006734213F3004900B883A08146A001009883A897FFFCC08146B0019 +:2003D00000C072B418F0DFC418800236010003C4000001060009883A0813D8000000190600 +:2003D8000009883A0813D80001000044000016060009883A0813D8000009883A0000120645 +:2003E00000802074109F7C4410C00403044000841021883A1C4002260009883A0000010692 +:2003E800010003C40813D8008100040320803FCC8880042E008001441109C83A21003FCCC0 +:2003F00000000106010000C4DFC00317DC800217DC400117DC000017DEC0040408137C810E :2003F800DFC00317DC800217DC400117DC000017DEC00404F800283ADEFFF80400C020B423 :20040000DFC00715DD800615DD400515DD000415DCC00315DC800215DC400115DC00001532 :200408000089C40418C5140419800037050020B4A50514043000020E10BFFFC4103FFA1EEA -:2004100021003FCC29403FCC040020740813FF00841DEF041025883A808002C310000326F1 -:20041800A44000378822D43A000001060023883A01000DC40813478001000E041027883A45 -:2004200008134780110003CC1007883A2008923A1004D1BA24E6B03A1080004C8080024577 -:20042800A0800037010000848C403FCC89000E2601002074211DEF04210002C32000021E58 +:2004100021003FCC29403FCC0400207408144300841F6E041025883A808002C3100003262B +:20041800A44000378822D43A000001060023883A01000DC408138B8001000E041027883A01 +:2004200008138B80110003CC1007883A2008923A1004D1BA24E6B03A1080004C8080024533 +:20042800A0800037010000848C403FCC89000E2601002074211F6E04210002C32000021ED7 :20043000010063C424C0082E010000448900041E9908983A10BFFFCC213FFF8420800536AF :2004380018C0080C180004269D40322805800044000003061027883A9D406428002D883A1C -:2004400080C002C390803FCC1800101E10001E26A8001D26D0A210030100008410C03FCC12 -:2004480018C0201C18FFE00420C0060E0080207400C00044109DEF04D022100510C002C53B -:2004500000000C061080004400000D0610000126A8000D1ED0A2100300FFFF0411003FCCE6 -:200458002100201C213FE00420C0040ED0221005800002C5044001040000050610BFFFC4BD -:20046000D0A2100500000106D02210050023883A01000E440813478001000E840480207422 -:200468001029883A949DFD4408134780A8003D2610C003CC1806923A808000171D28B03A4B -:2004700000C0207418DDEF049880051E18800117A080031E18C00203B0803FCC10C00226F4 -:20047800D02210C500000C06D0E210C30080004419003FCC208008261887883AD0E210C568 +:2004400080C002C390803FCC1800101E10001E26A8001D26D0A23B030100008410C03FCCE7 +:2004480018C0201C18FFE00420C0060E0080207400C00044109F6E04D0223B0510C002C58F +:2004500000000C061080004400000D0610000126A8000D1ED0A23B0300FFFF0411003FCCBB +:200458002100201C213FE00420C0040ED0223B05800002C5044001040000050610BFFFC492 +:20046000D0A23B0500000106D0223B050023883A01000E4408138B8001000E840480207488 +:200468001029883A949F7C4408138B80A8003D2610C003CC1806923A808000171D28B03A86 +:2004700000C0207418DF6E049880051E18800117A080031E18C00203B0803FCC10C0022673 +:20047800D0223E8500000C06D0E23E830080004419003FCC208008261887883AD0E23E859E :2004800018C03FCC1880041E88C03FCC008000841880012E1023883A90C0010380800483D1 -:200488001880071E00802074109DFD4410C0014300802074109DEF04108004C318800426B4 +:200488001880071E00802074109F7C4410C0014300802074109F6E04108004C318800426B2 :2004900088C03FCC008000841880012E1023883A90C00243808005C318800F2600802074FB -:20049800109DEF0411000287014007040814270000C0207418D9290410C5883A1080008B57 +:20049800109F6E04110002870140070408146B0000C0207418DF880410C5883A1080008B2D :2004A0001080600C1000042688C03FCC008000841880012E1023883A84C0001585000115FF -:2004A80085800205914000038100038300C020740080207418DDFD44109DEF042900151EB3 +:2004A80085800205914000038100038300C020740080207418DF7C44109F6E042900151EB1 :2004B00019400043110003C32900121E194000831100040329000F1E194000C311000443A2 :2004B80029000C1E19400183110005032900091E194001C3110005432900061E1940050367 :2004C000110008832900031E18C00543108008C31880032688803FCC1000011E0440004430 -:2004C80091000283808006032080012608139DC09100030380800683208001260813ADC047 -:2004D00091000343808006C320800126081366C0914003838080070328800226D1221043ED -:2004D8000813C00091000483808008032080071E00802074109DFD4410C004C30080207494 -:2004E000109DEF041080084318800226914004C308136380910002C38080064320800626C0 -:2004E800014006040814270001002074211917042089883A081382809100040380800783D2 -:2004F000208001260810E700910003C380800743208001260813988091000443808007C3E9 -:2004F80020800226D16210430813B2800100207401402074211DF284295DFD44018005C41A -:20050000081429808805883ADFC00717DD800617DD400517DD000417DCC00317DC80021734 +:2004C8009100028380800603208001260813E1C09100030380800683208001260813F1C0BF +:2004D00091000343808006C3208001260813AAC0914003838080070328800226D1223C437D +:2004D8000814040091000483808008032080071E00802074109F7C4410C004C300802074CE +:2004E000109F6E041080084318800226914004C30813A780910002C38080064320800626FB +:2004E8000140060408146B0001002074211A42042089883A0813C68091000403808007831E +:2004F000208001260810E700910003C380800743208001260813DC8091000443808007C3A5 +:2004F80020800226D1623C430813F6800100207401402074211F7184295F7C44018005C4A8 +:2005000008146D808805883ADFC00717DD800617DD400517DD000417DCC00317DC800217F0 :20050800DC400117DC000017DEC00804F800283ADEFFF804DC00001504002074DCC0031592 -:20051000DFC00715DD800615DD400515DD000415DC800215DC400115841DEF0485800287A4 -:200518000140070404C02074B009883A081427009CD92304108006049885883A10C0008BF1 +:20051000DFC00715DD800615DD400515DD000415DC800215DC400115841F6E048580028723 +:200518000140070404C02074B009883A08146B009CDF8204108006049885883A10C0008B48 :20052000190003CC2000092680800443048000848480030510001226808003C301000044D6 :200528001100101E1025883A00000E061900040C2000051E81000883200006261080000312 :200530001080010C1000032600800044808003050000010680000305848004430000010628 -:200538000025883A80800383010000841100051E00802074109DEF04144003C38C4000449F +:200538000025883A80800383010000841100051E00802074109F6E04144003C38C4000441E :2005400000000A06010000441100051E1880080C1000051E1C4007CC8822C03A0000030657 :200548000023883A00000106044000C4808008C31000062618C0080C180004260080207456 -:20055000109DEF04100003050023883A85000303808004C3B009883AA02897BA1004973A23 -:200558000140070405402074A0A8B03A0814270080C005039885883A10800503180695BABD -:20056000B009883AA0A8B03A01400704A0E8B03A081427009885883A1080028B00C020B43D -:20056800AD5DEF04100492BA18C51C04A084B03A1880003580C00403808005438580028721 -:200570001806963A100494BAB009883A0140070418A0B03A081427001029883A9885883A95 -:2005780010C00543B009883A0140070480E0B03A081427009885883A10C0030B9024973AB5 +:20055000109F6E04100003050023883A85000303808004C3B009883AA02897BA1004973AA2 +:200558000140070405402074A0A8B03A08146B0080C005039885883A10800503180695BA79 +:20056000B009883AA0A8B03A01400704A0E8B03A08146B009885883A1080028B00C020B4F9 +:20056800AD5F6E04100492BA18C51C04A084B03A1880003580C004038080054385800287A0 +:200570001806963A100494BAB009883A0140070418A0B03A08146B001029883A9885883A51 +:2005780010C00543B009883A0140070480E0B03A08146B009885883A10C0030B9024973A71 :20058000882297BA180691FA008020B41085180480C6B03A1CA4B03A9462B03A144000356F :200588009D27883A988006031080010C10000226A900088300000E06B009883A01400704C8 -:200590000814270000C0207418D923041885883A1080068B1080080C10000426A9400583CD +:2005900008146B0000C0207418DF82041885883A1080068B1080080C10000426A940058324 :20059800A90008C3280B003A000002060009883A000B883ADFC00717DD800617DD40051752 :2005A000DD000417DCC00317DC800217DC400117DC000017DEC00804081094C1DEFFF50404 -:2005A800DC00051504002074841DEF04DC4006158440011700800044DFC00A15DD00091581 -:2005B000DCC00815DC800715D0A210C588001526848000179000132601006734213F3004DC -:2005B800880B883A081426001027883A8080020301283BF4212EC004900B883A100003268D -:2005C000081426001009883A00000206081426001089883A880B883A081426001025883ACB -:2005C800000002060485DC0404CF550401000EC40813478001000F040813478080C0034345 -:2005D000008020741097920418C7883A18C7883A10C7883A8080020319C0001780C0001793 -:2005D8001000021E00801A440000010600801C040100207401802074D8C0001531975A04D1 -:2005E000211DE64401400444D880011508142C009009883A01401904081426009809883AF6 -:2005E8000140FA041023883A081426009809883A0140FA041029883A081426801009883A43 -:2005F00001400284081426009009883A01401904D8800015DC400115081426800100207433 -:2005F80001802074D8800215211DF9040140044431975C04A00F883A08142C0000802074A6 -:20060000109DCC84108000031000011E0810DEC0808004838100001781400203D880001513 -:20060800808004C3D1E21103880D883AD8800115808005C304802074949DEF04D88002150C -:200610000814060011003FCC2100201C213FE00400FFFFC420C0011E00800104908002850E -:20061800848002870140070404C020749009883A0814270000C0207418D9290410C5883AEA -:2006200011400003D0E21103808002039CDDEF0428CA703AD1621045818007C329403FCCCC -:2006280099C000171000011E380ED07A808008038C403FCC9009883AD8800115808008438D -:20063000DC400015D8800215808006C3D880031580800703D88004150813CF408100078321 -:200638000810E700DFC00A17DD000917DCC00817DC800717DC400617DC000517DEC00B04D3 -:2006400008114301DEFFFD04DC000015040020B4DC400115DFC00215044000C484052404F5 -:200648008440003580000035008020B410851C0410000035008020B410851804100000354C -:200650000109C4040814C54084400035010000F4210350040814C540010020B4014067345F -:20065800018001B421050804297F30043186A004081554C00812F9C0081331001000192644 -:200660000009883A0813478000C03FC410C017260813A280010000840810210010803FCC67 -:2006680000C004C410C0131E0810300008109E401021883A1000111E0811EB000812E50076 -:20067000008020B41085200410800037108000AC1000011E0811F0000009883A0810E0005F -:2006780000000706043FFF8400000506043FFF4400000306043FFF0400000106043FFFC4A2 -:200680008005883ADFC00217DC400117DC000017DEC00304F800283ADEFFFF04DFC00015A1 -:20068800081169C008135EC000802074109DFD4411000203DFC00017DEC001040810E0016D -:20069000DEFFF404DFC00B15DF000A15DDC00915DD800815DD400715DD000615DCC005159C -:20069800DC800415DC400315DC0002150811904010001D160080120401002074018020743A -:2006A000000F883A211DE6440140044431976204D880001508142C0001002074014020742B -:2006A800211DF90429576E0401800444081429800810DEC000802074109DFD4410C0058367 -:2006B00005C0207407002074D0E210850027883A0021883A0023883A0025883ABDDDCB04EE -:2006B800E71DCC04000047060100207401802074211DE6440140044431976704100F883A52 -:2006C00008142C000100207401402074211DF904295837040180044408143BC00810DEC0DB -:2006C800003FFF0610FFFF8418C03FCC010000C420C0432EA8C0000310C041260500207408 -:2006D00008120400A51DCC84A0800003100002260009883A08129E4005802074B59DEF045E -:2006D800D1221083B08003432080011ED0221085D12210830140020420BFFFC410803FCCB6 -:2006E0002880332E20803FCC10006A1E00C0207418DDFD4419000203B14005830080207479 -:2006E800109DEF0421400826D88001150810E00001002074211DFD44D880011721000203B3 -:2006F000100001151100058598803FCC1000811E00802074109DCB0410C0001700802074CC -:2006F800109DC80410C0001500802074109DCC041080000301004B04D0221085A88000055C -:200700000814C54000C020B418C52004188000370100207410FFFFCC211DCA0420C00015E4 -:200708000086303A1004D63A18C000EC05402074B8C00015E0800005AD5DC904103FB91E31 -:2007100000802074109DCA0410000015003FBB06100490BA0140207429471A041145883A3C -:20071800108000171000683A00811C8C00811C9C00811CB400811CC400811CD400811CE85E -:2007200000811CF800811D0800811D20008003C4D0A211050021883A00000306008003C4BE -:20072800D0A2110504000084044000440025883A00002006008003C4D0A21105040000C475 -:20073000003FF906008003C4D0A21105040000C400000306008003C4D0A211050400008474 -:200738000023883A003FF10600800404D0A211050400004400000D06008003C4D0A211054C -:200740000021883A0000090604000084008003C4D0A211058023883A8025883A0000050679 -:20074800008003C4D0A21105040000C4044000848825883AB1400783B1000345B00002C5D8 -:2007500028803FCC0180004489003FCC3080042E00800144114BC83A29403FCC00000106FD -:20075800014000C408133FC00813560091003FCC81403FCC0813EA80B080034301002074F9 -:20076000211792041085883A1085883A2085883A1140001701002074211DE6440180044468 -:20076800B000011508143BC00100207401402074211DF90429576B040180044408143BC020 -:20077000A08000031000011E0810DEC004C00044003F6E0691003FCC81403FCC0810FF80A7 -:2007780010803FCC0100008411002926010001041100032601000044113F751E000028064B -:20078000B0C002C300802074109DEF041800072600C020B418C5240418800037108001141E -:20078800188000350811A180003F690610000115D880011508135600D880011700C02074D3 -:2007900018D792041080034301002074211DE6441085883A1085883A1885883A114000177C -:200798000180044408143BC00100207401402074211DF90429576B040180044408143BC0ED -:2007A000A0800003103F521E0810DEC0003F5006B08002C3103F4E26081169C0003F4C0681 -:2007A800B08002C3103F4A2608114300003F480600C0207418DDFD4401402074DEFFFF0455 -:2007B0001809883A29579C04018005C4DFC00015081429801007883A008020B4108520047D -:2007B800108000371004D4BA1080004C188002050005883ADFC00017DEC00104F800283AC3 -:2007C000DEFFF804DD400515DD000415DCC00315050020B404C0207405402074DC800215E2 -:2007C800DC000015DFC00715DD800615DC4001150021883A0025883A9CD7E004A5052004CC -:2007D000AD59FB040100207401402074211DE2042957A2040180044408143BC08405883A25 -:2007D80014C5883A1140001701002074211DEA840180044408143BC00810DD800023883A83 -:2007E000856D883AA080003710BFFFCCD0A21415100018261480172688000A1E0100207455 -:2007E80001402074211DE2042957A40401800444B080000D08143BC00810DD8004400044B6 -:2007F00000000C06B0C0000B10C009260100207401402074211DE2042957A60401800444DC -:2007F80008143BC00810DD800023883A000001060440008400800084D4A2141788800326CB -:200800000109C4040814C540003FDE068400008400800C0480BFCB1EDFC00717DD800617CB -:20080800DD400517DD000417DCC00317DC800217DC400117DC000017DEC00804F800283A49 -:20081000D1221417DEFFFE0401402074DFC001150005883A2959FB04018005C41087883A56 -:200818001947883A18C0000B20C003261180942610800044003FF90600C005C4188090366E -:20082000100490BA00C0207418C8260410C5883A108000171000683A008120F80081211CB5 -:200828000081212C00812100008121240081213400812114008122C00081213C00812144C7 -:200830000081214C008122C0008122C0008122C0008122C0008122C0008122C0008121784E -:20083800008121F000812208008122340081228000812260008122AC00C00044000001060C -:2008400000C0008400802074109DC68410C0000500006B0600C000C4003FFA0600C001047B -:20084800003FF80600C00144003FF60600C00184003FF40600C001C4003FF20600C0020413 -:20085000003FF00600C00244003FEE0600C0207418DDCC84188000031005003A18800005FA -:20085800100003260100004408129E40000054060810DEC00000520600802074109DEF04EE -:2008600011000287014007040814270001C02074010020740180207439D92304211DE204F3 -:20086800014004443197AA04388F883A08142C00008020B41085140411C0003710800037D0 -:20087000010020741005D43A01802074211DEA84108000CC014004443197AD0439FFFFCC8E -:20087800D880001508142C000810DD800000340600C020B418C52404188000371080009C68 -:200880001880003500002E0600C0207418DDFD44188000030140004411003FCC2900023630 -:200888001145883A000001060005883A188000050000230600C0207418DDFD44188000433F -:200890000140004411003FCC290002361145883A000001060005883A188000450000180665 -:2008980000802074109DFD4410C0008319003FCC2000012618FFFFC410C00085000010063B -:2008A00000C0207418DDFD44188000830140038411003FCC29000236108000440000010673 -:2008A800008003C4188000850000050600C0207418DDFD44188001031005003A18800105AE -:2008B000D0A2121710001B1ED0E215171880006C10000C2600802074109DEF041080034396 -:2008B8000100024411403FCC2900022610800044000001060080004401002074211DC68470 -:2008C0002080000518C000AC18000A2600C0207418DDFD44188000030140004411003FCCE1 -:2008C800290002361145883A000001060005883A18800005DFC00117DEC00204F800283A77 -:2008D000DEFF6C04D9000304DC008C15DFC09315DD809215DD409115DD009015DCC08F158E -:2008D800DC808E15DC408D150810D5401021883A1000821ED9008304D9400304018001046D -:2008E00008143BC001402074D90083042957F804018001040814378010000B260100207401 -:2008E80001802074211DE204014004443197FA0408142C0000802074109DEA8410000005DC -:2008F0000400004400006D06D8800403DC808484D9400484D8808405D88004439009883AEE -:2008F80001800204D880844508143BC0D880068BD8008645D8808B0DD880070BD8808B8DCB -:20090000D9408B17280BC232D880078BD9408715D8808B0DD880080BD8808B8DD8808B1791 -:200908001005C232D8808815D880088BD8808B0DD880090BD8808B8DD8808B171005C23217 -:20091000D8808915D8808217D8808B151005C232D8808A1500C0788428BFF98418800B2EF7 -:200918000100207401802074211DE204014004443197FE0408142C0000802074109DEA8427 -:2009200010000005043FFFC400003C06D90003040180004408154D80D8C08A1701002074FD -:20092800211DE2040140044410C00726018020743198020408142C0000802074109DEA84AA -:200930001000000500002C06018020743198060408142C00D9C0881701002074018020744E -:20093800211DEA840140044431980A0408142C000810DD80DCC08817DD4089170021883AFB -:200940000005883A0580800484C00F2E9C23C83AB440012E0440800485008004A009883A26 -:20094800880B883AD98003040810C8001000121E800D003AD9000304880B883A08154D80D4 -:20095000A021883A003FF00615405D260100207401802074211DE2040140044431980D04C6 -:2009580008142C0000802074109DEA8410000005043FFF4400000106043FFF8404C000C418 -:200960000810DD80010003F4211090040814C54000BFCE448080480E04C0470E010020744F -:2009680001802074211DE204014004443198200408142C009CFFFFC40100207401402074B0 -:20097000211DEA84295818040180044408143BC00810DD800021883A058080040500400494 -:20097800DC40881784401C2E8C23C83AB440012E0440800485408004A809883A880B883AE9 -:20098000D98003040810C8001000291E8025D23A880B883AA440012E01404004D900030442 -:20098800900D883A0810A8401021883A103FD41EA4400236A821883A003FE906D9004304C7 -:20099000897FC004918000440810A8401021883A103FF826003FCA0601002074014020744D -:20099800211DE20429581C040180044408143BC00100207401402074211DEA8429581804E7 -:2009A0000180044408143BC00810DD80D9408817D98089170009883AD9C003040810BAC034 -:2009A8001021883A103FB61E0005883A00003B0600BFCE040000390600BFFFC40000370682 -:2009B000D8808487D9C08403D8C0844310000326008020741097DB04000002060080207456 -:2009B800109837040100207401802074D8C00015211DE2040140044431981204D8800115EB -:2009C000DC80021508142C000100207401402074211DEA842958240401800444081429800F -:2009C800044020B4040020740810DD808C4520048419FB048880003780C0000B10BFFFCC35 -:2009D00010C0052680C0008B10C013260109C4040814C540003FF7060813560000C020B404 -:2009D80018C524041880003710800114188000350109C4040814C54001002074014020745C -:2009E000211DE204295815040180044408143BC004C000C4003F880600800044DFC09317F7 -:2009E800DD809217DD409117DD009017DCC08F17DC808E17DC408D17DC008C17DEC09404E8 -:2009F000F800283ADEFFFF0421003FCC01411944DFC00015081427001009883A01401904B2 -:2009F80008141B000100207401802074211DEA840140044431982904100F883ADFC000173C -:200A0000DEC0010408142C0121C03FCC0180207401002074211DEA840140044431982B0427 -:200A080008142C01014003F4DEFFFC0421003FCC29509004DFC00315DC400215DC0001155B -:200A1000081427001009883A015A5E04081426001009883A0140FA041021883A081426005A -:200A18008009883A0140FA041023883A081426801009883A01400284081426000100207404 -:200A200001802074D8800015211DEA840140044431982E04880F883A08142C00DFC00317AA -:200A2800DC400217DC000117DEC00404F800283A014003F4DEFFFC0421003FCC2950900437 -:200A3000DFC00315DC400215DC000115081427001009883A01465904081426001009883AEB -:200A38000140FA041021883A081426008009883A0140FA041023883A081426801009883A0E -:200A400001400284081426000100207401802074D8800015211DEA840140044431982E0446 -:200A4800880F883A08142C00DFC00317DC400217DC000117DEC00404F800283ADEFFFF042B -:200A500021003FCC014119C4DFC00015081427001009883A0140190408141B00010020743F -:200A580001802074211DEA840140044431983104100F883ADFC00017DEC0010408142C01B3 -:200A600021C03FCC0180207401002074211DEA84014004443198330408142C0121003FCC36 -:200A6800DEFFFF042100004401409C44DFC00015081427001009883A0140190408141B00A1 -:200A70000100207401802074211DEA840140044431983604100F883ADFC00017DEC001044A -:200A780008142C0100802074109DCA0411400017DEFFF70401802074DC000015DFC0081584 -:200A8000DDC00715DD800615DD400515DD000415DCC00315DC800215DC400115040002C4D5 -:200A88003199FB0400C004448405883A1185883A1080000B288005268400004480FFFA1E0D -:200A900021003FCC2000C0260021883AD562164304C020749CDA0704AC803FCC902290FA55 -:200A9800014005049C45883A1500010315800017A5C03FCCB809883A08142700B0C00117CE -:200AA000817FFD4429403FCC1885883A0100014410C0010321406336280A90BA01002074FD -:200AA800210AAC04290B883A290000172000683A00812B3800812AFC00812AC800812AE0D2 -:200AB00000812BB400812BB4B800011EB5000003A53FFFC49C63883A8D0001050000510685 -:200AB800B14000038C400104B90000449C63883A081420408880000500004A06900002260A -:200AC000A8BFFFC400002906D0221685DFC00817DDC00717DD800617DD400517DD0004170C -:200AC800DCC00317DC800217DC400117DC000017DEC009040810DEC118C03FCC01000084F2 -:200AD00019000626010000C41900361E10800217103EE83A1023883A0000330694800044F0 -:200AD800902490FA108002179C87883A18C000171880042600C0207418DA07041C87883ACB -:200AE000180001059C63883A89000103B50001170140050408142700A085883A10800217A0 -:200AE8009CA5883A90800015A8800044D0A2164500001C0618C03FCC0100004420C0193614 -:200AF0001180021782003FCC01C003C41100030310C00343314000031080038341C0081E49 -:200AF80029C03FCC1A003FCC41C0022E28BFFFC400000B0621003FCC2000091E0000070659 -:200B000029C03FCC12003FCC3A00022E288000440000030621003FCC200001261805883A13 -:200B0800308000050023883AD0A2164301400504100490FA9887883A190001031D0000174E -:200B1000081427001025883AA080011701002074211DE2041485883A11400017018004440E -:200B180008143BC0A0800117010000441485883A10C00103190012261800052601000084E1 -:200B200019002326010000C4190012260000230610C00217110004170180044418C000035B -:200B280018C5883A1085883A2085883A1140001701002074211DEA8408143BC00000170673 -:200B300010C002171080041719000003103EE83A0000120684003FCC00C002C480C00C1EEE -:200B38008800021E11C003170000020601C0207439D838040100207401802074211DEA840A -:200B40000140044431983A0408142C000000030600802074109DEA8410000005DFC00817B2 -:200B4800DDC00717DD800617DD400517DD000417DCC00317DC800217DC400117DC000017D5 -:200B5000DEC009040810DD81DFC00817DDC00717DD800617DD400517DD000417DCC003178A -:200B5800DC800217DC400117DC000017DEC00904F800283A009114B4DEFFBF041094D54426 -:200B6000D88000150080107410951044D880011500801204D8800245D809883A00800084C1 -:200B6800014002C401840004DFC04015D8000205D88002850810A8401000022600BFFFC471 -:200B7000000020060140207400800D04D90001042959FB0401800C04D8000005D88000456F -:200B7800D80000C5D800008508142980D809883A01400D04018400440810A840103FEF1E84 -:200B80000080004401402074D8800005D9000104008006C4295DFD44018005C4D880004589 -:200B8800D80000C5D800008508142980D809883A014006C4018400840810A8401004C03AC9 -:200B90000085C83ADFC04017DEC04104F800283ADEFFB50401000434014002C4D80D883A0E -:200B9800DFC04A15DD804915DD404815DD004715DCC04615DC804515DC404415DC0043157B -:200BA0000810A10010000226043FFFC400003806D9004004D80B883A0180020408143BC0A0 -:200BA80001402074D90040042959140401800204081437801021883A10002C1ED88002039D -:200BB000DD4002830023883AD8804205D8800243DD40428505800D04D8804245A8803FCCD6 -:200BB8008880230E8CC400449826923A01400084D80D883A9809883A0810A100103FE21EEF -:200BC000DD0000439809883AD80D883AA00B883ADC8000030810A100103FDB1E90803FCC03 -:200BC8001000032600C0004410C0072600000D06A5800C1E010020742119FB04D940010485 -:200BD00001800C0400000606008006C4A080051E01002074211DFD44D9400104018005C45F -:200BD800081429808C400044003FDC06040000448005883ADFC04A17DD804917DD4048173F -:200BE000DD004717DCC04617DC804517DC404417DC004317DEC04B04F800283ADEFFFA043A -:200BE800DC800215048020B4DFC00515DD000415DCC00315DC400115DC000015948510046F -:200BF00090000035044005048809883A040020B4840508040814C5408009883A01400E0454 -:200BF800081568008809883A0814C5408009883A01400E44081568008809883A0814C5400D -:200C0000880B883A8009883A081568008809883A0814C5408009883A01401C4408156800CA -:200C08008809883A0814C5408009883A01401784081568008809883A0814C5408009883AED -:200C100001401B44081568008809883A0814C5408009883A01400304081568008809883AC0 -:200C18000814C54004C00044980B883A8009883A081568000500C804A009883A0814C54001 -:200C20008009883A01400184081568008809883A0814C5408009883A014000840815680015 -:200C2800A009883A0814C54094C00035DFC00517DD000417DCC00317DC800217DC40011785 -:200C3000DC000017DEC00604F800283ADEFFFA04DC000015040020B4DCC00315DC800215E4 -:200C3800DFC00515DD000415DC4001152027883A2825883A8405100480000035010020B47C -:200C40000140004421050804081568000100C8040814C54000800084808000359809883ACE -:200C480001400404081440801007883A10803FCC1000061E014020749809883A29591604EB -:200C50000180044408143BC000C000449829883A1C403FCCA1400003010020B421050804CB -:200C580008156800010005040814C540A5000044A4C5C83A10803FCC147FF6368000003569 -:200C6000010020B4014030042105080408156800010005040814C54000800084808000350F -:200C68009009883A01400404081440801007883A10803FCC1000061E014020749009883A14 -:200C7000295916040180044408143BC000C000449027883A1C403FCC99400003010020B453 -:200C78002105080408156800010005040814C5409CC000449C85C83A10803FCC147FF6365D -:200C8000008000C480800035DFC00517DD000417DCC00317DC800217DC400117DC000017D6 -:200C8800DEC00604F800283ADEFFFB04DC000015040020B484050804DC80021504800B040A -:200C9000DCC00315900B883A2027883A000D883A8009883ADFC00415DC40011508155C00AD -:200C9800044000448009883A99403FCC880D883A081562408009883A900B883A880D883A70 -:200CA00008155C008009883A880B883ADFC00417DCC00317DC800217DC400117DC0000170F -:200CA800DEC0050408155F81DEFFFC04DC000015040020B484050804DC800215DC400115A8 -:200CB0002025883A2823883A8009883A01400B04000D883ADFC0031508155C008009883A2B -:200CB80091403FCC000D883A081562408009883A89403FCC01800044DFC00317DC800217A0 -:200CC000DC400117DC000017DEC0040408156241010020B4DEFFFD04000D883A000B883A38 -:200CC80021050804DFC00215DC400115DC00001508156240010002840814C540040006048C -:200CD000044000448809883A800B883A08132A80800B883A0100008408132A80800B883A33 -:200CD800010000C408132A808809883A081322801405003ADFC00217DC400117DC00001730 -:200CE000DEC00304F800283ADEFFFC04DC4001152023883ADC8002158C403FCC04800044CF -:200CE8009009883A882290FADFC00315DC00001508132280043FF9C41404703A1460B03AD8 -:200CF00084003FCC9009883A800B883A08132A80800B883A0100008408132A80010000C48C -:200CF800800B883ADFC00317DC800217DC400117DC000017DEC0040408132A81DEFFFD04F0 -:200D0000DC4001152023883A01000044DC000015DFC00215043FF604081322801420703AD8 -:200D080089003FCC008000842080021E84000054000003062008917A2080011480A0B03AA0 -:200D100084003FCC800B883A0100004408132A80800B883A0100008408132A80010000C481 -:200D1800800B883ADFC00217DC400117DC000017DEC0030408132A81DEFFFB04DC00001557 -:200D2000040020B484050804DC80021504801704DCC00315900B883A2027883A000D883A4B -:200D28008009883ADFC00415DC40011508155C00044000448009883A99403FCC880D883AEF -:200D3000081562408009883A900B883A880D883A08155C008009883A880B883ADFC0041712 -:200D3800DCC00317DC800217DC400117DC000017DEC0050408155F81DEFFFC04DC000015D7 -:200D4000040020B484050804DC800215DC4001152025883A2823883A8009883A01401704C6 -:200D4800000D883ADFC0031508155C008009883A91403FCC000D883A081562408009883A8C -:200D500089403FCC01800044DFC00317DC800217DC400117DC000017DEC00404081562412F -:200D5800DEFFFC04DC000015040008848009883ADFC00315DC800215DC4001150813478094 -:200D6000014004C4010005841023883A0489C40408134F809009883A0814C540014000C42B -:200D6800010005C408134F809009883A0814C54000FFE00488CAB03A8009883A29403FCC5D -:200D700008134F800100FA040814C5408009883A89401FCCDFC00317DC800217DC400117F8 -:200D7800DC000017DEC0040408134F81DEFFFE04DC0000150409C4048009883ADFC0011532 -:200D80000814C540014004440100058408134F808009883A0814C540010005C4014000843A -:200D880008134F808009883ADFC00117DC000017DEC002040814C541DEFFFE04DC000015D6 -:200D90002821883A21403FCC01000484DFC0011508134F80010004C481403FCCDFC0011758 -:200D9800DC000017DEC0020408134F8121403FCC0100044408134F81DEFFFA04DC0000154D -:200DA0002021883A01000104DD000415DCC00315DC8002153829883ADFC00515DC400115FF -:200DA8002827883A3025883A0813478000FFFE04A5003FCC10C4703AA00006261080005442 -:200DB00011403FCC0100010408134F808423883A0000040611403FCC010001048023883A9D -:200DB80008134F808C7FFFCC880AD13A0100004429403FCC08134F80880A913A0100008439 -:200DC00029403C0C08134F80993FFFCC91403FCC081427001009883A880B883A0814270043 -:200DC8001009883A0140FA040814260000E327D41880092E00C0007418C45BC41880082E05 -:200DD00000C000B418C3D5C41885403A00C000C41885C83A000003060005883A000001060A -:200DD8000080004414003FCCD0A016041405883A1100000301400A04081427008808D07A33 -:200DE000880B883A1109883A08141B00100B883A00C001C410803FCC1880012E180B883AE2 -:200DE80029403FCC800491BA280A90FA010000C4288AB03A29403FCCDFC00517DD00041764 -:200DF000DCC00317DC800217DC400117DC000017DEC0060408134F81DEFFFE04DC0000152E -:200DF8002021883A01000684DFC0011508134780017FFE8484003FCC00C000441144703A22 -:200E000080C0021E10800094000001061080029411403FCC01000684DFC00117DC00001790 -:200E0800DEC0020408134F812140028BDEFFFE04DC000015280AD23A2021883A010012C465 -:200E1000DFC0011508134F80814002830100128408134F808140030B01001344280AD23AF7 -:200E180008134F80814003030100130408134F808140038B010013C4280AD23A08134F80B8 -:200E2000814003830100138408134F808140010B01001444280AD23A08134F8081400103D6 -:200E28000100140408134F808140018B010014C4280AD23A08134F8081400183010014847B -:200E300008134F808140020B01001544280AD23A08134F80814002030100150408134F809E -:200E38008140040B010015C4280AD23A08134F80814004030100158408134F808140048B2C -:200E400001001644280AD23A08134F80814004830100160408134F808140050B010016C416 -:200E4800280AD23A08134F808140050301001684DFC00117DC000017DEC0020408134F81C5 -:200E5000DEFFFD04DC00001504000FC4DC4001152023883A8009883ADFC0021508134780C2 -:200E580000FFFC0410C4703A888AB03A8009883A29403FCCDFC00217DC400117DC00001763 -:200E6000DEC0030408134F81DEFFFD04DC40011504400684DC0000152021883A8809883ABD -:200E6800DFC002150813478081403FCC00C000C4194BC83A280A91BA10800FCC8809883AE1 -:200E7000114AB03A29403FCCDFC00217DC400117DC000017DEC0030408134F81DEFFFD045C -:200E7800DC0000152021883ADC40011584003FCC044001048809883A802090FADFC0021529 -:200E800008134780108001CC140AB03A8809883A29403FCCDFC00217DC400117DC00001765 -:200E8800DEC0030408134F81DEFFFD04DFC00215DC400115DC000015081356000009883AC7 -:200E900008137D80000B883A01000D4408134F800100207421191704081382800100040411 -:200E980008139DC00009883A081394000009883A081398800140018401000F4408134F8046 -:200EA00001001104081366C0010006C40140220408134F8004400204880B883A0100070414 -:200EA80008134F8004000684800B883A0100028408134F80800B883A0100024408134F8076 -:200EB0008809883A800B883ADFC00217DC400117DC000017DEC0030408134F81DEFFFD0435 -:200EB800DC0000152021883ADC40011584003FCC044004048809883A802090FADFC00215E6 -:200EC00008134780108001CC140AB03A8809883A29403FCCDFC00217DC400117DC00001725 -:200EC800DEC0030408134F8121003FCC20002D26DEFFFE04DC000015010009842821883A72 -:200ED00001402004DFC0011508134F80817FFFC429403FCC008003C411402536280A90BA58 -:200ED80000802074108EDD04288B883A288000171000683A00813BB400813BC000813BF0E9 -:200EE00000813BC000813BF000813BF000813BF000813BD800813BF000813BF000813BF0DA -:200EE80000813BF000813BF000813BF000813BF000813BC001000C440140024400000206DE -:200EF00001000C4401400604DFC00117DC000017DEC002040000050601000C4401401684C1 -:200EF800003FF90601000984000B883A08134F81DFC00117DC000017DEC00204F800283AAE -:200F0000DEFFFE04213FFFC4DFC00115DC00001521003FCC008003C411003236200890BACB -:200F080000802074108F0D042089883A208000171000683A00813CBC00813CBC00813CE49E -:200F100000813CA400813CE400813CE400813CE400813C8C00813CE400813CE400813CE451 -:200F180000813CE400813CE400813CE400813CE400813C74010008840140030408134F8045 -:200F200001000D04014000C400001206010008840140020408134F8001000D0401400384EA -:200F280000000C06010008840140020408134F8001000D0401401104000006062821883A5A -:200F3000010008840140020408134F8001000D0481403FCCDFC00117DC000017DEC00204B7 -:200F380008134F81DFC00117DC000017DEC00204F800283ADEFFF604DC4001152C403FCC86 -:200F4000297FFFC4DF000815DDC00715DD800615DD400515DD000415DCC00315DC800215A5 -:200F4800DC000015DFC0091529403FCC008003C42021883A3039883A382B883ADD000A17CF -:200F5000DCC00B17DC800C17DDC00D17DD800E1711402836280A90BA00802074108F5B04C4 -:200F5800288B883A288000171000683A00813DAC00813DC400813DF400813DC400813DF4C1 -:200F600000813DF400813DF400813DDC00813DF400813DF400813DF400813DF400813DF4F9 -:200F680000813DF400813DF400813DC4010001440140008408134F8001000184200B883A1B -:200F700000000B06010001440140018408134F80010001840140040400000506010001443A -:200F780001400C8408134F80010001840140080408134F80880B883AE1003FCC0813B28053 -:200F8000B9003FCC081366C084003FCC8809883AB1403FCC8400201C0813C000843FE0042C -:200F88008009883A0140070404402074081427008C5923048887883A19C0068B1900040B28 -:200F9000A1803FCC39C0100C380EC03AA97FFFCC0813678099003FCC91403FCC081363804D -:200F98008009883A01400704081427008885883A11400583010001C4DFC00917DF00081734 -:200FA000DDC00717DD800617DD400517DD000417DCC00317DC800217DC400117DC00001779 -:200FA800DEC00A0408134F81DEFFFC0429BFFF84DC400115DC000015DFC00315DC800215FD -:200FB00031803FCC0080004424403FCC2C003FCC11800436008000848880071E8805883AB0 -:200FB800000008068800061E8005003A00C000841885C83A000003060005883A00000106E6 -:200FC00000800084880A913A8C47883A10803FCC18CD883A100491BA2986B03A20CAB03A0D -:200FC800288AB03A0100064429403FCC0480008408134F809400062E008000C48080071E8B -:200FD000010004040140174408134F8000001106010004040140160408134F808C800D1ED6 -:200FD8000080004414000B36010003848080021E0140148400000106014014C408134F8055 -:200FE0000100FA040814C540010005040813478000000C06014016C40100038408134F8046 -:200FE8000100FA040814C5400100050408134780008000C48080031E010006040140040424 -:200FF0000000020601000604000B883A08134F8001000D84000B883ADFC00317DC8002178A -:200FF800DC400117DC000017DEC0040408134F81DEFFFD04DC4001152023883A0100050402 -:20100000DC000015DFC002152821883A081347808C403FCC00C0008488C00A1E81403FCCE5 -:2010080000C0004428C0031E1080240C10802420000006062800031E1080220C1080222042 -:20101000000002061004D07A1080004CDFC00217DC400117DC000017DEC00304F800283AA0 -:20101800DEFFFE04DAC0030302800044DC00001552D6983ADA400403DC00020302002074F0 -:20102000DC400115421929040005883A037FFEC40380008403FFFBC429403FCC0300068422 -:201028004180008B40C000033440200C880005264800021E78C6703A000009064B80081EB6 -:20103000000006063440400C880005264800021E68C6703A000002064A80011E0007883A27 -:2010380019C6703A18C03FCC18000C2630C0080C1807003A28C0091E40FFFE8B18C007844B -:2010400019000636318003CC8000032630FFFFCC180001261AC007263000062610C0004462 -:201048001805883A18C03FCC420007041B3FDC1E00BFFFC4DC400117DC000017DEC00204D8 -:20105000F800283A2900182E280017160080080400C0004400000206100011262800051640 -:20105800294B883A10BFFFC418C7883A293FFA3618000B260005883A214002362149C83A62 -:2010600010C4B03A1806D07A280AD07A183FFA1E3000011EF800283A2005883AF800283A75 -:201068000005883A003FFA0600C00044003FF106DEFFFE04DFC00115DC00001520000B1662 -:201070000021883A28000C16000D883A081414400407C83A1884F03A1405883ADFC001178F -:20107800DC000017DEC00204F800283A0109C83A04000044283FF40E014BC83A8400005C7C -:20108000003FF106DEFFFD04DFC00215DC400115DC00001520000C160023883A0021883A59 -:2010880028000D1601800044081414401404F03A8885883ADFC00217DC400117DC000017D8 -:20109000DEC00304F800283A0109C83A04400044043FFFC4283FF30E014BC83A003FF106BB -:20109800000D883A0814144101800044081414410005883A2000072620C0004C2008D07A10 -:2010A000180001261145883A294B883A203FFA1EF800283AF800283A2005883A0007883AC8 -:2010A8001980062628C9883A21C0000310C9883A18C0004421C00005003FF906F800283A97 -:2010B000DEFFF504DFC00915DC400815DC000715D9C00A1500802074109BCD0414400017A9 -:2010B8002800040E008022C48880001500BFFFC400001C0600C08204D8C0000DD9000415DA -:2010C000D90002152800022628FFFFC4000001060007883AD8C00515D8C00315110000178C -:2010C80000FFFFC4D8C0008D00C0207418D281042821883AD9C00A04D80B883AD8C0011559 -:2010D000D80006150814498000FFFFC410C0020E00C022C488C0001580000226D8C0041728 -:2010D80018000005DFC00917DC400817DC000717DEC00B04F800283A30000E2631BFFFC4C9 -:2010E000218D883A2080000728C0000710C0011E2180041E2080000328C0000310C5C83AD3 -:2010E800F800283A103FFB262100004429400044003FF4060005883AF800283A2005883ACB -:2010F0002007883A1809883A3000092629C0000331BFFFC418C0004421C0000539C03FCC10 -:2010F80039C0201C39FFE00429400044383FF51E198D883A198003261800000518C00044ED -:20110000003FFC06F800283A214B883A2005883A1140021E1105C83AF800283A10C0000765 -:20110800183FFC2610800044003FF906DEFFFB04DC800315DC400215DC000115DFC004150F -:201110002025883A2823883AD98000053821883A04000A0E888001179009883A880B883A46 -:20111800D80D883A01C00044103EE83A843FFFC4103FF72600BFFFC4000001060005883A59 -:20112000DFC00417DC800317DC400217DC000117DEC00504F800283ADEFFE304D8C00804ED -:20112800DF001B15DDC01A15DD801915DD401815DD001715DCC01615DC801515DC4014155C -:20113000DC001315DFC01C152029883A2823883A3839883AD9800F150021883AD8000E1525 -:20113800002F883A002B883A0027883A0025883AD8000C15D8000B15002D883AD8C0091553 -:20114000D8C00F171900000320803FCC1080201C10BFE00410012E2601400044B140142676 -:201148002D800216B00006260001250601400084B1401D26014000C4B1402B260001200653 -:201150000140094411410D2688800117D9000005880B883AA009883AD80D883A01C0004402 -:20115800103EE83A1000E81E840000440001140601400C0411410A260140094411400A1E34 -:20116000D880000588800117A009883A880B883AD80D883AB00F883A103EE83A1000DA1E92 -:20116800840000440001050625BFF404B5803FCC00C002441D80093600BFFFC490800426D9 -:201170009009883A0140028408142700000001060005883A15A5883A0000F20601400B84E8 -:201178001140F42605800084213FF40420C03FCC0100024420C00B3600BFFFC49880062672 -:201180009809883A01400284D8C0111508142700D8C01117000001060005883A10E7883ADD -:201188000000E70601401B041140E026013FFFC499000226D8000B150000010604C00044D8 -:2011900001001A441100162620800916010018C411009626010019041100112601001604A9 -:201198001100D61E00C00044D8C00E150000140601001CC41100A6262080041601001BC401 -:2011A0001100CE1E0540020400000E0601001D4411000C2601001E04110009260000C706FE -:2011A800E0800104B8000726D8800D15E7000017E000080E0739C83A0200004400000606DB -:2011B00005400404E0C00104D8C00D15E7000017002F883A0011883A002D883ADD87883A9C -:2011B800E0001A26E009883AA80B883AD8C01115DA00121508142600A809883A100B883A86 -:2011C000D880101508142700E085C83A01000244D8C01117D9801017DA00121720800216A6 -:2011C80017000C0400000506D9400E172800022617000DC400000106170015C41F00000544 -:2011D000B58000443039883A003FE4061EC5C83AD8800A159885C83A1839883A00800E0E08 -:2011D800182D883A1885883A01000C04D9400917B007883AB140052EB58000441900000513 -:2011E000B039883AB0BFF91E00000106B039883AB6EDC83ADD800A15D8800A171207883A97 -:2011E80090EDC83AD8C00B171800172640000A2600800B44D880080588800117A009883A2A -:2011F000880B883AD980080401C00044103EE83A10004D1E840000440580070EA009883A68 -:2011F800880B883A01800C04B00F883A081442C01000451E85A1883AD9000A17E007883A54 -:20120000272DC83A000022060580090EA009883A880B883A01800804B00F883ADA001215E5 -:20120800081442C0DA0012171000371E85A1883A403FF12600800B44D8800805888001176E -:20121000A009883A880B883AD980080401C00044103EE83A10002C1E84000044003FE606D7 -:2012180018FFFFC418800003A009883AD8C01115D880080588800117880B883AD9800804D9 -:2012200001C00044103EE83AD8C0111710001E1E80C5C83A1D89883AE085883A013FF01611 -:201228001021883ADF000D1700004406010000442480080E95BFFFC4A009883A880B883A90 -:2012300001800804B00F883A081442C010000E1E85A1883AE0800017A009883A880B883A17 -:20123800D880000588800117D80D883A01C00044E5800104103EE83A1000031E840000449A -:20124000B039883A00002D0600BFFFC400003106E5800017E0C00104D8C00A15B009883AA4 -:201248000814B0009091C83A1039883A0200090E400F883AA009883A880B883A0180080415 -:20125000DA001215081442C0DA001217103FEE1E8221883A88800117A009883A880B883ABC -:20125800B00D883AE00F883A103EE83A103FE61E8721883ADF000A170000100605C00044FA -:2012600004FFFFC4D8000E15054002849825883AD8000C15D8000B15B82D883A00000806BD -:20126800DD800B15058000840000050600C00044D8C00C15058000C400000106002D883AD9 -:20127000D8C00F1718C00044D8C00F15003ECC068005883ADFC01C17DF001B17DDC01A17C0 -:20127800DD801917DD401817DD001717DCC01617DC801517DC401417DC001317DEC01D0415 -:20128000F800283A2880000BDEFFFD04DC000015DFC00215DC40011510C0020C2821883AA1 -:201288001800192628C0008F1800150E10C0800C180013262C40051789C0030E10C0200CB2 -:201290001800032600000E063C40010E3823883A81000417300B883A880D883A0814AA008B -:20129800808005171445C83A80800515808004171463883A844004150005883A00000306A4 -:2012A000108010148080000D00BFFFC4DFC00217DC400117DC000017DEC00304F800283A0D -:2012A8002005883A29000D2E298F883A21C00B2E3007883A017FFFC418FFFFC419400626AC -:2012B0001989C83A3909883A2200000310C9883A22000005003FF806F800283A0007883A2F -:2012B8001980062628C9883A21C0000310C9883A18C0004421C00005003FF906F800283A85 -:2012C0002005883A10C000071800022610800044003FFC061105C83AF800283ADEFFFF04A9 -:2012C8000100207401402074DFC000152119E904295BD6042140061E010020740140207474 -:2012D00021000804294008042140141E00000D0600C0207418DBD60400BFFF041907C83AB1 -:2012D8001886703A0005883A10FFF326114F883A39C00017110D883A1080010431C0001512 -:2012E000003FF906010020740140207421173204295732042140121E00000D0600C020742A -:2012E80018C0080400BFFF041907C83A1886703A0005883A10FFF326114F883A39C00017B5 -:2012F000110D883A1080010431C00015003FF90608157240DFC00017DEC00104081581C19E -:2012F80000C0207418D9E90400BFFF041907C83A1886703A0005883A10FFF526114F883A65 -:2013000039C00017110D883A1080010431C00015003FF906DEFFFF040009883ADFC00015A5 -:201308000814C5800814C780010020742119D904200B883A200D883A08158C00D1221917AD -:20131000D1621817D1A21717DFC00017DEC001040811A40108156A41DEFFFF04DFC0001547 -:201318000815B580008000441001703ADFC00017DEC00104F800283ADEFFFE04DC00001561 -:2013200004002074841BCF0401002074800B883A211B4104DFC00115081573000100207466 -:20132800211B0A040815364001002074211AFF04800B883ADFC00117DC000017DEC002045A -:201330000815730101402074DEFFFF04295BCF04DFC0001508157A8010000E2610C00A1700 -:20133800D0A21C15D022261519008D04D122241519008904D122231519008404D122221553 -:2013400019008C04D122211519008B04D1222015D0E21F15DFC00017DEC00104F800283A52 -:20134800D0E21C17008000441800041ED0E226171880071ED022261500000506D0E22417D1 -:2013500018C0002B18C0008C183FF826F800283A0005883AF800283ADEFFFD04DC40011516 -:20135800DC000015DFC002152023883A2821883A0814D2001000021E0005883A00001106C2 -:201360008C09883A2006927AD0A2201710C00035D0A2211700C0060410C0002DD0A2241718 -:201368001080002B10BFFFCC10C0010C183FFB1E1080040C103FF01ED0221B15D1221A1582 -:2013700000800044DFC00217DC400117DC000017DEC00304F800283AD0A21B1710000326DE -:20137800D1221A17000B883A0814D58100800044F800283ADEFFFD04DC400115DC000015D3 -:20138000DFC002152023883A2821883A0814D2001000021E0005883A00001906D0A21B17DF -:201388001000081E8C09883A2006927AD0A2201710C00035D0A2211700C0044410C0002D29 -:2013900000000506D1221A17000B883A0814D580103FF41E003FEF06D0A224171080002BD3 -:2013980010BFFFCC10C0010C183FFB1E1080040C103FE81ED0221B15D1221A150080004451 -:2013A000DFC00217DC400117DC000017DEC00304F800283A2005883A2100001711C0021746 -:2013A80021000A040814EBC12005883A2100001711C0021721000A040814F1812100001730 -:2013B000298D883A2805883A3A10000C11800B2E20C000371A60000C4800032610C00005B3 -:2013B80010800044003FF906114002261145C83AF800283A403FF52600000106117FFB1E8E -:2013C00039D0000C3800021E00BFFEC4F800283A00BFFD44F800283A210000173005883A3C -:2013C800298D883A21C001042980072E38C0003718FFFFEC183FFC2628C0000720C000351B -:2013D00029400044003FF806F800283A20001D262804923A20C03017DEFFFD04DC40011527 -:2013D800DC000015DFC002152823883A2021883A108000D41880033580C0301718800037B4 -:2013E0001080004C100003260100004408156A40003FF90618C000371809D0FA2140020C25 -:2013E8001809D0BA1807D07A210001CC2908B03A18C0040C20C6B03A1C40042600BFF48453 -:2013F0000000020600BFFA84F800283ADFC00217DC400117DC000017DEC00304F800283A60 -:2013F80020000A26280009263000082620800C173080001520800C171000062621000D0417 -:20140000290000150005883AF800283A00BFFA84F800283A00BFFEC4F800283A2005883A14 -:2014080020001D262809883A28001B1610C0311728C0192E1140341728FFFFC420C6703AB8 -:201410001800151EDEFFFE04DC000015DFC001151021883A081426001004923A00C04034A3 -:2014180018FFC00410C4703A80C03017108000941880033580C0301718C0040418800037AA -:201420001080004C10000626008000441880003500BFFEC40000020600BFFA84F800283AE3 -:20142800DFC00117DC000017DEC00204F800283ADEFFF504DC000115DFC00A15DF00091579 -:20143000DDC00815DD800715DD400615DD000515DCC00415DC800315DC400215DC000B17E0 -:20143800280039163023883A300037162027883A20003526382B883A380033262080311769 -:201440003080312E2880302E208034172987C83A1887883A1C002C3680002B1610BFFFC4A8 -:20144800288A703A2800281E0029883A05BFFFC405C000C40700010480002126DD8000157A -:20145000890000CC20000526E125C83A8480012E8025883A8923C83A00000406BC0002368E -:201458008025883A0000010604800104AD0B883AD909883A900D883A0814298098802E17DE -:20146000D8C00017A4A9883A84A1C83A8885883A10C00035988030171080040410C00037B5 -:2014680018C0008C1800042600C0008410C0003500BFFEC4000005068C400104003FDE06F5 -:201470000005883A0000010600BFFA84DFC00A17DF000917DDC00817DD800717DD40061721 -:20147800DD000517DCC00417DC800317DC400217DC000117DEC00B04F800283ADEFFF60427 -:20148000DC000115DFC00915DDC00815DD800715DD400615DD000515DCC00415DC80031512 -:20148800DC4002152021883A20000A263029883A3000082620802E1780C02F172823883ACD -:201490002885883A382B883A11C9883A10C0012E20C0023600BFFA84000024062809883A9B -:2014980081403417002D883A08142600102F883A80803317B8801C2EA8001B2684C03417B2 -:2014A00004E7C83A8CE6703A9C4002268CE5C83A000001060025883A8009883A980B883A0E -:2014A800081501C01000111E80C034171CA5C83AAC80012EA825883ADC8000158009883A13 -:2014B000980B883A880D883AA58F883A08150B001000061EACABC83AB4AD883A8CA3883AA1 -:2014B800BDC00044003FE2060005883ADFC00917DDC00817DD800717DD400617DD0005173C -:2014C000DCC00417DC800317DC400217DC000117DEC00A04F800283A200007263000062607 -:2014C80020802E17288B883A20802F1729C7883A2880012E1880023600BFFA84F800283AD4 -:2014D000DEFFFF043009883A380D883ADFC00015081429800005883ADFC00017DEC0010481 -:2014D800F800283A20005026208030171000502620C03217DEFFFB04DFC00415DCC0031526 -:2014E000DC800215DC400115DC00001518001E1E1480023700C0030494803FCC90BFFAC442 -:2014E80018804536100490BA00C0207418D4EF0410C5883A108000171000683A0081542853 -:2014F000008154380081544000815430008153F0008154B8008154B8008154B8008154B8BD -:2014F800008154B8008154B8008153F80081540004408004000013060441000400001106D8 -:201500000442000400000F06148001370080058494803FCC90800A26008006049080042674 -:20150800008005049080241E0440080400000506044040040000030604401004000001069D -:201510000440200424C034172021883A8809883A980B883A0814270080C0311710C0021EAE -:2015180080C0331788C0022680000C150000120600C00044014020748480361584403315CC -:2015200080C00C1580000D1580800E1584400F1584C010158009883A295BD40408157300FD -:201528000005883A0000050600BFFA84F800283A00BFFB44F800283A00BFFB44DFC004172A -:20153000DCC00317DC800217DC400117DC000017DEC00504F800283A300001260005C032FA -:2015380000FFFF042005883A28C6703A110DC83A30C0042E11800017300DC0F210800104A4 -:20154000003FFA06294000CC00C000C428C0041E10C0000B1807C0B21080008300000806FC -:2015480000C0008428C0031E1080000B1005C0B20000040600C0004428C0021E108000036B -:201550001005C0720005C132F800283ADEFFFD04DC400115DC0000152823883A2021883AD1 -:20155800014001443009883ADFC00215081427008809883A100B883A0814260010BFFFC4F5 -:2015600080C0020418000035010000448100043511003FCC810000351004D23A10803FCC4C -:20156800808001350080200418800035DFC00217DC400117DC000017DEC00304F800283ADE -:201570003180004C294B883A298B883A21400335210004040080240420800035208000379C -:201578001080008C103FFD1E208000371004D1FA1080004CF800283A20C0040428000226A9 -:2015800000801A04000001060080080418800035188000371080008C103FFD1E208003371E -:20158800F800283A29403FCC21400335210004043000022600801404000001060080040434 -:2015900020800035208000371080008C103FFD1E208000371004D1FA1080004CF800283A1D -:2015980020C0040400800B0418800035188000371080008C103FFD1E20800337F800283A66 -:2015A00029403FCC21400335210004040080070420800035208000371080008C103FFD1E38 -:2015A800F800283A014AAAF4DEFFFE04296AAA84DC000015DFC001152021883A0814260055 -:2015B00010000F2601600034013555740007883A297FFFC421155584297FFFC4283FFE1E11 -:2015B80018C000448121883A18BFFB168405883A1421883A843FFFC4803FFE1E00000406FE -:2015C0008405883A1421883A843FFFC4043FFE160005883ADFC00117DC000017DEC00204D7 -:2015C800F800283AF800283A3005883AF800283A20000226208002171000131E00802074A8 -:2015D000109BD3041080001710000926DEFFFF04DFC00015103EE83A00C0058410C0001561 -:2015D80000BFFA84DFC00017DEC00104F800283A00802074109DDD0400C0058410C0001533 -:2015E00000BFFA84F800283A28800017214001152080001528800017110001152900001545 -:2015E8000005883AF800283ADEFFFB04DCC00315DC800215DC400115DC000015DFC00415E4 -:2015F0002027883A2823883A2C0000170814B000148000448440072681000217980B883AEE -:2015F800900D883A0815C9801000042684000017003FF8060005883A000001068005883AE7 -:20160000DFC00417DCC00317DC800217DC400117DC000017DEC00504F800283AF800283A63 -:20160800DEFFFC04DC4001152023883A2809883A300B883A01807FC4DC000015DFC0031552 -:20161000DC800215081593801021883A100016161009883A0140030404802074081427006A -:20161800949B4C041007883A9085883A108000178009883A8880001590C5883A10800117B5 -:2016200090C7883A888001151880021788800215DFC00317DC800217DC400117DC0000174E -:20162800DEC004040815AFC1DFC00317DC800217DC400117DC000017DEC00404F800283A1A -:20163000DEFFFB04DC000015040020742005883A841B4F04DC40011504400044DCC00315EE -:20163800DC8002152827883A3025883A100B883A8009883A880D883ADFC004150815820021 -:20164000813FFD04980B883A000D883A0815820081000304900B883A880D883ADFC0041700 -:20164800DCC00317DC800217DC400117DC000017DEC0050408158201DEFFF504DC400215E0 -:201650002823883A01402074295BCF04DD400615DD000515DCC00415DC800315DFC00A152B -:20165800DF000915DDC00815DD800715DC0001152025883A3027883A08157A80102B883A1C -:201660000029883A1000051E9009883A0815B600102B883A1000462605000044A809883AE9 -:201668000815C0C01021883A100044161009883A01400304081427001007883A02100034E3 -:2016700000802074109B4C04423FFFC418B9883A8A10703A102F883A18C00204A000062685 -:2016780010C7883A1A000015A880031710001A1E8005883A0000350610C7883A00802074D1 -:2016800042100034109BCE041A00001510C00017B829883A002D883A8009883A014003040C -:20168800D8C0001508142700B885883AA100001710800017D8C000172080031EA08002174B -:201690001000010EA7001F1EB5800044A50003041DBFF12E003FE406880D883AE009883AEC -:20169800900B883A980F883A103EE83A1023883A8005883A8800150E8009883A0815AFC03E -:2016A00000802074109BD3041080001710000226103EE83A0000020600802074109DDD049B -:2016A8000463C83A1440001500BFFFC400000706047FFB44043FFFC4003FEF061023883AD0 -:2016B000003FED06047FFCC4003FEB06DFC00A17DF000917DDC00817DD800717DD40061745 -:2016B800DD000517DCC00417DC800317DC400217DC000117DEC00B04F800283A00C0008478 -:2016C0001900140EDEFFFD04DC0000150140030404002074DFC00215DC400115841B4C0449 -:2016C8002023883A081427008085883A8809883A0140030410000215081427008085883A27 -:2016D00010000015DFC00217DC400117DC000017DEC00304F800283A000170FAF800283A32 -:2016D80000802074DEFFFA04109BD104DC00001514000017DD000415DCC00315DC8002154A -:2016E000DFC00515DC4001152027883A1025883A05000BC484801226844002178809883ABF -:2016E8000814B0008887883A18FFFFC71D00011E10BFFFC49887883A18C000071D00012696 -:2016F0001800051E8809883A980B883A100D883A0815C9801000042684000017003FED069B -:2016F8000005883A000001068005883ADFC00517DD000417DCC00317DC800217DC400117AB -:20170000DC000017DEC00604F800283ADEFFFB04DCC0031504C02074DC800215DC40011547 -:20170800DC000015DFC004152025883A0021883A9CDB4C04044008048009883A0140030484 -:20171000081427009887883A188000171000081E1C80001500C0207418DBCE0419000017B6 -:201718008005883A2400050E1C0000150000030684000044847FF01E00BFFA04DFC00417A9 -:20172000DCC00317DC800217DC400117DC000017DEC00504F800283A218D883A2180082617 -:201728002080000328C0000310C0022610C5C83AF800283A2100004429400044003FF7069C -:201730000005883AF800283A73616C4672652068006573616F727265642520720000000087 -:2017380073616C4672772068006574696F7272650000007273616C466576206820666972E4 -:201740006C6961660000000061766E492064696C64616572646D63200000000072204453ED -:20174800206461656C696166006572757665642F746C412F5F617265535F505561435F445C -:20175000415F64726F6C61766E495F6E667265745F6563610000003053206F4E616320440C -:2017580064206472002E74652520732500632575252E75256B75322E25207A482E252E750C -:201760007A487532000000004353534F776620207525202E322E252E0000007574696E4907 -:20176800727265202020726F000064252020202053204F4E00434E59343130323130322D4D -:201770006D202036737172610000000074736554746170206E726574000000003A315641FF -:2017780042475220000000533A31564173475220000000423A3156416250592000007250A4 -:201780003A32564162505920000072503A32564173475220000000423A33564142475220E9 -:20178800000056483A33564142475220000000533A33564173475220000000423A335641DB -:20179000625059200000725000815DCC00815DDC00815DE800815DF400815E0000815E0C86 -:2017980000815E1800815E2400815E3000815E3C0000000000000000001000000088440B26 -:2017A00000010100000000007365725000000073666E6F43006D72696D73694D6863746116 -:2017A8006572202C00797274646F4D567325203A00000000203A4F4C56207525203A4D5338 -:2017B000000075250000003100000032000000330000003400000035000000360000003713 -:2017B8000000003800000039554E454D0000000000004B4F4B43414200000000000050551B -:2017C0004E574F44000000005446454C0000000048474952000000544F464E4900000000FC -:2017C8005F44434C4B4341424847494C000000544E414353454E494C444F4D5F0000004575 -:2017D0004E414353454E494C5059545F000000454E414353454E494C544E495F0000002B49 -:2017D8004E414353454E494C544E495F0000002D454E494C544C554D444F4D5F000000453F -:2017E00000815EC400815EC800815ECC00815ED000815ED400815ED800815EDC00815EE061 -:2017E80000815EE400815D5400815EE800815EF000815EF400815EFC00815F0000815F08E0 -:2017F00000815F1000815F1800815F2000815F3000815F4000815F5000815F6000815F7001 -:2017F8004353534F0000000061766E492064696C67616D690000006561766E492064696CC8 -:20180000646165680000726561766E492064696C2072646800435243696C61566974616414 -:201808006420676E0061746162207525736574790000000061766E492064696C61746164CF -:201810004352432000000000252E75252575322E0073257361647055676E697400574620D5 -:2018180061656C70772065732E74696100002E2E697265566E6979666C66206700687361F6 -:2018200072746552676E6979647075200065746161647055203F65742C593D314E3D32201F -:201828000000000064206425000067656C20752573656E6900000000252E75252075322E10 -:20183000000073756D20642500000056702075256C65786900000073252575250000000011 -:201838006C6961660000646500007325656469566E69206F6F7270203E2020630000000053 -:20184000706D6153676E696C74706F203E20202E00000000636E795374706F202020202E90 -:201848003E202020000000007074754F6F207475202E74703E2020200000000074736F504C -:201850006F72702D20202E633E20202000000000202E774661647075202065743E2020201F -:20185800000000002D204B4F736C70207365722074726174000000007365523C7320746523 -:20186000697474653E73676E00000000657365526F6420740000656E7661533C65732065A0 -:201868006E6974743E2073670000000065766153000000646E616353656E696C00000073D6 -:201870006E616353656E696C727473200000002E6E616353656E696C707974200000006575 -:201878006E616353656E696C2E6469200000000069726F48746E6F7A6D206C61006B736112 -:20188000747265566C61636973616D200000006B703034323838322F696C20703358656EA8 -:2018880000000000656E694C706972746D20656C0065646F703038343637352F696C207021 -:201890003258656E00000000693038343637352F6170206972747373000000006D205854A6 -:201898000065646F74696E49206C616975706E69000000746C616E417320676F20636E798F -:2018A0000046504C6C616E417320676F20636E79006874566E797356687420636873657204 -:2018A80000646C6F74696C47694668637265746C6E656C20000000004C502D487250204C82 -:2018B0006F432D65007473614C502D486F50204C432D74737473616F00000000706D6153B1 -:2018B800676E696C616870200000657370303834206E6920706D61730072656C6564695696 -:2018C000504C206F00000046506250596E6920726C6F4320006170536F74754176656C2071 -:2018C8007463202E00006C7200706F5474746F4200006D6F69726F48746E6F7A00006C612B -:2018D000747265566C6163690000000065746C4174616E7200676E690066664F6F74754191 -:2018D80000000000756E614D00006C61494D44480000000000495644656E654720636972B0 -:2018E000393A363100000000656E65472063697200333A3478303233203034326974706F11 -:2018E80000002E6D78363532203034326974706F00002E6D484D33336D28207A00296E69F9 -:2018F000484D30316D28207A002964654D352E3228207A482978616D000000002056544458 -:2018F8007030383400000000415345563034362030383478003036402E6365523130362022 -:20190000000000002E6365523930372000000000484D35394828207A2056544400294949E9 -:20190800484D35334828207A2056544400002949484D36314528207A29565444000000001E -:201910007A484D39445328200029565400006E4F445253550041544100000020008163F8F0 -:201918000000200020002CE5E926F4FD38BC20000000000000816404000020002000323EB1 -:20192000F113FA043B61200000000000703034324D334C5F05000030177000F001060618E7 -:20192800034810AA00410012703034324D334C5F03C00031177000F0010604920336108045 -:2019300000420012703034324D334C5F01400032177000F0010601AA031F103100440011BE -:20193800703034324D334C5F01000033177000F001060155031910270048001170303432A4 -:201940000000000002D00000177000F00106035A033C104100500012703838324D334C5FAB -:20194800050000301388012001380618034810AA00410012703838324D334C5F03C00031AE -:2019500013880120013804920336108000420012703838324D334C5F01400032138800F094 -:20195800013801AA031F293100440011703838324D334C5F01000033138800F0013801552F -:201960000319292700480011703838320000000002D000001388012001380360033C1041D6 -:2019680000500012703438330000000001F000001686018001A70280033E1D3200500004D2 -:20197000783034360034383302800000157C018001EC032002603F3000100010693038340C -:201978000000000002D00000176A00F0020D035A033C104100700012703038340000000082 -:2019800002D00000176A01E0020D035A063E1E3C0080001478303436003038340280000045 -:20198800177001E0020D032002602130010000147830343600323135028000001770020028 -:201990000238032002601C3000000010693637350000000002D000001388012002710360AD -:20199800033C104100700012703637350000000002D000001388024002710360063C2041E3 -:2019A0000000000478303038003030360320000017700258027404200480175800000010DC -:2019A800703032370000000005000000176A02D002EE0672052814FF000000083038323143 -:2019B0003032377805000000177002D002EE0672052814DC0000001034323031383637782F -:2019B80004000000177003000326054006881DA000000010303832313230317805000034A9 -:2019C00017700400042A0698037026F800000010303830310000006907800000176A043899 -:2019C80004650898052C109400200008303830310000007007800000176A04380465089873 -:2019D000052C24BC000000083032393138303178078000301770043804650898052C249495 -:2019D800000000107665642F61746A2F61755F67305F7472000000007665642F6370652F1D -:2019E0006F635F716F72746E72656C6C615F305F6D5F6C7600006D657665642F6C756E2F18 -:2019E8000000006C0000000000000000000000000000000000000000000000000000000073 -:2019F0000000000000000000000000000000000000000000000000000000000000000000D7 -:2019F8000000000000000000000000000000000000000000000000000000000000000000CF -:201A00000000000000000000000000000000000000000000000000000000000000000000C6 -:201A08000000000000000000000000000000000000000000000000000000000000000000BE -:201A10000000000000000000000000000000000000000000000000000000000000000000B6 -:201A18000000000000000000000000000000000000000000000000000000000000000000AE -:201A20000000000000000000000000000000000000000000000000000000000000000000A6 -:201A280000000000000000000000000000000000000000000000000000000000000000009E -:201A3000000000000000000000000000000000000000000000000000000000000000000096 -:201A380000000000000000000000000000000000000000000000000000000000000000008E -:201A4000000000000000000000000000000000000000000000000000000000000000000086 -:201A480000000000000000000000000000000000000000000000000000000000000000007E -:201A5000000000000000000000000000000000000000000000000000000000000000000076 -:201A580000000000000000000000000000000000000000000000000000000000000000006E -:201A6000000000000000000000000000000000000000000000000000000000000000000066 -:201A680000000000000000000000000000000000000000000000000000000000000000005E -:201A7000000000000000000000000000000000000000000000000000000000000000000056 -:201A780000000000000000000000000000000000000000000000000000000000000000004E -:201A8000000000000000000000000000000000000000000000000000000000000000000046 -:201A880000000000000000000000000000000000000000000000000000000000000000003E -:201A9000000000000000000000000000000000000000000000000000000000000000000036 -:201A980000000000000000000000000000000000000000000000000000000000000000002E -:201AA000000000000000000000000000000000000000000000000000000000000000000026 -:201AA80000000000000000000000000000000000000000000000000000000000000000001E -:201AB000000000000000000000000000000000000000000000000000000000000000000016 -:201AB80000000000000000000000000000000000000000000000000000000000000000000E -:201AC000000000000000000000000000000000000000000000000000000000000000000006 -:201AC8000000000000000000000000000000000000000000000000000000000000000000FE -:201AD0000000000000000000000000000000000000000000000000000000000000000000F6 -:201AD8000000000000000000000000000000000000000000000000000000000000000000EE -:201AE0000000000000000000000000000000000000000000000000000000000000000000E6 -:201AE8000000000000000000000000000000000000000000000000000000000000000000DE -:201AF0000000000000000000000000000000000000000000000000000000000000000000D6 -:201AF8000000000000000000000000000000000000000000000000000000000000000000CE -:201B00000000000000000000000000000000000000000000000000000000000000000000C5 -:201B08000000000000000000000000000000000000000000000000000000000000000000BD -:201B10000000000000000000000000000000000000000000000000000000000000000000B5 +:2005A800DC00051504002074841F6E04DC4006158440011700800044DFC00A15DD00091500 +:2005B000DCC00815DC800715D0A23E8588001526848000179000132601006734213F3004EE +:2005B800880B883A08146A001027883A8080020301283BF4212EC004900B883A1000032649 +:2005C00008146A001009883A0000020608146A001089883A880B883A08146A001023883A01 +:2005C800000002060445DC0404CF550401000EC408138B8001000F0408138B8080C00343FD +:2005D000008020741098A20418C7883A18C7883A10C7883A8080020319C0001780C0001782 +:2005D8001000021E00801A440000010600801C040100207401802074D8C0001531986A04C0 +:2005E000211F654401400444D8800115081470008809883A0140190408146A009809883AF5 +:2005E8000140FA041025883A08146A009809883A0140FA041029883A08146A801009883AB9 +:2005F0000140028408146A008809883A01401904D8800015DC80011508146A800100207473 +:2005F80001802074D8800215211F78040140044431986C04A00F883A0814700000802074D0 +:20060000109F4B84108000031000011E0810DEC0808004838100001781400203D880001592 +:20060800808004C3D1E23F83900D883AD8800115808005C3044020748C5F6E04D88002155D +:2006100008144A0011003FCC2100201C213FE00400FFFFC420C0011E0080010488800285D2 +:20061800844002830140070404C02074D4623C058C403FCC8C40201C8C7FE0048809883A3D +:2006200008146B0000C0207418DF880410C5883A11400003D0E23F83808002039CDF6E040B +:2006280028CA703AD1623C45818007C329403FCC99C000171000011E380ED07A80800803E9 +:2006300094803FCC8809883AD880011580800843DC800015D8800215808006C3D880031566 +:2006380080800703D880041508141340810007830810E700DFC00A17DD000917DCC0081736 +:20064000DC800717DC400617DC000517DEC00B040811430121003FCC008003C420802A2682 +:200648000080040420802D26DEFFFD04DC000015DFC0021504002074DC400115008002C482 +:20065000841F820420802F1ED4623C0301400704D4623E458C403FCC8809883A08146B0049 +:200658008087883A18C0040B01400704D0E23D8D10C0050480C7883A19000083D122400D4C +:200660008089883A2100028B8085883AD1223D0D1080030B8809883AD0A23C8D1880000336 +:20066800D0A23B8D08146B008085883A10800543D0A23F0DDFC00217DC400117DC00001775 +:20067000DEC00304F800283AD0A23C0310C03FCC18000A2610BFFFC40000080600C02074A3 +:2006780018DD1F04D0A23C0318C0000311003FCC18FFFFC420C0010E10800044D0A23C0552 +:20068000F800283AD1223C030140070408146B0001002074211F6984808B883A01800444A3 +:20068800DFC00217DC400117DC000017DEC0030408147FC1DEFFFA04DCC0031504C020748B +:20069000DC800215DC000015DFC00515DD000415DC4001159CDF6E049D000287D0A23E43FF +:20069800040020742025883A841F8204A0803E1EA009883A0140070408146B008085883AF9 +:2006A0001440040BD0A23D8B88FFFFCC18801126A009883A0140070408146B008085883A77 +:2006A8001080068B1080100C100001268C63883A8C7FFFCC880A913A0100008429403C0C14 +:2006B00008139380880AD13A0100004429403FCC08139380990002870140070408146B0083 +:2006B8008085883A11400583D0A2400B28C03FCC18800326010001C4180B883A0813938038 +:2006C0009CC0028701400704044020749809883A08146B008087883A1900028BD0E23D0BBE +:2006C8008C5F820420C00F1E8887883A1900030BD0E23C8B20C00B1E8885883A10C0050373 +:2006D000D0A23B831880071E9809883A0140070408146B008885883A10C00543D0A23F034C +:2006D8001880012608114300D4623E43014007048809883A08146B00D1223D8B8087883A86 +:2006E0001900040DD122400B10C0050480C7883A19000085D1623D0B8089883A2005883AE5 +:2006E8002140028DD1223C8B014007041100030DD0A23B8B8809883A1880000508146B002C +:2006F000D0E23F0B01002074018020748085883A211F6984014004443198C00491FFFFCCDF +:2006F80010C00545DFC00517DD000417DCC00317DC800217DC400117DC000017DEC006041B +:2007000008147001DEFFFD04DC000015040020B4DC400115DFC00215044000C48405240404 +:200708008440003580000035008020B410851C0410000035008020B410851804100000358B +:200710000109C4040815094084400035010000F42103500408150940010020B40140673414 +:20071800018001B421050804297F30043186A004081598C008133DC00813750010001926B6 +:200720000009883A08138B8000C03FC410C017260813E680010000840810210010803FCC1E +:2007280000C004C410C0131E0810300008109E401021883A1000111E08121B00081329003F +:20073000008020B41085200410800037108000AC1000011E081222C00009883A0810E000AB +:2007380000000706043FFF8400000506043FFF4400000306043FFF0400000106043FFFC4E1 +:200740008005883ADFC00217DC400117DC000017DEC00304F800283ADEFFFF04DFC00015E0 +:20074800081169C00813A2C000802074109F7C4411000203DFC00017DEC001040810E001E7 +:20075000DEFFF404DFC00B15DF000A15DDC00915DD800815DD400715DD000615DCC00515DB +:20075800DC800415DC400315DC0002150811C04010001D1600801204010020740180207449 +:20076000000F883A211F65440140044431987204D880001508147000010020740140207494 +:20076800211F780429587E040180044408146D800810DEC000802074109F7C4410C005834F +:2007700005C0207407002074D0E23E050027883A0021883A0023883A0025883ABDDF4A04FE +:20077800E71F4B04000047060100207401802074211F65440140044431987704100F883A7E +:20078000081470000100207401402074211F7804295947040180044408147FC00810DEC000 +:20078800003FFF0610FFFF8418C03FCC010000C420C0432EA8C0000310C041260500207447 +:20079000081236C0A51F4B84A0800003100002260009883A0812D10005802074B59F6E04B6 +:20079800D1223E03B08003432080011ED0223E05D1223E030140020420BFFFC410803FCCEB +:2007A0002880332E20803FCC10006A1E00C0207418DF7C4419000203B14005830080207437 +:2007A800109F6E0421400826D88001150810E00001002074211F7C44D880011721000203F0 +:2007B000100001151100058598803FCC1000811E00802074109F4A0410C00017008020748A +:2007B800109F470410C0001500802074109F4B041080000301004B04D0223E05A8800005EB +:2007C0000815094000C020B418C52004188000370100207410FFFFCC211F490420C000155E +:2007C8000086303A1004D63A18C000EC05402074B8C00015E0800005AD5F4804103FB91EF0 +:2007D00000802074109F490410000015003FBB06100490BA014020742947DA041145883A3B +:2007D800108000171000683A00811F8C00811F9C00811FB400811FC400811FD400811FE88C +:2007E00000811FF80081200800812020008003C4D0A23F850021883A00000306008003C447 +:2007E800D0A23F8504000084044000440025883A00002006008003C4D0A23F85040000C459 +:2007F000003FF906008003C4D0A23F85040000C400000306008003C4D0A23F850400008458 +:2007F8000023883A003FF10600800404D0A23F850400004400000D06008003C4D0A23F8530 +:200800000021883A0000090604000084008003C4D0A23F858023883A8025883A000005060A +:20080800008003C4D0A23F85040000C4044000848825883AB1400783B1000345B00002C569 +:2008100028803FCC0180004489003FCC3080042E00800144114BC83A29403FCC000001063C +:20081800014000C4081383C008139A0091003FCC81403FCC08142E80B0800343010020746B +:200820002118A2041085883A1085883A2085883A1140001701002074211F65440180044415 +:20082800B000011508147FC00100207401402074211F780429587B040180044408147FC045 +:20083000A08000031000011E0810DEC004C00044003F6E0691003FCC81403FCC0810FF80E6 +:2008380010803FCC0100008411002926010001041100032601000044113F751E000028068A +:20084000B0C002C300802074109F6E041800072600C020B418C524041880003710800114DC +:20084800188000350811D180003F690610000115D880011508139A00D880011700C020749E +:2008500018D8A2041080034301002074211F65441085883A1085883A1885883A1140001729 +:200858000180044408147FC00100207401402074211F780429587B040180044408147FC012 +:20086000A0800003103F521E0810DEC0003F5006B08002C3103F4E26081169C0003F4C06C0 +:20086800B08002C3103F4A2608114300003F480600C0207418DF7C4401402074DEFFFF0413 +:200870001809883A2958AC04018005C4DFC0001508146D801007883A008020B41085200467 +:2008780010800037014007041004D4BA1080004C1880020500802074109D1F041100000338 +:2008800008146B000100207401402074211F8204295A4E04100D883A08146D800005883A1D +:20088800DFC00017DEC00104F800283ADEFFF804DD400515DD000415DCC00315050020B40A +:2008900004C0207405402074DC800215DC000015DFC00715DD800615DC4001150021883A6B +:200898000025883A9CD8F004A5052004AD5B26040100207401402074211F61042958B204AB +:2008A0000180044408147FC08405883A14C5883A1140001701002074211F6984018004443B +:2008A80008147FC00810DD800023883A856D883AA080003710BFFFCCD0A2431510001826BE +:2008B0001480172688000A1E0100207401402074211F61042958B40401800444B080000D59 +:2008B80008147FC00810DD800440004400000C06B0C0000B10C009260100207401402074D2 +:2008C000211F61042958B6040180044408147FC00810DD800023883A0000010604400084EB +:2008C80000800084D4A24317888003260109C40408150940003FDE068400008400800C0418 +:2008D00080BFCB1EDFC00717DD800617DD400517DD000417DCC00317DC800217DC40011719 +:2008D800DC000017DEC00804F800283AD1224317DEFFFE0401402074DFC001150005883A8C +:2008E000295B2604018005C41087883A1947883A18C0000B20C0032611809426108000447A +:2008E800003FF90600C005C418809036100490BA00C0207418C8F10410C5883A1080001706 +:2008F0001000683A0081242400812448008124580081242C008124500081246000812440D3 +:2008F800008125EC008124680081247000812478008125EC008125EC008125EC008125ECC7 +:20090000008125EC008125EC008124A40081251C0081253400812560008125AC0081258C44 +:20090800008125D800C000440000010600C0008400802074109F440410C0000500006B06B1 +:2009100000C000C4003FFA0600C00104003FF80600C00144003FF60600C00184003FF40644 +:2009180000C001C4003FF20600C00204003FF00600C00244003FEE0600C0207418DF4B84B5 +:20092000188000031005003A1880000510000326010000440812D100000054060810DEC0B7 +:200928000000520600802074109F6E04110002870140070408146B0001C0207401002074CB +:200930000180207439DF8204211F6104014004443198BA04388F883A08147000008020B4D6 +:200938001085140411C0003710800037010020741005D43A01802074211F6984108000CCCD +:20094000014004443198BD0439FFFFCCD8800015081470000810DD800000340600C020B445 +:2009480018C52404188000371080009C1880003500002E0600C0207418DF7C4418800003E8 +:200950000140004411003FCC290002361145883A000001060005883A1880000500002306D9 +:2009580000C0207418DF7C44188000430140004411003FCC290002361145883A0000010678 +:200960000005883A188000450000180600802074109F7C4410C0008319003FCC2000012674 +:2009680018FFFFC410C000850000100600C0207418DF7C44188000830140038411003FCC20 +:20097000290002361080004400000106008003C4188000850000050600C0207418DF7C44B1 +:20097800188001031005003A18800105D0A2411710001B1ED0E244171880006C10000C2670 +:2009800000802074109F6E04108003430100024411403FCC2900022610800044000001067D +:200988000080004401002074211F44042080000518C000AC18000A2600C0207418DF7C44F2 +:20099000188000030140004411003FCC290002361145883A000001060005883A1880000527 +:20099800DFC00117DEC00204F800283ADEFF6C04D9000304DC008C15DFC09315DD80921595 +:2009A000DD409115DD009015DCC08F15DC808E15DC408D150810D5401021883A1000821E25 +:2009A800D9008304D94003040180010408147FC001402074D9008304295908040180010485 +:2009B00008147B8010000B260100207401802074211F61040140044431990A040814700093 +:2009B80000802074109F6984100000050400004400006D06D8800403DC808484D94004843B +:2009C000D8808405D88004439009883A01800204D880844508147FC0D880068BD8008645AD +:2009C800D8808B0DD880070BD8808B8DD9408B17280BC232D880078BD9408715D8808B0DD4 +:2009D000D880080BD8808B8DD8808B171005C232D8808815D880088BD8808B0DD880090BED +:2009D800D8808B8DD8808B171005C232D8808915D8808217D8808B151005C232D8808A15AD +:2009E00000C0788428BFF98418800B2E0100207401802074211F61040140044431990E0452 +:2009E8000814700000802074109F698410000005043FFFC400003C06D900030401800044B1 +:2009F00008159180D8C08A1701002074211F61040140044410C007260180207431991204CB +:2009F8000814700000802074109F69841000000500002C06018020743199160408147000D7 +:200A0000D9C088170100207401802074211F69840140044431991A04081470000810DD8055 +:200A0800DCC08817DD4089170021883A0005883A0580800484C00F2E9C23C83AB440012EBE +:200A10000440800485008004A009883A880B883AD98003040810C8001000121E800D003AEE +:200A1800D9000304880B883A08159180A021883A003FF00615405D26010020740180207421 +:200A2000211F61040140044431991D040814700000802074109F698410000005043FFF44C6 +:200A280000000106043FFF8404C000C40810DD80010003F4211090040815094000BFCE44F0 +:200A30008080480E04C0470E0100207401802074211F6104014004443199300408147000D5 +:200A38009CFFFFC40100207401402074211F6984295928040180044408147FC00810DD8062 +:200A40000021883A0580800405004004DC40881784401C2E8C23C83AB440012E04408004FC +:200A480085408004A809883A880B883AD98003040810C8001000291E8025D23A880B883AE0 +:200A5000A440012E01404004D9000304900D883A0810A8401021883A103FD41EA44002365F +:200A5800A821883A003FE906D9004304897FC004918000440810A8401021883A103FF82624 +:200A6000003FCA060100207401402074211F610429592C040180044408147FC001002074ED +:200A680001402074211F6984295928040180044408147FC00810DD80D9408817D980891774 +:200A70000009883AD9C003040810BAC01021883A103FB61E0005883A00003B0600BFCE04BA +:200A78000000390600BFFFC400003706D8808487D9C08403D8C08443100003260080207431 +:200A80001098EB040000020600802074109947040100207401802074D8C00015211F6104B3 +:200A88000140044431992204D8800115DC800215081470000100207401402074211F6984D1 +:200A9000295934040180044408146D80044020B4040020740810DD808C452004841B2604D7 +:200A98008880003780C0000B10BFFFCC10C0052680C0008B10C013260109C4040815094013 +:200AA000003FF70608139A0000C020B418C524041880003710800114188000350109C40499 +:200AA800081509400100207401402074211F6104295925040180044408147FC004C000C462 +:200AB000003F880600800044DFC09317DD809217DD409117DD009017DCC08F17DC808E17BA +:200AB800DC408D17DC008C17DEC09404F800283ADEFFFF0421003FCC01411944DFC00015F0 +:200AC00008146B001009883A0140190408145F000100207401802074211F6984014004447B +:200AC80031993904100F883ADFC00017DEC001040814700121C03FCC0180207401002074AA +:200AD000211F69840140044431993B0408147001014003F4DEFFFC0421003FCC295090046C +:200AD800DFC00315DC400215DC00011508146B001009883A0146590408146A001009883ABB +:200AE0000140FA041021883A08146A008009883A0140FA041023883A08146A801009883ADE +:200AE8000140028408146A000100207401802074D8800015211F69840140044431993E04C8 +:200AF000880F883A08147000DFC00317DC400217DC000117DEC00404F800283A014003F4E7 +:200AF800DEFFFC0421003FCC29509004DFC00315DC400215DC00011508146B001009883A8A +:200B0000015A5E0408146A001009883A0140FA041021883A08146A008009883A0140FA0475 +:200B08001023883A08146A801009883A0140028408146A000100207401802074D880001593 +:200B1000211F69840140044431993E04880F883A08147000DFC00317DC400217DC0001173C +:200B1800DEC00404F800283ADEFFFF0421003FCC014119C4DFC0001508146B001009883A7C +:200B20000140190408145F000100207401802074211F69840140044431994104100F883A8C +:200B2800DFC00017DEC001040814700121C03FCC0180207401002074211F6984014004447B +:200B3000319943040814700121003FCCDEFFFF042100004401409C44DFC0001508146B003A +:200B38001009883A0140190408145F000100207401802074211F6984014004443199460475 +:200B4000100F883ADFC00017DEC001040814700100802074109F490411400017DEFFFA047B +:200B480001802074DC000015DFC00515DD000415DCC00315DC800215DC400115040002C4B5 +:200B5000319B260400C004448405883A1185883A1080000B2880101E80BFFD0410803FCC98 +:200B5800050001048025883AA0803A36100490BA00C0207418CB610410C5883A1080001744 +:200B60001000683A00812E1800812DA400812DE000812F1800812F188400004480FFEA1E3D +:200B68000000F806D0E2454301002074211B3204180490FA2087883A18C0010319403FCCDF +:200B70002800031E2087883A18C0001718C0000318FFFFC42085883A10C001050000940638 +:200B7800D0E2454301402074295B3204180490FA140001042885883A108000172C21883AB0 +:200B8000810000031140000321000044081464408080000500008606D0A2454310C03FCCF2 +:200B88001800032610BFFFC4D0A2454500008006D0224585DFC00517DD000417DCC00317D3 +:200B9000DC800217DC400117DC000017DEC006040810DEC1D4224543044020748C5B3204D7 +:200B9800802490FA014005048C85883A1100010314C0001708146B0098C00117010000C436 +:200BA0001885883A10C00103190006261D00671E10800217048002C4103EE83A1021883AC5 +:200BA8000000640684000044802090FA108002178C07883A18C00017188002268C05883AD1 +:200BB000100001058C85883A11000103014005048C21883A08146B0098C001178CA3883A86 +:200BB8001887883A18C0021780C0001588C0001718C001171885883A1080031710000226E6 +:200BC000010002C4103EE83AD0A2454310800044D0A2454500004506D0E2454301002074FA +:200BC800211B3204180490FA014005042087883A190001031C40001708146B0088C00117CB +:200BD000010000841885883A10C001031900202619000336010000C419002F26000034062F +:200BD8001180021782003FCC01C003C41100030310C00343314000031080038341C00A1E5E +:200BE00029C03FCC1A003FCC41C0022E28BFFFC40000030621003FCC2000011E1805883AAE +:200BE800308000050000220629C03FCC12003FCC3A00022E288000440000030621003FCC74 +:200BF000200001261805883A30800005000010061100021781803FCC014003C420C0000BCB +:200BF8003140061E1080030B197FFFCC1140012E18FFFFC420C0000D00000D061080038BCF +:200C0000197FFFCC2880012E18C0004420C0000D0480040400000606108003171000042615 +:200C08008009883A103EE83A00000106048002C40021883AD0E2454301002074211B32049C +:200C1000180490FA014005042087883A190001031CC0001708146B001023883A98800117AF +:200C180001002074211F61041445883A114000170180044408147FC09880011701000104A5 +:200C20001445883A10C0010320C03636180690BA01002074210C29041907883A18C0001751 +:200C28001800683A008130B8008130E8008130F8008131080081311C10C0021711000417AA +:200C30000180044418C0000318C5883A1085883A2085883A1140001701002074211F698479 +:200C380008147FC000001F0610C0021710800417190000030000070610C0021710800417CB +:200C40001900000B0000030610800317100006260009883A103EE83A0000120694803FCC0F +:200C480000C002C490C0042600802074109F69841000000500000B068000021E11C003172B +:200C50000000020601C0207439D948040100207401802074211F69840140044431994A0451 +:200C580008147000DFC00517DD000417DCC00317DC800217DC400117DC000017DEC0060443 +:200C60000810DD8121003FCC200002260025883A003FA706DFC00517DD000417DCC003174E +:200C6800DC800217DC400117DC000017DEC00604F800283A009114B4DEFFBF041094D54418 +:200C7000D88000150080107410951044D880011500801204D8800245D809883A00800084B0 +:200C7800014002C401840004DFC04015D8000205D88002850810A8401000022600BFFFC460 +:200C8000000020060140207400800D04D9000104295B260401800C04D8000005D880004531 +:200C8800D80000C5D800008508146D80D809883A01400D04018400440810A840103FEF1E2F +:200C90000080004401402074D8800005D9000104008006C4295F7C44018005C4D8800045F7 +:200C9800D80000C5D800008508146D80D809883A014006C4018400840810A8401004C03A74 +:200CA0000085C83ADFC04017DEC04104F800283ADEFFB50401000434014002C4D80D883AFD +:200CA800DFC04A15DD804915DD404815DD004715DCC04615DC804515DC404415DC0043156A +:200CB0000810A10010000226043FFFC400003806D9004004D80B883A0180020408147FC04B +:200CB80001402074D9004004295A3F040180020408147B801021883A10002C1ED88002031C +:200CC000DD4002830023883AD8804205D8800243DD40428505800D04D8804245A8803FCCC5 +:200CC8008880230E8CC400449826923A01400084D80D883A9809883A0810A100103FE21EDE +:200CD000DD0000439809883AD80D883AA00B883ADC8000030810A100103FDB1E90803FCCF2 +:200CD8001000032600C0004410C0072600000D06A5800C1E01002074211B2604D940010447 +:200CE00001800C0400000606008006C4A080051E01002074211F7C44D9400104018005C4CD +:200CE80008146D808C400044003FDC06040000448005883ADFC04A17DD804917DD404817EA +:200CF000DD004717DCC04617DC804517DC404417DC004317DEC04B04F800283ADEFFFA0429 +:200CF800DC800215048020B4DFC00515DD000415DCC00315DC400115DC000015948510045E +:200D000090000035044005048809883A040020B484050804081509408009883A01400E04FD +:200D08000815AC008809883A081509408009883A01400E440815AC008809883A08150940E9 +:200D1000880B883A8009883A0815AC008809883A081509408009883A01401C440815AC00EC +:200D18008809883A081509408009883A014017840815AC008809883A081509408009883A0E +:200D200001401B440815AC008809883A081509408009883A014003040815AC008809883AE2 +:200D28000815094004C00044980B883A8009883A0815AC000500C804A009883A0815094022 +:200D30008009883A014001840815AC008809883A081509408009883A014000840815AC0037 +:200D3800A009883A0815094094C00035DFC00517DD000417DCC00317DC800217DC4001172F +:200D4000DC000017DEC00604F800283ADEFFFA04DC000015040020B4DCC00315DC800215D3 +:200D4800DFC00515DD000415DC4001152027883A2825883A8405100480000035010020B46B +:200D500001400044210508040815AC000100C8040815094000800084808000359809883A34 +:200D580001400404081484801007883A10803FCC1000061E014020749809883A295A41046A +:200D60000180044408147FC000C000449829883A1C403FCCA1400003010020B42105080476 +:200D68000815AC000100050408150940A5000044A4C5C83A10803FCC147FF63680000035CF +:200D7000010020B401403004210508040815AC000100050408150940008000848080003575 +:200D78009009883A01400404081484801007883A10803FCC1000061E014020749009883ABF +:200D8000295A41040180044408147FC000C000449027883A1C403FCC99400003010020B4D2 +:200D8800210508040815AC0001000504081509409CC000449C85C83A10803FCC147FF636C3 +:200D9000008000C480800035DFC00517DD000417DCC00317DC800217DC400117DC000017C5 +:200D9800DEC00604F800283ADEFFFB04DC000015040020B484050804DC80021504800B04F9 +:200DA000DCC00315900B883A2027883A000D883A8009883ADFC00415DC4001150815A00058 +:200DA800044000448009883A99403FCC880D883A0815A6408009883A900B883A880D883A1B +:200DB0000815A0008009883A880B883ADFC00417DCC00317DC800217DC400117DC000017BA +:200DB800DEC005040815A381DEFFFC04DC000015040020B484050804DC800215DC40011553 +:200DC0002025883A2823883A8009883A01400B04000D883ADFC003150815A0008009883AD6 +:200DC80091403FCC000D883A0815A6408009883A89403FCC01800044DFC00317DC8002174B +:200DD000DC400117DC000017DEC004040815A641010020B4DEFFFD04000D883A000B883AE3 +:200DD80021050804DFC00215DC400115DC0000150815A640010002840815094004000604F2 +:200DE000044000448809883A800B883A08136E80800B883A0100008408136E80800B883A9A +:200DE800010000C408136E808809883A081366801405003ADFC00217DC400117DC00001797 +:200DF000DEC00304F800283ADEFFFC04DC4001152023883ADC8002158C403FCC04800044BE +:200DF8009009883A882290FADFC00315DC00001508136680043FF9C41404703A1460B03A83 +:200E000084003FCC9009883A800B883A08136E80800B883A0100008408136E80010000C4F2 +:200E0800800B883ADFC00317DC800217DC400117DC000017DEC0040408136E81DEFFFD049A +:200E1000DC4001152023883A01000044DC000015DFC00215043FF604081366801420703A83 +:200E180089003FCC008000842080021E84000054000003062008917A2080011480A0B03A8F +:200E200084003FCC800B883A0100004408136E80800B883A0100008408136E80010000C4E8 +:200E2800800B883ADFC00217DC400117DC000017DEC0030408136E81DEFFFB04DC00001502 +:200E3000040020B484050804DC80021504801704DCC00315900B883A2027883A000D883A3A +:200E38008009883ADFC00415DC4001150815A000044000448009883A99403FCC880D883A9A +:200E40000815A6408009883A900B883A880D883A0815A0008009883A880B883ADFC0041779 +:200E4800DCC00317DC800217DC400117DC000017DEC005040815A381DEFFFC04DC00001582 +:200E5000040020B484050804DC800215DC4001152025883A2823883A8009883A01401704B5 +:200E5800000D883ADFC003150815A0008009883A91403FCC000D883A0815A6408009883AF3 +:200E600089403FCC01800044DFC00317DC800217DC400117DC000017DEC004040815A641DA +:200E6800DEFFFC04DC000015040008848009883ADFC00315DC800215DC40011508138B803F +:200E7000014004C4010005841023883A0489C404081393809009883A08150940014000C491 +:200E7800010005C4081393809009883A0815094000FFE00488CAB03A8009883A29403FCCC3 +:200E8000081393800100FA04081509408009883A89401FCCDFC00317DC800217DC4001175E +:200E8800DC000017DEC0040408139381DEFFFE04DC0000150409C4048009883ADFC00115DD +:200E9000081509400140044401000584081393808009883A08150940010005C4014000845B +:200E9800081393808009883ADFC00117DC000017DEC0020408150941DEFFFE04DC0000153C +:200EA0002821883A21403FCC01000484DFC0011508139380010004C481403FCCDFC0011703 +:200EA800DC000017DEC002040813938121403FCC0100044408139381DEFFFA04DC000015B4 +:200EB0002021883A01000104DD000415DCC00315DC8002153829883ADFC00515DC400115EE +:200EB8002827883A3025883A08138B8000FFFE04A5003FCC10C4703AA000062610800054ED +:200EC00011403FCC01000104081393808423883A0000040611403FCC010001048023883A48 +:200EC800081393808C7FFFCC880AD13A0100004429403FCC08139380880A913A01000084A0 +:200ED00029403C0C08139380993FFFCC91403FCC08146B001009883A880B883A08146B0066 +:200ED8001009883A0140FA0408146A0000E327D41880092E00C0007418C45BC41880082EB0 +:200EE00000C000B418C3D5C41885403A00C000C41885C83A000003060005883A00000106F9 +:200EE8000080004414003FCCD0A018041405883A1100000301400A0408146B008808D07ADC +:200EF000880B883A1109883A08145F00100B883A00C001C410803FCC1880012E180B883A8D +:200EF80029403FCC800491BA280A90FA010000C4288AB03A29403FCCDFC00517DD00041753 +:200F0000DCC00317DC800217DC400117DC000017DEC0060408139381DEFFFE04DC000015D8 +:200F08002021883A01000684DFC0011508138B80017FFE8484003FCC00C000441144703ACC +:200F100080C0021E10800094000001061080029411403FCC01000684DFC00117DC0000177F +:200F1800DEC00204081393812140028BDEFFFE04DC000015280AD23A2021883A010012C410 +:200F2000DFC00115081393808140028301001284081393808140030B01001344280AD23A5E +:200F2800081393808140030301001304081393808140038B010013C4280AD23A08139380DB +:200F30008140038301001384081393808140010B01001444280AD23A08139380814001033D +:200F380001001404081393808140018B010014C4280AD23A081393808140018301001484E2 +:200F4000081393808140020B01001544280AD23A08139380814002030100150408139380C1 +:200F48008140040B010015C4280AD23A081393808140040301001584081393808140048B93 +:200F500001001644280AD23A081393808140048301001604081393808140050B010016C47D +:200F5800280AD23A081393808140050301001684DFC00117DC000017DEC00204081393812C +:200F6000DEFFFD04DC00001504000FC4DC4001152023883A8009883ADFC0021508138B806D +:200F680000FFFC0410C4703A888AB03A8009883A29403FCCDFC00217DC400117DC00001752 +:200F7000DEC0030408139381DEFFFD04DC40011504400684DC0000152021883A8809883A68 +:200F7800DFC0021508138B8081403FCC00C000C4194BC83A280A91BA10800FCC8809883A8C +:200F8000114AB03A29403FCCDFC00217DC400117DC000017DEC0030408139381DEFFFD0407 +:200F8800DC0000152021883ADC40011584003FCC044001048809883A802090FADFC0021518 +:200F900008138B80108001CC140AB03A8809883A29403FCCDFC00217DC400117DC00001710 +:200F9800DEC0030408139381DEFFFD04DFC00215DC400115DC00001508139A000009883A2E +:200FA0000813C180000B883A01000D440813938001002074211A42040813C6800100040408 +:200FA8000813E1C00009883A0813D8000009883A0813DC800140018401000F440813938025 +:200FB000010011040813AAC0010006C4014022040813938004400204880B883A010007047B +:200FB8000813938004000684800B883A0100028408139380800B883A010002440813938099 +:200FC0008809883A800B883ADFC00217DC400117DC000017DEC0030408139381DEFFFD04E0 +:200FC800DC0000152021883ADC40011584003FCC044004048809883A802090FADFC00215D5 +:200FD00008138B80108001CC140AB03A8809883A29403FCCDFC00217DC400117DC000017D0 +:200FD800DEC003040813938121003FCC20002D26DEFFFE04DC000015010009842821883A1D +:200FE00001402004DFC0011508139380817FFFC429403FCC008003C411402536280A90BA03 +:200FE80000802074108FED04288B883A288000171000683A00813FF40081400000814030F9 +:200FF0000081400000814030008140300081403000814018008140300081403000814030A1 +:200FF800008140300081403000814030008140300081400001000C44014002440000020674 +:2010000001000C4401400604DFC00117DC000017DEC002040000050601000C4401401684AF +:20100800003FF90601000984000B883A08139381DFC00117DC000017DEC00204F800283A58 +:20101000DEFFFE04213FFFC4DFC00115DC00001521003FCC008003C411003236200890BABA +:201018000080207410901D042089883A208000171000683A008140FC008140FC00814124AF +:20102000008140E4008141240081412400814124008140CC0081412400814124008141241A +:2010280000814124008141240081412400814124008140B401000884014003040813938098 +:2010300001000D04014000C40000120601000884014002040813938001000D040140038495 +:2010380000000C0601000884014002040813938001000D0401401104000006062821883A05 +:2010400001000884014002040813938001000D0481403FCCDFC00117DC000017DEC0020462 +:2010480008139381DFC00117DC000017DEC00204F800283ADEFFF604DC4001152C403FCC31 +:20105000297FFFC4DF000815DDC00715DD800615DD400515DD000415DCC00315DC80021594 +:20105800DC000015DFC0091529403FCC008003C42021883A3039883A382B883ADD000A17BE +:20106000DCC00B17DC800C17DDC00D17DD800E1711402836280A90BA0080207410906B04A2 +:20106800288B883A288000171000683A008141EC0081420400814234008142040081423458 +:2010700000814234008142340081421C0081423400814234008142340081423400814234C0 +:2010780000814234008142340081420401000144014000840813938001000184200B883AF7 +:2010800000000B0601000144014001840813938001000184014004040000050601000144E5 +:2010880001400C8408139380010001840140080408139380880B883AE1003FCC0813F68076 +:20109000B9003FCC0813AAC084003FCC8809883AB1403FCC8400201C08140400843FE00492 +:201098008009883A014007040440207408146B008C5F82048887883A19C0068B1900040B6E +:2010A000A1803FCC39C0100C380EC03AA97FFFCC0813AB8099003FCC91403FCC0813A780B4 +:2010A8008009883A0140070408146B008885883A11400583010001C4DFC00917DF000817DF +:2010B000DDC00717DD800617DD400517DD000417DCC00317DC800217DC400117DC00001768 +:2010B800DEC00A0408139381DEFFFC0429BFFF84DC400115DC000015DFC00315DC800215A8 +:2010C00031803FCC0080004424403FCC2C003FCC11800436008000848880071E8805883A9F +:2010C800000008068800061E8005003A00C000841885C83A000003060005883A00000106D5 +:2010D00000800084880A913A8C47883A10803FCC18CD883A100491BA2986B03A20CAB03AFC +:2010D800288AB03A0100064429403FCC04800084081393809400062E008000C48080071E36 +:2010E000010004040140174408139380000011060100040401401604081393808C800D1E3D +:2010E8000080004414000B36010003848080021E0140148400000106014014C40813938000 +:2010F0000100FA04081509400100050408138B8000000C06014016C4010003840813938068 +:2010F8000100FA04081509400100050408138B80008000C48080031E01000604014004048A +:201100000000020601000604000B883A0813938001000D84000B883ADFC00317DC80021734 +:20110800DC400117DC000017DEC0040408139381DEFFFD04DC4001152023883A01000504AC +:20111000DC000015DFC002152821883A08138B808C403FCC00C0008488C00A1E81403FCC90 +:2011180000C0004428C0031E1080240C10802420000006062800031E1080220C1080222031 +:20112000000002061004D07A1080004CDFC00217DC400117DC000017DEC00304F800283A8F +:20112800DEFFFE04DAC0030302800044DC00001552D6983ADA400403DC00020302002074DF +:20113000DC400115421F88040005883A037FFEC40380008403FFFBC429403FCC03000684AC +:201138004180008B40C000033440200C880005264800021E78C6703A000009064B80081EA5 +:20114000000006063440400C880005264800021E68C6703A000002064A80011E0007883A16 +:2011480019C6703A18C03FCC18000C2630C0080C1807003A28C0091E40FFFE8B18C007843A +:2011500019000636318003CC8000032630FFFFCC180001261AC007263000062610C0004451 +:201158001805883A18C03FCC420007041B3FDC1E00BFFFC4DC400117DC000017DEC00204C7 +:20116000F800283A2900182E280017160080080400C000440000020610001126280005162F +:20116800294B883A10BFFFC418C7883A293FFA3618000B260005883A214002362149C83A51 +:2011700010C4B03A1806D07A280AD07A183FFA1E3000011EF800283A2005883AF800283A64 +:201178000005883A003FFA0600C00044003FF106DEFFFE04DFC00115DC00001520000B1651 +:201180000021883A28000C16000D883A081458400407C83A1884F03A1405883ADFC001173A +:20118800DC000017DEC00204F800283A0109C83A04000044283FF40E014BC83A8400005C6B +:20119000003FF106DEFFFD04DFC00215DC400115DC00001520000C160023883A0021883A48 +:2011980028000D1601800044081458401404F03A8885883ADFC00217DC400117DC00001783 +:2011A000DEC00304F800283A0109C83A04400044043FFFC4283FF30E014BC83A003FF106AA +:2011A800000D883A0814584101800044081458410005883A2000072620C0004C2008D07A77 +:2011B000180001261145883A294B883A203FFA1EF800283AF800283A2005883A0007883AB7 +:2011B8001980062628C9883A21C0000310C9883A18C0004421C00005003FF906F800283A86 +:2011C000DEFFF504DFC00915DC400815DC000715D9C00A1500802074109D20041440001743 +:2011C8002800040E008022C48880001500BFFFC400001C0600C08204D8C0000DD9000415C9 +:2011D000D90002152800022628FFFFC4000001060007883AD8C00515D8C00315110000177B +:2011D80000FFFFC4D8C0008D00C0207418D391042821883AD9C00A04D80B883AD8C0011537 +:2011E000D800061508148D8000FFFFC410C0020E00C022C488C0001580000226D8C00417D3 +:2011E80018000005DFC00917DC400817DC000717DEC00B04F800283A30000E2631BFFFC4B8 +:2011F000218D883A2080000728C0000710C0011E2180041E2080000328C0000310C5C83AC2 +:2011F800F800283A103FFB262100004429400044003FF4060005883AF800283A2005883ABA +:201200002007883A1809883A3000092629C0000331BFFFC418C0004421C0000539C03FCCFE +:2012080039C0201C39FFE00429400044383FF51E198D883A198003261800000518C00044DB +:20121000003FFC06F800283A214B883A2005883A1140021E1105C83AF800283A10C0000754 +:20121800183FFC2610800044003FF906DEFFFB04DC800315DC400215DC000115DFC00415FE +:201220002025883A2823883AD98000053821883A04000A0E888001179009883A880B883A35 +:20122800D80D883A01C00044103EE83A843FFFC4103FF72600BFFFC4000001060005883A48 +:20123000DFC00417DC800317DC400217DC000117DEC00504F800283ADEFFE304D8C00804DC +:20123800DF001B15DDC01A15DD801915DD401815DD001715DCC01615DC801515DC4014154B +:20124000DC001315DFC01C152029883A2823883A3839883AD9800F150021883AD8000E1514 +:20124800002F883A002B883A0027883A0025883AD8000C15D8000B15002D883AD8C0091542 +:20125000D8C00F171900000320803FCC1080201C10BFE00410012E2601400044B140142665 +:201258002D800216B00006260001250601400084B1401D26014000C4B1402B260001200642 +:201260000140094411410D2688800117D9000005880B883AA009883AD80D883A01C00044F1 +:20126800103EE83A1000E81E840000440001140601400C0411410A260140094411400A1E23 +:20127000D880000588800117A009883A880B883AD80D883AB00F883A103EE83A1000DA1E81 +:20127800840000440001050625BFF404B5803FCC00C002441D80093600BFFFC490800426C8 +:201280009009883A0140028408146B00000001060005883A15A5883A0000F20601400B8493 +:201288001140F42605800084213FF40420C03FCC0100024420C00B3600BFFFC49880062661 +:201290009809883A01400284D8C0111508146B00D8C01117000001060005883A10E7883A88 +:201298000000E70601401B041140E026013FFFC499000226D8000B150000010604C00044C7 +:2012A00001001A441100162620800916010018C41100962601001904110011260100160498 +:2012A8001100D61E00C00044D8C00E150000140601001CC41100A6262080041601001BC4F0 +:2012B0001100CE1E0540020400000E0601001D4411000C2601001E04110009260000C706ED +:2012B800E0800104B8000726D8800D15E7000017E000080E0739C83A0200004400000606CA +:2012C00005400404E0C00104D8C00D15E7000017002F883A0011883A002D883ADD87883A8B +:2012C800E0001A26E009883AA80B883AD8C01115DA00121508146A00A809883A100B883A31 +:2012D000D880101508146B00E085C83A01000244D8C01117D9801017DA0012172080021651 +:2012D80017000C0400000506D9400E172800022617000DC400000106170015C41F00000533 +:2012E000B58000443039883A003FE4061EC5C83AD8800A159885C83A1839883A00800E0EF7 +:2012E800182D883A1885883A01000C04D9400917B007883AB140052EB58000441900000502 +:2012F000B039883AB0BFF91E00000106B039883AB6EDC83ADD800A15D8800A171207883A86 +:2012F80090EDC83AD8C00B171800172640000A2600800B44D880080588800117A009883A19 +:20130000880B883AD980080401C00044103EE83A10004D1E840000440580070EA009883A56 +:20130800880B883A01800C04B00F883A081486C01000451E85A1883AD9000A17E007883AFE +:20131000272DC83A000022060580090EA009883A880B883A01800804B00F883ADA001215D4 +:20131800081486C0DA0012171000371E85A1883A403FF12600800B44D88008058880011719 +:20132000A009883A880B883AD980080401C00044103EE83A10002C1E84000044003FE606C6 +:2013280018FFFFC418800003A009883AD8C01115D880080588800117880B883AD9800804C8 +:2013300001C00044103EE83AD8C0111710001E1E80C5C83A1D89883AE085883A013FF01600 +:201338001021883ADF000D1700004406010000442480080E95BFFFC4A009883A880B883A7F +:2013400001800804B00F883A081486C010000E1E85A1883AE0800017A009883A880B883AC2 +:20134800D880000588800117D80D883A01C00044E5800104103EE83A1000031E8400004489 +:20135000B039883A00002D0600BFFFC400003106E5800017E0C00104D8C00A15B009883A93 +:201358000814F4009091C83A1039883A0200090E400F883AA009883A880B883A01800804C0 +:20136000DA001215081486C0DA001217103FEE1E8221883A88800117A009883A880B883A67 +:20136800B00D883AE00F883A103EE83A103FE61E8721883ADF000A170000100605C00044E9 +:2013700004FFFFC4D8000E15054002849825883AD8000C15D8000B15B82D883A00000806AC +:20137800DD800B15058000840000050600C00044D8C00C15058000C400000106002D883AC8 +:20138000D8C00F1718C00044D8C00F15003ECC068005883ADFC01C17DF001B17DDC01A17AF +:20138800DD801917DD401817DD001717DCC01617DC801517DC401417DC001317DEC01D0404 +:20139000F800283A2880000BDEFFFD04DC000015DFC00215DC40011510C0020C2821883A90 +:201398001800192628C0008F1800150E10C0800C180013262C40051789C0030E10C0200CA1 +:2013A0001800032600000E063C40010E3823883A81000417300B883A880D883A0814EE0036 +:2013A800808005171445C83A80800515808004171463883A844004150005883A0000030693 +:2013B000108010148080000D00BFFFC4DFC00217DC400117DC000017DEC00304F800283AFC +:2013B8002005883A29000D2E298F883A21C00B2E3007883A017FFFC418FFFFC4194006269B +:2013C0001989C83A3909883A2200000310C9883A22000005003FF806F800283A0007883A1E +:2013C8001980062628C9883A21C0000310C9883A18C0004421C00005003FF906F800283A74 +:2013D0002005883A10C000071800022610800044003FFC061105C83AF800283ADEFFFF0498 +:2013D8000100207401402074DFC00015211B1404295D29042140061E0100207401402074E1 +:2013E00021000804294008042140141E00000D0600C0207418DD290400BFFF041907C83A4B +:2013E8001886703A0005883A10FFF326114F883A39C00017110D883A1080010431C0001501 +:2013F000003FF906010020740140207421184204295842042140121E00000D0600C02074F7 +:2013F80018C0080400BFFF041907C83A1886703A0005883A10FFF326114F883A39C00017A4 +:20140000110D883A1080010431C00015003FF9060815B640DFC00017DEC001040815C5C104 +:2014080000C0207418DB140400BFFF041907C83A1886703A0005883A10FFF526114F883A26 +:2014100039C00017110D883A1080010431C00015003FF906DEFFFF040009883ADFC0001594 +:201418000815098008150B8001002074211B0404200B883A200D883A0815D000D122481772 +:20142000D1624717D1A24617DFC00017DEC001040811D4010815AE41DEFFFF04DFC0001564 +:201428000815F980008000441001703ADFC00017DEC00104F800283ADEFFFE04DC0000150C +:2014300004002074841D220401002074800B883A211C9104DFC001150815B700010020746B +:20143800211C5A0408157A4001002074211C4F04800B883ADFC00117DC000017DEC0020462 +:201440000815B70101402074DEFFFF04295D2204DFC000150815BE8010000E2610C00A1712 +:20144800D0A24B15D022551519008D04D122531519008904D122521519008404D122511557 +:2014500019008C04D122501519008B04D1224F15D0E24E15DFC00017DEC00104F800283AB4 +:20145800D0E24B17008000441800041ED0E255171880071ED022551500000506D0E2531704 +:2014600018C0002B18C0008C183FF826F800283A0005883AF800283ADEFFFD04DC40011505 +:20146800DC000015DFC002152023883A2821883A081516001000021E0005883A000011066C +:201470008C09883A2006927AD0A24F1710C00035D0A2501700C0060410C0002DD0A253177A +:201478001080002B10BFFFCC10C0010C183FFB1E1080040C103FF01ED0224A15D122491513 +:2014800000800044DFC00217DC400117DC000017DEC00304F800283AD0A24A17100003269E +:20148800D1224917000B883A0815198100800044F800283ADEFFFD04DC400115DC0000154E +:20149000DFC002152023883A2821883A081516001000021E0005883A00001906D0A24A175A +:201498001000081E8C09883A2006927AD0A24F1710C00035D0A2501700C0044410C0002DBA +:2014A00000000506D1224917000B883A08151980103FF41E003FEF06D0A253171080002B1F +:2014A80010BFFFCC10C0010C183FFB1E1080040C103FE81ED0224A15D122491500800044E2 +:2014B000DFC00217DC400117DC000017DEC00304F800283A2005883A2100001711C0021735 +:2014B80021000A0408152FC12005883A2100001711C0021721000A04081535812100001795 +:2014C000298D883A2805883A3A10000C11800B2E20C000371A60000C4800032610C00005A2 +:2014C80010800044003FF906114002261145C83AF800283A403FF52600000106117FFB1E7D +:2014D00039D0000C3800021E00BFFEC4F800283A00BFFD44F800283A210000173005883A2B +:2014D800298D883A21C001042980072E38C0003718FFFFEC183FFC2628C0000720C000350A +:2014E00029400044003FF806F800283A20001D262804923A20C03017DEFFFD04DC40011516 +:2014E800DC000015DFC002152823883A2021883A108000D41880033580C0301718800037A3 +:2014F0001080004C10000326010000440815AE40003FF90618C000371809D0FA2140020CD0 +:2014F8001809D0BA1807D07A210001CC2908B03A18C0040C20C6B03A1C40042600BFF48442 +:201500000000020600BFFA84F800283ADFC00217DC400117DC000017DEC00304F800283A4E +:2015080020000A26280009263000082620800C173080001520800C171000062621000D0405 +:20151000290000150005883AF800283A00BFFA84F800283A00BFFEC4F800283A2005883A03 +:2015180020001D262809883A28001B1610C0311728C0192E1140341728FFFFC420C6703AA7 +:201520001800151EDEFFFE04DC000015DFC001151021883A08146A001004923A00C040344E +:2015280018FFC00410C4703A80C03017108000941880033580C0301718C004041880003799 +:201530001080004C10000626008000441880003500BFFEC40000020600BFFA84F800283AD2 +:20153800DFC00117DC000017DEC00204F800283ADEFFF504DC000115DFC00A15DF00091568 +:20154000DDC00815DD800715DD400615DD000515DCC00415DC800315DC400215DC000B17CF +:20154800280039163023883A300037162027883A20003526382B883A380033262080311758 +:201550003080312E2880302E208034172987C83A1887883A1C002C3680002B1610BFFFC497 +:20155800288A703A2800281E0029883A05BFFFC405C000C40700010480002126DD80001569 +:20156000890000CC20000526E125C83A8480012E8025883A8923C83A00000406BC0002367D +:201568008025883A0000010604800104AD0B883AD909883A900D883A08146D8098802E1789 +:20157000D8C00017A4A9883A84A1C83A8885883A10C00035988030171080040410C00037A4 +:2015780018C0008C1800042600C0008410C0003500BFFEC4000005068C400104003FDE06E4 +:201580000005883A0000010600BFFA84DFC00A17DF000917DDC00817DD800717DD40061710 +:20158800DD000517DCC00417DC800317DC400217DC000117DEC00B04F800283ADEFFF60416 +:20159000DC000115DFC00915DDC00815DD800715DD400615DD000515DCC00415DC80031501 +:20159800DC4002152021883A20000A263029883A3000082620802E1780C02F172823883ABC +:2015A0002885883A382B883A11C9883A10C0012E20C0023600BFFA84000024062809883A8A +:2015A80081403417002D883A08146A00102F883A80803317B8801C2EA8001B2684C034175D +:2015B00004E7C83A8CE6703A9C4002268CE5C83A000001060025883A8009883A980B883AFD +:2015B800081545C01000111E80C034171CA5C83AAC80012EA825883ADC8000158009883ABE +:2015C000980B883A880D883AA58F883A08154F001000061EACABC83AB4AD883A8CA3883A4C +:2015C800BDC00044003FE2060005883ADFC00917DDC00817DD800717DD400617DD0005172B +:2015D000DCC00417DC800317DC400217DC000117DEC00A04F800283A2000072630000626F6 +:2015D80020802E17288B883A20802F1729C7883A2880012E1880023600BFFA84F800283AC3 +:2015E000DEFFFF043009883A380D883ADFC0001508146D800005883ADFC00017DEC001042C +:2015E800F800283A20005026208030171000502620C03217DEFFFB04DFC00415DCC0031515 +:2015F000DC800215DC400115DC00001518001E1E1480023700C0030494803FCC90BFFAC431 +:2015F80018804536100490BA00C0207418D5FF0410C5883A108000171000683A00815868ED +:2016000000815878008158800081587000815830008158F8008158F8008158F8008158F88A +:20160800008158F8008158F8008158380081584004408004000013060441000400001106B5 +:201610000442000400000F06148001370080058494803FCC90800A26008006049080042663 +:20161800008005049080241E0440080400000506044040040000030604401004000001068C +:201620000440200424C034172021883A8809883A980B883A08146B0080C0311710C0021E59 +:2016280080C0331788C0022680000C150000120600C00044014020748480361584403315BB +:2016300080C00C1580000D1580800E1584400F1584C010158009883A295D27040815B70053 +:201638000005883A0000050600BFFA84F800283A00BFFB44F800283A00BFFB44DFC0041719 +:20164000DCC00317DC800217DC400117DC000017DEC00504F800283A300001260005C032E9 +:2016480000FFFF042005883A28C6703A110DC83A30C0042E11800017300DC0F21080010493 +:20165000003FFA06294000CC00C000C428C0041E10C0000B1807C0B21080008300000806EB +:2016580000C0008428C0031E1080000B1005C0B20000040600C0004428C0021E108000035A +:201660001005C0720005C132F800283ADEFFFD04DC400115DC0000152823883A2021883AC0 +:20166800014001443009883ADFC0021508146B008809883A100B883A08146A0010BFFFC45C +:2016700080C0020418000035010000448100043511003FCC810000351004D23A10803FCC3B +:20167800808001350080200418800035DFC00217DC400117DC000017DEC00304F800283ACD +:201680003180004C294B883A298B883A21400335210004040080240420800035208000378B +:201688001080008C103FFD1E208000371004D1FA1080004CF800283A20C004042800022698 +:2016900000801A04000001060080080418800035188000371080008C103FFD1E208003370D +:20169800F800283A29403FCC21400335210004043000022600801404000001060080040423 +:2016A00020800035208000371080008C103FFD1E208000371004D1FA1080004CF800283A0C +:2016A80020C0040400800B0418800035188000371080008C103FFD1E20800337F800283A55 +:2016B00029403FCC21400335210004040080070420800035208000371080008C103FFD1E27 +:2016B800F800283A014AAAF4DEFFFE04296AAA84DC000015DFC001152021883A08146A0000 +:2016C00010000F2601600034013555740007883A297FFFC421155584297FFFC4283FFE1E00 +:2016C80018C000448121883A18BFFB168405883A1421883A843FFFC4803FFE1E00000406ED +:2016D0008405883A1421883A843FFFC4043FFE160005883ADFC00117DC000017DEC00204C6 +:2016D800F800283AF800283A3005883AF800283A20000226208002171000131E0080207497 +:2016E000109D26041080001710000926DEFFFF04DFC00015103EE83A00C0058410C00015FB +:2016E80000BFFA84DFC00017DEC00104F800283A00802074109F5C0400C0058410C00015A1 +:2016F00000BFFA84F800283A28800017214001152080001528800017110001152900001534 +:2016F8000005883AF800283ADEFFFB04DCC00315DC800215DC400115DC000015DFC00415D3 +:201700002027883A2823883A2C0000170814F400148000448440072681000217980B883A98 +:20170800900D883A08160D801000042684000017003FF8060005883A000001068005883A90 +:20171000DFC00417DCC00317DC800217DC400117DC000017DEC00504F800283AF800283A52 +:20171800DEFFFC04DC4001152023883A2809883A300B883A01807FC4DC000015DFC0031541 +:20172000DC8002150815D7801021883A100016161009883A014003040480207408146B00D1 +:20172800949C9C041007883A9085883A108000178009883A8880001590C5883A1080011753 +:2017300090C7883A888001151880021788800215DFC00317DC800217DC400117DC0000173D +:20173800DEC004040815F3C1DFC00317DC800217DC400117DC000017DEC00404F800283AC5 +:20174000DEFFFB04DC000015040020742005883A841C9F04DC40011504400044DCC003158C +:20174800DC8002152827883A3025883A100B883A8009883A880D883ADFC004150815C600CC +:20175000813FFD04980B883A000D883A0815C60081000304900B883A880D883ADFC00417AB +:20175800DCC00317DC800217DC400117DC000017DEC005040815C601DEFFF504DC4002158B +:201760002823883A01402074295D2204DD400615DD000515DCC00415DC800315DFC00A15C5 +:20176800DF000915DDC00815DD800715DC0001152025883A3027883A0815BE80102B883AC7 +:201770000029883A1000051E9009883A0815FA00102B883A1000462605000044A809883A94 +:20177800081604C01021883A100044161009883A0140030408146B001007883A0210003449 +:2017800000802074109C9C04423FFFC418B9883A8A10703A102F883A18C00204A000062623 +:2017880010C7883A1A000015A880031710001A1E8005883A0000350610C7883A00802074C0 +:2017900042100034109D21041A00001510C00017B829883A002D883A8009883A01400304A6 +:20179800D8C0001508146B00B885883AA100001710800017D8C000172080031EA0800217F6 +:2017A0001000010EA7001F1EB5800044A50003041DBFF12E003FE406880D883AE009883ADB +:2017A800900B883A980F883A103EE83A1023883A8005883A8800150E8009883A0815F3C0E9 +:2017B00000802074109D26041080001710000226103EE83A0000020600802074109F5C04B4 +:2017B8000463C83A1440001500BFFFC400000706047FFB44043FFFC4003FEF061023883ABF +:2017C000003FED06047FFCC4003FEB06DFC00A17DF000917DDC00817DD800717DD40061734 +:2017C800DD000517DCC00417DC800317DC400217DC000117DEC00B04F800283A00C0008467 +:2017D0001900140EDEFFFD04DC0000150140030404002074DFC00215DC400115841C9C04E7 +:2017D8002023883A08146B008085883A8809883A014003041000021508146B008085883A8E +:2017E00010000015DFC00217DC400117DC000017DEC00304F800283A000170FAF800283A21 +:2017E80000802074DEFFFA04109D2404DC00001514000017DD000415DCC00315DC800215E4 +:2017F000DFC00515DC4001152027883A1025883A05000BC484801226844002178809883AAE +:2017F8000814F4008887883A18FFFFC71D00011E10BFFFC49887883A18C000071D00012641 +:201800001800051E8809883A980B883A100D883A08160D801000042684000017003FED0644 +:201808000005883A000001068005883ADFC00517DD000417DCC00317DC800217DC40011799 +:20181000DC000017DEC00604F800283ADEFFFB04DCC0031504C02074DC800215DC40011536 +:20181800DC000015DFC004152025883A0021883A9CDC9C04044008048009883A0140030422 +:2018200008146B009887883A188000171000081E1C80001500C0207418DD2104190000170C +:201828008005883A2400050E1C0000150000030684000044847FF01E00BFFA04DFC0041798 +:20183000DCC00317DC800217DC400117DC000017DEC00504F800283A218D883A2180082606 +:201838002080000328C0000310C0022610C5C83AF800283A2100004429400044003FF7068B +:201840000005883AF800283A73616C4672652068006573616F727265642520720000000076 +:2018480073616C4672772068006574696F7272650000007273616C466576206820666972D3 +:201850006C6961660000000061766E492064696C64616572646D63200000000072204453DC +:20185800206461656C696166006572757665642F746C412F5F617265535F505561435F444B +:20186000415F64726F6C61766E495F6E667265745F6563610000003053206F4E61632044FB +:2018680064206472002E74652520732500632575252E75256B75322E25207A482E252E75FB +:201870007A487532000000004353534F776620207525202E322E252E0000007574696E49F6 +:20187800727265202020726F000064252020202053204F4E00434E59343130323130322D3C +:201880006D202036737172610000000074736554746170206E726574000000003A315641EE +:2018880042475220000000533A31564173475220000000423A315641625059200000725093 +:201890003A32564162505920000072503A32564173475220000000423A33564142475220D8 +:20189800000056483A33564142475220000000533A33564173475220000000423A335641CA +:2018A00062505920000072500081620C0081621C0081622800816234008162400081624CD9 +:2018A8000081625800816264008162700081627C0000000000000000001000000088440B05 +:2018B00000010100000000007365725000000073666E6F43006D72696D73694D6863746105 +:2018B8006572202C00797274646F4D567325203A00000000203A4F4C56207525203A4D5327 +:2018C000000075250000003100000032000000330000003400000035000000360000003702 +:2018C8000000003800000039554E454D0000000000004B4F4B43414200000000000050550A +:2018D0004E574F44000000005446454C0000000048474952000000544F464E4900000000EB +:2018D8005F44434C4B4341424847494C000000544E414353454E494C444F4D5F0000004564 +:2018E0004E414353454E494C5059545F000000454E414353454E494C544E495F0000002B38 +:2018E8004E414353454E494C544E495F0000002D454E494C544C554D444F4D5F000000452E +:2018F00000816304008163080081630C0081631000816314008163180081631C0081632028 +:2018F80000816324008161940081632800816330008163340081633C0081634000816348AA +:20190000008163500081635800816360008163700081638000816390008163A0008163B0CF +:201908004353534F0000000061766E492064696C67616D690000006561766E492064696CB6 +:20191000646165680000726561766E492064696C2072646800435243696C61566974616403 +:201918006420676E0061746162207525736574790000000061766E492064696C61746164BE +:201920004352432000000000252E75252575322E0073257361647055676E697400574620C4 +:2019280061656C70772065732E74696100002E2E697265566E6979666C66206700687361E5 +:2019300072746552676E6979647075200065746161647055203F65742C593D314E3D32200E +:201938000000000064206425000067656C20752573656E6900000000252E75252075322EFF +:20194000000073756D20642500000056702075256C65786900000073252575250000000000 +:201948006C6961660000646500007325656469566E69206F6F7270203E2020630000000042 +:20195000706D6153676E696C74706F203E20202E00000000636E795374706F202020202E7F +:201958003E202020000000007074754F6F207475202E74703E2020200000000074736F503B +:201960006F72702D20202E633E202020000000002E77463C64707520206574613E202020F2 +:20196800000000002D204B4F736C70207365722074726174000000007365523C7320746512 +:20197000697474653E73676E00000000657365526F6420740000656E7661533C657320658F +:201978006E6974743E2073670000000065766153000000646E616353656E696C00000073C5 +:201980006E616353656E696C727473200000002E6E616353656E696C707974200000006564 +:201988006E616353656E696C696C61202E6D6E670000000069726F48746E6F7A6D206C6195 +:20199000006B7361747265566C61636973616D200000006B703034323838322F696C2070B6 +:201998003358656E00000000656E694C706972746D20656C0065646F703038343637352F17 +:2019A000696C20703258656E00000000693038343637352F61702069727473730000000069 +:2019A8006D2058540065646F74696E49206C616975706E69000000746C616E417320676FAF +:2019B00020636E790046504C6C616E417320676F20636E79006874566E7973486977206345 +:2019B800776F646E6E656C20000000006E797356687420636873657200646C6F4C502D48E7 +:2019C0007250204C6F432D65007473614C502D486F50204C432D74737473616F0000000003 +:2019C800706D6153676E696C616870200000657370303834206E6920706D61730072656C7C +:2019D0007664413C6974202E676E696D3E2020200000000065646956504C206F0000004633 +:2019D800506250596E6920726C6F4320006170536F74754176656C207463202E00006C72C6 +:2019E00073202E486C706D61746172650000006573202E486C636E7900006E6561202E489A +:2019E800766974630000006561202E56766974630000006562202E48706B63616863726F61 +:2019F0000000000062202E56706B63616863726F0000000000706F5474746F4200006D6FDE +:2019F80069726F48746E6F7A00006C61747265566C6163690000000065746C4174616E7230 +:201A000000676E690066664F6F74754100000000756E614D00006C61494D44480000000054 +:201A080000495644656E654720636972393A363100000000656E65472063697200333A34A6 +:201A100078303233203034326974706F00002E6D78363532203034326974706F00002E6D7A +:201A1800484D33336D28207A00296E69484D30316D28207A002964654D352E3228207A4881 +:201A20002978616D0000000020565444703038340000000041534556303436203038347820 +:201A2800003036402E63655231303620000000002E6365523930372000000000484D3539EE +:201A30004828207A2056544400294949484D35334828207A2056544400002949484D363140 +:201A38004528207A29565444000000007A484D39445328200029565400006E4F445253557B +:201A40000041544100000020008168A40000200020002CE5E926F4FD38BC2000000000009E +:201A4800008168B0000020002000323EF113FA043B61200000000000703034324D334C5F46 +:201A500005000030177000F001060618034810AA00410012703034324D334C5F03C0003128 +:201A5800177000F0010604920336108000420012703034324D334C5F01400032177000F022 +:201A6000010601AA031F103100440011703034324D334C5F01000033177000F001060155C3 +:201A68000319102700480011703034320000000002D00000177000F00106035A033C10416F +:201A700000500012703838324D334C5F050000301388012001380618034810AA0041001217 +:201A7800703838324D334C5F03C00031138801200138049203361080004200127038383263 +:201A80004D334C5F01400032138800F0013801AA031F293100440011703838324D334C5F2B +:201A880001000033138800F0013801550319292700480011703838320000000002D0000047 +:201A90001388012001380360033C104100500012703438330000000001F0000016860180CF +:201A980001A70280033E1D3200500004783034360034383302800000157C018001EC0320CB +:201AA00002603F3000100010693038340000000002D00000176A00F0020D035A033C1041F1 +:201AA80000700012703038340000000002D00000176A01E0020D035A063E1E3C00800014BE +:201AB000783034360030383402800000177001E0020D032002602130010000147830343672 +:201AB8000032313502800000177002000238032002601C3000000010693637350000000045 +:201AC00002D000001388012002710360033C104100700012703637350000000002D00000AC +:201AC8001388024002710360063C20410000000478303038003030360320000017700258FA +:201AD000027404200480175800000010703032370000000005000000176A02D002EE067290 +:201AD800052814FF00000008303832313032377805000000177002D002EE0672052814DCE7 +:201AE00000000010343230313836377804000000177003000326054006881DA0000000109B +:201AE80030383231323031780500003417700400042A0698037026F800000010303830310E +:201AF0000000006907800000176A043804650898052C1094002000083038303100000070EA +:201AF80007800000176A043804650898052C24BC00000008303239313830317807800030D4 +:201B00001770043804650898052C2494000000107665642F61746A2F61755F67305F747213 +:201B0800000000007665642F6370652F6F635F716F72746E72656C6C615F305F6D5F6C76D7 +:201B100000006D657665642F6C756E2F0000006C000000000000000000000000000000008B :201B18000000000000000000000000000000000000000000000000000000000000000000AD :201B20000000000000000000000000000000000000000000000000000000000000000000A5 :201B280000000000000000000000000000000000000000000000000000000000000000009D @@ -889,99 +889,99 @@ :201BB80000000000000000000000000000000000000000000000000000000000000000000D :201BC000000000000000000000000000000000000000000000000000000000000000000005 :201BC8000000000000000000000000000000000000000000000000000000000000000000FD -:201BD000000000000000000000000000000000000000000000000000017804E53CCE008108 -:201BD8003FAE03833F333D4905B80383009301B404163C493CD93F9F04163F10020E0409DF -:201BE0003D0E00C83F6E03833ED03DAC04B2038300E9026404163C933D493F5604163E9F22 -:201BE8003EA93E293EE93E693E993E193ED93E593EC93E393E1D3E4D3E2D3EED3EAD3ECDF5 -:201BF0003E653E6D1C483E011C501C181CC81CD000816EE0000000000000000000000000A5 -:201BF800008160EC0000000200816F080000000000000000008161000000000200816F0032 -:201C00000000000000000000008161140000000200816EF8000000000000000000816128DB -:201C08000000000200816EF000000000000000000081613C0000000200816EE800000000E4 -:201C10000000000000816150000000030081234000816164000000000081617800000003F8 -:201C180000811EB00081618C00000000008161980000000300812D74008161AC00000000C2 -:201C2000008161B400000000008177F50002000100816AA4008161C000000001008177F7FD -:201C2800000F00000081299C008161D000000000008177F60002000100816A98008161E05F -:201C300000000000008177F80001000100816F10008161F000000001008177FB003F00009D -:201C3800008129800081620000000001008177FC003F00000081298000816210000000002E -:201C4000008177F90001000100816F280081622400000000008177FA0003000100816AB0E1 -:201C48000081623400000000008178090001000100816F2800816248000000000081780A1B -:201C50000001000100816F280081625C00000000008177FD0001000100816F1800816264D5 -:201C5800000000000081780B0009000100816AF40081627400000000008178040003000127 -:201C600000816AC0008162840000000100817801001F00000081293C0081629400000001DA -:201C68000081780200C80A00008128B0008162A4000000010081780300FF000000812824E6 -:201C7000008162B800000001008178070005000000812808008162C8000000010081780855 -:201C78000005000000812808008162DC00000001008177FF001F0000008127C4008162EC85 -:201C800000000000008177FE0002000100816AD0008162FC000000000081780500050001AD -:201C880000816ADC0081630800000000008178000001000100816F20008163180000000082 -:201C9000008178060001000100816F2800816334008163400081634C008163580081635C33 -:201C980000816364008163780081638800816394008163A400816358008163B4008163C0A4 -:201CA000008163CC0081635C008163DC008163E80081635C00816358008164100081642032 -:201CA800008164300081644000815DCC00815DDC00815DE800815DF400815E0000815E0C1C -:201CB00000815E1800815E2400815E3000815E3C0000000000816BDC00816BE400816BEC80 +:201BD0000000000000000000000000000000000000000000000000000000000000000000F5 +:201BD8000000000000000000000000000000000000000000000000000000000000000000ED +:201BE0000000000000000000000000000000000000000000000000000000000000000000E5 +:201BE8000000000000000000000000000000000000000000000000000000000000000000DD +:201BF0000000000000000000000000000000000000000000000000000000000000000000D5 +:201BF8000000000000000000000000000000000000000000000000000000000000000000CD +:201C00000000000000000000000000000000000000000000000000000000000000000000C4 +:201C08000000000000000000000000000000000000000000000000000000000000000000BC +:201C10000000000000000000000000000000000000000000000000000000000000000000B4 +:201C18000000000000000000000000000000000000000000000000000000000000000000AC +:201C20000000000000000000000000000000000000000000000000000000000000000000A4 +:201C280000000000000000000000000000000000000000000000000000000000000000009C +:201C3000000000000000000000000000000000000000000000000000000000000000000094 +:201C380000000000000000000000000000000000000000000000000000000000000000008C +:201C4000000000000000000000000000000000000000000000000000000000000000000084 +:201C480000000000000000000000000000000000000000000000000000000000000000007C +:201C5000000000000000000000000000000000000000000000000000000000000000000074 +:201C580000000000000000000000000000000000000000000000000000000000000000006C +:201C6000000000000000000000000000000000000000000000000000000000000000000064 +:201C680000000000000000000000000000000000000000000000000000000000000000005C +:201C7000000000000000000000000000000000000000000000000000000000000000000054 +:201C780000000000000000000000000000000000000000000000000000000000000000004C +:201C8000000000000000000000000000000000000000000000000000000000000000000044 +:201C880000000000000000000000000000000000000000000000000000000000000000003C +:201C9000000000000000000000000000000000000000000000000000000000000000000034 +:201C980000000000000000000000000000000000000000000000000000000000000000002C +:201CA000000000000000000000000000000000000000000000000000000000000000000024 +:201CA80000000000000000000000000000000000000000000000000000000000000000001C +:201CB000000000000000000000000000000000000000000000000000000000000000000014 :201CB80000000000000000000000000000000000000000000000000000000000000000000C :201CC000000000000000000000000000000000000000000000000000000000000000000004 :201CC8000000000000000000000000000000000000000000000000000000000000000000FC :201CD0000000000000000000000000000000000000000000000000000000000000000000F4 :201CD8000000000000000000000000000000000000000000000000000000000000000000EC -:201CE0000000000000000000000000000000000000000004000000000001000A00000000D5 -:201CE8000002000A000000000000000000000000000000000000000000815D2C00000000C6 -:201CF000000000000000000000000000000000000000000000000000008210000000000042 -:201CF80000000000008167780000000000000000008151FC0081531800814FE00081501C15 -:201D0000008150B000000000008000000000000000000000000000000000000000000000C2 +:201CE0000000000000000000000000000000000000000000000000000000000000000000E4 +:201CE8000000000000000000000000000000000000000000000000000000000000000000DC +:201CF0000000000000000000000000000000000000000000000000000000000000000000D4 +:201CF8000000000000000000000000000000000000000000000000000000000000000000CC +:201D00000000000000000000000000000000000000000000000000000000000000000000C3 :201D08000000000000000000000000000000000000000000000000000000000000000000BB :201D10000000000000000000000000000000000000000000000000000000000000000000B3 :201D18000000000000000000000000000000000000000000000000000000000000000000AB -:201D20000000000000000000000000000000000000814F4C00000000008000000082140071 -:201D2800008000000000000100000080000100000000010000000000000000000000000098 -:201D300000816764000000000000000000814E9400814EA80000000000000000000000006D -:201D3800008214A000816EB0000000000000000000816EB0000000000000000000816EB078 -:201D4000000000000000000000000000000000000000000000000000000000000000000083 -:201D480000000000000000000000000000000000000000000000000000000000000000007B -:201D5000000000000000000000000000000000000000000000000000000000000000000073 -:201D580000000000000000000000000000000000000000000000000000000000000000006B -:201D6000000000000000000000000000000000000000000000000000000000000000000063 -:201D680000000000000000000000000000000000000000000000000000000000000000005B -:201D7000000000000000000000000000000000000000000000000000000000000000000053 -:201D780000000000000000000000000000000000000000000000000000000000000000004B -:201D8000000000000000000000000000000000000000000000000000000000000000000043 -:201D880000000000000000000000000000000000000000000000000000000000000000003B -:201D9000000000000000000000000000000000000000000000000000000000000000000033 -:201D98000000000000816F3C00816F3C008167980000000000000000000000000081572853 -:201DA0000000000000000000000000000010801000000080000000080081682C00000006E0 -:201DA800008168CC000000060081694400000006008169BC0000000200816A340000000362 -:201DB00000816A5C008163280081632C0081636C00816374008163F8008164040081635807 -:201DB8000081644CC896554B00816B1CFFFFFFFF00816EB000816EB000816F4400816F4432 -:201DC0000000000000816F5000816F50000000000000000000000000000000000000000083 -:201DC8000000000000000000000000000000000000000000000000000000000000000000FB -:201DD0000000000000000000000000000000000000000000000000000000000000000000F3 -:201DD8000000000000000000000000000000000000000000000000000000000000000000EB -:201DE0000000000000000000000000000000000000000000000000000000000000000000E3 -:201DE8000000000000000000000000000000000000000000000000000000000000000000DB -:201DF0000000000000000000000000000000000000000000000000000000000000000000D3 -:201DF8000000000000000000000000000000000000000000000000000000000000000000CB -:201E00000000000000000000000000000000000000000000000000000000000000000000C2 -:201E08000000000000000000000000000000000000000000000000000000000000000000BA -:201E10000000000000000000000000000000000000000000000000000000000000000000B2 -:201E18000000000000000000000000000000000000000000000000000000000000000000AA -:201E20000000000000000000000000000000000000000000000000000000000000000000A2 -:201E280000000000000000000000000000000000000000000000000000000000000000009A +:201D20000000000000000000000000000000000000000000000000000000000000000000A3 +:201D280000000000017804E53CCE00813FAE03833F333D4905B80383009301B404163C4919 +:201D30003CD93F9F04163F10020E04093D0E00C83F6E03833ED03DAC04B2038300E9026452 +:201D380004163C933D493F5604163E9F3EA93E293EE93E693E993E193ED93E593EC93E391A +:201D40003E1D3E4D3E2D3EED3EAD3ECD3E653E6D1C483E011C501C181CC81CD0008174209B +:201D480000000000000000000000000000000000000000000081652C000000030081744829 +:201D50000000000000000000008165400000000300817440000000000000000000816554DB +:201D58000000000300817438000000000000000000816568000000030081743000000000C5 +:201D6000000000000081657C00000003008174280000000000000000008165900000000467 +:201D68000081266C008165A400000000008165B800000004008121B0008165CC0000000018 +:201D7000008165D800000004008131B4008165EC00000000008165F40000000000817DF190 +:201D78000002000100816FE4008166000000000100817DF3000F000000812CC80081661020 +:201D80000000000000817DF20002000100816FD8008166200000000000817DF4000100018D +:201D880000817458008166340000000100817DF7003F000000812CAC008166440000000119 +:201D900000817DF8003F000000812CAC008166540000000000817DF5000100010081747010 +:201D9800008166680000000000817DF60003000100816FF0008166780000000000817E05A1 +:201DA00000010001008174700081668C0000000000817E060001000100817470008166A056 +:201DA8000000000000817DF90001000100817460008166A80000000000817E07000900012E +:201DB00000817034008166B80000000000817E000003000100817000008166C800000001AB +:201DB80000817DFD001F000000812C68008166D80000000100817DFF00FF000000812BDC98 +:201DC000008166EC0000000100817DFE00C80A0000812B50008166FC0000000100817E037F +:201DC8000005000000812B340081670C0000000100817E040005000000812B340081672031 +:201DD0000000000100817DFB001F000000812AF0008167300000000000817DFA000200012C +:201DD800008170100081674000000003008174500081191400000000008167540000000090 +:201DE00000817E01000500010081701C008167600000000000817DFC00010001008174682F +:201DE800008167700000000000817E020001000100817470008167800000000200817D0EA5 +:201DF00008FC012C00811A34008167900000000200817D1800C8000A00811A340081679C1E +:201DF8000000000200817D0C078000C800811A34008167A80000000200817D0A04B000C88B +:201E000000811A34008167B40000000200817D0600FF000100811A34008167C400000002D4 +:201E080000817D1400FF000100811A34008167E0008167EC008167F800816804008168087F +:201E1000008168100081682400816834008168400081685000816804008168600081686CA2 +:201E1800008168780081680800816888008168940081680800816804008168BC008168CC32 +:201E2000008168DC008168EC0081620C0081621C0081622800816234008162400081624CA6 +:201E28000081625800816264008162700081627C000000000081711C008171240081712C24 :201E3000000000000000000000000000000000000000000000000000000000000000000092 :201E380000000000000000000000000000000000000000000000000000000000000000008A :201E4000000000000000000000000000000000000000000000000000000000000000000082 :201E480000000000000000000000000000000000000000000000000000000000000000007A :201E5000000000000000000000000000000000000000000000000000000000000000000072 -:201E580000000000000000000000000000000000000000000000000000000000000000006A -:201E6000000000000000000000000000000000000000000000000000000000000000000062 -:201E680000000000000000000000000000000000000000000000000000000000000000005A -:201E7000000000000000000000000000000000000000000000000000000000000000000052 -:201E780000000000000000000000000000000000000000000000000000000000000000004A +:201E58000000000000000000000000000000000000000004000000000001000A000000005B +:201E60000002000A00000000000000000000000000000000000000000081616C0000000008 +:201E68000000000000000000000000000000000000000000000000000082100000000000C8 +:201E70000000000000816C2400000000000000000081563C00815758008154200081545CD8 +:201E7800008154F00000000000800000000000000000000000000000000000000000000005 :201E8000000000000000000000000000000000000000000000000000000000000000000042 :201E880000000000000000000000000000000000000000000000000000000000000000003A :201E9000000000000000000000000000000000000000000000000000000000000000000032 -:201E980000000000000000000000000000000000000000000000000000000000000000002A -:201EA000000000000000000000000000000000000000000000000000000000000000000022 -:201EA80000000000000000000000000000000000000000000000000000000000000000001A -:201EB000000000000000000000000000000000000000000000000000000000000000000012 +:201E9800000000000000000000000000000000000081538C000000000080000000821400B4 +:201EA00000800000000000010000008000010000000001000000000000000000000000001F +:201EA80000816C100000000000000000008152D4008152E8000000000000000000000000BB +:201EB000008214A0008173F00000000000000000008173F00000000000000000008173F030 :201EB80000000000000000000000000000000000000000000000000000000000000000000A :201EC000000000000000000000000000000000000000000000000000000000000000000002 :201EC8000000000000000000000000000000000000000000000000000000000000000000FA @@ -993,12 +993,12 @@ :201EF8000000000000000000000000000000000000000000000000000000000000000000CA :201F00000000000000000000000000000000000000000000000000000000000000000000C1 :201F08000000000000000000000000000000000000000000000000000000000000000000B9 -:201F10000000000000000000000000000000000000000000000000000000000000000000B1 -:201F18000000000000000000000000000000000000000000000000000000000000000000A9 -:201F20000000000000000000000000000000000000000000000000000000000000000000A1 -:201F2800000000000000000000000000000000000000000000000000000000000000000099 -:201F3000000000000000000000000000000000000000000000000000000000000000000091 -:201F3800000000000000000000000000000000000000000000000000000000000000000089 +:201F100000000000008174880081748800816C4400000000000000000000000000815B6842 +:201F180000000000000000000000000000108010000000800000000800816CE000000006AE +:201F200000816D800000000600816DF80000000600816E700000000300816EE80000000305 +:201F280000816F240000000600816F60008167D4008167D80081681800816820008168A41C +:201F3000008168B000816804008168F8C896554B0000001A0081705CFFFFFFFF008173F0E5 +:201F3800008173F00081749000817490000000000081749C0081749C000000000000000079 :201F4000000000000000000000000000000000000000000000000000000000000000000081 :201F4800000000000000000000000000000000000000000000000000000000000000000079 :201F5000000000000000000000000000000000000000000000000000000000000000000071 diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index d427ac5..373f8c1 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -57,11 +57,15 @@ extern alt_u32 remote_code; extern alt_u32 btn_code, btn_code_prev; extern alt_u8 remote_rpt, remote_rpt_prev; extern avconfig_t tc; +extern alt_u8 video_mode_cnt; alt_u8 target_typemask; alt_u8 target_type; alt_u8 stable_frames; +alt_u8 vm_sel, vm_edit; +alt_u16 tc_h_samplerate, tc_h_synclen, tc_h_active, tc_v_active, tc_h_bporch, tc_v_bporch; + char row1[LCD_ROW_LEN+1], row2[LCD_ROW_LEN+1], menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; extern alt_u8 menu_active; @@ -360,6 +364,7 @@ void program_mode() printf ("Error: no suitable mode found, defaulting to 240p\n"); cm.id = 4; } + vm_sel = cm.id; target_type = target_typemask & video_modes[cm.id].type; @@ -370,6 +375,61 @@ void program_mode() set_videoinfo(); } +void vm_display(alt_u8 code) { + switch ((menucode_id)code) { + case VAL_MINUS: + vm_sel = (vm_sel > 0) ? vm_sel-1 : vm_sel; + break; + case VAL_PLUS: + vm_sel = (vm_sel < video_mode_cnt-1) ? vm_sel+1 : vm_sel; + break; + case OPT_SELECT: + vm_edit = vm_sel; + tc_h_samplerate = video_modes[vm_edit].h_total; + tc_h_synclen = (alt_u16)video_modes[vm_edit].h_synclen; + tc_h_active = video_modes[vm_edit].h_active; + tc_v_active = video_modes[vm_edit].v_active; + tc_h_bporch = (alt_u16)video_modes[vm_edit].h_backporch; + tc_v_bporch = (alt_u16)video_modes[vm_edit].v_backporch; + break; + case NO_ACTION: + default: + strncpy(menu_row2, video_modes[vm_sel].name, LCD_ROW_LEN+1); + break; + } +} + +void vm_tweak(alt_u16 v) { + alt_u16 h_samplerate; + + if (cm.id == vm_edit) { + if (video_modes[cm.id].h_total != tc_h_samplerate) { + if (video_modes[cm.id].flags & MODE_PLLDIVBY2) + h_samplerate = 2*video_modes[cm.id].h_total; + else + h_samplerate = video_modes[cm.id].h_total; + + tvp_writereg(TVP_HPLLDIV_LSB, ((h_samplerate & 0xf) << 4)); + tvp_writereg(TVP_HPLLDIV_MSB, (h_samplerate >> 4)); + } + if (video_modes[cm.id].h_synclen != tc_h_synclen) + tvp_writereg(TVP_HSOUTWIDTH, video_modes[cm.id].h_synclen); + if ((video_modes[cm.id].h_active != tc_h_active) || + (video_modes[cm.id].v_active != tc_v_active) || + (video_modes[cm.id].h_backporch != (alt_u8)tc_h_bporch) || + (video_modes[cm.id].v_backporch != (alt_u8)tc_v_bporch)) + set_videoinfo(); + } + video_modes[vm_edit].h_total = tc_h_samplerate; + video_modes[vm_edit].h_synclen = (alt_u8)tc_h_synclen; + video_modes[vm_edit].h_active = tc_h_active; + video_modes[vm_edit].v_active = tc_v_active; + video_modes[vm_edit].h_backporch = (alt_u8)tc_h_bporch; + video_modes[vm_edit].v_backporch = (alt_u8)tc_v_bporch; + + sniprintf(menu_row2, LCD_ROW_LEN+1, "%u", v); +} + // Initialize hardware int init_hw() { diff --git a/software/sys_controller/ossc/av_controller.h b/software/sys_controller/ossc/av_controller.h index 9c5c26a..7f88514 100644 --- a/software/sys_controller/ossc/av_controller.h +++ b/software/sys_controller/ossc/av_controller.h @@ -70,4 +70,7 @@ typedef struct { inline void lcd_write_menu(); inline void lcd_write_status(); +void vm_display(alt_u8 code); +void vm_tweak(alt_u16 v); + #endif diff --git a/software/sys_controller/ossc/avconfig.c b/software/sys_controller/ossc/avconfig.c index 700fead..aab8ef8 100644 --- a/software/sys_controller/ossc/avconfig.c +++ b/software/sys_controller/ossc/avconfig.c @@ -29,6 +29,9 @@ #define DEFAULT_SAMPLER_PHASE 16 #define DEFAULT_SYNC_VTH 11 +extern mode_data_t video_modes[], video_modes_def[]; +extern alt_u8 video_mode_cnt; + // Target configuration avconfig_t tc; @@ -48,5 +51,7 @@ int set_default_avconfig() memcpy(&tc, &tc_default, sizeof(avconfig_t)); tc.tx_mode = !!(IORD_ALTERA_AVALON_PIO_DATA(PIO_1_BASE) & HDMITX_MODE_MASK); + memcpy(video_modes, video_modes_def, video_mode_cnt*sizeof(mode_data_t)); + return 0; } diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index 2bfd8d7..712baa2 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -31,6 +31,7 @@ extern char row1[LCD_ROW_LEN+1], row2[LCD_ROW_LEN+1], menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; extern avconfig_t tc; +extern alt_u16 tc_h_samplerate, tc_h_synclen, tc_h_active, tc_v_active, tc_h_bporch, tc_v_bporch; extern alt_u32 remote_code; extern alt_u16 rc_keymap[REMOTE_MAX_KEYS]; @@ -55,6 +56,16 @@ static void sl_str_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%u%%", static void lines_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%u lines", v); } static void pixels_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%u pixels", v); } +MENU(menu_advtiming, P99_PROTECT({ \ + { "H. samplerate", OPT_AVCONFIG_NUMVAL_U16,{ .num_u16 = { &tc_h_samplerate, H_TOTAL_MIN, H_TOTAL_MAX, vm_tweak } } }, + { "H. synclen", OPT_AVCONFIG_NUMVAL_U16,{ .num_u16 = { &tc_h_synclen, H_SYNCLEN_MIN, H_SYNCLEN_MAX, vm_tweak } } }, + { "H. active", OPT_AVCONFIG_NUMVAL_U16,{ .num_u16 = { &tc_h_active, H_ACTIVE_MIN, H_ACTIVE_MAX, vm_tweak } } }, + { "V. active", OPT_AVCONFIG_NUMVAL_U16,{ .num_u16 = { &tc_v_active, V_ACTIVE_MIN, V_ACTIVE_MAX, vm_tweak } } }, + { "H. backporch", OPT_AVCONFIG_NUMVAL_U16,{ .num_u16 = { &tc_h_bporch, H_BPORCH_MIN, H_BPORCH_MAX, vm_tweak } } }, + { "V. backporch", OPT_AVCONFIG_NUMVAL_U16,{ .num_u16 = { &tc_v_bporch, V_BPORCH_MIN, V_BPORCH_MAX, vm_tweak } } }, +})) + + MENU(menu_vinputproc, P99_PROTECT({ \ { "Video LPF", OPT_AVCONFIG_SELECTION, { .sel = { &tc.video_lpf, OPT_WRAP, SETTING_ITEM(video_lpf_desc) } } }, { "YPbPr in ColSpa", OPT_AVCONFIG_SELECTION, { .sel = { &tc.ypbpr_cs, OPT_WRAP, SETTING_ITEM(ypbpr_cs_desc) } } }, @@ -64,14 +75,14 @@ MENU(menu_vinputproc, P99_PROTECT({ \ MENU(menu_sampling, P99_PROTECT({ \ { "Sampling phase", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sampler_phase, OPT_NOWRAP, 0, SAMPLER_PHASE_MAX, sampler_phase_disp } } }, { "480p in sampler", OPT_AVCONFIG_SELECTION, { .sel = { &tc.s480p_mode, OPT_WRAP, SETTING_ITEM(s480p_mode_desc) } } }, - //{ "Modeparam editor", OPT_SUBMENU, { .sub = NULL } }, + { "", OPT_SUBMENU, { .sub = { &menu_advtiming, vm_display } } }, \ })) MENU(menu_sync, P99_PROTECT({ \ { "Analog sync LPF", OPT_AVCONFIG_SELECTION, { .sel = { &tc.sync_lpf, OPT_WRAP, SETTING_ITEM(sync_lpf_desc) } } }, { "Analog sync Vth", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sync_vth, OPT_NOWRAP, 0, SYNC_VTH_MAX, sync_vth_disp } } }, + { "Hsync window len", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sd_sync_win, OPT_NOWRAP, 0, SD_SYNC_WIN_MAX, extclks_to_time_disp } } }, { "Vsync threshold", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.vsync_thold, OPT_NOWRAP, VSYNC_THOLD_MIN, VSYNC_THOLD_MAX, intclks_to_time_disp } } }, - { "GlitchFilter len", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sd_sync_win, OPT_NOWRAP, 0, SD_SYNC_WIN_MAX, extclks_to_time_disp } } }, { "H-PLL Pre-Coast", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.pre_coast, OPT_NOWRAP, 0, PLL_COAST_MAX, lines_disp } } }, { "H-PLL Post-Coast", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.post_coast, OPT_NOWRAP, 0, PLL_COAST_MAX, lines_disp } } }, })) @@ -89,24 +100,25 @@ MENU(menu_postproc, P99_PROTECT({ \ { "Scanlines", OPT_AVCONFIG_SELECTION, { .sel = { &tc.sl_mode, OPT_WRAP, SETTING_ITEM(sl_mode_desc) } } }, { "Scanline str.", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_str, OPT_NOWRAP, 0, SCANLINESTR_MAX, sl_str_disp } } }, { "Scanline type", OPT_AVCONFIG_SELECTION, { .sel = { &tc.sl_type, OPT_WRAP, SETTING_ITEM(sl_type_desc) } } }, - { "Scanline id.", OPT_AVCONFIG_SELECTION, { .sel = { &tc.sl_id, OPT_WRAP, SETTING_ITEM(sl_id_desc) } } }, + { "Scanline alignm.", OPT_AVCONFIG_SELECTION, { .sel = { &tc.sl_id, OPT_WRAP, SETTING_ITEM(sl_id_desc) } } }, { "Horizontal mask", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.h_mask, OPT_NOWRAP, 0, HV_MASK_MAX, pixels_disp } } }, { "Vertical mask", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.v_mask, OPT_NOWRAP, 0, HV_MASK_MAX, pixels_disp } } }, })) + MENU(menu_main, P99_PROTECT({ \ - { "Video in proc >", OPT_SUBMENU, { .sub = &menu_vinputproc } }, \ - { "Sampling opt. >", OPT_SUBMENU, { .sub = &menu_sampling } }, \ - { "Sync opt. >", OPT_SUBMENU, { .sub = &menu_sync } }, \ - { "Output opt. >", OPT_SUBMENU, { .sub = &menu_output } }, \ - { "Post-proc. >", OPT_SUBMENU, { .sub = &menu_postproc } }, \ - { "Fw. update >", OPT_FUNC_CALL, { .fun = { fw_update, "OK - pls restart" } } }, \ + { "Video in proc >", OPT_SUBMENU, { .sub = { &menu_vinputproc, NULL } } }, \ + { "Sampling opt. >", OPT_SUBMENU, { .sub = { &menu_sampling, NULL } } }, \ + { "Sync opt. >", OPT_SUBMENU, { .sub = { &menu_sync, NULL } } }, \ + { "Output opt. >", OPT_SUBMENU, { .sub = { &menu_output, NULL } } }, \ + { "Post-proc. >", OPT_SUBMENU, { .sub = { &menu_postproc, NULL } } }, \ + { "", OPT_FUNC_CALL, { .fun = { fw_update, "OK - pls restart" } } }, \ { "", OPT_FUNC_CALL, { .fun = { set_default_avconfig, "Reset done" } } }, \ { "", OPT_FUNC_CALL, { .fun = { write_userdata, "Saved" } } }, \ })) -// Max 2 levels currently -menunavi navi[] = {{&menu_main, 0}, {NULL, 0}}; +// Max 3 levels currently +menunavi navi[] = {{&menu_main, 0}, {NULL, 0}, {NULL, 0}}; alt_u8 navlvl = 0; @@ -115,6 +127,7 @@ void display_menu(alt_u8 forcedisp) menucode_id code = NO_ACTION; menuitem_type type; alt_u8 *val, val_wrap, val_min, val_max; + alt_u16 *val_u16; int i, retval = 0; for (i=RC_OK; i < RC_INFO; i++) { @@ -149,9 +162,11 @@ void display_menu(alt_u8 forcedisp) case OPT_SELECT: switch (navi[navlvl].m->items[navi[navlvl].mp].type) { case OPT_SUBMENU: - if (navi[navlvl+1].m != navi[navlvl].m->items[navi[navlvl].mp].sub) + if (navi[navlvl+1].m != navi[navlvl].m->items[navi[navlvl].mp].sub.menu) navi[navlvl+1].mp = 0; - navi[navlvl+1].m = navi[navlvl].m->items[navi[navlvl].mp].sub; + navi[navlvl+1].m = navi[navlvl].m->items[navi[navlvl].mp].sub.menu; + if (navi[navlvl].m->items[navi[navlvl].mp].sub.arg_f) + navi[navlvl].m->items[navi[navlvl].mp].sub.arg_f(code); navlvl++; break; case OPT_FUNC_CALL: @@ -163,16 +178,32 @@ void display_menu(alt_u8 forcedisp) break; case VAL_MINUS: case VAL_PLUS: - if ((type == OPT_AVCONFIG_SELECTION) || (type == OPT_AVCONFIG_NUMVALUE)) { - val = navi[navlvl].m->items[navi[navlvl].mp].sel.data; - val_wrap = navi[navlvl].m->items[navi[navlvl].mp].sel.wrap_cfg; - val_min = navi[navlvl].m->items[navi[navlvl].mp].sel.min; - val_max = navi[navlvl].m->items[navi[navlvl].mp].sel.max; + switch (navi[navlvl].m->items[navi[navlvl].mp].type) { + case OPT_AVCONFIG_SELECTION: + case OPT_AVCONFIG_NUMVALUE: + val = navi[navlvl].m->items[navi[navlvl].mp].sel.data; + val_wrap = navi[navlvl].m->items[navi[navlvl].mp].sel.wrap_cfg; + val_min = navi[navlvl].m->items[navi[navlvl].mp].sel.min; + val_max = navi[navlvl].m->items[navi[navlvl].mp].sel.max; - if (code == VAL_MINUS) - *val = (*val > val_min) ? (*val-1) : (val_wrap ? val_max : val_min); - else - *val = (*val < val_max) ? (*val+1) : (val_wrap ? val_min : val_max); + if (code == VAL_MINUS) + *val = (*val > val_min) ? (*val-1) : (val_wrap ? val_max : val_min); + else + *val = (*val < val_max) ? (*val+1) : (val_wrap ? val_min : val_max); + break; + case OPT_AVCONFIG_NUMVAL_U16: + val_u16 = navi[navlvl].m->items[navi[navlvl].mp].num_u16.data; + if (code == VAL_MINUS) + *val_u16 = (*val_u16 > navi[navlvl].m->items[navi[navlvl].mp].num_u16.min) ? (*val_u16-1) : *val_u16; + else + *val_u16 = (*val_u16 < navi[navlvl].m->items[navi[navlvl].mp].num_u16.max) ? (*val_u16+1) : *val_u16; + break; + case OPT_SUBMENU: + if (navi[navlvl].m->items[navi[navlvl].mp].sub.arg_f) + navi[navlvl].m->items[navi[navlvl].mp].sub.arg_f(code); + break; + default: + break; } break; default: @@ -187,22 +218,26 @@ void display_menu(alt_u8 forcedisp) strncpy(menu_row2, navi[navlvl].m->items[navi[navlvl].mp].sel.setting_str[*(navi[navlvl].m->items[navi[navlvl].mp].sel.data)], LCD_ROW_LEN+1); break; case OPT_AVCONFIG_NUMVALUE: - navi[navlvl].m->items[navi[navlvl].mp].num.f(*(navi[navlvl].m->items[navi[navlvl].mp].num.data)); + navi[navlvl].m->items[navi[navlvl].mp].num.df(*(navi[navlvl].m->items[navi[navlvl].mp].num.data)); + break; + case OPT_AVCONFIG_NUMVAL_U16: + navi[navlvl].m->items[navi[navlvl].mp].num_u16.df(*(navi[navlvl].m->items[navi[navlvl].mp].num_u16.data)); break; case OPT_SUBMENU: - menu_row2[0] = 0; + if (navi[navlvl].m->items[navi[navlvl].mp].sub.arg_f) + navi[navlvl].m->items[navi[navlvl].mp].sub.arg_f(NO_ACTION); + else + menu_row2[0] = 0; break; case OPT_FUNC_CALL: if (code == OPT_SELECT) sniprintf(menu_row2, LCD_ROW_LEN+1, "%s", (retval==0) ? navi[navlvl].m->items[navi[navlvl].mp].fun.text_success : "failed"); else - menu_row2[0] = 0; + menu_row2[0] = 0; break; default: break; } lcd_write_menu(); - - return; } diff --git a/software/sys_controller/ossc/menu.h b/software/sys_controller/ossc/menu.h index 263b462..d6db82c 100644 --- a/software/sys_controller/ossc/menu.h +++ b/software/sys_controller/ossc/menu.h @@ -26,12 +26,14 @@ typedef enum { OPT_AVCONFIG_SELECTION, OPT_AVCONFIG_NUMVALUE, + OPT_AVCONFIG_NUMVAL_U16, OPT_SUBMENU, OPT_FUNC_CALL, } menuitem_type; typedef int (*func_call)(void); typedef void (*disp_func)(alt_u8); +typedef void (*disp_func_u16)(alt_u16); typedef struct { @@ -47,9 +49,16 @@ typedef struct { alt_u8 wrap_cfg; alt_u8 min; alt_u8 max; - disp_func f; + disp_func df; } opt_avconfig_numvalue; +typedef struct { + alt_u16 *data; + alt_u16 min; + alt_u16 max; + disp_func_u16 df; +} opt_avconfig_numvalue_u16; + typedef struct { func_call f; char *text_success; @@ -57,13 +66,19 @@ typedef struct { typedef struct menustruct menu_t; +typedef struct { + const menu_t *menu; + disp_func arg_f; +} opt_submenu; + typedef struct { char *name; menuitem_type type; union { opt_avconfig_selection sel; opt_avconfig_numvalue num; - const menu_t *sub; + opt_avconfig_numvalue_u16 num_u16; + opt_submenu sub; opt_func_call fun; }; } menuitem_t; @@ -73,10 +88,6 @@ struct menustruct { menuitem_t *items; }; -typedef struct { - menu_t *menu; -} opt_submenu; - #define SETTING_ITEM(x) 0, sizeof(x)/sizeof(char*)-1, x #define MENU(X, Y) menuitem_t X##_items[] = Y; const menu_t X = { sizeof(X##_items)/sizeof(menuitem_t), X##_items }; #define P99_PROTECT(...) __VA_ARGS__ diff --git a/software/sys_controller/tvp7002/video_modes.c b/software/sys_controller/tvp7002/video_modes.c index 0b96edd..cd6ee5a 100644 --- a/software/sys_controller/tvp7002/video_modes.c +++ b/software/sys_controller/tvp7002/video_modes.c @@ -24,7 +24,7 @@ #define LINECNT_MAX_TOLERANCE 30 -const mode_data_t video_modes[] = { +const mode_data_t video_modes_def[] = { { "240p_L3M0", 1280, 240, 6000, 1560, 262, 170, 16, 72, 3, (VIDEO_SDTV|VIDEO_PC), (MODE_L3_MODE0|MODE_PLLDIVBY2) }, { "240p_L3M1", 960, 240, 6000, 1170, 262, 128, 16, 54, 3, (VIDEO_SDTV|VIDEO_PC), (MODE_L3_MODE1|MODE_PLLDIVBY2) }, //{ "240p_L3M2", 384, 240, 6000, 512, 262, 66, 16, 31, 3, (VIDEO_LDTV|VIDEO_PC), (MODE_L3_MODE2|MODE_PLLDIVBY2) }, //CPS2 @@ -54,6 +54,11 @@ const mode_data_t video_modes[] = { { "1920x1080", 1920, 1080, 6000, 2200, 1125, 148, 36, 44, 5, VIDEO_PC, 0 }, }; +mode_data_t video_modes[sizeof(video_modes_def)/sizeof(mode_data_t)]; + +const alt_u8 video_mode_cnt = sizeof(video_modes_def)/sizeof(mode_data_t); + + /* TODO: rewrite, check hz etc. */ alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type typemask, alt_u8 linemult_target, alt_u8 l3_mode, alt_u8 s480p_mode) { diff --git a/software/sys_controller/tvp7002/video_modes.h b/software/sys_controller/tvp7002/video_modes.h index f496888..518a0e1 100644 --- a/software/sys_controller/tvp7002/video_modes.h +++ b/software/sys_controller/tvp7002/video_modes.h @@ -23,6 +23,19 @@ #include #include "sysconfig.h" +#define H_ACTIVE_MIN 200 +#define H_ACTIVE_MAX 1920 +#define V_ACTIVE_MIN 200 +#define V_ACTIVE_MAX 1200 +#define H_TOTAL_MIN 300 +#define H_TOTAL_MAX 2300 +#define H_SYNCLEN_MIN 10 +#define H_SYNCLEN_MAX 200 +#define H_BPORCH_MIN 1 +#define H_BPORCH_MAX 255 +#define V_BPORCH_MIN 1 +#define V_BPORCH_MAX 255 + typedef enum { FORMAT_RGBS = 0, FORMAT_RGBHV = 1, diff --git a/software/sys_controller_bsp/settings.bsp b/software/sys_controller_bsp/settings.bsp index a25b754..75ea035 100644 --- a/software/sys_controller_bsp/settings.bsp +++ b/software/sys_controller_bsp/settings.bsp @@ -2,9 +2,9 @@ hal default - Aug 11, 2016 10:54:37 PM - 1470945277261 - /home/markus/Code/ossc/software/sys_controller_bsp + Aug 16, 2016 7:41:30 PM + 1471365690097 + ./ settings.bsp ../../sys.sopcinfo default diff --git a/sys.sopcinfo b/sys.sopcinfo index 499971e..18e0d47 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1470867102 + 1471376130 false true false