From 85c295c5e24660d35d1dfdf780b9e7756b07ecdf Mon Sep 17 00:00:00 2001 From: marqs Date: Sat, 12 Oct 2019 20:16:22 +0300 Subject: [PATCH] make pll_reconfig more robust --- ip/pll_reconfig/inc/pll_reconfig_regs.h | 4 +- ip/pll_reconfig/pll_reconfig_top.sv | 17 +- rtl/ossc.v | 7 +- rtl/scanconverter.v | 4 +- .../mem_init/sys_onchip_memory2_0.hex | 14696 ++++++++-------- software/sys_controller/ossc/av_controller.c | 74 +- software/sys_controller/ossc/av_controller.h | 6 + sys.sopcinfo | 4 +- 8 files changed, 7454 insertions(+), 7358 deletions(-) diff --git a/ip/pll_reconfig/inc/pll_reconfig_regs.h b/ip/pll_reconfig/inc/pll_reconfig_regs.h index 249bbb2..6fefd40 100644 --- a/ip/pll_reconfig/inc/pll_reconfig_regs.h +++ b/ip/pll_reconfig/inc/pll_reconfig_regs.h @@ -26,7 +26,9 @@ typedef union { struct { alt_u8 reset:1; alt_u8 update:1; - alt_u32 pll_reconfig_rsv:29; + alt_u8 t_config_id:4; + alt_u32 pll_reconfig_rsv:21; + alt_u8 c_config_id:4; alt_u8 busy:1; } __attribute__((packed, __may_alias__)); alt_u32 data; diff --git a/ip/pll_reconfig/pll_reconfig_top.sv b/ip/pll_reconfig/pll_reconfig_top.sv index 31e142a..1cfaf62 100644 --- a/ip/pll_reconfig/pll_reconfig_top.sv +++ b/ip/pll_reconfig/pll_reconfig_top.sv @@ -56,10 +56,12 @@ reg [1:0] state; reg scan_shift; reg scandone_prev; reg configupdate_pre; -reg [7:0] ctr; +reg [7:0] shift_ctr; wire pll_reset = pll_config_status[0]; wire start_update = pll_config_status[1]; +wire [3:0] t_config_id = pll_config_status[5:2]; +wire [3:0] c_config_id = pll_config_status[30:27]; wire config_busy = pll_config_status[31]; assign areset = pll_reset | areset_strobe; @@ -141,7 +143,7 @@ begin if (start_update) begin pll_config_status[31] <= 1'b1; scanclkena <= 1'b1; - ctr <= PLL_CONFIG_DATA_BITS; + shift_ctr <= PLL_CONFIG_DATA_BITS; state <= STATE_SHIFT; end else begin pll_config_status[31] <= 1'b0; @@ -150,22 +152,23 @@ begin STATE_SHIFT: begin scan_shift <= 1'b1; - if (ctr > 0) begin - ctr <= ctr - 1'b1; + if (shift_ctr > 0) begin + shift_ctr <= shift_ctr - 1'b1; end else begin scan_shift <= 1'b0; scanclkena <= 1'b0; configupdate_pre <= 1'b1; - ctr <= 8'hff; state <= STATE_WAITRESP; end end STATE_WAITRESP: begin configupdate_pre <= 1'b0; - ctr <= ctr - 1'b1; - if (scandone_prev | (ctr == 8'h0)) begin + if (pll_reset) begin + state <= STATE_IDLE; + end else if (scandone_prev) begin areset_strobe <= 1'b1; + pll_config_status[30:27] <= t_config_id; state <= STATE_IDLE; end end diff --git a/rtl/ossc.v b/rtl/ossc.v index 030d667..fe8d7c8 100644 --- a/rtl/ossc.v +++ b/rtl/ossc.v @@ -106,7 +106,7 @@ wire osd_enable = osd_enable_pre & ~lt_active; wire [10:0] xpos, xpos_sc, xpos_vg; wire [10:0] ypos, ypos_sc, ypos_vg; -wire pll_areset, pll_scanclk, pll_scanclkena, pll_configupdate, pll_scandata, pll_scandone; +wire pll_areset, pll_scanclk, pll_scanclkena, pll_configupdate, pll_scandata, pll_scandone, pll_activeclock; // Latch inputs from TVP7002 (synchronized to PCLK_in) @@ -236,7 +236,7 @@ sys sys_inst( .i2c_opencores_1_export_sda_pad_io (SD_CMD), .i2c_opencores_1_export_spi_miso_pad_i (SD_DAT[0]), .pio_0_sys_ctrl_out_export (sys_ctrl), - .pio_1_controls_in_export ({ir_code_cnt, 5'b00000, HDMI_TX_MODE_LL, btn_LL, ir_code}), + .pio_1_controls_in_export ({ir_code_cnt, 4'b0000, pll_activeclock, HDMI_TX_MODE_LL, btn_LL, ir_code}), .sc_config_0_sc_if_sc_status_i ({vsync_flag, 2'b00, vmax_tvp, fpga_vsyncgen, 4'h0, ilace_flag, vmax}), .sc_config_0_sc_if_sc_status2_i ({12'h000, pcnt_frame}), .sc_config_0_sc_if_lt_status_i ({lt_finished, 3'h0, lt_stb_result, lt_lat_result}), @@ -300,7 +300,8 @@ scanconverter scanconverter_inst ( .pll_scanclkena (pll_scanclkena), .pll_configupdate (pll_configupdate), .pll_scandata (pll_scandata), - .pll_scandone (pll_scandone) + .pll_scandone (pll_scandone), + .pll_activeclock (pll_activeclock) ); ir_rcv ir0 ( diff --git a/rtl/scanconverter.v b/rtl/scanconverter.v index 21bf069..0db3ece 100644 --- a/rtl/scanconverter.v +++ b/rtl/scanconverter.v @@ -118,7 +118,8 @@ module scanconverter ( input pll_scanclkena, input pll_configupdate, input pll_scandata, - output pll_scandone + output pll_scandone, + output pll_activeclock ); //clock-related signals and registers @@ -126,7 +127,6 @@ wire pclk_act; wire pclk_1x, pclk_2x, pclk_3x, pclk_4x, pclk_5x; wire [1:0] pclk_mux_sel; wire pll_lock; -wire pll_activeclock; reg pll_clkswitch; //RGB signals®isters: 8 bits per component -> 16.7M colors diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 4476076..46ec22e 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -42,12 +42,12 @@ :04002900858685063D :04002A00868686063A :04002B008786870637 -:04002C000000911728 +:04002C000000A11718 :04002D00F5010113C5 :04002E000000871730 -:04002F0049870713E3 +:04002F0057870713D5 :04003000000097979E -:04003100A707879303 +:04003100B5078793F5 :0400320000F7576319 :04003300000720237F :04003400DDE30711F0 @@ -56,41 +56,41 @@ :04003700C4064501B5 :04003800200520057A :04003900A60367E1D2 -:04003A0067E155071E -:04003B005547A583FD +:04003A0067E1630710 +:04003B006347A583EF :04003C0040A267E196 -:04003D005587A5033B +:04003D006387A5032D :04003E00206F0131FD -:04003F00A3A163C056 +:04003F00A3A171C048 :0400400067E1808272 :04004100000207377B -:0400420056E7A023BA +:0400420064E7A023AC :0400430007B7656135 :040044006761019C53 :04004500CC078793CA -:040046009B8505137E -:0400470054F72E2319 +:04004600A985051370 +:0400470062F72E230B :040048006761AE49F5 -:0400490055C727036D +:0400490063C727035F :04004A00A78367E140 -:04004B00557D560782 +:04004B00557D640774 :04004C004721CB116C :04004D006741C3D86C :04004E00C798177DBB :04004F004711C7D8B6 :040050004501C3D8CB :0400510067E1808261 -:0400520055C7A78364 +:0400520063C7A78356 :04005300CF91557D77 :04005400A70367E1B6 -:0400550028235607FF +:0400550028236407F1 :040056004B1C000738 :0400570007C24B4849 :0400580083C1054219 :0400590045138D5D61 :04005A008082FFF5AC :04005B00A50367E1B1 -:04005C00808255C782 +:04005C00808263C774 :04005D002703C93973 :04005E0011510C052B :04005F0000859793EE @@ -174,7 +174,7 @@ :0400AD00953E8626D0 :0400AE00CC3ACE1A60 :0400AF00C836CA166F -:0400B000522050EF9B +:0400B000602050EF8D :0400B10007B3101071 :0400B200C03E0096B6 :0400B3008793678543 @@ -273,7 +273,7 @@ :04011000C222853A48 :040111008436C40666 :0401120050EFC026C4 -:04011300872A39807E +:04011300872A478070 :0401140025B76605A0 :04011500652100025E :040116000613468105 @@ -308,7 +308,7 @@ :04013300E963FEB7C7 :0401340066D902F690 :040135008693078A1C -:0401360097B641C671 +:0401360097B64FC663 :040137008782439CDC :040138002000079309 :040139000D05268307 @@ -341,7 +341,7 @@ :04015400D918DD5C7D :0401550002052A2352 :04015600C134DD10C3 -:04015700480585933F +:040157005605859331 :0401580040A222019E :04015900853E478117 :04015A00808201316D @@ -408,7 +408,7 @@ :04019700BFD5078544 :04019800451CC11928 :0401990067E1EF919A -:04019A0047C7A78329 +:04019A0055C7A7831B :04019B001151C395A6 :04019C009782C4067C :04019D0047D940A25C @@ -419,7 +419,7 @@ :0401A200C188C3C885 :0401A3008082450110 :0401A4000513656575 -:0401A50047D9B2C5BF +:0401A50047D9C0C5B1 :0401A6005529C11CFA :0401A70067338082B8 :0401A80053FD00B54E @@ -601,7 +601,7 @@ :04025800BF59013158 :04025900C22211515B :04025A0004136461C4 -:04025B00458357443C +:04025B00458365442E :04025C004515002420 :04025D003749C40653 :04025E0000244783AE @@ -677,9 +677,9 @@ :0402A400359D0C5028 :0402A50064E14702C7 :0402A6008693479262 -:0402A700C69857445A +:0402A700C69865444C :0402A80084934705EF -:0402A9004409574469 +:0402A900440965445B :0402AA008363462202 :0402AB00442900E7FB :0402AC00822347055D @@ -859,12 +859,12 @@ :04035A008432C036F3 :04035B003669CA062F :04035C00676146820D -:04035D0057470613E5 +:04035D0065470613D7 :04035E0097938A8562 :04035F0046830016BB :040360009AF500C644 :0403610007938EDD93 -:0403620006235747D0 +:0403620006236547C2 :04036300C03E00D6C2 :04036400C2998A8927 :040365003F494505C2 @@ -905,11 +905,11 @@ :0403880047C104F471 :040389009F6365E128 :04038A00460D14F414 -:04038B004885859389 +:04038B00568585937B :04038C00073005131E :04038D0065E13CC921 :04038E0085934649C4 -:04038F00A835ABC51D +:04038F00A835B9C50F :040390000405E593E8 :04039100E593BFA58C :04039200BF8D08050E @@ -920,11 +920,11 @@ :040397007413FA04DD :04039800B7750FF432 :04039900460D65E1C7 -:04039A0048C585933A +:04039A0056C585932C :04039B00073005130F :04039C0065E13C5982 :04039D0085934649B5 -:04039E000513AD0591 +:04039E000513BB0583 :04039F00F0EF076014 :0403A0004489A89F45 :0403A1000720051319 @@ -986,17 +986,17 @@ :0403D9000161450574 :0403DA0065E18082D7 :0403DB008593460DB3 -:0403DC00051348C5F8 +:0403DC00051356C5EA :0403DD00F0EF073006 :0403DE0065E1991F1D :0403DF008593464973 -:0403E000BDE5AA8548 +:0403E000BDE5B8853A :0403E1008593460DAD -:0403E2000513488532 +:0403E2000513568524 :0403E300F0EF073000 :0403E40065E1979F99 :0403E500859346496D -:0403E600B5C5A945AB +:0403E600B5C5B7459D :0403E7004481440108 :0403E80045E1B5D561 :0403E90006200513D2 @@ -1021,8 +1021,8 @@ :0403FC000131059036 :0403FD00905FF06FAE :0403FE00879367E199 -:0403FF0067619B8710 -:0404000058F7222364 +:0403FF006761A98702 +:0404000066F7222356 :040401000C87A703BA :04040200F38005136B :04040300A703CB017F @@ -1031,7 +1031,7 @@ :04040600450100F7B5 :0404070067E18082A7 :0404080085AA86AE8D -:040409005847A503A8 +:040409006647A5039A :04040A00C4061151C2 :04040B00BF0FF0EF40 :04040C000513C119FA @@ -1043,7 +1043,7 @@ :0404120086AA0FF4B3 :040413000622872E08 :04041400C38564E157 -:040415005844A5039F +:040415006644A50391 :04041600F0047593E6 :04041700F0EF05A25B :04041800C1199D8FDA @@ -1051,7 +1051,7 @@ :04041A00444240D246 :04041B00016144B285 :04041C00C22A8082EE -:04041D005844A50397 +:04041D006644A50389 :04041E0085B2C42EB1 :04041F00F0EFC03208 :04042000460296CF2B @@ -1097,19 +1097,19 @@ :040448000014B613D3 :04044900853685BAB5 :04044A0030EFC23697 -:04044B00872A286074 +:04044B00872A366066 :04044C001004849381 :04044D00B77D46929F :04044E00C422114172 :04044F00C02A6461FA -:0404500058840513B4 +:0404500066840513A6 :0404510040EFC606AC -:0404520045823BE0C4 +:04045200458249E0B6 :040453000713E90999 :0404540046812000BD :040455000513460144 -:0404560040EF588497 -:0404570040B24F2040 +:0404560040EF668489 +:0404570040B25D2032 :0404580001414422F8 :04045900112180826B :04045A00C626C822C8 @@ -1124,9 +1124,9 @@ :040463000103171367 :04046400834167E188 :040465008622468124 -:04046600588785131B +:04046600668785130D :04046700C21AC41ED3 -:040468004AC040EF57 +:0404680058C040EF49 :0404690043A2431255 :04046A000533C9018C :04046B0040D240A09B @@ -1141,40 +1141,40 @@ :0404740084B393BA00 :04047500B7454064E3 :04047600A78367E110 -:0404770011414907DF +:0404770011415707D1 :040478006461C422D5 -:040479005A04059389 +:04047900680405937B :04047A00851346415F :04047B00C60600476A :04047C00C03EC22696 -:04047D00760040EFD6 +:04047D00041040EF38 :04047E0064E147826C -:04047F005D84859380 +:04047F006B84859372 :040480008513464159 :0404810040EF014700 -:04048200051374E00A -:0404830044225A04B1 +:04048200051302F06C +:0404830044226804A3 :04048400859340B26A -:0404850044925D84BC +:0404850044926B84AE :04048600306F014191 -:0404870067E14000E9 -:040488004907A783F6 +:0404870067E14E00DB +:040488005707A783E8 :04048900C422114137 :04048A000593646111 -:04048B0046415B4447 +:04048B004641694439 :04048C00004785138D :04048D00C226C606B7 :04048E0040EFC03E3D -:04048F00478271A08F +:04048F0047827FA081 :04049000859364E10B -:040491004641650477 +:040491004641730469 :040492000147851386 -:04049300708040EF46 -:040494005B440513AD +:040493007E8040EF38 +:04049400694405139F :0404950040B244220B -:0404960065048593E1 +:0404960073048593D3 :040497000141449249 -:040498003BA0306FE6 +:0404980049A0306FD8 :04049900C406115133 :04049A00842AC222CC :04049B00949FF0EF4B @@ -1182,11 +1182,11 @@ :04049D00A31FF0EFBA :04049E000563478922 :04049F00646102F49E -:0404A0006684041357 +:0404A0007484041349 :0404A100460367E1C6 :0404A2004583037417 :0404A300A503036446 -:0404A400F0EF598795 +:0404A400F0EF678787 :0404A5004503F86FA4 :0404A6004412036495 :0404A700013140A23D @@ -1201,9 +1201,9 @@ :0404B000450145813C :0404B100909FF0EF39 :0404B20007936461E7 -:0404B300C5035EC45B +:0404B300C5036CC44D :0404B4004785010770 -:0404B5005EC404130A +:0404B5006CC40413FC :0404B60000A035333A :0404B70046010505F0 :0404B80000F4936356 @@ -1213,7 +1213,7 @@ :0404BC00A6BFF0EFF8 :0404BD00896347897F :0404BE00636102F480 -:0404BF00668303133A +:0404BF00748303132C :0404C00000F447837A :0404C10002434703A8 :0404C20001144503D9 @@ -1221,7313 +1221,7369 @@ :0404C4004601468126 :0404C500F0EF0586C9 :0404C60067E1CA6FB1 -:0404C70066878313AE +:0404C70074878313A0 :0404C8000243478321 :0404C90004F4012313 :0404CA003F2D852617 :0404CB0040A24412F5 :0404CC004501448220 :0404CD00F06F01319A -:0404CE0011519F3FEA -:0404CF00C222C4067B -:0404D00067E1E559A2 -:0404D1005EC78793E8 -:0404D200043743D4D4 -:0404D3000413019C71 -:0404D4005433CC04CD -:0404D500868302D444 -:0404D600467100A7C4 -:0404D700879367E1BF -:0404D80086B371C7AF -:0404D90097B602C60A -:0404DA0000E7D783DD -:0404DB00043346A1FF -:0404DC0067E102F4DE -:0404DD005C77C783FE -:0404DE0002D78D6351 -:0404DF00896346C126 -:0404E000471100D7E9 -:0404E10004E7916338 -:0404E2000AD030EF1D -:0404E300A8294505FA -:0404E40001C9C7B7CC -:0404E50037F78793CB -:0404E600E363872A1B -:0404E700473D008706 -:0404E80030EF853A32 -:0404E900450D093084 -:0404EA0040A24412D6 -:0404EB00306F01313C -:0404EC0030EF44E0C9 -:0404ED00B5370830E7 -:0404EE00051304C42A -:0404EF0035333FF56D -:0404F0000509008575 -:0404F10030EFB7D55C -:0404F200450106F0CA -:0404F30067E1BFF10D -:0404F400668787137D -:0404F50002E7468351 -:0404F600841347091B -:0404F700453D668792 -:0404F80000E6836334 -:0404F90030EF45019A -:0404FA00478304F040 -:0404FB00470902E4C7 -:0404FC007BE3450D4C -:0404FD004515FAF7B0 -:0404FE0075138D1DC8 -:0404FF00B76D0FF5D1 -:04050000F8634785D0 -:0405010067E100A707 -:040502005C77C5035A -:040503003513156136 -:0405040047E10015B6 -:0405050002F50533C3 -:04050600879367DD93 -:04050700953E4F07C7 -:0405080071C0306F1F -:0405090026036761FD -:04050A00110149C7CB -:04050B00CE0667E1D0 -:04050C00CA26CC220D -:04050D006A0787935F -:04050E0000364683EA -:04050F00E299829D4E -:04051000FBFD17FDDB -:04051100265030EF51 -:04051200869367E184 -:04051300C5835EC777 -:04051400C23E00B62D -:040515005EC78493A6 -:0405160082AA67E16D -:0405170049C7871336 -:040518004314C59132 -:040519000026C7836E -:04051A000037F5931E -:04051B000370051351 -:04051C00C62EC81609 -:04051D00406030EF1B -:04051E000513C02AD7 -:04051F0030EF038036 -:0405200043023FC093 -:0405210016936605C2 -:040522000613008537 -:040523008EF1F0065F -:040524000065561305 -:040525000066E6B3D3 -:04052600842A8A0594 -:040527000390051325 -:0405280084A3C036B2 -:0405290030EF00C4EB -:04052A00C42A3D4062 -:04052B0003A0051311 -:04052C003CA030EFD0 -:04052D00871367E1E8 -:04052E00431049C766 -:04052F00468245B209 -:040530000026470357 -:04053100531342C25C -:040532004703002754 -:040533008B7D003686 -:040534000067161333 -:0405350000666733C2 -:040536008763460988 -:04053700C60302C530 -:04053800E60900B41C -:0405390018F006139D -:04053A0002D6706312 -:04053B009A63460574 -:04053C00069300C55D -:04053D00B59300175B -:04053E00C593190642 -:04053F0047810015DB -:040540007713A8097C -:04054100DB65020470 -:040542000C86B593DB -:040543000015C59347 -:04054400C303478521 -:04054500666100B437 -:0405460006136761D0 -:0405470004135646FD -:040548001D63668742 -:0405490082632403A2 -:04054A008063460282 -:04054B0005834605D9 -:04054C004309000659 -:04054D0022B35F6313 -:04054E000006002380 -:04054F004605471105 -:0405500000C485A3BB -:040551001313C03A86 -:0405520047220085B7 -:040553000513650522 -:040554007333F00508 -:04055500633300A369 -:04055600471200E365 -:04055700260365E131 -:0405580005135EC762 -:040559006D630016B8 -:04055A00851300D530 -:04055B0069630016BA -:04055C0040D000C5C6 -:04055D0000661663BB -:04055E000084C6034C -:04055F0040F60A63F5 -:040560006405862385 -:040561000194458339 -:040562000374C60355 -:040563000AC59063D2 -:0405640001A4458326 -:040565000384C60342 -:0405660008C59A63C7 -:0405670001B4458313 -:040568000394C6032F -:0405690008C59463CA -:04056A0001C4458300 -:04056B0003A4C6031C -:04056C0006C59E63BF -:04056D0001D44583ED -:04056E0003B4C60309 -:04056F0006C59863C2 -:04057000014445837A -:040571000324C60396 -:0405720006C59263C5 -:040573000154458367 -:040574000334C60383 -:0405750004C59C63BA -:040576000164458354 -:040577000344C60370 -:0405780004C59663BD -:040579000174458341 -:04057A000354C6035D -:04057B0004C59063C0 -:04057C00018445832E -:04057D000364C6034A -:04057E0002C59A63B5 -:04057F00027445833A -:040580000454C60356 -:0405810002C59463B8 -:040582000284458327 -:040583000464C60343 -:0405840000C59E63AD -:040585000324458383 -:040586000504C6039F -:0405870000C59863B0 -:040588000394458310 -:040589000574C6032C -:04058A0000C58C63B9 -:04058B004589470255 -:04058C000001460321 -:04058D0000B77363DD -:04058E007713460990 -:04058F00C03A0FF669 -:040590000254458349 -:040591000434C60365 -:0405920002C58D63AE -:0405930000A4860337 -:04059400063345F1F4 -:0405950065E102B664 -:0405960071C5859313 -:040597004A10962E42 -:0405980020D00593D7 -:04059900761382153E -:04059A001C637FF669 -:04059B00470200B65D -:04059C004603458944 -:04059D007363000183 -:04059E00460900B753 -:04059F000FF67713C9 -:0405A0004583C03A95 -:0405A100C603026427 -:0405A2008D6304441D -:0405A300860302C504 -:0405A40045F100A479 -:0405A50002B6063361 -:0405A600859365E1F3 -:0405A700962E71C556 -:0405A80005934A105D -:0405A90082151C108B -:0405AA007FF676134F -:0405AB0000B61C6317 -:0405AC004589470234 -:0405AD000001460300 -:0405AE0000B77363BC -:0405AF00771346096F -:0405B000C03A0FF648 -:0405B1004603676135 -:0405B200CE416627A9 -:0405B30000A483839A -:0405B40062E1467149 -:0405B50002C383B347 -:0405B60071C2861375 -:0405B7000124C583D3 -:0405B800C0834515A2 -:0405B90093B20454A1 -:0405BA000103A60390 -:0405BB0006338A7DFC -:0405BC00063302B64A -:0405BD00051302A67A -:0405BE0006130640DA -:0405BF004633032696 -:0405C000D50302A6B7 -:0405C100853300E39B -:0405C200159302A5E6 -:0405C30081C10103EE -:0405C4000542953225 -:0405C5009B238141B2 -:0405C600460100A446 -:0405C7000000866347 -:0405C8000183A60302 -:0405C9008A05820518 -:0405CA00C436C61A53 -:0405CB0030EFC83E07 -:0405CC008603304032 -:0405CD0045F100A450 -:0405CE00063367E1A8 -:0405CF00829302B65B -:0405D000C58371C7A7 -:0405D10092B20124BD -:0405D2000162C503FA -:0405D30058C030EFED -:0405D40089A34702AE -:0405D50046A200A496 -:0405D60047C24332A3 -:0405D7004705E319D8 -:0405D8004712C03ACC -:0405D9000064A223F5 -:0405DA0000F4842382 -:0405DB005ED726239E -:0405DC000585A03DB4 -:0405DD0000B6002341 -:0405DE00B3F1C002B3 -:0405DF00000284632F -:0405E00020059563FA -:0405E100000603030A -:0405E2005B6353F113 -:0405E30047111E732B -:0405E40000060023EA -:0405E500000485A3E6 -:0405E60096E3C03A9E -:0405E70067E1DA05E9 -:0405E8006687C60359 -:0405E90001E4C683E0 -:0405EA000CD61A63AE -:0405EB0000144603AF -:0405EC0001F4C683CD -:0405ED000CD61463B1 -:0405EE00002446039C -:0405EF000204C683B9 -:0405F0000AD61E63A6 -:0405F1000034460389 -:0405F2000214C683A6 -:0405F3000AD61863A9 -:0405F4000064460356 -:0405F5000244C68373 -:0405F6000AD61263AC -:0405F700656165E1F4 -:0405F800859346158C -:0405F9000513612560 -:0405FA0030EF670572 -:0405FB00E5597D3011 -:0405FC00656165E1EF -:0405FD008593461983 -:0405FE00051361750B -:0405FF0030EF67551D -:04060000ED2D7BF071 -:040601000044460368 -:040602000224C68385 -:0406030006D618639C -:040604000054460355 -:040605000234C68372 -:0406060006D612639F -:040607000074460332 -:040608000254C6834F -:0406090004D61C6394 -:04060A0001F44603AE -:04060B0003D4C683CB -:04060C0004D6166397 -:04060D00020446039A -:04060E0003E4C683B8 -:04060F0004D610639A -:040610000214460387 -:0406110003F4C683A5 -:0406120002D61A638F -:040613000224460374 -:040614000404C68391 -:0406150002D6146392 -:0406160001E44603B2 -:0406170003C4C683CF -:0406180000D61E6387 -:04061900035446033D -:04061A000534C6835A -:04061B0000D618638A -:04061C00034446034A -:04061D000524C68367 -:04061E0000D6066399 -:04061F00E399478292 -:04062000C03E47850C -:0406210002A44503E7 -:040622000484C68303 -:0406230000A6846346 -:04062400480030EF6B -:0406250002B44503D3 -:040626000494C683EF -:0406270000A6846342 -:04062800118030EF1E -:0406290002C44503BF -:04062A0004A4C683DB -:04062B0000A684633E -:04062C00112030EF7A -:04062D0002F445038B -:04062E0004D4C683A7 -:04062F0003044583F8 -:0406300000A6966327 -:0406310004E4C68394 -:0406320000B6846327 -:040633000CE030EFB8 -:0406340002944503E4 -:040635000474C68300 -:0406360000A6846333 -:04063700B25FF0EFCF -:0406380002E4450390 -:0406390004C4C683AC -:04063A0000A684632F -:04063B00A4FFF0EF39 -:04063C0002D445039C -:04063D0004B4C683B8 -:04063E0000A684632B -:04063F0035C030EFA3 -:0406400065E166E129 -:040641006A2685138D -:040642008593461D39 -:0406430030EF6445EB -:0406440066E16AF011 -:040645008513C5094B -:0406460030EF6A2601 -:0406470046030B005B -:04064800C6830364FE -:0406490018630544E9 -:04064A00460300D68D -:04064B00C6830374EB -:04064C0006630554E8 -:04064D00450300D68B -:04064E00F0EF023493 -:04064F0066E192BF0F -:040650006636C683C1 -:040651004503CE810E -:04065200C703038453 -:0406530007630564D0 -:04065400155100A795 -:040655008561056254 -:04065600377020EFEA -:04065700859367E13F -:040658008513668719 -:04065900061301E49F -:04065A0030EF042059 -:04065B0040F2679072 -:04065C0067E14462AC -:04065D006607812388 -:04065E0044D245023B -:04065F00808261052F -:040660000023137DE3 -:04066100C00200666D -:040662000023BD09AB -:04066300BFE50006E9 -:0406640064C5C603A0 -:0406650007E345055D -:040666000605BEA621 -:040667000FF6761301 -:0406680064C58623BC -:04066900BEA610E336 -:04066A004589470275 -:04066B000001460341 -:04066C0000B77363FD -:04066D0077134609B0 -:04066E00C03A0FF689 -:04066F000113B6E1DC -:0406700067E1FC81C1 -:04067100D826DA228B -:040672005EC78713C5 -:0406730000A7048355 -:040674006561467105 -:0406750002C4863302 -:0406760071C50693B1 -:0406770001F74583BF -:040678000127428391 -:040679005EC787933E -:04067A0071C5031330 -:04067B00D60396B25A -:04067C00C40300C6ED -:04067D00CC32014634 -:04067E000136C683F8 -:04067F00CE36C002B1 -:040680000237468374 -:0406810000C7470364 -:04068200C43AD4366C -:040683000FD5F71385 -:04068400C703EB15A8 -:04068500C2990257BD -:04068600A005468500 -:04068700468D462234 -:0406880000D60B632A -:0406890046114522AF -:04068A0010C509632B -:04068B0015E34689A4 -:04068C000706FED58A -:04068D0046A2B7D5F5 -:04068E009733070691 -:04068F00771300E6F7 -:04069000C03A0FF766 -:04069100FFF5869358 -:040692000FF6F693D6 -:04069300C202470553 -:0406940002D76063C6 -:04069500C6034691C1 -:04069600E3630257C1 -:0406970086930E56E2 -:0406980086B3FFF234 -:0406990016B302C6CC -:04069A00F71300D77B -:04069B00C23A0FF65A -:04069C000247C70347 -:04069D00C6024681CA -:04069E00438DCA3A84 -:04069F000026951389 -:0406A0000C759A63D8 -:0406A10000D78733C4 -:0406A20002674703A1 -:0406A300FFF7061344 -:0406A40016338A3D42 -:0406A500453200A634 -:0406A60000E0373306 -:0406A70000D717332E -:0406A800C6328E497F -:0406A9008F51460225 -:0406AA000FF77713BC -:0406AB000685C03AC6 -:0406AC0095E3471576 -:0406AD004681FCE6A0 -:0406AE00438DC802AE -:0406AF000026951379 -:0406B0000A759163D3 -:0406B10000D78733B4 -:0406B20002B7470341 -:0406B300FFF7061334 -:0406B40016338A3D32 -:0406B500454200A614 -:0406B60000E03733F6 -:0406B70000D717331E -:0406B800C8328E496D -:0406B9008F51461205 -:0406BA000FF77713AC -:0406BB000685C23AB4 -:0406BC0095E3471962 -:0406BD004771FCE69F -:0406BE0002E4873398 -:0406BF004F18971A1F -:0406C0008B05D002D4 -:0406C1004722CB0100 -:0406C200C703C71192 -:0406C30037130227C0 -:0406C400D03A001711 -:0406C50001E7C7037F -:0406C6001B634685E7 -:0406C700472204D7EB -:0406C8004771CB119A -:0406C90002E487338D -:0406CA00971A4691A4 -:0406CB0083754B5890 -:0406CC0004D71163DB -:0406CD00C002C202A3 -:0406CE000733A82D19 -:0406CF00BDF502D79C -:0406D000FFE28513AD -:0406D10002C5063325 -:0406D2001633450D89 -:0406D300771300C5D4 -:0406D400BF310FF62D -:0406D5001533475240 -:0406D600473200A700 -:0406D700C63A8F4947 -:0406D8004752B7B915 -:0406D90000A715332E -:0406DA008F494742BB -:0406DB00B741C83A21 -:0406DC004F8CD371FB -:0406DD008C636711B2 -:0406DE00666330E53A -:0406DF00069302B7C5 -:0406E00047111000AE -:0406E10002D58D634E -:0406E200470D6689D1 -:0406E30002D5896350 -:0406E4000800069371 -:0406E50032D59B630C -:0406E60003C7C683FD -:0406E70007068F155E -:0406E8000FF777137E -:0406E9000737A829FE -:0406EA008763001012 -:0406EB0007372EE5BA -:0406EC00876302001E -:0406ED0066A12EE5EF -:0406EE0098634719AD -:0406EF0066E130D5BB -:0406F0005C76C6036B -:0406F100156346A1A6 -:0406F200040600D624 -:0406F30080410442FC -:0406F4000147C68371 -:0406F500CA324605BA -:0406F6000086F76320 -:0406F70040D406B332 -:0406F80082C106C2F3 -:0406F9004571CA3647 -:0406FA0002A485339E -:0406FB0040E286B3A0 -:0406FC004609D6369F -:0406FD000367C38349 -:0406FE0095A674E168 -:0406FF0013FD44D2D1 -:04070000007033B39F -:040701002303951A1F -:0407020003F6008575 -:04070300029284B327 -:040704000153531337 -:040705000015B59393 -:0407060006B305F23F -:04070700F49302D392 -:0407080004D20FF414 -:0407090002C6C6B3AB -:04070A0082C106C2E0 -:04070B00C683D23699 -:04070C00561203D7A7 -:04070D00012544037B -:04070E0002D706B355 -:04070F0007428B1DF5 -:04071000C60396B2D4 -:04071100033300D7D7 -:04071200F693025305 -:04071300067A7FF6ED -:0407140000766633D2 -:040715008DD106CEAE -:0407160047528ED9DF -:04071700C6038DC5C3 -:0407180083B301376F -:0407190073130282D2 -:04071A008A1D7FF3C2 -:04071B008ED106363F -:04071C00015546033A -:04071D000537C503D4 -:04071E0006468A1DE4 -:04071F001FF3F3933E -:04072000E5B303AE8C -:04072100E333007549 -:04072200F5930065E6 -:0407230002B30072AB -:0407240057320087C1 -:040725008ECD05AAC6 -:0407260002E282B3B6 -:04072700897D57125F -:0407280092BA051E5E -:040729003FF2F29316 -:04072A0003E7C70317 -:04072B000056E2B3DF -:04072C00771346A257 -:04072D00075203F775 -:04072E008F5506F6E7 -:04072F008F5146F2AE -:0407300003F7C60302 -:0407310003F6F69342 -:0407320000B69593E5 -:040733008F4D46E2BE -:04073400F6938A3D71 -:0407350064337FF6B4 -:04073600C68300D79F -:040737008D510407D5 -:040738000207C5836C -:0407390006928A9DFD -:04073A0046B28D55E1 -:04073B000527C703C4 -:04073C00961389FD8A -:04073D00468200C62A -:04073E0005E6823119 -:04073F0006D28AFD57 -:04074000C6038ED18D -:040741008B0502170B -:040742008A050732EB -:0407430001E6179321 -:0407440000B6E633E2 -:0407450057828E5DEC -:0407460005378F499B -:0407470045138000D6 -:0407480007FEFFF5B4 -:040749008E5D8E69CA -:04074A00769347C299 -:04074B0094930FF381 -:04074C004792008749 -:04074D00F79380A1FD -:04074E0007E203F7C4 -:04074F0057A28CDD44 -:0407500007FE8CE92B -:0407510067E18CDDF3 -:0407520049C7A78369 -:0407530000C7C58393 -:0407540000D7862321 -:040755000083569334 -:040756000FF6F69311 -:0407570000D7C5837F -:0407580000D786A39D -:0407590001035693AF -:04075A000FF6F6930D -:04075B0000E7C5836B -:04075C0000D7872318 -:04075D0000F7C68358 -:04075E0001835313AD -:04075F00006787A305 -:040760000FF2F6930B -:040761000107C58344 -:0407620000D7882311 -:040763000082D693A7 -:040764000FF6F69303 -:040765000117C58330 -:0407660000D788A38D -:040767000102D69322 -:040768000FF6F693FF -:040769000127C5831C -:04076A0000D7892308 -:04076B000137C68309 -:04076C000182D293A1 -:04076D00005789A305 -:04076E000FF476937B -:04076F000147C583F6 -:0407700000D78A2301 -:040771000084569317 -:040772000FF6F693F5 -:040773000157C583E2 -:0407740000D78AA37D -:040775000104569392 -:040776000FF6F693F1 -:040777000167C583CE -:0407780000D78B23F8 -:040779000177C683BB -:04077A008BA380616C -:04077B0076930087EA -:04077C00C5830FF72B -:04077D008C23018741 -:04077E00569300D7B7 -:04077F00C5830087A7 -:040780008CA30197AE -:04078100C68300D754 -:04078200836101A7E7 -:0407830000078D23BB -:0407840001B7C68370 -:0407850000E78DA359 -:040786000FF67713E0 -:0407870001C7C6835D -:0407880000E78E23D5 -:04078900008657137C -:04078A000FF77713DB -:04078B0001D7C68349 -:04078C0000E78EA351 -:04078D0001065713F7 -:04078E000FF77713D7 -:04078F0001E7C68335 -:0407900000E78F23CC -:0407910001F7C703A2 -:040792008FA382614E -:04079300F71300C791 -:04079400C6830FF415 -:0407950080230207B4 -:04079600D71302E78C -:040797007713008450 -:04079800C6830FF70E -:0407990080A3021720 -:04079A00D71302E788 -:04079B0077130104CB -:04079C00C6830FF70A -:04079D0080E10227CE -:04079E0002E78123CA -:04079F000237C70353 -:0407A000029781A398 -:0407A10054C2545298 -:0407A20003810113BB -:0407A300C683808207 -:0407A400471103C72F -:0407A500B3298F15D0 -:0407A60003C7C6833C -:0407A700BFDD471556 -:0407A80003C7C6833A -:0407A9008F15471948 -:0407AA000FF77713BB -:0407AB000367C68397 -:0407AC00D00697E3F9 -:0407AD0016A146E269 -:0407AE0082C106C23C -:0407AF0046F2CC360C -:0407B00006C206B1C6 -:0407B100CE3682C1FD -:0407B20006B7B9DDF0 -:0407B3008716002085 -:0407B400FCD5FEE38F -:0407B5001111B1ED80 -:0407B600CA2267E10B -:0407B700646147052D -:0407B80064E7862349 -:0407B9005EC4079380 -:0407BA000047A283CF -:0407BB00CC06C8267A -:0407BC005EC4049380 -:0407BD001C028D632A -:0407BE005EC42703EB -:0407BF001C070963A7 -:0407C000A0EEC7B729 -:0407C100B007879363 -:0407C20002E7D7B3C0 -:0407C3000084C703E4 -:0407C400019C03B7DA -:0407C500CC0383934B -:0407C6000253D3B354 -:0407C7001A070763A3 -:0407C8000257D2B34F -:0407C90003B0051361 -:0407CA00C21EC6166F -:0407CB0014F020EF17 -:0407CC000513C42A23 -:0407CD0020EF03C056 -:0407CE00C783145079 -:0407CF00C60301C498 -:0407D00043920084CC -:0407D1000027971353 -:0407D200879367D9C9 -:0407D30097BA4A4740 -:0407D4002703439420 -:0407D50007935EC464 -:0407D60042B2070024 -:0407D7000793E21989 -:0407D80066370690EA -:0407D9006561000155 -:0407DA005946061363 -:0407DB00051345C5F8 -:0407DC00C2165B45A1 -:0407DD0030EFC61E15 -:0407DE0042921450DF -:0407DF00079343B287 -:0407E0000693064036 -:0407E10065613E8090 -:0407E20002F2D73315 -:0407E300051345C5F0 -:0407E400F63365057E -:0407E500C23A02D33F -:0407E60002F2F2B376 -:0407E700472987BA5D -:0407E80002E657339B -:0407E900000166376E -:0407EA000613C0161C -:0407EB00D6B359C662 -:0407EC0030EF02D315 -:0407ED0067E1109020 -:0407EE006FB7C78397 -:0407EF0067E1EF814E -:0407F0004907A7030B -:0407F1000007478333 -:0407F2000027E79362 -:0407F30000F70023E8 -:0407F400A4EFF0EF8F -:0407F500468367616F -:0407F600461266172A -:0407F7000084C58332 -:0407F8005EC42503B3 -:0407F900709020EFED -:0407FA00676157FDDF -:0407FB000EF508638C -:0407FC0000A48523AD -:0407FD0000A487834A -:0407FE00467166E1F9 -:0407FF006EF68D23E2 -:0408000002C787B3F1 -:040801000613646115 -:04080200C68371C474 -:040803004703012482 -:04080400C28366172E -:0408050097B204544E -:0408060046154B8CBC -:0408070085B389FD2F -:0408080085B302D5DD -:04080900061302C50B -:04080A00859306408C -:04080B00C5B3032549 -:04080C00D60302C548 -:04080D0086B300E7C7 -:04080E0040D002C60E -:04080F0005C295B6D3 -:040810009B2381C1E4 -:04081100C50300B467 -:0408120006930177D1 -:04081300C23671C4B4 -:040814008D79897DD4 -:0408150003A3676171 -:0408160047225CA772 -:04081700771346818C -:0408180007330FF79C -:04081900573302B798 -:04081A00064202C7C9 -:04081B00856382412E -:04081C004F940002F3 -:04081D008A858285C1 -:04081E000FF7771346 -:04081F00509020EFE6 -:0408200004C4C50344 -:04082100AB6FF0EFDA -:040822000474C50392 -:04082300B74FF0EFEC -:0408240000A4878322 -:04082500C5834771CF -:0408260087B301246F -:04082700471202E78B -:04082800C50397BAB3 -:0408290020EF016754 -:04082A00C78343300D -:04082B0089A300C4D9 -:04082C00470D00A4D0 -:04082D0002F7686303 -:04082E00F96344091D -:04082F0044050287F3 -:040830000287866352 -:0408310001D4C40327 -:040832000786A01580 -:040833006285BD918C -:0408340082936391B7 -:040835008393770230 -:04083600B5A9D54348 -:04083700852347913D -:04083800BF0900F400 -:04083900440547111A -:04083A00FCE79EE356 -:04083B002683676148 -:04083C0006134987CF -:04083D00C70349871D -:04083E00831D0036E0 -:04083F00C703FF6D7F -:04084000B793000664 -:040841009B79001788 -:0408420080238FD9A7 -:04084300C78300F671 -:04084400886301D4F0 -:0408450042180287CC -:04084600465147854B -:040847000513C43A97 -:040848001D630047E5 -:0408490065D910F469 -:04084A0055858593B8 -:04084B006B6030EFBF -:04084C004783472275 -:04084D00E793000726 -:04084E00002300275C -:04084F008EA300F77D -:04085000C7830084D6 -:040851009F6300C4DD -:0408520087830E0783 -:04085300477100A445 -:0408540002E787B37D -:04085500043347120F -:04085600578300F7CD -:04085700B79300C48F -:04085800C7932BD740 -:0408590086BE001740 -:04085A0006136661BA -:04085B00420C4906FC -:04085C00078E8B8DEB -:04085D000015C703B8 -:04085E009B1D069642 -:04085F0080A38FD90A -:04086000421800F545 -:0408610000174783B2 -:04086200F9F7F79318 -:0408630000A38FD58A -:04086400F0EF00F7BA -:04086500C70382DF64 -:0408660047890414A6 -:0408670000E4C503E1 -:04086800076345815C -:04086900C58300F74C -:04086A008D8900F480 -:04086B000015B5932C -:04086C00E0BFE0EF1A -:04086D0007B740D8B1 -:04086E008793019CCF -:04086F00D7B3CC0728 -:04087000D70302E7C1 -:04087100C603016455 -:04087200468D00D4DB -:0408730002E787B35E -:0408740000C4C703F2 -:0408750087B3070539 -:04087600C70302E7CB -:04087700070500E48D -:0408780002E787B359 -:040879002C23676164 -:04087A001A6358F7AE -:04087B00838506D695 -:04087C0058F72C23DA -:04087D0059872683EE -:04087E000511073722 -:04087F00F407071360 -:040880006B634785DA -:04088100773700D7EE -:0408820007130478DC -:0408830047818BF727 -:0408840000D77463C2 -:040885000104C78320 -:0408860004F4C703AC -:040887000414C5830D -:04088800C703E709B2 -:040889000363010400 -:04088A00445204F7D9 -:04088B0000F48823CA -:04088C0044C240E240 -:04088D000171852E42 -:04088E00874FF06F31 -:04088F00859365D90F -:04089000B5ED56C5A7 -:0408910000D4C78345 -:0408920000E4C703B4 -:0408930017F546818E -:040894000017B793FF -:0408950007858F99AB -:040896000FF7F793CE -:040897004585B731AB -:04089800F8B61AE3B1 -:04089900D7B3078A40 -:04089A00B75902D771 -:04089B0082634789A4 -:04089C00C50302F599 -:04089D00C1910114F0 -:04089E0044524589F2 -:04089F0000F4C78317 -:0408A0000424C70362 -:0408A10044C240E22B -:0408A2004601468144 -:0408A300E06F017190 -:0408A40040E2D2EF6D -:0408A50044C24452B3 -:0408A60080820171DA -:0408A700C026115105 -:0408A800C50364E13F -:0408A90045815964C8 -:0408AA00C406C2229C -:0408AB00517010EF89 -:0408AC00E121842A98 -:0408AD005964C68341 -:0408AE00472967E18E -:0408AF005ED784A3E9 -:0408B000C78367E1B2 -:0408B10085636A975A -:0408B200676100E793 -:0408B30058F70EA341 -:0408B400C70367E12E -:0408B50047815EA772 -:0408B60067E1C7012E -:0408B70059D7C783C3 -:0408B800071367615A -:0408B90097BA5CC7C7 -:0408BA008023453D15 -:0408BB0010EF00D763 -:0408BC00852235B0AC -:0408BD00441240A2FF -:0408BE00013144823E -:0408BF0011518082D1 -:0408C00064E1C02609 -:0408C1005964C503AE -:0408C200C406C22284 -:0408C30033D010EF2F -:0408C400E51D842A80 -:0408C5005964C68329 -:0408C60084A367E1BF -:0408C70067E15ED7B0 -:0408C8005EA7C7035D -:0408C900C70147819B -:0408CA00C78367E198 -:0408CB00676160877A -:0408CC005CC70713EB -:0408CD00453D97BA54 -:0408CE0000D78023AC -:0408CF0030D010EF26 -:0408D00040A285229B -:0408D1004482441207 -:0408D20080820131EE -:0408D300C4061151F5 -:0408D400C026C22256 -:0408D50000021437D2 -:0408D600202347C5CF -:0408D70067E10EF4D3 -:0408D80049C7A783E2 -:0408D9000E042023C6 -:0408DA00C7036509E2 -:0408DB00862300C7A9 -:0408DC00C703000747 -:0408DD0086A300D717 -:0408DE00C703000745 -:0408DF00872300E784 -:0408E000C703000743 -:0408E10087A300F7F2 -:0408E200C703000741 -:0408E3008A2301471C -:0408E400C70300073F -:0408E5008AA301578A -:0408E600C70300073D -:0408E7008B230167F7 -:0408E800C70300073B -:0408E900051301777B -:0408EA008BA3710566 -:0408EB00D0EF000743 -:0408EC000713D51FFA -:0408ED0067E11D1092 -:0408EE005CE794230C -:0408EF001D1007933E -:0408F0000EF42023BF -:0408F100A48367E194 -:0408F20065D94987F4 -:0408F3008593465152 -:0408F400851358050B -:0408F50030EF00449C -:0408F600C78340C0B4 -:0408F70015370004AD -:0408F80005130003E1 -:0408F900E793D405A8 -:0408FA008023002730 -:0408FB00D0EF00F446 -:0408FC002637D11FAB -:0408FD0005B7000635 -:0408FE000513019C41 -:0408FF0006130404D4 -:040900008593A8062D -:04090100E0EFCC0552 -:0409020020EF96CF7D -:0409030020EF1700CA -:0409040054793AA048 -:04090500120507636D -:0409060020EF450198 -:04090700079346000C -:0409080054750FF023 -:0409090010F50F6373 -:04090A00059020EF45 -:04090B00E0EF4509CB -:04090C0047CDCBAF59 -:04090D0016635471A8 -:04090E00E0EF10F511 -:04090F0020EFD2AF54 -:04091000C5090B20EA -:04091100470567E14E -:0409120066E781A370 -:04091300BADFE0EF78 -:040914001E63842AB0 -:0409150000EF0E05DC -:0409160064E103F0A5 -:040917005EC4851322 -:04091800061365D984 -:04091900859304209E -:04091A00057963C533 -:04091B00376030EF22 -:04091C00656165D9D3 -:04091D00036006135A -:04091E007CC585937C -:04091F006AC505138D -:04092000362030EF5E -:04092100453D45818A -:0409220033B010EFEF -:04092300C50367E1C0 -:0409240045815E9714 -:040925005EC4849395 -:0409260032B010EFEC -:04092700C78367E13A -:040928006661494774 -:0409290002A366E1DE -:04092A0067E15CF62F -:04092B005C67C783BB -:04092C005EF685A34B -:04092D00879367E164 -:04092E00438C4907A6 -:04092F000015C703E5 -:0409300080A39B1DE8 -:04093100438C00E50E -:040932000015C703E2 -:04093300F9F7771346 -:0409340000E580A3B7 -:04093500C703438C25 -:040936008B7D0005B0 -:040937000607671335 -:0409380000E5802333 -:04093900C703438C21 -:04093A009B610015A8 -:04093B000037671307 -:04093C0000E580A3AF -:04093D004703438C9D -:04093E0076135C567A -:04093F00C7030017D3 -:040940009B7900059A -:0409410080238F512F -:04094200439000E5F9 -:040943005EB6C78352 -:0409440097138B8DED -:0409450047830037AD -:040946009B9D00066F -:0409470000238FD921 -:0409480017B700F6E7 -:04094900A78300027E -:04094A0097130D07EB -:04094B00446300E71A -:04094C0000EF0007B1 -:04094D00478979E07D -:04094E0088A3450134 -:04094F00E0EF00F4E1 -:040950008522D6FF27 -:04095100441240A26A -:0409520001314482A9 -:04095300547D8082CD -:0409540067E1BFCDCB -:040955005947C783B4 -:04095600FDC10113CB -:040957000785CE2220 -:040958000FF7F7930B -:0409590007C207B218 -:04095A00C83E83C14F -:04095B0057836461F9 -:04095C0047425C842E -:04095D00CC26D006CE -:04095E0067218FD9A5 -:04095F0014238FD9F5 -:0409600017375CF4F5 -:04096100C2020002CC -:040962006637C4022E -:0409630064E100014A -:040964000EF7202347 -:040965004D06061322 -:04096600851345C5EB -:0409670030EF5D848C -:04096800E0EF31C0CB -:040969006741C37FA0 -:04096A004785177D29 -:04096B001737CA3A36 -:04096C0026830002DC -:04096D0046520D07DA -:04096E00C6368EF10A -:04096F00C6F1E7F9ED -:04097000869366E123 -:04097100D6036AC679 -:0409720045B2016623 -:040973005C845783C6 -:0409740012B6146340 -:0409750016FD76F500 -:0409760014238FF5C2 -:0409770020235CF4E9 -:040978008C230EF7C7 -:04097900E0EF5C044B -:04097A002537BF3F1F -:04097B00051300065A -:04097C00D0EFA8050B -:04097D005783B0DF0D -:04097E0047425C840C -:04097F000513669165 -:040980008FD93E804D -:0409810014238FD5D7 -:0409820017375CF4D3 -:04098300202300022B -:0409840030EF0EF74B -:0409850030EF160039 -:040986004785186029 -:040987001C6366E1A6 -:04098800A60302F5CB -:04098900478349C691 -:04098A004703008699 -:04098B0007220096A9 -:04098C0047838F5DB1 -:04098D0007C200A6F7 -:04098E0047838F5DAF -:04098F0007E200B6C5 -:0409900097138FD951 -:040991008341010796 -:040992009713C23ABB -:040993008351004745 -:04099400D2E3C43AAC -:0409950030EFFC073C -:04099600479215E08F -:040997006637EF953B -:040998000613000141 -:0409990045C54DC63D -:04099A005D848513E0 -:04099B0024E030EF35 -:04099C00B69FE0EF33 -:04099D005C8457031C -:04099E0017FD77F1D9 -:04099F0014238FF995 -:0409A00017375CF4B5 -:0409A100202300020D -:0409A20065090EF7DE -:0409A30071050513C2 -:0409A400A6FFD0EFEB -:0409A500BF2147B275 -:0409A60047524792DB -:0409A70000E797636B -:0409A80000016637AD -:0409A9004EC606131D -:0409AA004712BF7DB4 -:0409AB00660545A2F6 -:0409AC000640079367 -:0409AD0056B3167DAA -:0409AE00773302F7A2 -:0409AF009C6302F74C -:0409B000663700C5E1 -:0409B1000613000128 -:0409B20045C54F46A2 -:0409B3005D848513C7 -:0409B4001EA030EF62 -:0409B5004622BF71A6 -:0409B600851345C59B -:0409B70076335D84B2 -:0409B800C03202F651 -:0409B90057B34622C8 -:0409BA00663702F6A4 -:0409BB00061300011E -:0409BC0030EF5006C2 -:0409BD00BFAD1C802E -:0409BE000186D68355 -:0409BF009BE346323E -:0409C00007D2F6C69E -:0409C100142383D1A7 -:0409C20050825CF40F -:0409C3002023447237 -:0409C40044E20EF704 -:0409C50001134501D4 -:0409C60080820241E8 -:0409C700C406115100 -:0409C800FB6FF0EFE2 -:0409C900240020EFF7 -:0409CA0040A267E1FF -:0409CB0068B7C50341 -:0409CC00E06F0131A6 -:0409CD000113B7BF9C -:0409CE00D806FCC18A -:0409CF00D426D62232 -:0409D000A2DFD0EFE3 -:0409D100F0EF842A95 -:0409D2006761C07F1A -:0409D3004907079336 -:0409D400C03E86AAF1 -:0409D50064E1656113 -:0409D6001206CD63D5 -:0409D700000166377E -:0409D800071346813A -:0409D90006130550AC -:0409DA0045C5514678 -:0409DB005B45051360 -:0409DC0014A030EF44 -:0409DD00000165B7F9 -:0409DE008593464572 -:0409DF0085135445E3 -:0409E00030EF65048B -:0409E1004782060043 -:0409E200439880298D -:0409E300000747833F -:0409E4000027E7936E -:0409E50000F70023F4 -:0409E600A87FE0EF17 -:0409E7000007A53729 -:0409E80012050513DC -:0409E90095BFD0EFF7 -:0409EA0097BFD0EFF4 -:0409EB0012C007939C -:0409EC0002F407B357 -:0409ED00C802646177 -:0409EE00C402C60277 -:0409EF000413C20229 -:0409F000CE3E5EC4D5 -:0409F100CA3E47991A -:0409F200000217B731 -:0409F3000D07A783C2 -:0409F4006561666172 -:0409F500010797134C -:0409F600C6938341E0 -:0409F7002623FFF7BD -:0409F80083E16EE643 -:0409F90000030737B9 -:0409FA00F5938F756D -:0409FB0066E10FF7AB -:0409FC006EE6A823D8 -:0409FD006EB50A23A6 -:0409FE008636853282 -:0409FF00CF8166E15D -:040A0000FFE58793F4 -:040A01000FF7F79361 -:040A02007663430DC7 -:040A0300C78300F3B2 -:040A040094636E8603 -:040A0500262300B7ED -:040A060067E16E0531 -:040A07006EB6842320 -:040A08006E47A6830C -:040A09006EE7A223CF -:040A0A006761E2D569 -:040A0B006647478370 -:040A0C00CBF164E1E5 -:040A0D0001C4478356 -:040A0E0066E1C7F9DD -:040A0F0000B4478365 -:040A10006FB6C68374 -:040A1100E3E18FD5B9 -:040A12008FFFD0EF93 -:040A13006C6347F2D7 -:040A140047420AF556 -:040A1500063007930D -:040A16000AE7E763A1 -:040A1700468367614A -:040A18004785664761 -:040A190087634705A3 -:040A1A0047D200F6C9 -:040A1B00FFA7871397 -:040A1C0000E037338C -:040A1D0001C4478346 -:040A1E0017FD46A1D9 -:040A1F000FF7F79343 -:040A200006F6E16392 -:040A2100078A66D901 -:040A2200450686936C -:040A2300439C97B6A3 -:040A24006637878228 -:040A250006130001B3 -:040A260045C55286EA -:040A27005B45051313 -:040A280001A030EF0A -:040A2900000175B79C -:040A2A008593464525 -:040A2B008513B0057A -:040A2C0030EF65043E -:040A2D0047820A20D2 -:040A2E00478343981F -:040A2F00E793000742 -:040A30000023002778 -:040A3100E0EF00F7FB -:040A3200A001959FEB -:040A33006E06282300 -:040A340067E1BFA90E -:040A35005CA7C78370 -:040A360000F037B3E2 -:040A37008EA30789FA -:040A380047C258F465 -:040A39000785475294 -:040A3A000FF7F79328 -:040A3B004799C83ED1 -:040A3C0000F7076355 -:040A3D000017079304 -:040A3E000FF7F79324 -:040A3F00D0EFCA3EEC -:040A40004785825F05 -:040A41002321C63E69 -:040A4200C78367E11E -:040A4300CC2A6FB793 -:040A44004501C78120 -:040A450026C010EFC8 -:040A460059D4C78335 -:040A470001C447039C -:040A480000F704634C -:040A4900E711476208 -:040A4A00CB1547324F -:040A4B0000B44703A9 -:040A4C006761C71DFA -:040A4D005EA7470356 -:040A4E00C315C63ACC -:040A4F0007136761C1 -:040A500097BA5CC72E -:040A51000007C503D2 -:040A5200C70367E18E -:040A5300C6025E97E2 -:040A540000A707638D -:040A550084A34581B0 -:040A560010EF5EA798 -:040A5700C78366806B -:040A5800470359D423 -:040A590064E101C48F -:040A5A006684849397 -:040A5B0000F71C6321 -:040A5C00470946926E -:040A5D0016D77C63C9 -:040A5E000334C68314 -:040A5F000514470330 -:040A600016E68663AD -:040A61006361473D49 -:040A620066E300A3A4 -:040A63007E63470D5A -:040A6400C70306F7C7 -:040A6500CB0103348A -:040A6600FFA787134C -:040A67000FF77713FB -:040A6800F463468568 -:040A6900471506E641 -:040A6A0006F77563B3 -:040A6B0045094605EE -:040A6C00859346899F -:040A6D00F713FFF785 -:040A6E0042A10FF59D -:040A6F0004E2EF634B -:040A7000070A62D936 -:040A710047428293E3 -:040A72004318971678 -:040A7300478587022A -:040A7400F00717E38D -:040A7500C78367E1EB -:040A7600B79348F7F3 -:040A770007910017CC -:040A78004799BDFDE0 -:040A7900EE070DE394 -:040A7A0059D4C78301 -:040A7B00479DBDCD09 -:040A7C0067E1B5FD7C -:040A7D0059C7C7830B -:040A7E0000F037B39A -:040A7F00B5C507A151 -:040A80001EE3479991 -:040A81004785EC07B2 -:040A8200460DBDD987 -:040A8300468145055E -:040A84004609B74D1B -:040A8500BFE5450183 -:040A8600F593C4021E -:040A870047210FF5FF -:040A88006963C202DA -:040A8900675900B7F2 -:040A8A00498707137E -:040A8B004703972E58 -:040A8C00C23A000763 -:040A8D0000F40E2340 -:040A8E0004C44783D2 -:040A8F00000405A3B7 -:040A9000458D470544 -:040A910000F7766391 -:040A92008D9D45955C -:040A93000FF5F593D3 -:040A9400D036D23254 -:040A95005DF010EF11 -:040A96006AF010EF03 -:040A9700958FE0EF68 -:040A9800C78367E1C8 -:040A990056826637E4 -:040A9A00C7895612A0 -:040A9B0010EF8532A1 -:040A9C00568223B0AB -:040A9D004592462216 -:040A9E0020EF85368A -:040A9F004783392030 -:040AA000656101C4C7 -:040AA100971346451C -:040AA20067D90027E9 -:040AA3004A478793A4 -:040AA400438C97BA2E -:040AA5005B45051395 -:040AA6000004222303 -:040AA7006B9020EF41 -:040AA800000165B72D -:040AA90046456561F8 -:040AAA005385859358 -:040AAB0065050513C5 -:040AAC006A5020EF7D +:0404CE0047899F3F7C +:0404CF000EA7EA6327 +:0404D000C4221141F0 +:0404D10027836461B8 +:0404D200C60657847F +:0404D300C703C22673 +:0404D40004130037D6 +:0404D500830D5784B8 +:0404D60006638B3DF1 +:0404D70047510CA7D6 +:0404D80002E50733FF +:0404D900859365D9C9 +:0404DA0084AA638508 +:0404DB0085134651EE +:0404DC00C03E0047D7 +:0404DD0040EF95BA9D +:0404DE00478254C03D +:0404DF0000F4F5131D +:0404E000C483050AC2 +:0404E100F493000789 +:0404E2008D45FC3414 +:0404E300802364E12D +:0404E400879300A753 +:0404E500C7836CC499 +:0404E600849301C733 +:0404E700C3856CC499 +:0404E800578367616E +:0404E90045296A87B0 +:0404EA0007C29BF5B5 +:0404EB00142383C192 +:0404EC0017376AF75D +:0404ED0020230002C6 +:0404EE00E0EF0EF736 +:0404EF0017B7D45F08 +:0404F000A7830002DC +:0404F10097130D0749 +:0404F200406300C79C +:0404F300401C04079E +:0404F4000037C70303 +:0404F500CB11831D87 +:0404F6000007C70331 +:0404F700671345053D +:0404F8008023001746 +:0404F900E0EF00E749 +:0404FA004018D19F36 +:0404FB0047834529C5 +:0404FC009BF9000761 +:0404FD0000F70023E1 +:0404FE0047834018D8 +:0404FF00E793000778 +:0405000000230027AD +:04050100E0EF00F730 +:04050200C783CF9F3D +:04050300CF8101C4DF +:040504005783676151 +:04050500E7936A8787 +:040506001423002793 +:0405070017376AF741 +:0405080020230002AA +:0405090040B20EF7F7 +:04050A0044924422B1 +:04050B0080820141A8 +:04050C001151808287 +:04050D00C222C4063C +:04050E0067E1E55963 +:04050F006CC787939B +:04051000043743D495 +:040511000413019C32 +:040512005433CC048E +:04051300868302D405 +:04051400467100A785 +:04051500879367E180 +:0405160086B37FC762 +:0405170097B602C6CB +:0405180000E7D7839E +:04051900043346A1C0 +:04051A0067E102F49F +:04051B006A67C783C1 +:04051C0002D78D6312 +:04051D00896346C1E7 +:04051E00471100D7AA +:04051F0004E79163F9 +:04052000095030EF5F +:04052100A8294505BB +:0405220001C9C7B78D +:0405230037F787938C +:04052400E363872ADC +:04052500473D0087C7 +:0405260030EF853AF3 +:04052700450D07B0C7 +:0405280040A2441297 +:04052900306F0131FD +:04052A0030EF43600B +:04052B00B53706B02A +:04052C00051304C4EB +:04052D0035333FF52E +:04052E000509008536 +:04052F0030EFB7D51D +:04053000450105700C +:0405310067E1BFF1CE +:040532007487871330 +:0405330002E7468312 +:0405340084134709DC +:04053500453D748745 +:0405360000E68363F5 +:0405370030EF45015B +:040538004783037082 +:04053900470902E488 +:04053A007BE3450D0D +:04053B004515FAF771 +:04053C0075138D1D89 +:04053D00B76D0FF592 +:04053E00F863478592 +:04053F0067E100A7C9 +:040540006A67C5031E +:0405410035131561F8 +:0405420047E1001578 +:0405430002F5053385 +:04054400879367DD55 +:04054500953E5D077B +:040546007040306F62 +:0405470026036761BF +:04054800011357C77D +:0405490067E1FDC1A8 +:04054A00D006CE22E7 +:04054B008793CC26A0 +:04054C0004136A0723 +:04054D00468357C7C3 +:04054E00829D003654 +:04054F0017FDE29919 +:0405500030EFFBFD90 +:0405510067E12470CA +:040552006CC7869359 +:0405530000B6C283A9 +:0405540083AAC03E78 +:040555006CC7849358 +:0405560000028763B5 +:04055700C783401402 +:04055800F2930026F4 +:04055900051300374F +:04055A00CA1E037042 +:04055B0030EFC8169F +:04055C00C22A3EC0B1 +:04055D0003800513FF +:04055E003E2030EF1C +:04055F0066054312D8 +:040560000085169369 +:04056100F006061387 +:0405620056138EF1AD +:04056300E6B3006596 +:040564008A0500669E +:040565000513C42A8C +:04056600C636039002 +:0405670000C484A3A5 +:040568003BA030EF95 +:040569000513C22A8A +:04056A0030EF03A0CB +:04056B0040103B0001 +:04056C0046B242C28F +:04056D00002647031A +:04056E00531343D20E +:04056F004703002717 +:040570008B7D003649 +:0405710000671613F6 +:040572000066673385 +:04057300886346094A +:04057400C60302C2F6 +:0405750045A200B4E7 +:040576000613E60979 +:04057700706318F0A5 +:04057800460502D65C +:0405790000C29A63BF +:04057A0000170693CD +:04057B001906B59315 +:04057C000015C5930E +:04057D00A809478101 +:04057E000205F71368 +:04057F00B593DB65F0 +:04058000C5930C868D +:040581004785001595 +:0405820000B4C303FB +:0405830067616661E5 +:0405840064460613B0 +:04058500748707135D +:0405860026031663CF +:040587004A038A6336 +:040588004A05886335 +:0405890000060583E0 +:04058A005863430966 +:04058B00002324B372 +:04058C00460500061A +:04058D0000C485A37E +:04058E0013134411EE +:04058F00461200858B +:0405900005136505E5 +:040591007333F005CB +:04059200633300A32C +:04059300460200C359 +:04059400260365E1F4 +:0405950005136CC618 +:040596006D6300167B +:04059700851300D5F3 +:04059800696300167D +:0405990040D000C589 +:04059A00006616637E +:04059B000084C6030F +:04059C0046F60363B9 +:04059D00720586233A +:04059E0001974583F9 +:04059F000374C60318 +:0405A0000AC5906395 +:0405A10001A74583E6 +:0405A2000384C60305 +:0405A30008C59A638A +:0405A40001B74583D3 +:0405A5000394C603F2 +:0405A60008C594638D +:0405A70001C74583C0 +:0405A80003A4C603DF +:0405A90006C59E6382 +:0405AA0001D74583AD +:0405AB0003B4C603CC +:0405AC0006C5986385 +:0405AD00014745833A +:0405AE000324C60359 +:0405AF0006C5926388 +:0405B0000157458327 +:0405B1000334C60346 +:0405B20004C59C637D +:0405B3000167458314 +:0405B4000344C60333 +:0405B50004C5966380 +:0405B6000177458301 +:0405B7000354C60320 +:0405B80004C5906383 +:0405B90001874583EE +:0405BA000364C6030D +:0405BB0002C59A6378 +:0405BC0002774583FA +:0405BD000454C60319 +:0405BE0002C594637B +:0405BF0002874583E7 +:0405C0000464C60306 +:0405C10000C59E6370 +:0405C2000327458343 +:0405C3000504C60362 +:0405C40000C5986373 +:0405C50003974583D0 +:0405C6000574C603EF +:0405C70000C589637F +:0405C80086224589B9 +:0405C90000B47363A4 +:0405CA007413460957 +:0405CB0045830FF65F +:0405CC00C603025709 +:0405CD008A63043405 +:0405CE00860302C5D9 +:0405CF0045F100A44E +:0405D00002B6063336 +:0405D100859365E1C8 +:0405D200962E7FC51D +:0405D30005934A1032 +:0405D400821520D09C +:0405D5007FF6761324 +:0405D60000B61963EF +:0405D70086224589AA +:0405D80000B4736395 +:0405D9007413460948 +:0405DA0045830FF650 +:0405DB00C6030267EA +:0405DC008A630444E6 +:0405DD00860302C5CA +:0405DE0045F100A43F +:0405DF0002B6063327 +:0405E000859365E1B9 +:0405E100962E7FC50E +:0405E20005934A1023 +:0405E30082151C1051 +:0405E4007FF6761315 +:0405E50000B61963E0 +:0405E600862245899B +:0405E70000B4736386 +:0405E8007413460939 +:0405E90066610FF642 +:0405EA005786260307 +:0405EB0001D4C583EF +:0405EC00003646038C +:0405ED008A3D820DB4 +:0405EE0000C5896358 +:0405EF008622458992 +:0405F00000B473637D +:0405F1007413460930 +:0405F20066610FF639 +:0405F3007426460321 +:0405F4008383CE49E6 +:0405F500467100A4A7 +:0405F60083B362E188 +:0405F700861302C3A2 +:0405F800C5837FC276 +:0405F900451501247F +:0405FA000454C08362 +:0405FB00A60393B20E +:0405FC008A7D0103F0 +:0405FD0002B6063309 +:0405FE0002A6063318 +:0405FF00064005139A +:0406000003260613B4 +:0406010002A64633D4 +:0406020000E3D50339 +:0406030002A5853394 +:040604000103159346 +:04060500953281C1E8 +:0406060081410542E7 +:0406070000A49B238D +:0406080086634601BE +:04060900A603000044 +:04060A0082050183E1 +:04060B00C41A8A057E +:04060C00C63EC236EE +:04060D002DE030EFBD +:04060E0000A48603BB +:04060F0067E145F169 +:0406100002B60633F5 +:040611007FC782938A +:040612000124C58377 +:04061300C50392B2D7 +:0406140030EF016260 +:040615006761566063 +:0406160000A489A310 +:0406170043224692A2 +:04061800071347B2CB +:04061900E0117487F1 +:04061A00460244054B +:04061B000064A223B2 +:04061C0000F484233F +:04061D006CD626234E +:04061E000585A03579 +:04061F0000B60023FE +:04062000BB65440171 +:0406210000038463EB +:0406220024059463B4 +:0406230000060303C7 +:040624005A6353F1D1 +:040625000023227319 +:0406260085A30006A2 +:040627004411000476 +:04062800D8059DE371 +:04062900C60367E1BC +:04062A00C683748788 +:04062B00106301E473 +:04062C0046030ED69D +:04062D00C683001769 +:04062E001A6301F456 +:04062F0046030CD69C +:04063000C683002756 +:040631001463020448 +:0406320046030CD699 +:04063300C683003743 +:040634001E6302142B +:0406350046030AD698 +:04063600C683006710 +:0406370018630244FE +:0406380065E10AD698 +:04063900461565619C +:04063A006F25859310 +:04063B007505051329 +:04063C007AD030EF51 +:04063D00871367E1D7 +:04063E00E951748783 +:04063F00656165E1AB +:04064000859346193F +:0406410005136F75B9 +:0406420030EF7555CB +:0406430067E17930C2 +:04064400748787131D +:040645004603ED2D4E +:04064600C683004720 +:04064700186302240E +:04064800460306D689 +:04064900C68300570D +:04064A001263023401 +:04064B00460306D686 +:04064C00C6830077EA +:04064D001C630254D4 +:04064E00460304D685 +:04064F00C68301F766 +:04065000166303D456 +:04065100460304D682 +:04065200C683020752 +:04065300106303E449 +:04065400460304D67F +:04065500C68302173F +:040656001A6303F42C +:04065700460302D67E +:04065800C68302272C +:04065900146304041E +:04065A00460302D67B +:04065B00C68301E76A +:04065C001E6303C452 +:04065D00460300D67A +:04065E00C6830357F5 +:04065F0018630534E3 +:04066000460300D677 +:04066100C683034702 +:040662000463052404 +:04066300E01100D6CC +:040664004503440501 +:04066500C68302A79F +:04066600876304841E +:0406670030EF00A6CA +:0406680067E14520E1 +:0406690074878713F8 +:04066A0002B745038B +:04066B000494C683AA +:04066C0000A68763FA +:04066D000E4030EF1C +:04066E00871367E1A6 +:04066F004503748744 +:04067000C68302C774 +:04067100876304A4F3 +:0406720030EF00A6BF +:0406730067E10D80AE +:0406740074878713ED +:0406750002F7450340 +:0406760004D4C6835F +:0406770003074583AD +:0406780000A69663DF +:0406790004E4C6834C +:04067A0000B68763DC +:04067B0008E030EF74 +:04067C00871367E198 +:04067D004503748736 +:04067E00C683029796 +:04067F008763047415 +:04068000F0EF00A6F1 +:0406810067E1AF7FFF +:0406820074878713DF +:0406830002E7450342 +:0406840004C4C68361 +:0406850000A68763E1 +:04068600A1BFF0EF31 +:04068700871367E18D +:04068800450374872B +:04068900C68302D74B +:04068A00846304B4CD +:04068B0030EF00A6A6 +:04068C0066E130A053 +:04068D00851365E18B +:04068E00461D782667 +:04068F007245859398 +:0406900065D030EF12 +:0406910066E167E1D6 +:0406920074878713CF +:040693008513C90101 +:0406940030EF7826A5 +:0406950067E1058094 +:0406960074878713CB +:0406970003674603AC +:040698000544C683CC +:0406990000D618630C +:04069A000377460399 +:04069B000554C683B9 +:04069C0000D6096318 +:04069D0002374503D8 +:04069E00FECFF0EFAC +:04069F00871367E175 +:0406A00066E1748714 +:0406A1007436C68362 +:0406A2004503CE81BD +:0406A300C7030387FF +:0406A400076305647F +:0406A500155100A744 +:0406A6008561056203 +:0406A700313020EFDF +:0406A800859367E1EE +:0406A90085137487BA +:0406AA00061301E44E +:0406AB0030EF042008 +:0406AC0085226150F2 +:0406AD0044725082C1 +:0406AE00812367E15C +:0406AF0044E27407A6 +:0406B00002410113EF +:0406B100137D8082B3 +:0406B20000660023BB +:0406B300BBC944017A +:0406B4000006002319 +:0406B500C603BFE5D4 +:0406B600450572C5BF +:0406B700B8A60EE3F0 +:0406B80076130605AA +:0406B90086230FF68F +:0406BA0017E372C50B +:0406BB004589B8A60F +:0406BC0073638622BC +:0406BD00460900B436 +:0406BE000FF67413AC +:0406BF000113BEB5B0 +:0406C00067E1FC8171 +:0406C100D826DA223B +:0406C2006CC7871367 +:0406C30000A7048305 +:0406C40065614671B5 +:0406C50002C48633B2 +:0406C6007FC5069353 +:0406C70001F745836F +:0406C8000127428341 +:0406C9006CC78793E0 +:0406CA007FC50313D2 +:0406CB00D60396B20A +:0406CC00C40300C69D +:0406CD00CC320146E4 +:0406CE000136C683A8 +:0406CF00CE36C00261 +:0406D0000237468324 +:0406D10000C7470314 +:0406D200C43AD4361C +:0406D3000FD5F71335 +:0406D400C703EB1558 +:0406D500C29902576D +:0406D600A0054685B0 +:0406D700468D4622E4 +:0406D80000D60B63DA +:0406D900461145225F +:0406DA0010C50963DB +:0406DB0015E3468954 +:0406DC000706FED53A +:0406DD0046A2B7D5A5 +:0406DE009733070641 +:0406DF00771300E6A7 +:0406E000C03A0FF716 +:0406E100FFF5869308 +:0406E2000FF6F69386 +:0406E300C202470503 +:0406E40002D7606376 +:0406E500C603469171 +:0406E600E363025771 +:0406E70086930E5692 +:0406E80086B3FFF2E4 +:0406E90016B302C67C +:0406EA00F71300D72B +:0406EB00C23A0FF60A +:0406EC000247C703F7 +:0406ED00C60246817A +:0406EE00438DCA3A34 +:0406EF000026951339 +:0406F0000C759A6388 +:0406F10000D7873374 +:0406F2000267470351 +:0406F300FFF70613F4 +:0406F40016338A3DF2 +:0406F500453200A6E4 +:0406F60000E03733B6 +:0406F70000D71733DE +:0406F800C6328E492F +:0406F9008F514602D5 +:0406FA000FF777136C +:0406FB000685C03A76 +:0406FC0095E3471526 +:0406FD004681FCE650 +:0406FE00438DC8025E +:0406FF000026951329 +:040700000A75916382 +:0407010000D7873363 +:0407020002B74703F0 +:04070300FFF70613E3 +:0407040016338A3DE1 +:04070500454200A6C3 +:0407060000E03733A5 +:0407070000D71733CD +:04070800C8328E491C +:040709008F514612B4 +:04070A000FF777135B +:04070B000685C23A63 +:04070C0095E3471911 +:04070D004771FCE64E +:04070E0002E4873347 +:04070F004F18971ACE +:040710008B05D00283 +:040711004722CB01AF +:04071200C703C71141 +:04071300371302276F +:04071400D03A0017C0 +:0407150001E7C7032E +:040716001B63468596 +:04071700472204D79A +:040718004771CB1149 +:0407190002E487333C +:04071A00971A469153 +:04071B0083754B583F +:04071C0004D711638A +:04071D00C002C20252 +:04071E000733A82DC8 +:04071F00BDF502D74B +:04072000FFE285135C +:0407210002C50633D4 +:040722001633450D38 +:04072300771300C583 +:04072400BF310FF6DC +:0407250015334752EF +:04072600473200A7AF +:04072700C63A8F49F6 +:040728004752B7B9C4 +:0407290000A71533DD +:04072A008F4947426A +:04072B00B741C83AD0 +:04072C004F8CD371AA +:04072D008C63671161 +:04072E00666330E5E9 +:04072F00069302B774 +:04073000471110005D +:0407310002D58D63FD +:04073200470D668980 +:0407330002D58963FF +:040734000800069320 +:0407350032D59B63BB +:0407360003C7C683AC +:0407370007068F150D +:040738000FF777132D +:040739000737A829AD +:04073A0087630010C1 +:04073B0007372EE569 +:04073C0087630200CD +:04073D0066A12EE59E +:04073E00986347195C +:04073F0066E130D56A +:040740006A66C6031C +:04074100156346A155 +:04074200040600D6D3 +:0407430080410442AB +:040744000147C68320 +:04074500CA32460569 +:040746000086F763CF +:0407470040D406B3E1 +:0407480082C106C2A2 +:040749004571CA36F6 +:04074A0002A485334D +:04074B0040E286B34F +:04074C004609D6364E +:04074D000367C383F8 +:04074E0095A674E117 +:04074F0013FD44D280 +:04075000007033B34F +:040751002303951ACF +:0407520003F6008525 +:04075300029284B3D7 +:0407540001535313E7 +:040755000015B59343 +:0407560006B305F2EF +:04075700F49302D342 +:0407580004D20FF4C4 +:0407590002C6C6B35B +:04075A0082C106C290 +:04075B00C683D23649 +:04075C00561203D757 +:04075D00012544032B +:04075E0002D706B305 +:04075F0007428B1DA5 +:04076000C60396B284 +:04076100033300D787 +:04076200F6930253B5 +:04076300067A7FF69D +:040764000076663382 +:040765008DD106CE5E +:0407660047528ED98F +:04076700C6038DC573 +:0407680083B301371F +:040769007313028282 +:04076A008A1D7FF372 +:04076B008ED10636EF +:04076C0001554603EA +:04076D000537C50384 +:04076E0006468A1D94 +:04076F001FF3F393EE +:04077000E5B303AE3C +:04077100E3330075F9 +:04077200F593006596 +:0407730002B300725B +:040774005732008771 +:040775008ECD05AA76 +:0407760002E282B366 +:04077700897D57120F +:0407780092BA051E0E +:040779003FF2F293C6 +:04077A0003E7C703C7 +:04077B000056E2B38F +:04077C00771346A207 +:04077D00075203F725 +:04077E008F5506F697 +:04077F008F5146F25E +:0407800003F7C603B2 +:0407810003F6F693F2 +:0407820000B6959395 +:040783008F4D46E26E +:04078400F6938A3D21 +:0407850064337FF664 +:04078600C68300D74F +:040787008D51040785 +:040788000207C5831C +:0407890006928A9DAD +:04078A0046B28D5591 +:04078B000527C70374 +:04078C00961389FD3A +:04078D00468200C6DA +:04078E0005E68231C9 +:04078F0006D28AFD07 +:04079000C6038ED13D +:040791008B050217BB +:040792008A0507329B +:0407930001E61793D1 +:0407940000B6E63392 +:0407950057828E5D9C +:0407960005378F494B +:040797004513800086 +:0407980007FEFFF564 +:040799008E5D8E697A +:04079A00769347C249 +:04079B0094930FF331 +:04079C0047920087F9 +:04079D00F79380A1AD +:04079E0007E203F774 +:04079F0057A28CDDF4 +:0407A00007FE8CE9DB +:0407A10067E18CDDA3 +:0407A20057C7A7830B +:0407A30000C7C58343 +:0407A40000D78623D1 +:0407A50000835693E4 +:0407A6000FF6F693C1 +:0407A70000D7C5832F +:0407A80000D786A34D +:0407A900010356935F +:0407AA000FF6F693BD +:0407AB0000E7C5831B +:0407AC0000D78723C8 +:0407AD0000F7C68308 +:0407AE00018353135D +:0407AF00006787A3B5 +:0407B0000FF2F693BB +:0407B1000107C583F4 +:0407B20000D78823C1 +:0407B3000082D69357 +:0407B4000FF6F693B3 +:0407B5000117C583E0 +:0407B60000D788A33D +:0407B7000102D693D2 +:0407B8000FF6F693AF +:0407B9000127C583CC +:0407BA0000D78923B8 +:0407BB000137C683B9 +:0407BC000182D29351 +:0407BD00005789A3B5 +:0407BE000FF476932B +:0407BF000147C583A6 +:0407C00000D78A23B1 +:0407C10000845693C7 +:0407C2000FF6F693A5 +:0407C3000157C58392 +:0407C40000D78AA32D +:0407C5000104569342 +:0407C6000FF6F693A1 +:0407C7000167C5837E +:0407C80000D78B23A8 +:0407C9000177C6836B +:0407CA008BA380611C +:0407CB00769300879A +:0407CC00C5830FF7DB +:0407CD008C230187F1 +:0407CE00569300D767 +:0407CF00C583008757 +:0407D0008CA301975E +:0407D100C68300D704 +:0407D200836101A797 +:0407D30000078D236B +:0407D40001B7C68320 +:0407D50000E78DA309 +:0407D6000FF6771390 +:0407D70001C7C6830D +:0407D80000E78E2385 +:0407D900008657132C +:0407DA000FF777138B +:0407DB0001D7C683F9 +:0407DC0000E78EA301 +:0407DD0001065713A7 +:0407DE000FF7771387 +:0407DF0001E7C683E5 +:0407E00000E78F237C +:0407E10001F7C70352 +:0407E2008FA38261FE +:0407E300F71300C741 +:0407E400C6830FF4C5 +:0407E5008023020764 +:0407E600D71302E73C +:0407E7007713008400 +:0407E800C6830FF7BE +:0407E90080A30217D0 +:0407EA00D71302E738 +:0407EB00771301047B +:0407EC00C6830FF7BA +:0407ED0080E102277E +:0407EE0002E781237A +:0407EF000237C70303 +:0407F000029781A348 +:0407F10054C2545248 +:0407F200038101136B +:0407F300C6838082B7 +:0407F400471103C7DF +:0407F500B3298F1580 +:0407F60003C7C683EC +:0407F700BFDD471506 +:0407F80003C7C683EA +:0407F9008F154719F8 +:0407FA000FF777136B +:0407FB000367C68347 +:0407FC00D00697E3A9 +:0407FD0016A146E219 +:0407FE0082C106C2EC +:0407FF0046F2CC36BC +:0408000006C206B175 +:04080100CE3682C1AC +:0408020006B7B9DD9F +:040803008716002034 +:04080400FCD5FEE33E +:0408050067E1B1ED09 +:0408060086234705F9 +:0408070067E172E74C +:040808008713110140 +:04080900CC226CC7CA +:04080A00CA26434077 +:04080B00C23ECE0615 +:04080C006CC784939E +:04080D002E0406634C +:04080E006CC7A70309 +:04080F002E0702634B +:04081000A0EEC7B7D8 +:04081100B007879312 +:0408120002E7D7B36F +:040813000084C70393 +:04081400019C02B78A +:04081500CC028293FC +:040816000282D2B3D5 +:040817002C07006347 +:040818000287D4334C +:0408190003B0051310 +:04081A0020EFC416F1 +:04081B00C62A0F10CA +:04081C0003C00513FD +:04081D000E7020EF4A +:04081E0001C4C783C7 +:04081F000084C60388 +:04082000971342A246 +:0408210067D900276C +:040822005847879319 +:04082300439497BAA9 +:04082400A70347924D +:0408250007936CC702 +:04082600E2190700CC +:04082700069007939D +:04082800000166372E +:0408290006136561EC +:04082A0045C5674613 +:04082B006945051303 +:04082C0030EFC816CB +:04082D0042C20E9025 +:04082E0006400793E6 +:04082F003E8006936E +:0408300045C56561F4 +:0408310002F4573343 +:040832007305051332 +:0408330002D2F633C4 +:040834007433C43A1B +:0408350087BA02F488 +:0408360057334729C4 +:04083700663702E638 +:04083800C0220001D9 +:0408390067C6061375 +:04083A0004136461DE +:04083B00D6B35704D5 +:04083C0030EF02D2C5 +:04083D0067E10A90D5 +:04083E007DB7C78338 +:04083F004018EB91E1 +:0408400000074783E3 +:040841000027E79312 +:0408420000F7002398 +:04084300912FF0EF12 +:04084400676147920F +:04084500741746835B +:040846006CC7A503D3 +:04084700C5834622FD +:0408480020EF008419 +:0408490057FD6AB03D +:04084A00026367617D +:04084B00852320F5EC +:04084C00878300A4FA +:04084D0066E100A4BC +:04084E008D2346713F +:04084F0087B37CF6F9 +:04085000636102C717 +:040851007FC3061348 +:040852000124C68334 +:0408530074174703CC +:040854000454C28303 +:040855004B8C97B27F +:0408560089FD4615BD +:0408570002D585B38E +:0408580002C585B39D +:04085900064006133C +:04085A00032585935A +:04085B0002C5C5B35A +:04085C0000E7D603D8 +:04085D0002C686B396 +:04085E0095B640D03B +:04085F0081C105C28C +:0408600000B49B2322 +:040861000177C50353 +:040862007FC30693B7 +:04086300897DC23693 +:0408640067618D79C2 +:040865006AA7032358 +:04086600468147324E +:040867000FF77713FD +:0408680002B7073399 +:0408690002C7573338 +:04086A00824106427F +:04086B00000285639F +:04086C0082854F949E +:04086D0077138A85EE +:04086E0020EF0FF771 +:04086F00C5034AB0C3 +:04087000F0EF04C4DD +:04087100C503A70F05 +:04087200F0EF04742B +:040873008783B2EFD6 +:04087400477100A424 +:040875000124C58312 +:0408760002E787B35B +:0408770097BA4712D3 +:040878000167C5034C +:040879003D5020EFDF +:04087A00A68367E109 +:04087B00C783578751 +:04087C0089A300C488 +:04087D00B71300A409 +:04087E00C783001715 +:04087F009BF90006DB +:0408800080238FD969 +:04088100C78300F633 +:04088200470D00C45A +:0408830012F76563A0 +:04088400F4634709C9 +:04088500470512E72A +:0408860000E795638F +:040887008EA3478570 +:04088800C50300F4B0 +:04088900F0EF01D4B7 +:04088A00C783914F40 +:04088B00996300C4A9 +:04088C008783100747 +:04088D00477100A40B +:04088E0002E787B343 +:04088F0097BA4712BB +:0408900000C7D78343 +:040891002BD7B79317 +:040892000017C793F1 +:04089300401086BECD +:04089400078E8B8DB3 +:0408950000164703FF +:040896009B1D06960A +:0408970000A38FD952 +:04089800401800F60E +:04089900001747837A +:04089A00F9F7F793E0 +:04089B0000A38FD552 +:04089C00F0EF00F782 +:04089D00C70388DF26 +:04089E00478904146E +:04089F0000E4C503A9 +:0408A0000763458124 +:0408A100C58300F714 +:0408A2008D8900F448 +:0408A3000015B593F4 +:0408A400D2BFE0EFF0 +:0408A50007B740D879 +:0408A6008793019C97 +:0408A700D7B3CC07F0 +:0408A800D70302E789 +:0408A900C60301641D +:0408AA00468D00D4A3 +:0408AB0002E787B326 +:0408AC0000C4C703BA +:0408AD0087B3070501 +:0408AE00C70302E793 +:0408AF00070500E455 +:0408B00002E787B321 +:0408B1002C2367612C +:0408B200186366F76A +:0408B300838508D65B +:0408B40066F72C2394 +:0408B50067872683A8 +:0408B60005110737EA +:0408B700F407071328 +:0408B8006B634785A2 +:0408B900773700D7B6 +:0408BA0007130478A4 +:0408BB0047818BF7EF +:0408BC0000D774638A +:0408BD000104C783E8 +:0408BE0004F4C70374 +:0408BF000414C583D5 +:0408C000C703E7097A +:0408C10001630104CA +:0408C200446206F78F +:0408C30000F4882392 +:0408C40044D240F2E8 +:0408C5006105852E16 +:0408C600F95FE06F87 +:0408C700B389078664 +:0408C80062916405D0 +:0408C9007704041399 +:0408CA00D5428293FE +:0408CB004791BB2571 +:0408CC0000F485238C +:0408CD004711BBFD17 +:0408CE008EA3B5C57B +:0408CF00B5D500E4B7 +:0408D00000D4C78306 +:0408D10000E4C70375 +:0408D20017F546814F +:0408D3000017B793C0 +:0408D40007858F996C +:0408D5000FF7F7938F +:0408D6004585BDDDBA +:0408D700F6B61CE372 +:0408D800D7B3078A01 +:0408D900B7AD02D7DE +:0408DA008263478965 +:0408DB00C50302F55A +:0408DC00C1910114B1 +:0408DD0044624589A3 +:0408DE0000F4C783D8 +:0408DF000424C70323 +:0408E00044D240F2CC +:0408E1004601468105 +:0408E200E06F61055D +:0408E30040F2C32FED +:0408E40044D2446254 +:0408E50080826105A7 +:0408E600C0261151C6 +:0408E700C50364E100 +:0408E800458167647B +:0408E900C406C2225D +:0408EA004FB010EF0C +:0408EB00E121842A59 +:0408EC006764C683F4 +:0408ED00472967E14F +:0408EE006CD784A39C +:0408EF00C78367E173 +:0408F000856378970D +:0408F100676100E754 +:0408F20066F70EA3F4 +:0408F300C70367E1EF +:0408F40047816CA725 +:0408F50067E1C701EF +:0408F60067D7C78376 +:0408F700071367611B +:0408F80097BA6AC77A +:0408F9008023453DD6 +:0408FA0010EF00D724 +:0408FB00852233F02F +:0408FC00441240A2C0 +:0408FD0001314482FF +:0408FE001151808292 +:0408FF0064E1C026CA +:040900006764C50360 +:04090100C406C22244 +:04090200321010EFB0 +:04090300E51D842A40 +:040904006764C683DB +:0409050084A367E17F +:0409060067E16CD762 +:040907006CA7C7030F +:04090800C70147815B +:04090900C78367E158 +:04090A0067616E872C +:04090B006AC707139D +:04090C00453D97BA14 +:04090D0000D780236C +:04090E002F1010EFA7 +:04090F0040A285225B +:0409100044824412C7 +:0409110080820131AE +:04091200C4061151B5 +:04091300C026C22216 +:040914000002143792 +:04091500202347C58F +:0409160067E10EF493 +:0409170057C7A78394 +:040918000E04202386 +:04091900C7036509A2 +:04091A00862300C769 +:04091B00C703000707 +:04091C0086A300D7D7 +:04091D00C703000705 +:04091E00872300E744 +:04091F00C703000703 +:0409200087A300F7B2 +:04092100C703000701 +:040922008A230147DC +:04092300C7030007FF +:040924008AA301574A +:04092500C7030007FD +:040926008B230167B7 +:04092700C7030007FB +:04092800051301773B +:040929008BA3710526 +:04092A00D0EF000703 +:04092B000713C55F8A +:04092C0067E11D1052 +:04092D006AE79423BE +:04092E001D100793FE +:04092F000EF420237F +:04093000E0EF4501AE +:040931001537E79FF0 +:0409320005130003A6 +:04093300D0EFD40528 +:040934002637C31F80 +:0409350005B70006FC +:040936000513019C08 +:04093700061304049B +:040938008593A806F5 +:04093900E0EFCC051A +:04093A0020EF88CF53 +:04093B0020EF170092 +:04093C0054793AA010 +:04093D001205076335 +:04093E0020EF450160 +:04093F0007934600D4 +:0409400054750FF0EB +:0409410010F50F633B +:04094200059020EF0D +:04094300E0EF450993 +:0409440047CDBDAF2F +:040945001663547170 +:04094600E0EF10F5D9 +:0409470020EFC4AF2A +:04094800C5090B20B2 +:04094900470567E116 +:04094A0074E781A32A +:04094B00ACDFE0EF4E +:04094C001E63842A78 +:04094D0000EF0E05A4 +:04094E0064E103F06D +:04094F006CC48513DC +:04095000061365D94C +:040951008593042066 +:04095200057971C5ED +:04095300376030EFEA +:04095400656165DD97 +:040955000360061322 +:040956008AC5859336 +:0409570078C5051347 +:04095800362030EF26 +:04095900453D458152 +:04095A0033B010EFB7 +:04095B00C50367E188 +:04095C0045816C97CE +:04095D006CC484934F +:04095E0032B010EFB4 +:04095F00C78367E102 +:04096000666157472E +:0409610002A366E1A6 +:0409620067E16AF6E9 +:040963005757C78398 +:040964006CF685A305 +:04096500879367E12C +:04096600438C570760 +:040967000015C703AD +:0409680080A39B1DB0 +:04096900438C00E5D6 +:04096A000015C703AA +:04096B00F9F777130E +:04096C0000E580A37F +:04096D00C703438CED +:04096E008B7D000578 +:04096F0006076713FD +:0409700000E58023FB +:04097100C703438CE9 +:040972009B61001570 +:0409730000376713CF +:0409740000E580A377 +:040975004703438C65 +:0409760076136A5634 +:04097700C70300179B +:040978009B79000562 +:0409790080238F51F7 +:04097A00439000E5C1 +:04097B006CB6C7830C +:04097C0097138B8DB5 +:04097D004783003775 +:04097E009B9D000637 +:04097F0000238FD9E9 +:0409800017B700F6AF +:04098100A783000246 +:0409820097130D07B3 +:04098300446300E7E2 +:0409840000EF000779 +:04098500478979E045 +:0409860088A34501FC +:04098700E0EF00F4A9 +:040988008522C8FFFD +:04098900441240A232 +:04098A000131448271 +:04098B00547D808295 +:04098C0067E1BFCD93 +:04098D006747C7836E +:04098E00FDC1011393 +:04098F000785CE22E8 +:040990000FF7F793D3 +:0409910007C207B2E0 +:04099200C83E83C117 +:0409930057836461C1 +:0409940047426A84E8 +:04099500CC26D00696 +:0409960067218FD96D +:0409970014238FD9BD +:0409980017376AF4AF +:04099900C202000294 +:04099A006637C402F6 +:04099B0064E1000112 +:04099C000EF720230F +:04099D005B060613DC +:04099E00851345C5B3 +:04099F0030EF6B8446 +:0409A000E0EF31C093 +:0409A1006741B57F76 +:0409A2004785177DF1 +:0409A3001737CA3AFE +:0409A40026830002A4 +:0409A50046520D07A2 +:0409A600C6368EF1D2 +:0409A700C6F1E7F9B5 +:0409A800869366E1EB +:0409A900D60378C633 +:0409AA0045B20166EB +:0409AB006A84578380 +:0409AC0012B6146308 +:0409AD0016FD76F5C8 +:0409AE0014238FF58A +:0409AF0020236AF4A3 +:0409B0008C230EF78F +:0409B100E0EF6A0405 +:0409B2002537B13FF5 +:0409B3000513000622 +:0409B400D0EFA805D3 +:0409B5005783A2DFE3 +:0409B60047426A84C6 +:0409B700051366912D +:0409B8008FD93E8015 +:0409B90014238FD59F +:0409BA0017376AF48D +:0409BB0020230002F3 +:0409BC0030EF0EF713 +:0409BD0030EF160001 +:0409BE0047851860F1 +:0409BF001C6366E16E +:0409C000A60302F593 +:0409C100478357C64B +:0409C2004703008661 +:0409C3000722009671 +:0409C40047838F5D79 +:0409C50007C200A6BF +:0409C60047838F5D77 +:0409C70007E200B68D +:0409C80097138FD919 +:0409C900834101075E +:0409CA009713C23A83 +:0409CB00835100470D +:0409CC00D2E3C43A74 +:0409CD0030EFFC0704 +:0409CE00479215E057 +:0409CF006637EF9503 +:0409D0000613000109 +:0409D10045C55BC6F7 +:0409D2006B8485139A +:0409D30024E030EFFD +:0409D400A89FE0EF09 +:0409D5006A845703D6 +:0409D60017FD77F1A1 +:0409D70014238FF95D +:0409D80017376AF46F +:0409D90020230002D5 +:0409DA0065090EF7A6 +:0409DB00710505138A +:0409DC0098FFD0EFC1 +:0409DD00BF2147B23D +:0409DE0047524792A3 +:0409DF0000E7976333 +:0409E0000001663775 +:0409E1005CC60613D7 +:0409E2004712BF7D7C +:0409E300660545A2BE +:0409E400064007932F +:0409E50056B3167D72 +:0409E600773302F76A +:0409E7009C6302F714 +:0409E800663700C5A9 +:0409E90006130001F0 +:0409EA0045C55D465C +:0409EB006B84851381 +:0409EC001EA030EF2A +:0409ED004622BF716E +:0409EE00851345C563 +:0409EF0076336B846C +:0409F000C03202F619 +:0409F10057B3462290 +:0409F200663702F66C +:0409F30006130001E6 +:0409F40030EF5E067C +:0409F500BFAD1C80F6 +:0409F6000186D6831D +:0409F7009BE3463206 +:0409F80007D2F6C666 +:0409F900142383D16F +:0409FA0050826AF4C9 +:0409FB0020234472FF +:0409FC0044E20EF7CC +:0409FD00011345019C +:0409FE0080820241B0 +:0409FF00C4061151C8 +:040A0000244020EF7F +:040A0100813FF0EF52 +:040A020040A267E1C6 +:040A030076B7C503FA +:040A0400E06F01316D +:040A05000113A9BF71 +:040A0600D806FCC151 +:040A0700D426D622F9 +:040A080094DFD0EFB8 +:040A0900F0EF842A5C +:040A0A006761C23F1F +:040A0B0057070793EF +:040A0C00C03E86AAB8 +:040A0D0064E16561DA +:040A0E001206CD639C +:040A0F000001663745 +:040A10000713468101 +:040A11000613055073 +:040A120045C55F4631 +:040A13006945051319 +:040A140014A030EF0B +:040A1500000165B7C0 +:040A16008593464539 +:040A1700851362459C +:040A180030EF730444 +:040A1900478206000A +:040A1A004398802954 +:040A1B000007478306 +:040A1C000027E79335 +:040A1D0000F70023BB +:040A1E009A7FE0EFEC +:040A1F000007A537F0 +:040A200012050513A3 +:040A210087BFD0EFCC +:040A220089BFD0EFC9 +:040A230012C0079363 +:040A240002F407B31E +:040A2500C80264613E +:040A2600C402C6023E +:040A27000413C202F0 +:040A2800CE3E6CC48E +:040A2900CA3E4799E1 +:040A2A00000217B7F8 +:040A2B000D07A78389 +:040A2C006561666139 +:040A2D000107971313 +:040A2E00C6938341A7 +:040A2F002623FFF784 +:040A300083E17CE6FC +:040A31000003073780 +:040A3200F5938F7534 +:040A330066E10FF772 +:040A34007CE6A82391 +:040A35007CB50A235F +:040A36008636853249 +:040A3700CF8166E124 +:040A3800FFE58793BC +:040A39000FF7F79329 +:040A3A007663430D8F +:040A3B00C78300F37A +:040A3C0094637C86BD +:040A3D00262300B7B5 +:040A3E0067E17C05EB +:040A3F007CB68423DA +:040A40007C47A683C6 +:040A41007CE7A22389 +:040A42006761E2D531 +:040A4300744747832A +:040A4400CBF164E1AD +:040A450001C447831E +:040A460066E1C7F9A5 +:040A470000B447832D +:040A48007DB6C6832E +:040A4900E3E18FD581 +:040A4A0081FFD0EF69 +:040A4B006C6347F29F +:040A4C0047420AF51E +:040A4D0006300793D5 +:040A4E000AE7E76369 +:040A4F004683676112 +:040A5000478574471B +:040A5100876347056B +:040A520047D200F691 +:040A5300FFA787135F +:040A540000E0373354 +:040A550001C447830E +:040A560017FD46A1A1 +:040A57000FF7F7930B +:040A580006F6E1635A +:040A5900078A66D9C9 +:040A5A005306869326 +:040A5B00439C97B66B +:040A5C0066378782F0 +:040A5D00061300017B +:040A5E0045C56086A4 +:040A5F0069450513CD +:040A600001A030EFD2 +:040A6100000175B764 +:040A620085934645ED +:040A63008513BE0534 +:040A640030EF7304F8 +:040A650047820A209A +:040A660047834398E7 +:040A6700E79300070A +:040A68000023002740 +:040A6900E0EF00F7C3 +:040A6A00A001879FC1 +:040A6B007C062823BA +:040A6C0067E1BFA9D6 +:040A6D006AA7C7832A +:040A6E0000F037B3AA +:040A6F008EA30789C2 +:040A700047C266F41F +:040A7100078547525C +:040A72000FF7F793F0 +:040A73004799C83E99 +:040A740000F707631D +:040A750000170793CC +:040A76000FF7F793EC +:040A7700D0EFCA3EB4 +:040A78004785F44F6B +:040A79002321C63E31 +:040A7A00C78367E1E6 +:040A7B00CC2A7DB74D +:040A7C004501C781E8 +:040A7D0026C010EF90 +:040A7E0067D4C783EF +:040A7F0001C4470364 +:040A800000F7046314 +:040A8100E7114762D0 +:040A8200CB15473217 +:040A830000B4470371 +:040A84006761C71DC2 +:040A85006CA7470310 +:040A8600C315C63A94 +:040A87000713676189 +:040A880097BA6AC7E8 +:040A89000007C5039A +:040A8A00C70367E156 +:040A8B00C6026C979C +:040A8C0000A7076355 +:040A8D0084A3458178 +:040A8E0010EF6CA752 +:040A8F00C783668033 +:040A9000470367D4DD +:040A910064E101C457 +:040A92007484849351 +:040A930000F71C63E9 +:040A94004709469236 +:040A950016D77C6391 +:040A96000334C683DC +:040A970005144703F8 +:040A980016E6866375 +:040A99006361473D11 +:040A9A0074E300A35E +:040A9B007E63470D22 +:040A9C00C70306F78F +:040A9D00CB01033452 +:040A9E00FFA7871314 +:040A9F000FF77713C3 +:040AA000F463468530 +:040AA100471506E609 +:040AA20006F775637B +:040AA30045094605B6 +:040AA4008593468967 +:040AA500F713FFF74D +:040AA60042A10FF565 +:040AA70004E2EF6313 +:040AA800070A62D9FE +:040AA900554282939D +:040AAA004318971640 +:040AAB0047858702F2 +:040AAC00F00717E355 :040AAD00C78367E1B3 -:040AAE00EB996FB79A -:040AAF00439847829F -:040AB0000007478371 -:040AB1000027E793A0 -:040AB20000F7002326 -:040AB300F52FE0EF4C -:040AB400CF8947E2BD -:040AB500C70367E12B -:040AB60047A959579C -:040AB70000F71563CC -:040AB80010EF453DB9 -:040AB900D0EF3660E4 -:040ABA00C802E3CFBC -:040ABB000234C70337 -:040ABC000414478354 -:040ABD0002F70563D4 -:040ABE0001144503D7 -:040ABF004701478123 -:040AC0004601468124 -:040AC100D0EF4581AC -:040AC200C503CB7F1E -:040AC300E0EF02342A -:040AC400C783F9EFFC -:040AC50022230234B2 -:040AC60000A3000485 -:040AC700C58304F4EB -:040AC8004789023424 -:040AC90002F5866349 -:040ACA000244C70318 -:040ACB000424478335 -:040ACC0002E780635A -:040ACD0001144503C8 -:040ACE004589C19104 -:040ACF0000F4478365 -:040AD0004601468114 -:040AD100C79FD0EFFC -:040AD2000244C78390 -:040AD30004F4012303 -:040AD4000334C7839D -:040AD50005144703BA -:040AD60000F70463BE -:040AD70004F408A378 -:040AD80067E167610A -:040AD9005C6746838D -:040ADA00C783676106 -:040ADB0045834947BF -:040ADC0066615C579C -:040ADD0000F5966327 -:040ADE005EB6458338 -:040ADF0002D58D634C -:040AE0005CF702A31A -:040AE10005A3470220 -:040AE20043145ED685 -:040AE3000017F713EE -:040AE4000006C783BE -:040AE5008FD99BF911 -:040AE60000F6802373 -:040AE700439447826B -:040AE8005EB647832C -:040AE90097138B8D47 -:040AEA00C783003787 -:040AEB009B9D0006C9 -:040AEC0080238FD9FB -:040AED00478300F645 -:040AEE00C3B501C4C7 -:040AEF00E0EF4512DD -:040AF0004789867F2D -:040AF1000CF502639B -:040AF2000A634791BB -:040AF300478502F53C -:040AF40004F517638B -:040AF50000B447837F -:040AF600E0EFC3B9B1 -:040AF700A081DE5F9D -:040AF800C43A4709AC -:040AF900470DBD1DCB -:040AFA00C703BFED82 -:040AFB0042850334F9 -:040AFC0014E3C41625 -:040AFD004741E20784 -:040AFE0066E300A308 -:040AFF004783BD3933 -:040B0000C39D00B4DD -:040B0100578367614E -:040B0200E7935C8792 -:040B03001423002790 -:040B040017375CF74C -:040B050020230002A7 -:040B0600F0EF0EF707 -:040B07000513B03FE3 -:040B0800D0EF12C058 -:040B0900B64DCDCF49 -:040B0A00000422239E -:040B0B004DB010EFEA -:040B0C0001C4478356 -:040B0D004645656193 -:040B0E000027971312 -:040B0F00879367D988 -:040B100097BA4A47FF -:040B11000513438CF9 -:040B120020EF5B4530 -:040B130065B750B0C2 -:040B14006561000116 -:040B15008593464539 -:040B160005135385EB -:040B170020EF650561 -:040B180067E14F70D2 -:040B19006FB7C78368 -:040B1A004782EB998A -:040B1B004783439831 -:040B1C00E793000754 -:040B1D00002300278A -:040B1E00E0EF00F70D -:040B1F00D0EFDA4FEA -:040B2000CA02CA4FEC -:040B2100BF61C802E6 -:040B220000B4478351 -:040B2300F0EFDBC94B -:040B2400B771A48F72 -:040B2500656165D9C8 -:040B26000613115150 -:040B2700859304208E -:040B2800051363C589 -:040B2900C406668513 -:040B2A0033B020EFD5 -:040B2B00656165DDBE -:040B2C0040C00613AC -:040B2D0054858593D3 -:040B2E0071C5051375 -:040B2F00327020EF11 -:040B300067E140A297 -:040B310081234705D0 -:040B3200450166E72C -:040B3300808201318A -:040B340011116759DB -:040B350076070793A5 -:040B3600CC06C826FB -:040B3700C002CA220C -:040B3800C43E4481F2 -:040B390075B76461C7 -:040B3A00464500012B -:040B3B0080458593D9 -:040B3C005A0405133F -:040B3D00461020EF4F -:040B3E00971347A220 -:040B3F0065610024C8 -:040B4000430C973E8D -:040B4100051346450D -:040B420020EF5D85BE -:040B4300E0EF44B0EB -:040B44004681CCAF6B -:040B45001737C2227A -:040B46002583000201 -:040B470067C10D076E -:040B4800F63317FD6C -:040B4900646100F5EE -:040B4A006EC426232C -:040B4B000D07270368 -:040B4C000003053766 -:040B4D00471367E102 -:040B4E008F69FFF7B5 -:040B4F006EE7A82382 -:040B5000CA15C63EBE -:040B5100086347826C -:040B5200676102F6DF -:040B530000149513E2 -:040B54006AC7071352 -:040B5500EABD972A34 -:040B5600102347928F -:040B570075B700B7B7 -:040B5800464500010D -:040B590080C585933B -:040B5A005A0785139E -:040B5B003E9020EFB9 -:040B5C00C68FE0EF71 -:040B5D006761468501 -:040B5E00260347B271 -:040B5F00A3036E4737 -:040B600087BA6F07DA -:040B61006741E605FD -:040B620002E3126335 -:040B630065D9E0BDB3 -:040B640006136561AE -:040B65008593036011 -:040B660005137CC532 -:040B6700C01A6AC581 -:040B6800243020EF26 -:040B69004689430274 -:040B6A0067E144ED0E -:040B6B006EC427032A -:040B6C006E67A223EB -:040B6D00C03AC6368E -:040B6E008F63470941 -:040B6F00650902E62C -:040B700071050513F3 -:040B7100B3AFD0EF5F -:040B7200B7B146B21F -:040B7300000757031D -:040B740002E34689C9 -:040B75004792FAE6C3 -:040B7600000175B74E -:040B770085934645D7 -:040B7800851381451B -:040B790020EF5A0708 -:040B7A00E0EF36F082 -:040B7B004681BEEF02 -:040B7C0014F9B75958 -:040B7D00BF5D468989 -:040B7E00476904853A -:040B7F00EE9754E3B6 -:040B800040E24452B9 -:040B8100453D44C2E8 -:040B8200106F01717E -:040B8300011303E077 -:040B84000793FBC117 -:040B8500CE3E01312E -:040B8600879367E109 -:040B8700D03E6817DD -:040B8800879367E107 -:040B8900D23E6827C9 -:040B8A00879367E105 -:040B8B00D43E6837B5 -:040B8C00879367E103 -:040B8D00D63E6847A1 -:040B8E0067E1D83E05 -:040B8F006857879389 -:040B900027B7DA3E6B -:040B910087930F0334 -:040B9200CA3EF01750 -:040B93003030079364 -:040B94001C2363615A -:040B9500478D00F197 -:040B960000F10D233A -:040B97005EC307939F -:040B980001C7C78347 -:040B9900C086DE2212 -:040B9A0009A3DC26A9 -:040B9B0047250001E9 -:040B9C005EC303131E -:040B9D008663440522 -:040B9E00841300E7D5 -:040B9F0074130017B4 -:040BA00067E10FF406 -:040BA100A6036761DF -:040BA20007136EC700 -:040BA30047816AC755 -:040BA400568345E946 -:040BA5001C630007C6 -:040BA600476900D6C5 -:040BA70010F762637E -:040BA800078A6759F8 -:040BA90068070713BF -:040BAA00439C97BA17 -:040BAB00070987822D -:040BAC0050B78C634F -:040BAD00BFF1078508 -:040BAE0066E1478530 -:040BAF005C86D70386 -:040BB00010074713D0 -:040BB1005CE6942347 -:040BB200270367614D -:040BB30016936F071F -:040BB400D36300F710 -:040BB50087A200060D -:040BB60000E71693AB -:040BB7000006DE63F3 -:040BB80046836761A8 -:040BB9004585668781 -:040BBA00E5634601A8 -:040BBB00068500D5D6 -:040BBC000FF6F61327 -:040BBD0066C70423E0 -:040BBE00450147297D -:040BBF0000E7866362 -:040BC0000EA36761B8 -:040BC100450558F797 -:040BC200D70367E10D -:040BC30066E15C8704 -:040BC4006FB6C683BF -:040BC50007429B4DFB -:040BC60094238341B0 -:040BC700EA815CE77C -:040BC800C68366E199 -:040BC900068A5D66D5 -:040BCA0094238F558C -:040BCB00D7035CE709 -:040BCC0040865C877C -:040BCD0017B7547290 -:040BCE00A02300025E -:040BCF0054E20EE7F7 -:040BD00004410113C8 -:040BD100478980824E -:040BD200478DBF8DFF -:040BD3004791B7BDD2 -:040BD4004795B7ADDD -:040BD5004799B79DE8 -:040BD600479DB78DF3 -:040BD70047A1BFB9BA -:040BD80047A5BFA9C5 -:040BD90066E1BF9979 -:040BDA006FB6C783A8 -:040BDB002603676125 -:040BDC00B79349077B -:040BDD008DA30017CD -:040BDE0047036EF665 -:040BDF00078A00067B -:040BE0008FD99B6DA1 -:040BE10000F60023F7 -:040BE200C70367E1FD -:040BE30067E15E97D1 -:040BE40058E78B2320 -:040BE5006FB6C7839D -:040BE6004505C79169 -:040BE7003E5000EF8D -:040BE800BF2147A939 -:040BE900A7AFE0EFE3 -:040BEA0067E1BFE51B -:040BEB0049C7A7034C -:040BEC000001663767 -:040BED004483656177 -:040BEE004783000732 -:040BEF0006130017D2 -:040BF00045C56FC6C2 -:040BF1008FC507A203 -:040BF2000027448311 -:040BF3005A05051387 -:040BF4008FC504C2E3 -:040BF50000374483FE -:040BF6008CDD04E2AC -:040BF70000B4D693DD -:040BF8000016F79359 -:040BF9007FF4F393FF -:040BFA00C61EC43E11 -:040BFB0000474683E6 -:040BFC0000574283D9 -:040BFD00E6B302A2B7 -:040BFE00428300D25C -:040BFF004703006741 -:040C000002C20077B5 -:040C010000D2E2B388 -:040C020000A30683C2 -:040C030002B2477181 -:040C040002E686B3CB -:040C05000713676109 -:040C0600D29371C74D -:040C0700C21600C24F -:040C080066E19736D4 -:040C09005E96C683AA -:040C0A00093020EF9E -:040C0B00831367E107 -:040C0C0047035EC775 -:040C0D00C72100B348 -:040C0E0047A243B204 -:040C0F000690071331 -:040C100000138693B4 -:040C110000F696B3A0 -:040C1200979396BE60 -:040C130042920144C4 -:040C14000007C463AE -:040C150007000713BA -:040C1600000307B719 -:040C170007938CFDB6 -:040C1800E09902A0BD -:040C1900020007933B -:040C1A000001663738 -:040C1B00C016656139 -:040C1C0070860613C5 -:040C1D00051345C5B1 -:040C1E0020EF5D85E1 -:040C1F0067E1041075 -:040C20004907A703D6 -:040C210000074783FE -:040C22000047E7930D -:040C230000F70023B3 -:040C2400948FE0EFDA -:040C25006761B7311B -:040C26005C8757830D -:040C27000107C79367 -:040C28005CF714233E -:040C290067E1BDF5CD -:040C2A006687C7030F -:040C2B0046814605B3 -:040C2C0000E6656316 -:040C2D0076930705AE -:040C2E0084230FF715 -:040C2F00B5CD66D702 -:040C3000871367E1DE -:040C31004703668788 -:040C3200460500175C -:040C330066878793B6 -:040C3400656346812D -:040C3500070500E6C9 -:040C36000FF77693AB -:040C370000D780A3BF -:040C38006761B5C17A -:040C39006687079330 -:040C3A000067C78305 -:040C3B0066870713AE -:040C3C0017FDC78158 -:040C3D000FF7F79323 -:040C3E0000F7032395 -:040C3F006761B555DF -:040C400066870713A9 -:040C4100006747837E -:040C4200F36346B959 -:040C430047B900F6B7 -:040C4400B7DD07858C -:040C4500000165B78E -:040C46004645656159 -:040C4700718585939B -:040C48005A05051331 -:040C4900031020EF85 -:040C4A0065B762E147 -:040C4B00851300010C -:040C4C0046455D823A -:040C4D007285859394 -:040C4E0001D020EFC2 -:040C4F00A68364E133 -:040C5000C783490409 -:040C5100E79300061F -:040C520080230047B4 -:040C5300E0EF00F6D8 -:040C5400676188AF9D -:040C550062E167E110 -:040C560071C7071348 -:040C57005EC78313DE -:040C5800000217B7C8 -:040C59000D07A603DA -:040C5A00879367E134 -:040C5B0006426AC71C -:040C5C00468182410A -:040C5D00D50345ED89 -:040C5E000663000722 -:040C5F00068500C541 -:040C60009AE3078983 -:040C61000783FEB651 -:040C6200467100A334 -:040C630002C787B38A -:040C640097BA4615E0 -:040C650083F54BDCEC -:040C660002F66463CB -:040C6700416346118E -:040C6800183806D65C -:040C69004703973E68 -:040C6A005733FDC738 -:040C6B008B0540D7DE -:040C6C00078AC70D1F -:040C6D0097BA1838E2 -:040C6E00FE47A78313 -:040C6F0000D7802307 -:040C70004904A70389 -:040C710000074783AE -:040C720000239BEDD3 -:040C7300E0EF00F7B7 -:040C740067E1850FA0 -:040C75006E078DA3D6 -:040C76006637B3E149 -:040C770006850001ED -:040C780073460613A6 -:040C7900851345C5D5 -:040C7A0020EF5D8288 -:040C7B00D0EF6D0049 -:040C7C00A537FEBFDB -:040C7D000513000754 -:040C7E00C0EF1205AC -:040C7F00B7C9F05FA2 -:040C80008FE347B106 -:040C81006509FAF611 -:040C820071050513E0 -:040C8300EF3FC0EF90 -:040C8400871367E18A -:040C850067E171C7EB -:040C86005EC78313AF -:040C8700B78962E1E6 -:040C880000A306833C -:040C890067E1467168 -:040C8A0002C68633E5 -:040C8B0071C7871393 -:040C8C008793458184 -:040C8D00973271C762 -:040C8E0001674703B0 -:040C8F0065634679DA -:040C9000070500E66E -:040C91000FF7759351 -:040C920086334671EE -:040C9300676102C6CD -:040C94008B2397B265 -:040C9500460500B759 -:040C960066C7012309 -:040C97004703676147 -:040C98009FE370471F -:040C9900C703D2E6D5 -:040C9A0067E10167A6 -:040C9B006EE79C2341 -:040C9C000683BB050B -:040C9D00467100A3F9 -:040C9E00863367E151 -:040C9F00871302C6EF -:040CA00045FD71C7D6 -:040CA10071C78793FD -:040CA200470397323B -:040CA300DF4D0167B9 -:040CA400BF4D177DAC -:040CA50044814785BA -:040CA6006561C23E84 -:040CA700000167B72A -:040CA80085934645A5 -:040CA9000513744774 -:040CAA0020EF5A05D8 -:040CAB0046A96AA04C -:040CAC0002D486B335 -:040CAD00479246150F -:040CAE0053638736CF -:040CAF00471500D60F -:040CB000663762E160 -:040CB10085130001A6 -:040CB20007255D8233 -:040CB3007546061369 -:040CB400C63E45C52E -:040CB5005E6020EF6E -:040CB600A60366E14A -:040CB70047034906A0 -:040CB80067130006B8 -:040CB90000230047CD -:040CBA00D0EF00E691 -:040CBB0066E1EEFF01 -:040CBC00C23647B243 -:040CBD0066C162E1C9 -:040CBE0016FDC41645 -:040CBF0000021737E1 -:040CC0000D072703F2 -:040CC100EF998F75A3 -:040CC20067E1CF1106 -:040CC3006AC7861363 -:040CC400478145ED32 -:040CC50000065503CD -:040CC60002E50263DE -:040CC700060907858E -:040CC800FEB79AE3F6 -:040CC90005136509A1 -:040CCA00C63A7105B0 -:040CCB00DD3FC0EF5A -:040CCC0087BA47326A -:040CCD0006936741E2 -:040CCE00B7C9FFF7AC -:040CCF0087634625CC -:040CD000461100C702 -:040CD1004625E09143 -:040CD20004C7DE6312 -:040CD3000785472921 -:040CD40002E7E7B399 -:040CD50002E483337F -:040CD600979A676121 -:040CD70058F70B239C -:040CD800F3DFE0EF77 -:040CD90066B7C91D14 -:040CDA0086930001FC -:040CDB0047A26F4677 -:040CDC000001763766 -:040CDD00AF860613C5 -:040CDE00851345C570 -:040CDF0020EF5D871E -:040CE000D0EF53C03E -:040CE100A537E57FCF -:040CE20005130007EF -:040CE300C0EF120547 -:040CE4004792D71F3D -:040CE5004907A70311 -:040CE60066B7B53503 -:040CE70086930001EF -:040CE800B7F16EC62C -:040CE900966346695F -:040CEA00C49300C7E8 -:040CEB0087BA0014B0 -:040CEC004631B5E5F3 -:040CED00F6C798E3CB -:040CEE0067E1BFE912 -:040CEF006FB7C78391 -:040CF000BE0790E3C8 -:040CF100BCD587A245 -:040CF200BCFD47A955 -:040CF300DBC101134D -:040CF4002023182879 -:040CF5002E23241175 -:040CF6002C23228108 -:040CF700D0EF229187 -:040CF800C22AD5BF78 -:040CF900356020EF53 -:040CFA00440D4792CC -:040CFB004611EBC5EE -:040CFC000828182C80 -:040CFD00560020EF8E -:040CFE00000175B7C5 -:040CFF008593461182 -:040D000008289B051F -:040D0100524020EF4D -:040D0200064007930D -:040D03005783E941E8 -:040D0400059303C18F -:040D0500462103E19F -:040D060001E10513EF -:040D070000F11E23B6 -:040D0800534020EF45 -:040D090004615783A7 -:040D0A00020102A33D -:040D0B0000F11A23B6 -:040D0C000481578384 -:040D0D0000F11B23B3 -:040D0E0000EF45525B -:040D0F005783753061 -:040D1000D42A04A13C -:040D110000F11A23B0 -:040D120004C157833E -:040D130000F11B23AD -:040D140000EF455255 -:040D1500578373B0DD -:040D1600D62A04E1F4 -:040D170000F11A23AA -:040D180005015783F7 -:040D190000F11B23A7 -:040D1A0000EF45524F -:040D1B00D82A723030 -:040D1C002341250347 -:040D1D0000EFCA2AEF -:040D1E0055A27170F9 -:040D1F000793DA2A32 -:040D200087131E20F7 -:040D2100E063FE6528 -:040D2200460514E787 -:040D230000EF18289D -:040D240057D2723000 -:040D250006F50B6361 -:040D260006600793C9 -:040D270020EFC23EB9 -:040D2800479229C005 -:040D2900896347058E -:040D2A0047632AE70A -:040D2B00071326F78D -:040D2C008863F35095 -:040D2D0044632AE70A -:040D2E00071324F78C -:040D2F008763F340A3 -:040D300075B72AE782 -:040D310085930001A5 -:040D3200C23E8FC569 -:040D3300851367E1DC -:040D340046455D874C -:040D3500480020EF63 -:040D3600D01FD0EF0B -:040D3700000F45372D -:040D38002405051376 -:040D3900C1BFC0EF87 -:040D3A00DA6347929F -:040D3B0058632A07C8 -:040D3C0076372A805C -:040D3D0065610001EB -:040D3E00A1C6061331 -:040D3F00051345C58E -:040D400020EF5A0541 -:040D4100147D3B8062 -:040D42006461A2B98D -:040D430000017637FE -:040D44009B86061371 -:040D4500051345C588 -:040D460020EF5A043C -:040D470056B23A0066 -:040D4800763767E1B2 -:040D4900851300010D -:040D4A0006135D87A8 -:040D4B0045C59C8678 -:040D4C0038A020EFBC -:040D4D00CA5FD0EFBA -:040D4E0054B257C282 -:040D4F00C23E43015C -:040D5000C422450173 -:040D5100089364633C -:040D520092634792CF -:040D530047831AA711 -:040D5400468301E1F0 -:040D5500470301C18E -:040D5600CFD501D123 -:040D5700000177B769 -:040D58008C8787936A -:040D59000613452216 -:040D5A00C03201E1C1 -:040D5B0000017637E6 -:040D5C009D46061397 -:040D5D00051345C570 -:040D5E0020EF5A0523 -:040D5F0067E1340014 -:040D6000000175B762 -:040D610085934645EB -:040D62008513A2C58E -:040D630020EF5D8799 -:040D64006441254081 -:040D6500C45FD0EFA8 -:040D660014B7147D2D -:040D6700A78300025C -:040D680067610D04AE -:040D69006AC756837C -:040D6A008B638FE127 -:040D6B00071306F66E -:040D6C0057036AC7F8 -:040D6D0000630027F8 -:040D6E00650914F708 -:040D6F0071050513F2 -:040D7000B3FFC0EF1E -:040D71000793BFD94C -:040D7200BDC90650A1 -:040D7300406482B3A3 -:040D740020000793C1 -:040D75000057F463CC -:040D760020000293C4 -:040D7700041367E119 -:040D780097132003AA -:040D790085130102DB -:040D7A0083415887D2 -:040D7B005613468144 -:040D7C00182C00949B -:040D7D00C616C81AB4 -:040D7E00054020EF1D -:040D7F00166387AAC6 -:040D800043420E05D7 -:040D8100182842B23A -:040D82000013361311 -:040D830000EF859662 -:040D840083225A303C -:040D850077B7BF0578 -:040D8600879300014E -:040D8700B799B00761 -:040D88002E6010EFDA -:040D890057836761C4 -:040D8A0065095C8714 -:040D8B0071050513D6 -:040D8C000027E793C2 -:040D8D005CF71423D8 -:040D8E000EF4A0239C -:040D8F00AC3FC0EFC6 -:040D9000000175B732 -:040D9100464565610D -:040D92009E058593A2 -:040D93005A050513E5 -:040D9400304020EFDC -:040D950067E1440DC1 -:040D9600000174B72D -:040D97005D878513DC -:040D980085934645B4 -:040D990020EF9EC4E5 -:040D9A00D0EF2EE088 -:040D9B005632B6FF17 -:040D9C004581183441 -:040D9D00D0EF450549 -:040D9E0087AAAF1F52 -:040D9F00E20510E376 -:040DA00075B767615B -:040DA1000513000135 -:040DA20046455A0761 -:040DA3009FC58593D0 -:040DA4002C4020EFD0 -:040DA5009EC48593D0 -:040DA600464564E179 -:040DA7005D848513CF -:040DA8002B4020EFCD -:040DA900B35FD0EF75 -:040DAA0055B25642A6 -:040DAB0045011834B2 -:040DAC00A25FD0EF83 -:040DAD0013E387AA1B -:040DAE0020EFDE054F -:040DAF006761080070 -:040DB000000175B712 -:040DB100859346459B -:040DB2000513A4057C -:040DB30020EF5A07CC -:040DB40075B71140BE -:040DB50046450001AE -:040DB600A0C58593BC -:040DB7005D848513BF -:040DB800274020EFC1 -:040DB900AF5FD0EF69 -:040DBA00440DA00143 -:040DBB00440DBB45E3 -:040DBC000670079323 -:040DBD00440DB365C9 -:040DBE000680079311 -:040DBF000713B3451E -:040DC0008A63F360EF -:040DC100071306E727 -:040DC2009CE3F3704B -:040DC30075B7DAE73F -:040DC4008593000112 -:040DC500BB5595C5C0 -:040DC60006600713A9 -:040DC70006E7826356 -:040DC80000F74F637E -:040DC90006400713C6 -:040DCA0006E7816354 -:040DCB0006500713B4 -:040DCC00D8E799E3E8 -:040DCD00000175B7F5 -:040DCE009145859333 -:040DCF000713B379DA -:040DD00089630670BD -:040DD100071304E719 -:040DD2009CE3068018 -:040DD30075B7D6E733 -:040DD4008593000102 -:040DD500BB959485B1 -:040DD600000175B7EC -:040DD700904585932B -:040DD80075B7B3AD8B -:040DD90085930001FD -:040DDA00B38597C581 -:040DDB00000175B7E7 -:040DDC0098C585939E -:040DDD0075B7BB9992 -:040DDE0085930001F8 -:040DDF00B3B196C551 -:040DE000000175B7E2 -:040DE100924585931F -:040DE20075B7B389A5 -:040DE30085930001F3 -:040DE400BB259A058C -:040DE500000175B7DD -:040DE6009345859319 -:040DE7002083B33D75 -:040DE80024032401BB -:040DE900248323C17B -:040DEA00557D23818F -:040DEB00244101138B -:040DEC0067E18082B9 -:040DED006FA7C70322 -:040DEE0067E146F182 -:040DEF0070E7822304 -:040DF00002D70733EC -:040DF100879367E19C -:040DF20097BA71C774 -:040DF30000E7D683BC -:040DF40011236761FF -:040DF5004B9870D7D0 -:040DF6008B7D66E1AA -:040DF70070E69423EB -:040DF8000147C68366 -:040DF90015236761F6 -:040DFA00C68370D765 -:040DFB006761012704 -:040DFC006ED71B2370 -:040DFD0066E14798CC -:040DFE009023835566 -:040DFF00C68370E651 -:040E000067610157CE -:040E01006ED71E2367 -:040E02000137C6836B -:040E030013236761ED -:040E0400D68370D74A -:040E0500676100C75A -:040E06006ED71F2361 -:040E07000167C703B5 -:040E08009C2367E1DF -:040E090080826EE78E -:040E0A00C58367E154 -:040E0B0067E17047E4 -:040E0C007027D683F2 -:040E0D00D28367E144 -:040E0E0067E17087A1 -:040E0F0070A7D7836E -:040E1000CA261111CC -:040E110067E1C03E97 -:040E12006F67C783BC -:040E13006761CC2225 -:040E140067E1C23E92 -:040E15007007D78308 -:040E160071C7071386 -:040E170067E1C43E8D -:040E18006FC7D78346 -:040E190067E1C63E89 -:040E1A007067C783B3 -:040E1B0067E1C83E85 -:040E1C006FE7D48325 -:040E1D00D30367E1B3 -:040E1E0067E16F8792 -:040E1F005EC7879390 -:040E200000B7C6034E -:040E21008603C24141 -:040E22001D6300A7A5 -:040E230047F106B6D7 -:040E240002F607B318 -:040E2500D38397BA22 -:040E2600916300E7ED -:040E2700A38306D3C8 -:040E2800F4130107B7 -:040E2900F3930FF23E -:040E2A00996301F3D4 -:040E2B00C3830483F6 -:040E2C004402014734 -:040E2D000483946343 -:040E2E000127C38352 -:040E2F009F63441267 -:040E3000A383028313 -:040E310044220087D0 -:040E32000153D39302 -:040E3300028398633B -:040E34000157C3831C -:040E3500936344324D -:040E3600C3830283ED -:040E370044420137F9 -:040E380000839E6332 -:040E390000C7D78394 -:040E3A0000979A6320 -:040E3B00063347F142 -:040E3C00963A02F6EA -:040E3D000166478380 -:040E3E000067866360 -:040E3F00460567E11C -:040E400066C78123DD -:040E410087B347F13B -:040E4200F29302F530 -:040E430097BA01F267 -:040E44000107D603C9 -:040E450000D7972318 -:040E460062B39A01F8 -:040E47009823005696 -:040E48004602005707 -:040E490000C78A2331 -:040E4A0089234612A0 -:040E4B00462200C774 -:040E4C0000561293A7 -:040E4D0000A7D60321 -:040E4E0066338A7D00 -:040E4F009523005691 -:040E5000463200C75F -:040E510000C78AA3A9 -:040E5200962346425B -:040E53008B23009756 -:040E540089A3006707 -:040E5500666100C70B -:040E56006F8606138A -:040E5700166367E1D6 -:040E5800069302C536 -:040E590003334650C9 -:040E5A00069302D326 -:040E5B0076370640A0 -:040E5C000613000178 -:040E5D0046B3490649 -:040E5E00446202D315 -:040E5F0045C544D26F -:040E60005D87851312 -:040E6100106F01719C -:040E62006661735002 -:040E630070260613DC -:040E640000C507635B -:040E650006136661A9 -:040E66001763708618 -:040E6700467102C509 -:040E680002C585B387 -:040E69004462461584 -:040E6A00851344D2D6 -:040E6B00972E5D87DA -:040E6C0045C54B1815 -:040E6D0007338B7D3F -:040E6E00763702C70A -:040E6F000613000165 -:040E7000017149863D -:040E71006F70106F1F -:040E720000017637CE -:040E7300000556839D -:040E74004806061313 -:040E75000693B75DCC -:040E760006B3271088 -:040E7700079302D506 -:040E78007637064083 -:040E790065610001AE -:040E7A00AFC60613E6 -:040E7B00051345C551 -:040E7C00C6B35D8517 -:040E7D00106F02F6FA -:040E7E00F06F6C5055 -:040E7F0086AAFDDF63 -:040E800000017637C0 -:040E8100061365618E -:040E820045C547C655 -:040E83005D85051371 -:040E84006AB0106FD1 -:040E8500763786AA8C -:040E860065610001A1 -:040E8700AA8606131E -:040E8800051345C544 -:040E8900106F5D8504 -:040E8A0046B76950AE -:040E8B008693000F3B -:040E8C000533240600 -:040E8D00678902D59A -:040E8E009647879369 -:040E8F003E80071387 -:040E9000006336B70E -:040E9100EA06869354 -:040E920000017637AE -:040E9300A9C60613D3 -:040E940057B345C546 -:040E9500F7B302F5B8 -:040E9600472902E7FF -:040E970002D556B377 -:040E98000513656178 -:040E9900D7335D8569 -:040E9A00106F02E7EC -:040E9B000693651045 -:040E9C0006B34670E3 -:040E9D00079302D5E0 -:040E9E00763706405D -:040E9F006561000188 -:040EA00047460613A8 -:040EA100051345C52B -:040EA200C6B35D85F1 -:040EA300106F02F6D4 -:040EA400069362D07F -:040EA5000505271008 -:040EA60002D5053339 -:040EA7000640069368 -:040EA8000001763798 -:040EA900AFC60613B7 -:040EAA0046B345C541 -:040EAB00656102D5A6 -:040EAC005D85051348 -:040EAD006070106FF2 -:040EAE00763786AA63 -:040EAF006561000178 -:040EB000AD46061332 -:040EB100051345C51B -:040EB200106F5D85DB -:040EB30016935F1023 -:040EB40086E101854D -:040EB500000176378B -:040EB60016D165618B -:040EB700A94606132F -:040EB800051345C514 -:040EB900106F5D85D4 -:040EBA0045F15D5051 -:040EBB0002B5053344 -:040EBC00859365E1D4 -:040EBD00464571C570 -:040EBE00656195AA2B -:040EBF005D85051335 -:040EC0006550106FFA -:040EC100002517935E -:040EC2000513655D52 -:040EC300953EAC8527 -:040EC4006561410C17 -:040EC5000513464586 -:040EC600106F5D85C7 -:040EC70047A963B024 -:040EC80000F50F63BF -:040EC9000025179356 -:040ECA000513656146 -:040ECB00953EAF059C -:040ECC006561410C0F -:040ECD00051346457E -:040ECE00106F5D85BF -:040ECF0075B761B0E2 -:040ED0008593000105 -:040ED100B7F5AB4581 -:040ED2004585114100 -:040ED300C02AC60665 -:040ED4006761298D9C -:040ED50070C7478318 -:040ED6000713468236 -:040ED700E78970C770 -:040ED8000001773767 -:040ED900AEC7071386 -:040EDA00763740B275 -:040EDB00656100014C -:040EDC00AF46061304 -:040EDD00051345C5EF -:040EDE0001415D85EC -:040EDF0053F0106F4D -:040EE000A70367E11C -:040EE10067E16EC790 -:040EE2006AC78793C1 -:040EE300464546AD8D -:040EE4000167D5834A -:040EE50006E5926329 -:040EE6000FF6F6937A -:040EE7000463E119A6 -:040EE80011312A0793 -:040EE90064E1C426D6 -:040EEA005654C28315 -:040EEB006461C62256 -:040EEC0035440793EF -:040EED0000329593A7 -:040EEE00C80697AEED -:040EEF000007A30352 -:040EF0000047C7836D -:040EF10085134651CE -:040EF2008733FF56ED -:040EF300260302C709 -:040EF400751300432F -:040EF50043950FF51D -:040EF6005654849337 -:040EF7003544041367 -:040EF8004703963ADC -:040EF900E863004664 -:040EFA0063DD02A30F -:040EFB008393050ACE -:040EFC00951EA54357 -:040EFD008502410821 -:040EFE0007890685D5 -:040EFF00F8C69AE3B4 -:040F0000BF694681FE -:040F01004783E399A6 -:040F020017FD0003D4 -:040F03000FF7F7935A -:040F0400822395A20D -:040F0500470100F5AB -:040F0600A8914681E7 -:040F07000003470399 -:040F080095A2078522 -:040F090002E7E7B361 -:040F0A008763B7ED55 -:040F0B0087930002C6 -:040F0C008023FFF24D -:040F0D00B7C500F470 -:040F0E008DA367E167 -:040F0F0067E16E0721 -:040F10004907A703E3 -:040F1100000747830B -:040F120000239BED30 -:040F1300443200F76D -:040F140044A240C2F1 -:040F1500D06F015147 -:040F1600478DDC8F98 -:040F170004F70E636A -:040F18001AE3479100 -:040F1900461CFAF781 -:040F1A00872A978209 -:040F1B00C7834685BD -:040F1C006561000407 -:040F1D00078E4645B0 -:040F1E004783943E33 -:040F1F004004004446 -:040F20008433445181 -:040F210040DC028727 -:040F22005A05051354 -:040F2300C036C23AD8 -:040F2400438C97A2C1 -:040F25004C1010EF6D -:040F2600459140DCD5 -:040F2700C60397A2C4 -:040F2800E363004738 -:040F290065DD14C5A9 -:040F2A008593060A9B -:040F2B00962EA6C593 -:040F2C0046824210A7 -:040F2D0086024712DF -:040F2E00C3914A1C05 -:040F2F00C78397825B -:040F30004351000425 -:040F3100001785938D -:040F32000733078EEC -:040F3300431400F46F -:040F34000047470328 -:040F3500073342D06C -:040F36009693026725 -:040F37000533003549 -:040F3800230300D4BB -:040F390097320005E6 -:040F3A0004634718ED -:040F3B00022300E3AA -:040F3C0097A2000573 -:040F3D000047C7831F -:040F3E0096A24751DF -:040F3F0002E787B38B -:040F400000B4802356 -:040F4100479C97B280 -:040F4200B731C29C65 -:040F4300E4E347910B -:040F440067DDF0E78E -:040F4500A8078793DF -:040F4600973E070AC1 -:040F47008782431C3E -:040F4800433D460CD3 -:040F490000C6450396 -:040F4A0000D6470383 -:040F4B000005C78353 -:040F4C0000E6460372 -:040F4D0000669D633A -:040F4E0000F77863CD -:040F4F00F61317FD81 -:040F500080230FF7F4 -:040F5100BDC100C559 -:040F5200863AFD6D71 -:040F5300F863BFDDA3 -:040F5400078500C746 -:040F55000FF7F71388 -:040F560000E580230F -:040F5700FD6DBD6D02 -:040F5800BFDD873240 -:040F5900558346086E -:040F5A00570300C673 -:040F5B00463D00E629 -:040F5C0000055783B2 -:040F5D0000C69E63C9 -:040F5E0000F5F76340 -:040F5F00971317FDD0 -:040F600083410107C1 -:040F6100C191A01981 -:040F62001023872EA3 -:040F6300B56100E58F -:040F640000E7F4634B -:040F6500B7E5078560 -:040F66004701F9ED59 -:040F67004650B7FD3C -:040F6800421845BD29 -:040F690000074783B3 -:040F6A0000B69963D1 -:040F6B0017FDC78126 -:040F6C000FF7F793F1 -:040F6D0000F7002366 -:040F6E004683BDB940 -:040F6F00F5630046E0 -:040F7000078500D71A -:040F71000FF7F693ED -:040F720000D7002381 -:040F73004798B5A93D -:040F740065614B9CCC -:040F75000007470327 -:040F760005134645D4 -:040F7700070A5D8583 -:040F7800438C97BA55 -:040F7900371010EF2E -:040F7A0040C24432FB -:040F7B00015144A23A -:040F7C00BE8FD06FE5 -:040F7D004B9C4798AA -:040F7E000007450320 -:040F7F00B7ED9782B1 -:040F800047884B98BB -:040F8100B7CD97024F -:040F82008C2367E174 -:040F8300BFE95C075F -:040F8400C305C69546 -:040F8500000166B74A -:040F86006F46869399 -:040F870000017637B8 -:040F88000613656186 -:040F890045C5AF8625 -:040F8A005D85051369 -:040F8B0028F010EF4B -:040F8C0066B7BF6520 -:040F8D008693000146 -:040F8E00B7CD6EC6A7 -:040F8F00D7E947DC7B -:040F9000479C43989F -:040F91008082BF5546 -:040F9200EA6347BD0A -:040F930057B716A78F -:040F940001134452AF -:040F95008793EF410E -:040F9600C03E3557CD -:040F9700004157B707 -:040F980044178793E0 -:040F99003793C23E8A -:040F9A00052300F536 -:040F9B00679500F165 -:040F9C0050078793E0 -:040F9D00108122237A -:040F9E0010112423E7 -:040F9F000105041331 -:040FA0001091202369 -:040FA1001423473995 -:040FA200042200F134 -:040FA3000AA77E63B8 -:040FA400079365E169 -:040FA500462904A035 -:040FA6005CC585930E -:040FA70000D105135D -:040FA80000F105A3AC -:040FA900000106231A -:040FAA0013B010EF81 -:040FAB00C78367E1B0 -:040FAC0065E159D7CB -:040FAD0003600613C4 -:040FAE0000F10C231F -:040FAF00C78367E1AC -:040FB0008593595775 -:040FB10005136AC5F5 -:040FB2000CA3021179 -:040FB30067E100F101 -:040FB4005EA7C783EA -:040FB50000F10BA399 -:040FB600C78367E1A5 -:040FB7000D235D6742 -:040FB80067E100F1FC -:040FB9006647C7833D -:040FBA0000F10DA392 -:040FBB00C78367E1A0 -:040FBC000E235CA7FD -:040FBD0067E100F1F7 -:040FBE0048F7C783A6 -:040FBF0000F10EA38C -:040FC000C78367E19B -:040FC1000F2359C7DA -:040FC20067E100F1F2 -:040FC3004947C78350 -:040FC40000F10FA386 -:040FC500C78367E196 -:040FC60000235C6741 -:040FC70010EF02F134 -:040FC80086220C5021 -:040FC9000570059317 -:040FCA00D0EF850AD5 -:040FCB003533912FFA -:040FCC00053300A049 -:040FCD00208340A09D -:040FCE002403108167 -:040FCF002483104126 -:040FD00001131001F8 -:040FD100808210C149 -:040FD200040C07B74D -:040FD30004278793D5 -:040FD400CC3E64E1CA -:040FD50070C4C7839A -:040FD6007637EB91EE -:040FD70006130001FC -:040FD80045B54E8647 -:040FD90070C4851348 -:040FDA00153010EFCF -:040FDB00859346357F -:040FDC00051370C4C5 -:040FDD0010EF00B160 -:040FDE0065E11DF0BC -:040FDF0004200613D1 -:040FE000668585930A -:040FE10010EF08689D -:040FE20065E105D0F0 -:040FE3000A200613C7 -:040FE40071C58593BB -:040FE50005E105130A -:040FE60004B010EF54 -:040FE70005938622C6 -:040FE800850A100066 -:040FE900898FD0EF2D -:040FEA000613656124 -:040FEB000593001456 -:040FEC00051336A013 -:040FED00D0EF7BE5E1 -:040FEE0045018DAF7D -:040FEF00557DBFADC0 -:040FF00067E18082B3 -:040FF10070078623DC -:040FF200F56347BD9F -:040FF30057FD00A7FF -:040FF4008082853E34 -:040FF500EE81011375 -:040FF6000105079357 -:040FF7009513C22E5E -:040FF800007001077D -:040FF900100005934C -:040FFA002A23C03EA8 -:040FFB002823101186 -:040FFC002623108117 -:040FFD00D0EF109190 -:040FFE0057FD828F8A -:040FFF0075B7E10DD4 -:0410000085930001D3 -:0410010000684E0530 -:04100200E96FC0EFE3 -:041003001D6387AA38 -:0410040047031C057D -:04100500CF110161A5 -:041006000E634685AA -:0410070020830ED75D -:04100800240311416B -:04100900248311012A -:04100A00853E10C14E -:04100B00118101133B -:04100C004703808294 -:04100D001B6301411F -:04100E0046831A07F4 -:04100F000713015171 -:04101000956305508F -:0410110047031AE691 -:04101200468301818F -:04101300072201713E -:0410140006938F555B -:0410150014E304A03C -:041016004712FCD7AA -:0410170066E1F36932 -:041018004781007894 -:04101900869345393C -:04101A0045A95CC6C2 -:04101B0000D74603B1 -:04101C0000C5666342 -:04101D0000D7833342 -:04101E0000C30023E8 -:04101F000705078535 -:04102000FEB796E39E -:0410210002514783AE -:041022000AA3676155 -:04102300472558F70E -:0410240000F7666308 -:041025000EA367614E -:04102600A02958F7AE -:0410270002414783B8 -:04102800FEF77AE372 -:041029000271470306 -:04102A00656167E1B4 -:04102B0066E78223CF -:04102C0002814703F3 -:04102D00061367E15E -:04102E0085230360B3 -:04102F0047035CE730 -:0410300067E10291E1 -:0410310002D1059350 -:0410320048E787A361 -:0410330002A14703CC -:04103400051367E158 -:041035008E236AC5D7 -:04103600470358E72D -:0410370067E102B1BA -:0410380048E78A23D8 -:0410390002C14703A6 -:04103A00832367E1C4 -:04103B0047035CE724 -:04103C0067E1023135 -:04103D005EE78523C2 -:04103E00C70367E19C -:04103F0067E15CC742 -:041040005EE784A340 -:0410410002614703FE -:041042008B2367E1B4 -:0410430010EF5CE767 -:0410440047816D4033 -:041045004483B72900 -:04104600E8E9014193 -:04104700015146838A -:041048000550071335 -:041049000CE69463BA -:04104A000241568386 -:04104B000420071363 -:04104C00EEE697E352 -:04104D0002615403E5 -:04104E0040C0071384 -:04104F00EEE411E3D7 -:04105000C42A67E166 -:041051008513463588 -:04105200059370C7CB -:0410530010EF017128 -:0410540047120070CF -:0410550014E347A2B7 -:041056006561EC07DD -:041057000420061358 -:041058000513102C40 -:0410590010EF6685A9 -:04105A00478267C0A2 -:04105B00470166E102 -:04105C00C03E07A2E9 -:04105D0071C686933F -:04105E0005E007930F -:04105F001000061364 -:0410600000D705337D -:041061008E1DC43AE2 -:04106200C23E007812 -:0410630000F705B3DA -:0410640004C4486315 -:0410650064E010EF44 -:041066004722479244 -:04106700943E04852A -:0410680047828F1D0F -:041069001007071352 -:04106A000FF4F493F8 -:04106B0000F48533D5 -:04106C008341074273 -:04106D00F004041374 -:04106E000593007076 -:04106F000522100046 -:041070000442C23A3A -:04107100E5BFC0EF28 -:04107200804166E172 -:041073004712478158 -:0410740071C6869328 -:0410750067E1F445F6 -:041076008123470586 -:04107700BF1566E754 -:0410780010EF8622CD -:04107900BFC560008F -:04107A00BD154785D4 -:04107B00BD054789DF -:04107C00DD810113FE -:04107D0022230868BA -:04107E0020232211F8 -:04107F002E23228179 -:04108000C0EF20910C -:04108100C02AF37F0F -:04108200532010EFF8 -:041083009A634782A3 -:041084006461160786 -:04108500000175B73A -:0410860085934645C3 -:0410870005134B857D -:0410880064E15A04C1 -:041089005BE010EF29 -:04108A005C048C2353 -:04108B00FADFC0EFD9 -:04108C0064C1C6264F -:04108D0014FDC42268 -:04108E00000217B78E -:04108F000D07A7831F -:0410900056836761BB -:041091008FE56AC7B6 -:0410920000F68E6373 -:041093006AC707130E -:0410940000275703D7 -:0410950012F70763E4 -:0410960005136509D0 -:04109700B0EF710540 -:04109800BFD9EA1FB3 -:0410990075B747A23E -:04109A0046450001C6 -:04109B004CC5859328 -:04109C005A07851357 -:04109D0056E010EF1A -:04109E0075B747B229 -:04109F0046450001C1 -:0410A0009EC58593D1 -:0410A1005D878513CF -:0410A2006CC010EF1F -:0410A300F4DFC0EFC7 -:0410A400440144813E -:0410A5000104171318 -:0410A6002007071305 -:0410A7004097579384 -:0410A800863EC23E80 -:0410A900472D67E187 -:0410AA00080C468167 -:0410AB0058878513CA -:0410AC0039C010EF48 -:0410AD0075B7E579B5 -:0410AE008593000125 -:0410AF0008084E05DA -:0410B000BDEFC0EFE1 -:0410B1004703ED1DE7 -:0410B200EF050181C4 -:0410B30001914683DE -:0410B40005500713C9 -:0410B50002E6976355 -:0410B60001A14683CB -:0410B7006263470524 -:0410B800660502D7F0 -:0410B9000084159307 -:0410BA00061395B2D2 -:0410BB00846346A064 -:0410BC00061300E631 -:0410BD004512057063 -:0410BE00C0EF087403 -:0410BF00E151E6DF36 -:0410C000040504859A -:0410C10017E34741A9 -:0410C20010EFF8E44F -:0410C3004581430020 -:0410C400F0EF453DC7 -:0410C50067E1CB1FF5 -:0410C60059D7C783AC -:0410C7000713676143 -:0410C80097BA5CC7B0 -:0410C9000007C50354 -:0410CA0067E1458114 -:0410CB005EA784A3F5 -:0410CC00C93FF0EF39 -:0410CD00763747A289 -:0410CE0086A60001F1 -:0410CF004A060613B4 -:0410D000851345C57A -:0410D10010EF5A07BB -:0410D20047B257408A -:0410D300000175B7EC -:0410D4008593464575 -:0410D50085134AC570 -:0410D60010EF5D8733 -:0410D700C0EF5FA067 -:0410D8004537E7BFF2 -:0410D9000513000FEC -:0410DA00B0EF24054A -:0410DB002083D95F36 -:0410DC002403224186 -:0410DD0045022201A5 -:0410DE0021C1248385 -:0410DF002281011356 -:0410E00010EF80820B -:0410E10057FD3B80FC -:0410E200B7D5C03E80 -:0410E3000185571319 -:0410E40001851793D8 -:0410E50006B78FD9E2 -:0410E600171300FFDD -:0410E7008F7500857C -:0410E80067418FD9F4 -:0410E900F0070713F2 -:0410EA008D7981215A -:0410EB0080828D5D15 -:0410EC0017B7C60963 -:0410ED00A02300023A -:0410EE0047010007AF -:0410EF00FFC5F693B0 -:0410F00000021637AD -:0410F10000E507B35C -:0410F20002D764635A -:0410F300470D898D8F -:0410F40002E594631A -:0410F5000007D68397 -:0410F60000021737A6 -:0410F70000D71223E9 -:0410F8000027C78383 -:0410F90000F70223D7 -:0410FA00000217B722 -:0410FB0080824B881C -:0410FC000711439CF9 -:0410FD00B7F9C25C21 -:0410FE0099634709A2 -:0410FF00D70300E52E -:0411000017B7000716 -:041101009223000233 -:04110200BFF900E74A -:041103009DE347051C -:04110400C703FCE53C -:0411050017B7000711 -:04110600822300023E -:04110700B7E900E75D -:04110800C22211519D -:041109000002143795 -:04110A004601C026B4 -:04110B00059384AA1A -:04110C00051304A023 -:04110D00C40604040C -:04110E00964FC0EF49 -:04110F00460185A66A -:0411100004040513BB -:04111100992FC0EF63 -:0411120004A005939D -:0411130004040513B8 -:04111400C0EF4605DD -:04111500051394AF7B -:041116004412040477 -:04111700448240A22C -:0411180001314585D7 -:04111900958FC06F7F -:04111A00C422114199 -:04111B000002143783 -:04111C00C02AC226FD -:04111D00460184AE55 -:04111E0004A0059391 -:04111F0004040513AC -:04112000C0EFC60650 -:04112100478291AFC1 -:04112200051346016A -:0411230085BE04047D -:04112400946FC0EF15 -:0411250004040513A6 -:0411260040B244226D -:04112700449285A6C3 -:041128000141460536 -:04112900932FC06FD1 -:04112A00C22211517B -:04112B001433440530 -:04112C00741300A494 -:04112D0085A20FF494 -:04112E00C406451995 -:04112F00FADFF0EF04 -:04113000441285A23E -:04113100451D40A276 -:04113200F06F013128 -:041133001151F9FF5E -:04113400C2220506C8 -:041135000FF574132B -:04113600450585A244 -:04113700F0EFC4060B -:0411380085A2F8BFD5 -:0411390040A244127A -:04113A000131450931 -:04113B00F7DFF06F7B -:04113C0045151151F3 -:04113D00F0EFC40605 -:04113E000713F2BFE2 -:04113F00478108607C -:0411400004E513634C -:041141000900059309 -:04114200020005138F -:04114300F5DFF0EFF5 -:0411440005134581C9 -:04114500F0EF0210B5 -:041146004581F53FAB -:04114700022005136A -:04114800F49FF0EF31 -:041149000513458DB8 -:04114A00F0EF023090 -:04114B004581F3FFE8 -:04114C000280051305 -:04114D00F35FF0EF6D -:04114E000300059302 -:04114F00071005136D -:04115000F29FF0EF2B -:0411510040A24785EC -:041152000131853EA4 -:041153001141808244 -:0411540000A101A352 -:041155000002153748 -:041156004605C42264 -:041157000513842ECA -:0411580005930405F2 -:04115900C606003195 -:04115A008B8FC0EFC8 -:04115B00B0EF85224A -:04115C0040B2B91FC5 -:04115D0001414422E6 -:04115E001151808229 -:04115F006461C222E3 -:041160005C845783D1 -:04116100C026C406DA -:04116200F9F7F7930F -:0411630083C107C27B -:041164005CF4142300 -:04116500000214B7B9 -:041166000EF4A023C0 -:04116700B0EF45514F -:0411680045D1B61F98 -:0411690003800513E7 -:04116A00FA7FF0EF29 -:04116B00051345D152 -:04116C00F0EF03900D -:04116D0045D1F9DF90 -:04116E00F0EF455108 -:04116F0045D1F95F0E -:04117000071005134C -:04117100F8BFF0EFE4 -:04117200051345D14B -:04117300F0EF05E0B4 -:0411740045D1F81F4A -:0411750006D0051388 -:04117600F77FF0EF20 -:04117700453145D1E8 -:04117800F6FFF0EF9F -:0411790032000593A8 -:04117A00F0EF450548 -:04117B0045D1F65F05 -:04117C00F0EF451932 -:04117D000593F5DF02 -:04117E0045093200ED -:04117F00F53FF0EF59 -:041180005C845783B1 -:04118100E79340A20E -:041182001423040727 -:0411830044125CF4C2 -:041184000EF4A023A2 -:04118500013144826E -:041186001121808231 -:041187006461C822B5 -:041188005C845783A9 -:04118900C626CA06A6 -:04118A00F9F7F793E7 -:04118B0083C107C253 -:04118C001423C02E3A -:04118D0017375CF4C0 -:04118E002023000218 -:04118F0084AA0EF729 -:041190003200059391 -:04119100F0EF450531 -:041192005783F09FF0 -:0411930017375C842A -:0411940045C100024F -:041195000207E793D3 -:041196005CF41423CE -:041197000EF720230C -:0411980010EF8526A9 -:041199007713316037 -:04119A00EB110FF551 -:04119B00000185B713 -:04119C0085934645AC -:04119D008526978587 -:04119E002DC010EF61 -:04119F004781470538 -:0411A00000F486B31E -:0411A1000006C5037C -:0411A200C43A45D135 -:0411A300F0EFC23E69 -:0411A4004792EC1F63 -:0411A5000785472251 -:0411A6000FF7F693B6 -:0411A700FEE6E2E39B -:0411A8005C84578389 -:0411A900000214B775 -:0411AA00F79345D1A1 -:0411AB0007C2FDF783 -:0411AC00142383C1C4 -:0411AD00A0235CF42B -:0411AE0005130EF423 -:0411AF00F0EF0C0051 -:0411B0005783E91F59 -:0411B10045025C8413 -:0411B200E79345C1B9 -:0411B30014230207F8 -:0411B400A0235CF424 -:0411B50010EF0EF435 -:0411B60077932A20E1 -:0411B700EB910FF5B4 -:0411B80085B74502B0 -:0411B90046450001A6 -:0411BA0097858593FD -:0411BB00268010EF8B -:0411BC00448147859E -:0411BD004782C23E65 -:0411BE00873345D15D -:0411BF00450300974D -:0411C000048500079B -:0411C100E4BFF0EFA8 -:0411C200F713479246 -:0411C30063E30FF4DF -:0411C4005783FEF758 -:0411C50040D25C8434 -:0411C60000021737D5 -:0411C7000407E7939F -:0411C8005CF414239C -:0411C9002023444259 -:0411CA0044B20EF726 -:0411CB0080820161BC -:0411CC00C2221151D9 -:0411CD0000021437D1 -:0411CE004601C026F0 -:0411CF00059384AA56 -:0411D000051302C041 -:0411D100C406040448 -:0411D200E55FB0EF36 -:0411D300460585A6A2 -:0411D40004040513F7 -:0411D500E83FB0EF50 -:0411D60002C00593BB -:0411D70004040513F4 -:0411D800B0EF460529 -:0411D9000513E3BF58 -:0411DA0044120404B3 -:0411DB00448240A268 -:0411DC000131458513 -:0411DD00E49FB06F6C -:0411DE00C4221141D5 -:0411DF0000021437BF -:0411E000C02AC22639 -:0411E100460184AE91 -:0411E20002C00593AF -:0411E30004040513E8 -:0411E400B0EFC6069C -:0411E5004782E0BF9E -:0411E60005134601A6 -:0411E70085BE0404B9 -:0411E800E37FB0EF02 -:0411E90004040513E2 -:0411EA0040B24422A9 -:0411EB00449285A6FF -:0411EC000141460572 -:0411ED00E23FB06FBE -:0411EE0000021537AF -:0411EF004601115153 -:0411F000051345811D -:0411F100C406040527 -:0411F200E0FFB0EF7B -:0411F300B0EF4529EB -:0411F40045E1931F1F -:0411F500F0EF4505CD -:0411F60045E1FA3F96 -:0411F700F0EF4509C7 -:0411F80045E1F9BF15 -:0411F900F0EF450DC1 -:0411FA004505F93F6F -:0411FB00F45FF0EFBE -:0411FC00152140A2D7 -:0411FD000015351391 -:0411FE0080820131B9 -:0411FF00C2221151A6 -:041200004505842AF2 -:04120100F0EFC40640 -:04120200991DF2BF81 -:041203008C49040E00 -:041204000FF474135C -:04120500450585A274 -:04120600F61FF0EFF0 -:04120700450985A26E -:04120800F59FF0EF6F -:04120900441285A264 -:04120A00450D40A2AC -:04120B00F06F01314E -:04120C001151F4BFC9 -:04120D00842AC2224B -:04120E00C4064505C8 -:04120F00EF5FF0EFAE -:041210007513478982 -:0412110013630D85D1 -:04121200641302F46B -:0412130085A200159B -:04121400F0EF4505AD -:0412150085A2F27F3D -:04121600F0EF4509A7 -:0412170085A2F1FFBC -:0412180040A244129A -:041219000131450D4D -:04121A00F11FF06F61 -:04121B008C490416E0 -:04121C000FF4741344 -:04121D000044641312 -:04121E001151BFD9D2 -:04121F001437C2229C -:04122000C0260002E2 -:0412210084AA460154 -:0412220005C005936B -:0412230004040513A7 -:04122400B0EFC4065D -:04122500F593D0BFAE -:0412260046050FF476 -:0412270004040513A3 -:04122800D37FB0EFD1 -:0412290005C0059364 -:04122A0004040513A0 -:04122B00B0EF4605D5 -:04122C000513CEFFD9 -:04122D00441204045F -:04122E00448240A214 -:04122F0001314585BF -:04123000CFDFB06FED -:04123100C422114181 -:04123200000214376B -:04123300C02AC226E5 -:04123400460184AE3D -:0412350005C0059358 -:041236000404051394 -:04123700B0EFC60648 -:041238004782CBFF1F -:041239000513460152 -:04123A00F593040420 -:04123B00B0EF0FF70A -:04123C000513CE9F29 -:04123D00442204043F -:04123E0085A640B28F -:04123F00460544928A -:04124000B06F014149 -:041241001151CD5F1B -:04124200022005136E -:04124300C222C406F9 -:04124400F0EFC026E1 -:041245007413F69F89 -:0412460045CD0FF58E -:04124700F0EF455926 -:041248006489FA7F3C -:041249007104851394 -:04124A00FD6FB0EF95 -:04124B00455D458D2B -:04124C00F95FF0EF67 -:04124D007104851390 -:04124E00FC6FB0EF92 -:04124F000804659397 -:041250000220051360 -:04125100F81FF0EFA3 -:041252003E800513C2 -:04125300FB2FB0EFCE -:0412540007F4759393 -:0412550040A244125D -:0412560005134482B6 -:04125700013102203F -:04125800F65FF06FDE -:04125900C22211514B -:04125A00051364090B -:04125B00C406710450 -:04125C00F8EFB0EF08 -:04125D00455945C5E5 -:04125E00F4DFF0EFDA -:04125F0071040513FE -:04126000F7EFB0EF05 -:041261004589455D19 -:04126200F3DFF0EFD7 -:0412630071040513FA -:0412640040A244124E -:04126500B06F013134 -:041266001151F68F9D -:04126700842EC222ED -:04126800454985AAC5 -:04126900F0EFC406D8 -:04126A0085A2F1FF69 -:04126B0040A2441247 -:04126C000131454DBA -:04126D00F11FF06F0E -:04126E00051385AA35 -:04126F00F06F03D049 -:0412700085AAF07FDC -:04127100F06F454590 -:041272001151EFFF28 -:04127300C222C406C9 -:041274000065478347 -:04127500456D842A15 -:041276000047959305 -:04127700F5938DDD81 -:04127800F0EF0FF58F -:041279004583EE3F7C -:04127A004571006456 -:04127B00ED9FF0EF04 -:04127C000034458372 -:04127D00F0EF452920 -:04127E004583ECFFB9 -:04127F0045250044BD -:04128000EC5FF0EF40 -:04128100005445834D -:04128200F0EF452123 -:041283004583EBBFF5 -:0412840045350004E8 -:04128500EB1FF0EF7C -:041286000014458388 -:04128700F0EF45310E -:041288004583EA7F31 -:0412890044120024E7 -:04128A00452D40A20C -:04128B00F06F0131CE -:04128C001141E97FA4 -:04128D00842AC422C9 -:04128E00C22645111E -:04128F0084AEC03237 -:04129000F0EFC606AF -:041291004602E39F8F -:041292000F857593BC -:041293000793CE4DA2 -:04129400EA637FF09A -:0412950045110A876E -:041296000015E593C7 -:04129700E69FF0EFEF -:041298000014151316 -:041299000105141324 -:04129A0055938041A7 -:04129B00F593004483 -:04129C0045050FF500 -:04129D00E51FF0EF6A -:04129E000044159360 -:04129F000F05F593AF -:0412A000F0EF45091D -:0412A1000737E43FE8 -:0412A2000713019C91 -:0412A3005733CC07EA -:0412A40056B70297A0 -:0412A5008693022505 -:0412A60047810FF677 -:0412A7000287073380 -:0412A80002E6F06307 -:0412A900042C26B734 -:0412AA00D7F686935A -:0412AB00F963478517 -:0412AC00F7B700E6AA -:0412AD008793080B10 -:0412AE00B7B3FBF7E0 -:0412AF00078900E7C4 -:0412B0000713676158 -:0412B100973E54C749 -:0412B20000074503E9 -:0412B300028007139B -:0412B40002E5053317 -:0412B50000145713B7 -:0412B6004533953AED -:0412B700471D028548 -:0412B8000FF57513A6 -:0412B9000FF5759325 -:0412BA0000A77363B3 -:0412BB004422459DE7 -:0412BC00449240B266 -:0412BD00079A058EF9 -:0412BE00F5938DDD3A -:0412BF00450D0F8545 -:0412C000F06F014189 -:0412C1004511DC3FB8 -:0412C200DBDFF0EF8F -:0412C3001151BFB94D -:0412C400842AC22294 -:0412C500C4064569AD -:0412C600D63FF0EF30 -:0412C700759347854F -:0412C8001A630FA5F1 -:0412C900E59300F4B5 -:0412CA0044120025A5 -:0412CB00456940A28F -:0412CC00F06F01318D -:0412CD00E593D93F8D -:0412CE00BFC500A5F3 -:0412CF0000A555839E -:0412D000C2221151D4 -:0412D100842A81A149 -:0412D20004B005134C -:0412D300F0EFC4066E -:0412D4004583D77FF8 -:0412D500051300A459 -:0412D600F0EF04A091 -:0412D7005583D6BFA6 -:0412D800051300C436 -:0412D90081A104D01B -:0412DA00D5DFF0EF7D -:0412DB0000C4458383 -:0412DC0004C0051332 -:0412DD00D51FF0EF3A -:0412DE0000E4558350 -:0412DF0004F00513FF -:0412E000F0EF81A109 -:0412E1004583D43F2E -:0412E200051300E40C -:0412E300F0EF04E044 -:0412E4005583D37FDC -:0412E50005130044A9 -:0412E60081A10510CD -:0412E700D29FF0EFB3 -:0412E80000444583F6 -:0412E90005000513E4 -:0412EA00D1DFF0EF71 -:0412EB0000645583C3 -:0412EC0005300513B1 -:0412ED00F0EF81A1FC -:0412EE004583D0FF65 -:0412EF00051300647F -:0412F000F0EF0520F6 -:0412F1005583D03F12 -:0412F200051300845C -:0412F30081A1055080 -:0412F400CF5FF0EFE9 -:0412F50000844583A9 -:0412F6000540051397 -:0412F700CE9FF0EFA7 -:0412F8000104558315 -:0412F9000570051364 -:0412FA00F0EF81A1EF -:0412FB004583CDBF9B -:0412FC0005130104D1 -:0412FD00F0EF0560A9 -:0412FE005583CCFF49 -:0412FF0005130124AE -:0413000081A1059032 -:04130100CC1FF0EF1E -:0413020001244583FA -:041303000580051349 -:04130400CB5FF0EFDC -:0413050001445583C7 -:0413060005B0051316 -:04130700F0EF81A1E1 -:041308004583CA7FD0 -:041309004412014445 -:04130A00051340A2E5 -:04130B00013105A007 -:04130C00C95FF06F56 -:04130D00C222115196 -:04130E000513842A15 -:04130F00C40603F01D -:04131000C3BFF0EF78 -:041311000F057593BC -:0413120044128DC133 -:04131300051340A2DC -:04131400013103F0B0 -:04131500C71FF06F8F -:04131600C22211518D -:041317004569842A76 -:04131800F0EFC40628 -:041319001593C19FC8 -:04131A004412006415 -:04131B0003F575134E -:04131C008DC940A295 -:04131D000FF5F59340 -:04131E0001314569EB -:04131F00C49FF06F08 -:0413200065E1113141 -:041321008593461D4D -:04132200850A9B0598 -:0413230000EFC80609 -:04132400F0EF355061 -:041325004501C75F58 -:04132600E77FF0EF7E -:0413270005134585E0 -:04132800F0EF03508F -:04132900655DC23FFD -:04132A004F05051353 -:04132B00E91FF0EFD7 -:04132C00F0EF450198 -:04132D004519FA7FE5 -:04132E00D01FF0EFED -:04132F00044005135E -:04133000D03FF0EFCB -:0413310045054581A8 -:04133200CD3FF0EFCC -:04133300F0EF850A48 -:0413340040C2CFDF05 -:041335008082015160 -:04133600C42211417B -:041337004511842AAE -:04133800C02EC606F7 -:04133900B97FF0EF99 -:04133A0004334582B1 -:04133B00759302B4F0 -:04133C0045110075E2 -:04133D0001F47793AD -:04133E008DDD078EAC -:04133F00BC9FF0EF70 -:0413400040545513AD -:04134100442240B250 -:041342000FF575131B -:041343008082014162 -:04134400C22211515F -:041345004541842A70 -:04134600F0EFC406FA -:041347001593B61F25 -:041348004412003417 -:0413490040A2891D18 -:04134A00F5938DC9C1 -:04134B0045410FF514 -:04134C00F06F01310C -:04134D00C139B93FAA -:04134E00C026115153 -:04134F000260051320 -:04135000059384AECF -:04135100C2220800AC -:041352008432C40617 -:04135300B79FF0EF61 -:041354000593478531 -:041355008963009414 -:0413560047A100F4B7 -:0413570005A4059351 -:0413580000F48463B6 -:041359000184059373 -:04135A0040A2441257 -:04135B00F593448240 -:04135C0005130FF571 -:04135D000131031047 -:04135E00B4DFF06F99 -:04135F0005134581AC -:04136000BFDD02608B -:04136100C82211216C -:04136200CA06C626CB -:04136300C232C02EA4 -:041364004785C436BF -:0413650084BA842A98 -:0413660000F50E631D -:041367000D6347A12A -:04136800059304F5F0 -:04136900F593006791 -:04136A0045150FF521 -:04136B00B19FF0EF4F -:04136C00A80945C1C6 -:04136D0000270593BD -:04136E000FF5F593EF -:04136F00F0EF451541 -:041370004599B07F6C -:04137100F0EF45193B -:0413720085A2AFFFA2 -:041373004505862680 -:04137400F67FF0EF21 -:0413750045B147C176 -:0413760000F4036319 -:04137700051345A174 -:04137800F0EF022070 -:041379004442AE3FFD -:04137A004592462230 -:04137B0040D2450215 -:04137C00016144B215 -:04137D00C3FFF06F4B -:04137E0003270593A9 -:04137F000FF5F593DE -:04138000F0EF451530 -:041381000593AC3FE5 -:04138200BF6D020039 -:04138300C222115120 -:04138400C406C026B5 -:04138500478984AE62 -:0413860045818432E7 -:041387000097E66382 -:0413880000649593D5 -:0413890085E105E213 -:04138A000025171310 -:04138B00004517936F -:04138C008FC98FD99D -:04138D00F5938DDD6A -:04138E0045650FF5AD -:04138F00A89FF0EF34 -:04139000F0EF4541F4 -:04139100478DA39F42 -:041392000F857593BB -:0413930000F41463EB -:041394000055E59388 -:04139500F0EF4541EF -:041396004789A6FFDE -:0413970005B0059305 -:041398000097F9635E -:0413990005934791E0 -:04139A00F4630520D3 -:04139B00059300971F -:04139C00453905309A -:04139D00A51FF0EFA9 -:04139E003E80051375 -:04139F00A82FB0EFD4 -:0413A000F0EF4551D4 -:0413A100478D9F9F36 -:0413A200036345C1DB -:0413A300458100F48C -:0413A400F0EF4561C0 -:0413A5004412A33F0C -:0413A600448240A29B -:0413A7000513458164 -:0413A80001310360AC -:0413A900A21FF06F20 -:0413AA00C2221151F9 -:0413AB004551842AFA -:0413AC00F0EFC40694 -:0413AD0047119C9FA9 -:0413AE0000877C63D5 -:0413AF000885751325 -:0413B000F7850513A5 -:0413B10000153513DB -:0413B200441240A2FF -:0413B3008082013102 -:0413B400776347090B -:0413B5007513008725 -:0413B600051309050D -:0413B700B7DDF705A2 -:0413B8000FF5779323 -:0413B9000017D51331 -:0413BA00BFF98905E9 -:0413BB00F9410113E0 -:0413BC004791D2A2E1 -:0413BD00D48664610D -:0413BE00DA3ED0A69D -:0413BF005EC407936E -:0413C000C683CC36DE -:0413C1004721032796 -:0413C2001733C82AEB -:0413C300C68300D706 -:0413C4006713033771 -:0413C500DC3A008787 -:0413C60020000713E9 -:0413C70000D7173301 -:0413C8000347C6838E -:0413C9006741DE3A60 -:0413CA0000D71733FE -:0413CB000357C6837B -:0413CC000737C0BA65 -:0413CD0017330020B2 -:0413CE00C2BA00D7C8 -:0413CF0003310713CC -:0413D0006761C4BAD3 -:0413D1006237071365 -:0413D2006761C6BACF -:0413D3006247071353 -:0413D4006761C8BACB -:0413D5006257071341 -:0413D6006761CABAC7 -:0413D700626707132F -:0413D8006761CCBAC3 -:0413D900627707131D -:0413DA00C703CEBABD -:0413DB00C783043789 -:0413DC0066E104477B -:0413DD00C43EC03A10 -:0413DE0071C68793BA -:0413DF0067DDC23EC6 -:0413E0005207879396 -:0413E10009A3CA2E64 -:0413E20047010201BC -:0413E3005EC40413CD -:0413E40071C68693B5 -:0413E500D03E42F1C3 -:0413E60001871793D1 -:0413E700C63E87E196 -:0413E8000250079315 -:0413E90000F7156391 -:0413EA00C63E57FDA7 -:0413EB0007B3A6910D -:0413EC004615025749 -:0413ED004BDC97B688 -:0413EE000187D3138D -:0413EF00749383F57B -:0413F000666301F33C -:0413F100558206F625 -:0413F2000027961327 -:0413F300962ECE3232 -:0413F400860242101B -:0413F500025703B3E5 -:0413F60010000613CA -:0413F7006621DE325B -:0413F8000613C0B266 -:0413F900C2B220005C -:0413FA001C1005932B -:0413FB0000768633BF -:0413FC0082154A10FC -:0413FD007FF67613EE -:0413FE0006B61B63B1 -:0413FF0067E1D43E90 -:0414000071C7879396 -:0414010077B793BE68 -:0414020085930001CD -:04140300851E538768 -:04140400D63A461D71 -:0414050000EFD21E04 -:0414060066E111305A -:0414070057A2539203 -:04140800869357323E -:0414090042F171C675 -:04140A004622E9018C -:04140B004792E229F9 -:04140C0007F10705D8 -:04140D00B78DC23E97 -:04140E0077B7D23E9C -:04140F0085930001C0 -:04141000461D54071A -:04141100D43A851E26 -:041412000E1000EFC9 -:04141300579266E1A5 -:041414008693572242 -:0414150042F171C669 -:0414160045A2E919E9 -:04141700986346058B -:04141800B7F100C563 -:041419002000061396 -:04141A006641DE3217 -:04141B004662C0B2B3 -:04141C0000C4F333E2 -:04141D00FA030DE3DE -:04141E0045724612BB -:04141F0010904E0CCF -:0414200000A604B36B -:04142100FE84A6039C -:0414220046031088E5 -:04142300060A0006AF -:041424002603962ADB -:041425008E6DFD4685 -:041426004552DA59F8 -:04142700FFF5C59375 -:0414280096E3898539 -:0414290005B3F8A56A -:04142A0045420257DE -:04142B00498C95B69D -:04142C00F59381951E -:04142D0005F97FF549 -:04142E00F6A5EBE351 -:04142F0009234585C3 -:04143000172300B4CA -:0414310008A3000408 -:041432000A23000485 -:04143300CC100004D5 -:04143400076365895C -:04143500E46326B690 -:04143600059314C541 -:041437000B6308003B -:04143800E26322B693 -:0414390045C10EC5D6 -:04143A0022B6016372 -:04143B000AC5EF638C -:04143C00066345916D -:04143D0045A11CB6F3 -:04143E00F2B61BE304 -:04143F000623460535 -:04144000460300C49B -:041441001F6305041C -:0414420046711E06CB -:0414430002C70633A3 -:041444005583963600 -:04144500061300E6A4 -:041446006563577013 -:0414470096131EB624 -:04144800516301D714 -:04144900460D1EC06E -:04144A0000C406A331 -:04144B000923460922 -:04144C00861300C43F -:04144D008A15006795 -:04144E004615CE1160 -:04144F000AC79F63C6 -:04145000073347F126 -:04145100079302F704 -:0414520096BA4AF00C -:0414530000E6D703D5 -:041454000AE7E5635B -:041455000464478361 -:0414560006A3CFD149 -:0414570047890004BD -:041458000633AA7D30 -:04145900059302579E -:04145A00963620D0D2 -:04145B0082154A109C -:04145C007FF676138E -:04145D00EEB61DE3E7 -:04145E00FFF706137B -:04145F0002560633F8 -:041460009636458DEA -:0414610082754A50F6 -:0414620000B61A6353 -:04146300E601460256 -:041464000EF4F493FB -:041465004609BDE98E -:04146600B5D1458235 -:04146700E601460252 -:041468000FB4F49336 -:041469004605B5E996 -:04146A000793BFC560 -:04146B000663020012 -:04146C00079300F6EC -:04146D001CE3040078 -:04146E000793E6F604 -:04146F001623301000 -:04147000479100F4AC -:041471000593AAA98C -:0414720003634000D0 -:04147300EB6316B65B -:04147400059302C515 -:0414750007631000F9 -:04147600059314B610 -:0414770018E3200056 -:041478004709E4B686 -:0414790000E4162352 -:04147A009863470D1F -:04147B00478300E7BC -:04147C00F7B5046458 -:04147D000723478575 -:04147E00478300F4AC -:04147F0008A3057445 -:04148000A0FD00F4D7 -:041481008006079347 -:041482001207896361 -:0414830010E3678586 -:041484000793E2F6F2 -:0414850016232020EA -:04148600478D00F49A -:0414870005B7A209FA -:041488000A630008EB -:04148900E36312B651 -:04148A0065C104C56F -:04148B0012B6006332 -:04148C0002C5E3634F -:04148D0008636791F8 -:04148E0067A110F64C -:04148F00DEF619E389 -:04149000202007937E -:0414910000F416232A -:041492000923479D46 -:0414930047B500F465 -:0414940000F40A2333 -:0414950007B7B75D81 -:041496000B630002E2 -:0414970007B70EF68F -:0414980016E3000453 -:041499000793DCF6E3 -:04149A00B7752030D2 -:04149B00004007B74F -:04149C000EF60963DC -:04149D0002C7E0633F -:04149E00001007B77C -:04149F000EF60063E2 -:0414A000002007B76A -:0414A100DAF615E37F -:0414A2001623479135 -:0414A300079300F4B7 -:0414A400BF7D078081 -:0414A500010007B784 -:0414A6000CF60F63CE -:0414A700020007B781 -:0414A8000EF60463D5 -:0414A900008007B701 -:0414AA00D8F613E37A -:0414AB002040079343 -:0414AC0000F416230F -:0414AD000923479137 -:0414AE0047F900F406 -:0414AF004671BF5172 -:0414B00002C7073335 -:0414B10000041623FA -:0414B20096BA8B95C6 -:0414B30000A6D703B5 -:0414B4008B7D468561 -:0414B50000E408A3A4 -:0414B60000D79B635D -:0414B7000464468300 -:0414B8004789CE99F9 -:0414B90000F409230F -:0414BA0007A34785B8 -:0414BB00D71100F451 -:0414BC00541650A6CC -:0414BD0054864532DA -:0414BE0006C101134F -:0414BF0007238082FD -:0414C000B7DD00F4A0 -:0414C100000406A37A -:0414C2000793B52DAA -:0414C30016232010BC -:0414C400B5B100F4CA -:0414C5003010079349 -:0414C60000F41623F5 -:0414C7000923479915 -:0414C800BDE100F48E -:0414C9002010079355 -:0414CA0000F41623F1 -:0414CB00BFC54795BD -:0414CC001020079352 -:0414CD0000F41623EE -:0414CE000793B5C902 -:0414CF00BFC1202059 -:0414D000202007933E -:0414D1000793BDAD13 -:0414D200BFF920201E -:0414D300BD59470DAB -:0414D400203007932A -:0414D5000793BF6D4D -:0414D600B595203078 -:0414D7002030079327 -:0414D8000793B7E1DE -:0414D9001623204076 -:0414DA00478D00F446 -:0414DB0000F40923ED -:0414DC0002800793F0 -:0414DD000793BDF1C3 -:0414DE001623204071 -:0414DF00479500F439 -:0414E00000F40923E8 -:0414E100B5E947E141 -:0414E200204007930C -:0414E30000F41623D8 -:0414E40009234799F8 -:0414E50047D100F4F7 -:0414E6001111BD65BE -:0414E70001851793D1 -:0414E800C826CA2226 -:0414E90087E1CC06C5 -:0414EA0084AE842A1E -:0414EB000007DD63B6 -:0414EC00051345811E -:0414ED0037D5077078 -:0414EE0000A101A3B5 -:0414EF00EE634785DC -:0414F000741306A7C4 -:0414F1002E9507F439 -:0414F20045014591DA -:0414F3002E8126819F -:0414F4000184D79305 -:0414F50000F102A35D -:0414F6000104D79383 -:0414F70000F10323DA -:0414F8000084D79302 -:0414F90000F103A358 -:0414FA000081022348 -:0414FB000091042335 -:0414FC0004000713CE -:0414FD0009500793F8 -:0414FE0000E409639A -:0414FF00048007134B -:0415000014634785A4 -:04150100079300E468 -:04150200459908708F -:0415030004A30048F5 -:0415040024ED00F1E1 -:041505002EB14515A9 -:041506004585842A69 -:041507000031051397 -:04150800078324F53C -:04150900D663003174 -:04150A002E8D00071B -:04150B0006E3478527 -:04150C00E011FEF5F7 -:04150D00450326412B -:04150E0040E2003186 -:04150F0044C244523C -:041510008082017163 -:04151100FD81011344 -:041512004581C02A25 -:041513000490051328 -:04151400D022D20609 -:04151500F0EFCE26FF -:04151600C901F45FB4 -:0415170050924501A8 -:0415180044F2540243 -:041519000281011337 -:04151A00842A80821D -:04151B00261145153B -:04151C00458584AAD3 -:04151D000071051341 -:04151E0047032C5102 -:04151F0007930071BD -:0415200016630FF04F -:041521002E1900F788 -:0415220004E3478512 -:04152300E091FEF560 -:04152400470326153E -:0415250007930071B7 -:0415260011E30FE0DE -:0415270045C9FCF7BF -:0415280024AD0028C6 -:04152900C7834782AB -:04152A00F71300476C -:04152B00CF150027B1 -:04152C0000E1450392 -:04152D0000F14783FF -:04152E00012147034D -:04152F000522890DFB -:0415300047838D5D03 -:04153100050A0101A5 -:0415320000D144039D -:041533008D5D8399AE -:0415340001114783D7 -:04153500883D831D4D -:041536008B99078600 -:04153700943E8FD976 -:04153800146505052C -:0415390000851533E1 -:04153A008B91BF9D35 -:04153B004503CB8910 -:04153C0047830101DF -:04153D000522011171 -:04153E0047C58D5DB3 -:04153F004781B7CD5C -:04154000BFF14501B1 -:04154100FDC10113D4 -:04154200CC2665D975 -:0415430084AA462907 -:041544004105859345 -:04154500D006006864 -:0415460024E1CE22AC -:04154700C03E478DCE -:041548002C2122C16F -:0415490045A924A9E3 -:04154A002AC9006842 -:04154B00A023458113 -:04154C00051300047F -:04154D00F0EF0400B7 -:04154E004785E65F88 -:04154F001B63842A6C -:0415500005930EF5FC -:0415510005131AA0C4 -:04155200F0EF048032 -:04155300C22AE51FA4 -:04155400088517638C -:041555000028459194 -:0415560047032A55C8 -:04155700479200A116 -:041558000CF71A630F -:0415590000B1470393 -:04155A000AA0079349 -:04155B000CF7146312 -:04155C003E800513B5 -:04155D00241D2AFD22 -:04155E001963478541 -:04155F0005B700F5D7 -:04156000051340002F -:04156100F0EF0E9009 -:04156200F575E15FDB -:0415630047852C018B -:0415640002F5066323 -:041565002C394401D8 -:0415660017FD4782A4 -:041567000FF7F793F0 -:04156800CBD9C03EDD -:041569004785DC35A1 -:04156A000084822354 -:04156B008526C09C75 -:04156C00E95FF0EF54 -:04156D00C488157D9C -:04156E00A0412A6905 -:04156F00051345819A -:04157000F0EF07A0F1 -:04157100F579DD9F8C -:041572000028459177 -:041573004783228107 -:04157400443100817D -:041575000407F793DD -:041576004411FFDD40 -:041577004581BF6D7E -:041578000E900513B9 -:04157900DB7FF0EF35 -:04157A0004100793BF -:04157B0000A4656300 -:04157C000793440984 -:04157D0005130E90B4 -:04157E00C23E0FA0BA -:04157F002A792A9DFE -:041580001763478521 -:04158100451200F51A -:04158200F0EF4581C0 -:04158300F965D91F0E -:04158400E1112271DE -:041585002A7944017A -:041586000513458183 -:04158700F0EF07B0CA -:04158800C111D7DFD7 -:041589000593440181 -:04158A000513200025 -:04158B00F0EF050078 -:04158C00D13DD6DF98 -:04158D00B78D4401D1 -:04158E0020CDF43D3B -:04158F0000143513FC -:0415900044725082CF -:04159100011344E21C -:041592008082024110 -:041593001121451CC1 -:04159400CA06C82299 -:04159500C22EC62676 -:04159600440DC03A06 -:0415970002C7E16343 -:041598004783CF199D -:0415990084B60045CF -:04159A00E3918BA1AD -:04159B0085B20626E9 -:04159C00051005131E -:04159D00D27FF0EF1A -:04159E004409C9092A -:04159F00852220453C -:0415A000444240D2AF -:0415A100016144B2EE -:0415A200051380822B -:0415A30020D5064009 -:0415A4000513458561 -:0415A500289D00B1CC -:0415A60000B1470346 -:0415A7000FF00793A7 -:0415A80000F71663CF -:0415A900478528E565 -:0415AA00FEF504E363 -:0415AB0047032221AF -:0415AC00079300B1F0 -:0415AD0012E30FE056 -:0415AE004782FCF77D -:0415AF0020200413E1 -:0415B0008C1D8C05FD -:0415B100804104422F -:0415B20085A6C481C5 -:0415B300283D450189 -:0415B4004512458215 -:0415B50085A22825BE -:0415B600280D4501B6 -:0415B700BF794401B3 -:0415B80000062637CC -:0415B900019C05B7D5 -:0415BA0000021537DF -:0415BB00A806061365 -:0415BC00CC05859342 -:0415BD00020505130B -:0415BE00E7BFA06F74 -:0415BF0085AA862E45 -:0415C00000021537D9 -:0415C1000205051307 -:0415C200F19FA06F86 -:0415C30085AA862E41 -:0415C40000021537D5 -:0415C5000205051303 -:0415C600EDDFA06F46 -:0415C7006761808256 -:0415C8005C87578362 -:0415C900F7F7F793A6 -:0415CA0083C107C210 -:0415CB005CF7142392 -:0415CC0000021737CB -:0415CD000EF72023D2 -:0415CE00676180824F -:0415CF005C8757835B -:0415D0000807E7938E -:0415D1005CF714238C -:0415D20000021737C5 -:0415D3000EF72023CC -:0415D40036378082A4 -:0415D50005B700292D -:0415D6001537019C28 -:0415D70006130002F5 -:0415D80085932E06C3 -:0415D9000513CC0525 -:0415DA00A06F0205F7 -:0415DB00F06FE09F2E -:0415DC006765F73F09 -:0415DD00B287278327 -:0415DE00679DE3859D -:0415DF0097878793D0 -:0415E00002F50533D8 -:0415E100C4061151DA -:0415E200B2A7242365 -:0415E300997FA0EF5D -:0415E400450140A2DB -:0415E50080820131CE -:0415E60080824505B5 -:0415E700C4061151D4 -:0415E8009A7FA0EF57 -:0415E900A78367E588 -:0415EA0040A2B287E2 -:0415EB0000F535339F -:0415EC0080820131C7 -:0415ED00A42367E5E7 -:0415EE008082B2073E -:0415EF001463470139 -:0415F000450100E6CB -:0415F10007B380823A -:0415F200070500E504 -:0415F30000E586B3D6 -:0415F4000007C783A2 -:0415F500FFF6C683B4 -:0415F600FED783E3B6 -:0415F70040D7853321 -:0415F800C7B3808273 -:0415F9008B8D00A531 -:0415FA0000C50733EE -:0415FB00478DE781B0 -:0415FC0002C7E963D6 -:0415FD00716387AAE5 -:0415FE00C6830CE5AF -:0415FF000785000557 -:041600008FA305852A -:04160100EAE3FED743 -:041602008082FEE7FD -:041603000005C68395 -:0416040005850785CC -:04160500FED78FA3DA -:04160600FEE7EAE32E -:041607000111440287 -:0416080076938082D3 -:0416090087AA003577 -:04160A00C683CA9138 -:04160B00078500054A -:04160C008FA305851E -:04160D00F693FED77B -:04160E00B7FD0037ED -:04160F00FFC7769308 -:04161000FE06861339 -:0416110006C7F563B0 -:04161200C022117170 -:04161300A30349C024 -:04161400A3830005A7 -:04161500CBC00085C1 -:04161600A0234D8040 -:04161700A3030067C2 -:04161800CF8000453A -:04161900A2234DC0FB -:04161A00A283006740 -:04161B00A30300C560 -:04161C0085930105AC -:04161D00CFC00245F3 -:04161E00FFC5A4035D -:04161F000077A42389 -:041620000057A623A6 -:041621000067A82393 -:041622000247879361 -:04162300FE87AE236D -:04162400FAC7EEE330 -:04162500F8D7F2E31D -:041626000791419057 -:04162700AE23059158 -:04162800BFCDFEC76D -:041629000791419054 -:04162A00AE23059155 -:04162B00EBE3FEC728 -:04162C00E4E3FED71E -:04162D008082F4E7DC -:04162E0001138082A2 -:04162F00D6A6F7C183 -:04163000DA86C13E57 -:04163100D8A267E1F3 -:04163200DEBADCB68A -:041633004E87A483B7 -:041634000005DC636E -:0416350008B007935F -:04163600557DC09C82 -:04163700544650D6EF -:04163800011354B690 -:041639008082084162 -:04163A002080079372 -:04163B0000F118237F -:04163C00CA2AC22ACA -:04163D00C199478187 -:04163E00FFF587939A -:04163F00CC3EC63E99 -:0416400057FD18B486 -:041641008526842E48 -:041642001923004C1C -:04164300C03600F1BC -:0416440057FD260127 -:0416450000F55563F4 -:0416460008B007934E -:04164700DC5DC09C0A -:041648008023479222 -:04164900BF5D00077A -:04164A00167DC6053E -:04164B0007B3470199 -:04164C0086B300E57C -:04164D00C78300E56A -:04164E00C683000748 -:04164F009663000698 -:04165000046300D758 -:04165100070500C7C2 -:041652008533F3FDEC -:04165300808240D77A -:04165400808245014A -:04165500CA0987AA8D -:04165600C70305853C -:041657000785FFF50F -:041658008FA3167DC9 -:04165900FB65FEE748 -:04165A009363963EC2 -:04165B00808200C7C2 -:04165C008FA30785CC -:04165D00BFD5FE07F0 -:04165E0087AA95AA18 -:04165F0000B78563E8 -:041660000007C703B5 -:041661008533E701E5 -:04166200808240A79B -:04166300B7FD078543 -:04166400A783C5D5BE -:041665001151FFC55B -:04166600C406C222D2 -:041667008413C02602 -:04166800D363FFC584 -:04166900943E0007A4 -:04166A0000EF84AA5F -:04166B00676115F0AE -:04166C0056872783F3 -:04166D00EF81863A49 -:04166E00000422232F -:04166F005687242353 -:0416700040A244123E -:041671004482852604 -:04167200006F0131D3 -:04167300706314107C -:04167400401402F428 -:0416750000D4073363 -:0416760000E7966390 -:0416770043DC439875 -:04167800C0189736C9 -:041679002423C05C0A -:04167A00BFD95686F8 -:04167B0043D887BA0F -:04167C007DE3C3192E -:04167D004394FEE4B0 -:04167E0000D78633D8 -:04167F0000861F635F -:0416800096B24010CE -:041681008633C39455 -:041682001BE300D78F -:041683004310FAC74F -:0416840096B243587F -:04168500C3D8C3946F -:041686007563B7656C -:0416870047B100C4A3 -:04168800BF79C09CCA -:0416890006B3401054 -:04168A00166300C41F -:04168B00431400D72D -:04168C0096B2435877 -:04168D00C058C0146D -:04168E00B759C3C0C5 -:04168F001141808203 -:041690008493C22657 -:0416910098F1003597 -:04169200C422C606A2 -:0416930047B104A1B6 -:0416940004F4FB63FC -:04169500EA6344B10F -:04169600C02A04B4AE -:041697000AD000EF86 -:0416980026836761DD -:0416990047825687A7 -:04169A005687061356 -:04169B00E43184367C -:04169C00041364616E -:04169D00401856C4D7 -:04169E00853EEB0199 -:04169F00C03E458183 -:0416A0007F8000EF58 -:0416A100C0084782B4 -:0416A20085A6853E56 -:0416A30000EFC03E56 -:0416A400577D7EA050 -:0416A5001563478200 -:0416A600473106E5DD -:0416A700853EC39821 -:0416A80006B000EF99 -:0416A900D8E3A029B9 -:0416AA0047B1FA0446 -:0416AB004501C11C18 -:0416AC00442240B2E2 -:0416AD000141449221 -:0416AE0040188082DE -:0416AF004E638F05F2 -:0416B00045AD02073B -:0416B10000E5F663F7 -:0416B200943AC0188E -:0416B300A029C004A6 -:0416B40092634058A5 -:0416B500C2180286CF -:0416B60000EF853E7E -:0416B7000513031004 -:0416B800071300B460 -:0416B90099610044EF -:0416BA0040E507B34D -:0416BB00943ED3F195 -:0416BC00C0188F09BA -:0416BD00C2D8BF755B -:0416BE0086A2B7C584 -:0416BF00BF85404063 -:0416C00000350413DA -:0416C10003E3987136 -:0416C20005B3FC85EB -:0416C300853E40A47C -:0416C400279DC03E60 -:0416C5004782577D84 -:0416C600FAE51AE344 -:0416C700A303BFBDFD -:0416C8001111008577 -:0416C900CC06C8265D -:0416CA00C232CA223C -:0416CB00E36384AEA3 -:0416CC00D6030866D3 -:0416CD00771300C5CA -:0416CE00CB3D4806C2 -:0416CF0048C882AADB -:0416D0004080470D02 -:0416D10002A7073332 -:0416D2004509498CF1 -:0416D30040B407B365 -:0416D4004433C03E9D -:0416D500851302A7D0 -:0416D600953E001627 -:0416D70000A4736395 -:0416D8007613842AD7 -:0416D900C6364006CB -:0416DA008516C63D6E -:0416DB00C41685A20A -:0416DC00ECFFF0EF40 -:0416DD0046B242A22D -:0416DE00CD2D473196 -:0416DF00488C4602EB -:0416E000C42AC6361C -:0416E100C5FFF0EF62 -:0416E20000C4D60367 -:0416E30046B24322A6 -:0416E400B7F67613CC -:0416E500080666137A -:0416E60000C4962383 -:0416E700A82347826B -:0416E800C8C0006412 -:0416E9008C1D933E83 -:0416EA000064A023D5 -:0416EB008336C480FE -:0416EC000066F3633E -:0416ED004592833669 -:0416EE00861A408890 -:0416EF002701C01AF5 -:0416F00043024498D5 -:0416F1000733450175 -:0416F200C4984067F1 -:0416F300933A40984E -:0416F4000064A023CB -:0416F5008516A80DA1 -:0416F600C41686226E -:0416F700832A2F050E -:0416F80046B242A212 -:0416F900488CFD45D7 -:0416FA00C01685167B -:0416FB00DA5FF0EFD3 -:0416FC0047314282AE -:0416FD0000E2A02344 -:0416FE0000C4D7034A -:0416FF006713557D9B -:041700009623040721 -:0417010040E200E4DE -:0417020044C2445247 -:04170300808201716E -:0417040000C5D70342 -:04170500F781011354 -:04170600DEA6C12278 -:0417070001F1079352 -:04170800C22AC30628 -:041709007713C02E64 -:04170A0084B2080796 -:04170B00FF87F4134D -:04170C004998CB1D10 -:04170D000593EB0D48 -:04170E00C6360400D7 -:04170F00E03FF0EFD8 -:0417100046B2478214 -:04171100CB88C38836 -:041712004712E91978 -:04171300557D47B108 -:04171400409AC31C18 -:0417150054F6440A38 -:041716000881011332 -:041717004782808203 -:0417180004000713AF -:041719000713CBD80F -:04171A000CA302001A -:04171B00071300E4CC -:04171C002A23030079 -:04171D000D23000494 -:04171E00CA3600E4E3 -:04171F000613872600 -:0417200046830250AA -:04172100C299000762 -:041722000AC69863F8 -:0417230040970333B5 -:041724000203026357 -:0417250045124582A2 -:041726008626869AF3 -:04172700C61AC83ADC -:04172800E7FFF0EFF8 -:04172900026356FD04 -:04172A0048541CD52E -:04172B0047424332BC -:04172C00C854969A6D -:04172D0000074683E8 -:04172E001A068963AB -:04172F000017049308 -:041730002023577D9E -:041731002623000467 -:04173200C058000497 -:041733000004242367 -:04173400040401A305 -:0417350004042C2359 -:041736000004C58363 -:04173700000187B76F -:0417380085134615BA -:041739002B7D974726 -:04173A0000148713FD -:04173B00E539401438 -:04173C000106F61399 -:04173D000613C609C0 -:04173E0001A3020001 -:04173F00F61304C4D5 -:04174000C609008650 -:0417410002B00613D9 -:0417420004C401A337 -:041743000004C58356 -:0417440002A00613E6 -:0417450004C58163F3 -:04174600872644545A -:04174700452545816E -:04174800460342A969 -:04174900031300077F -:04174A00061300176B -:04174B007963FD06BB -:04174C00C99506C570 -:04174D00A805C454D3 -:04174E00B7A1070533 -:04174F00000187B757 -:04175000974786131E -:0417510040C5063356 -:041752001533450501 -:041753008EC900C576 -:0417540084BAC0147F -:041755004652B751F0 -:0417560000460593B1 -:04175700CA2E421044 -:0417580002064963D9 -:041759004603C4502F -:04175A0006930007EB -:04175B00116302E034 -:04175C00460306D664 -:04175D0006930017D8 -:04175E001B6302A067 -:04175F0046D202D696 -:0417600086130709DC -:041761004294004668 -:04176200C163CA3263 -:04176300C054020666 -:041764000633A08127 -:04176500E69340C007 -:04176600C450002645 -:04176700B7E1C01412 -:04176800025686B3EC -:04176900871A458511 -:04176A00BFA596B2CF -:04176B00B7C556FDAB -:04176C002223070528 -:04176D0045810004AE -:04176E004525468146 -:04176F00460342A942 -:041770000313000758 -:041771000613001744 -:041772007763FD0696 -:04177300F1E106C5D5 -:0417740000074583A2 -:04177500000184B734 -:041776008513460D84 -:04177700C63A97C413 -:04177800473221D102 -:041779008493CD0187 -:04177A008D0597C47E -:04177B0004000693CD -:04177C0000A696B37A -:04177D000705400814 -:04177E00C0088D55BD -:04177F000007458397 -:0417800000018537A8 -:0417810005134619ED -:04178200049398052F -:041783000C2300171C -:04178400294900B43B -:041785000737C52D30 -:041786000713000045 -:04178700E70D000763 -:041788004752401470 -:041789001006F693BD -:04178A000711CE91E4 -:04178B004858CA3AB6 -:04178C00973E47A29B -:04178D00B599C858EA -:04178E00025686B3C6 -:04178F00871A4585EB -:04179000BFB596B299 -:04179100B7DD072198 -:0417920045124602B4 -:04179300000166B734 -:0417940086930858D8 -:0417950085A2B1E692 -:0417960000000097B8 -:04179700000000E767 -:0417980047A2C42A76 -:0417990094E3577D01 -:04179A004782FCE79F -:04179B00D703557D9E -:04179C00771300C7F8 -:04179D001EE304073C -:04179E004848DC07D4 -:04179F004602BBD96A -:0417A00066B74512D1 -:0417A10008580001E3 -:0417A200B1E6869393 -:0417A3002A0585A2EC -:0417A4001101BFC1AF -:0417A5008332CA269B -:0417A600459084B630 -:0417A700CC22499473 -:0417A800842ACE06BB -:0417A900D36387AED1 -:0417AA0086B200C63D -:0417AB0000D3202324 -:0417AC000437C60335 -:0417AD000685C601E6 -:0417AE0000D3202321 -:0417AF00F6934394D6 -:0417B000C6910206D6 -:0417B1000003268388 -:0417B2002023068961 -:0417B300A28300D33A -:0417B4008393000714 -:0417B500F293019713 -:0417B60083630062E7 -:0417B7004390060253 -:0417B8000437C683A9 -:0417B900020676139B -:0417BA0000D036B372 -:0417BB008613EA4166 -:0417BC0085A60437C3 -:0417BD00C41A8522A3 -:0417BE00C03AC23E2D -:0417BF0056FD97023A -:0417C00006D50863DF -:0417C10043224792E6 -:0417C20043944511F6 -:0417C30000032603F6 -:0417C4008A9947CCEB -:0417C5004702430193 -:0417C60000A697637F -:0417C70040C5833363 -:0417C8000003536364 -:0417C90047944301FD -:0417CA0054634B9089 -:0417CB008E9100D625 -:0417CC00428193368D -:0417CD00126307E9B3 -:0417CE004501065378 -:0417CF000285A81DCA -:0417D000260347D4D1 -:0417D1008E910003F2 -:0417D200F8D2DBE38B -:0417D300861E4685A3 -:0417D400852285A63F -:0417D500C63EC81A2A -:0417D600C21EC41655 -:0417D7009702C03A7B -:0417D800470256FD71 -:0417D90042A2439253 -:0417DA00434247B28D -:0417DB00FCD519E33D -:0417DC0040F2557D05 -:0417DD0044D244624C -:0417DE00808261059F -:0417DF0000D785B3F7 -:0417E00003000513EA -:0417E10004A581A337 -:0417E2000457C58360 -:0417E3000016861353 -:0417E4000689963E9E -:0417E50004B601A3A2 -:0417E6004685BF99DC -:0417E70085A6863E0F -:0417E800C61685227A -:0417E900C23EC41A1E -:0417EA009702C03A68 -:0417EB0001E356FDC3 -:0417EC0042B2FCD534 -:0417ED0047924322BA -:0417EE004702028527 -:0417EF001101BFAD78 -:0417F000842ECC2255 -:0417F1004603C232B7 -:0417F200CA2601847E -:0417F30084B6CE06E4 -:0417F4000693C02A6E -:0417F500859306E0F2 -:0417F600086304354B -:0417F700E4631ED6B3 -:0417F800069306C688 -:0417F900046306304F -:0417FA00ED630AD6BB -:0417FB00046300C6BD -:0417FC00069320062A -:0417FD000C630580F4 -:0417FE00031316D6E5 -:0417FF00012304249A -:04180000A87904C4FB -:041801000640069304 -:0418020000D60663A3 -:0418030006900693B2 -:04180400FED615E314 -:041805002303401465 -:04180600F6130007CE -:0418070005130806B7 -:04180800C24900438E -:04180900000326832F -:04180A008637C30852 -:04180B00D86300019D -:04180C0007130006B8 -:04180D0006B302D04C -:04180E0001A340D022 -:04180F00061304E4D4 -:041810004729988646 -:041811000693A07D1D -:041812000A6307302E -:04181300EF631AD68F -:04181400069300C671 -:04181500006306F076 -:04181600069306D659 -:041817001EE30700C5 -:041818004014F8D6AA -:041819000206E6934A -:04181A00A809C01445 -:04181B0007500693D9 -:04181C0004D6036388 -:04181D0007800693A7 -:04181E00F8D611E304 -:04181F0007800693A5 -:041820000001863706 -:0418210004D402A346 -:0418220099C606134A -:041823004314A0FDCD -:041824000424031382 -:0418250000468613E0 -:041826004298C31011 -:0418270004E40123B1 -:04182800AABD470509 -:041829000406F613A8 -:04182A00000326830E -:04182B00DE35C308DB -:04182C0086C106C2A9 -:04182D004008BF9D13 -:04182E00729343145A -:04182F008313080512 -:041830008663004685 -:04183100202300026E -:041832004294006775 -:041833007513A80180 -:041834002023040564 -:04183500D9750067FA -:041836000006D6834F -:0418370006F005139F -:0418380000018737ED -:041839000CA60D6389 -:04183A009887061372 -:04183B0001A3472995 -:04183C004048040418 -:04183D004863C40830 -:04183E00230300057B -:04183F00731300041B -:041840002023FFB3AF -:04184100E2990064C4 -:04184200CD11832E13 -:04184300F533832EC8 -:04184400137D02E628 -:041845004503953290 -:041846000023000576 -:04184700D53300A3F2 -:04184800F26302E65F -:0418490046A10AE6C4 -:04184A0000D71E6342 -:04184B008B054018B1 -:04184C004054CB1128 -:04184D00476348188D -:04184E00071300D7A5 -:04184F000FA30300E0 -:04185000137DFEE323 -:04185100406585B3B6 -:041852004692C80CE6 -:04185300872645029D -:0418540085A2081051 -:04185500F0EFC41AD2 -:04185600577DD3DF08 -:0418570015634322B0 -:04185800557D0CE5C9 -:04185900446240F2B3 -:04185A00610544D20E -:04185B0002A38082E2 -:04185C00863704C403 -:04185D00061300016D -:04185E004008988620 -:04185F000007230358 -:041860000805729372 -:0418610000032683D7 -:04186200816303118A -:04186300202302023A -:04186400771300678F -:04186500C7010015A2 -:0418660002056513FF -:041867004741C0082D -:041868004008F6B985 -:04186900FDF5751301 -:04186A00B791C0086A -:04186B00040572936B -:04186C0000672023CE -:04186D00FC028FE307 -:04186E0082C106C26B -:04186F000613BFD9C4 -:0418700047219887ED -:0418710086AAB72D5F -:041872004010B799D2 -:04187300484843148A -:04187400080672935D -:041875000046831393 -:041876000002876382 -:0418770000672023C3 -:04187800C3084298C7 -:041879002023A80977 -:04187A00761300677A -:04187B004298040685 -:04187C001023DA6DEE -:04187D00282300A775 -:04187E00832E0004B1 -:04187F004314B7B99E -:041880008613458105 -:04188100C31000464A -:041882000006A303B6 -:04188300851A405032 -:041884002849C41A11 -:04188500C501432234 -:041886004065053381 -:041887004058C048BD -:0418880001A3C818D8 -:04188900B715040487 -:04188A004592481427 -:04188B00861A450272 -:04188C00577D94826E -:04188D00F2E507E396 -:04188E008B0940186A -:04188F004742EB15CC -:0418900051E3444894 -:04189100853AF2E5BD -:041892004592BF318B -:04189300468545023F -:04189400C63A861AB0 -:041895009482C41A5B -:0418960004E356FD14 -:041897004732F0D50F -:0418980007054322DB -:04189900464244542B -:04189A0040E38E9108 -:04189B00BFC1FED7F4 -:04189C0003134701EA -:04189D00B7FD0194FE -:04189E00C222115100 -:04189F006465C02696 -:0418A000852E84AA63 -:0418A1002623C40630 -:0418A20020FDB2046F -:0418A300166357FD74 -:0418A400278300F5A1 -:0418A500C391B2C475 -:0418A60040A2C09C00 -:0418A7004482441221 -:0418A8008082013108 -:0418A9000FF5F593AF -:0418AA001463962A03 -:0418AB00450100C52E -:0418AC00478380826C -:0418AD008DE30005C2 -:0418AE000505FEB777 -:0418AF00F363B7FD2B -:0418B00086B304A552 -:0418B1007F6300C58C -:0418B200459302D583 -:0418B3004781FFF674 -:0418B400936317FD26 -:0418B500808200F538 -:0418B60000F687337E -:0418B70000074303E0 -:0418B80000C78733AB -:0418B9000023972A47 -:0418BA00B7DD00672F -:0418BB0000F587337A -:0418BC000007468358 -:0418BD0000F50733F8 -:0418BE000023078577 -:0418BF0017E300D754 -:0418C0008082FEF62E -:0418C100BFE54781B7 -:0418C200808280821E -:0418C30085B2E58184 -:0418C400F2EFF06FE0 -:0418C500C80611310F -:0418C600C426C6224C -:0418C700F0EFEA1143 -:0418C8004781E72F3E -:0418C900443240C2A3 -:0418CA00853E44A271 -:0418CB0080820151C5 -:0418CC00C032842E74 -:0418CD00203D84AA8C -:0418CE0087A24602A5 -:0418CF00FEC574E3FB -:0418D000852685B232 -:0418D100F0EFC23240 -:0418D20087AAEF8F63 -:0418D3004612DD617B -:0418D400C02A85A2FF -:0418D500C8EFF0EF79 -:0418D600852685A23C -:0418D700E34FF0EFFC -:0418D800B7C94782C3 -:0418D900FFC5A7831D -:0418DA00FFC78513AC -:0418DB000007D563CA -:0418DC00419C95AAEC -:0418DD008082953E32 -:0418DE00C4221141CE -:0418DF002783646196 -:0418E000C6065704DD -:0418E100832AC2266E -:0418E2005704041390 -:0418E3004501EB854B -:0418E40046014581F3 -:0418E50047014681F0 -:0418E6000D600293FC -:0418E700000000738A -:0418E8005C6384AA0F -:0418E900288100054D -:0418EA00409004B373 -:0418EB00557DC10462 -:0418EC00442240B2A0 -:0418ED0001414492DF -:0418EE00C00880822C -:0418EF0045814008E7 -:0418F000951A4601FE -:0418F10047014681E4 -:0418F2000293478195 -:0418F30000730D6011 -:0418F40084AA0000C2 -:0418F500000559632E -:0418F6002831C01ABB -:0418F70004B34302F1 -:0418F800C104409057 -:0418F900401C54FD3E -:0418FA00933E557D47 -:0418FB00FC6492E314 -:0418FC00853EC00461 -:0418FD0067E1BF756B -:0418FE004E87A50369 -:0418FF0000008082E3 -:0419000000000000E3 -:0419010000000000E2 -:0419020000000000E1 -:0419030000000000E0 -:04190400FFFFFFFFE3 -:04190500FFFFFFFFE2 -:041906000000FFFFDF -:041907000001052CAA -:0419080000010532A3 -:04190900000105389C -:04190A000001053E95 -:04190B00000104E0F3 -:04190C0000010500D1 -:04190D0000010500D0 -:04190E0000010500CF -:04190F0000010500CE -:0419100000010500CD -:0419110000010500CC -:0419120000010504C7 -:041913000001050AC0 -:04191400000128D2D4 -:04191500000129CED6 -:04191600000129CED5 -:04191700000129E2C0 -:04191800000129E2BF -:04191900000129EEB2 -:04191A00000129F2AD -:04191B0000012A009D -:04191C0000012A009C -:04191D0000012A1883 -:04191E0000012BE0B9 -:04191F0000012BE6B2 -:0419200000012BE6B1 -:0419210000012BE0B6 -:0419220000012BEAAB -:0419230000012A187D -:0419240000012BE0B3 -:0419250000012BE6AC -:0419260000000001BC -:0419270002050300B2 -:0419280000000002B9 -:04192900000165B4A0 -:04192A00000165C48F -:04192B00000165D082 -:04192C00000165DC75 -:04192D00000165E868 -:04192E00000165F45B -:04192F00000166004D -:041930000001660C40 -:041931000001661833 -:041932000001662426 -:041933000001663019 -:0419340074204B4F81 -:041935006E69206F48 -:0419360000007469D0 -:04193700736C614626 -:041938007274206540 -:04193900656767690E -:04193A000000007237 -:04193B00656D695419 -:04193C000074756F4F -:04193D00252E7525B9 -:04193E006D75322E63 -:04193F000000007331 -:04194000252E7525B6 -:041941006D75322E60 -:0419420075252F7365 -:04194300322E252EED -:0419440000736D754A -:041945004353534F66 -:041946007766202080 -:041947007525202EB4 -:04194800322E252EE8 -:0419490000006175C4 -:04194A0074696E4905 -:04194B00727265202F -:04194C002020726F76 -:04194D00000064250D -:04194E002020202015 -:04194F0053204F4E84 -:0419500000434E59A9 -:0419510034313032CB -:041952003130322DD1 -:041953006D202039AA -:0419540073717261D8 -:04195500000000008E -:041956000DC0600060 -:0419570000783C11C7 -:04195800070180E023 -:041959000000180E64 -:04195A000000000089 -:04195B000D8060009B -:04195C00003018043B -:04195D0002014060E3 -:04195E00000014066B -:04195F000000000084 -:041960000D80600096 -:041961000040201012 -:041962000804022053 -:04196300000040221E -:04196400000000007F -:0419650025207325A1 -:041966000063257580 -:04196700252E75258F -:041968006B75322E3B -:0419690025207A4873 -:04196A002E252E7583 -:04196B007A4875320F -:04196C000000000077 -:04196D0074736554D6 -:04196E007461702010 -:04196F006E726574BB -:041970000000000073 -:041971003A31564170 -:041972004247522076 -:04197300000000531D -:041974003A3156416D -:041975007347522042 -:04197600000000422B -:041977003A3156416A -:041978006250592040 -:0419790000007250A8 -:04197A003A32564166 -:04197B00625059203D -:04197C0000007250A5 -:04197D003A32564163 -:04197E007347522039 -:04197F000000004222 -:041980003A3356415F -:041981004247522067 -:0419820000005648C3 -:041983003A3356415C -:041984004247522064 -:04198500000000530B -:041986003A33564159 -:041987007347522030 -:041988000000004219 -:041989003A33564156 -:04198A00625059202E -:04198B000000725096 -:04198C007473614CC3 -:04198D0065737520E9 -:04198E0000000064F1 -:04198F000000000054 -:041990000000000152 -:041991000000000052 +:040AAE00B79356F7AD +:040AAF000791001794 +:040AB0004799BDFDA8 +:040AB100EE070DE35C +:040AB20067D4C783BB +:040AB300479DBDCDD1 +:040AB40067E1B5FD44 +:040AB50067C7C783C5 +:040AB60000F037B362 +:040AB700B5C507A119 +:040AB8001EE3479959 +:040AB9004785EC077A +:040ABA00460DBDD94F +:040ABB004681450526 +:040ABC004609B74DE3 +:040ABD00BFE545014B +:040ABE00F593C402E6 +:040ABF0047210FF5C7 +:040AC0006963C202A2 +:040AC100675900B7BA +:040AC2005787071338 +:040AC3004703972E20 +:040AC400C23A00072B +:040AC50000F40E2308 +:040AC60004C447839A +:040AC700000405A37F +:040AC800458D47050C +:040AC90000F7766359 +:040ACA008D9D459524 +:040ACB000FF5F5939B +:040ACC00D036D2321C +:040ACD005DF010EFD9 +:040ACE006AF010EFCB +:040ACF00878FE0EF3E +:040AD000C78367E190 +:040AD100568274379E +:040AD200C789561268 +:040AD30010EF853269 +:040AD400568223B073 +:040AD50045924622DE +:040AD60020EF853652 +:040AD70047833920F8 +:040AD800656101C48F +:040AD90097134645E4 +:040ADA0067D90027B1 +:040ADB00584787935E +:040ADC00438C97BAF6 +:040ADD00694505134F +:040ADE0000042223CB +:040ADF006B9020EF09 +:040AE000000165B7F5 +:040AE10046456561C0 +:040AE2006185859312 +:040AE300730505137F +:040AE4006A5020EF45 +:040AE500C78367E17B +:040AE600EB997DB754 +:040AE7004398478267 +:040AE8000007478339 +:040AE9000027E79368 +:040AEA0000F70023EE +:040AEB00E72FE0EF22 +:040AEC00CF8947E285 +:040AED00C70367E1F3 +:040AEE0047A9675756 +:040AEF0000F7156394 +:040AF00010EF453D81 +:040AF100D0EF3660AC +:040AF200C802D5CF92 +:040AF3000234C703FF +:040AF400041447831C +:040AF50002F705639C +:040AF600011445039F +:040AF70047014781EB +:040AF80046014681EC +:040AF900D0EF458174 +:040AFA00C503BD7FF4 +:040AFB00E0EF0234F2 +:040AFC00C783EBEFD2 +:040AFD00222302347A +:040AFE0000A300044D +:040AFF00C58304F4B3 +:040B000047890234EB +:040B010002F5866310 +:040B02000244C703DF +:040B030004244783FC +:040B040002E7806321 +:040B0500011445038F +:040B06004589C191CB +:040B070000F447832C +:040B080046014681DB +:040B0900B99FD0EFD1 +:040B0A000244C78357 +:040B0B0004F40123CA +:040B0C000334C78364 +:040B0D000514470381 +:040B0E0000F7046385 +:040B0F0004F408A33F +:040B100067E16761D1 +:040B11005757468369 +:040B1200C7836761CD +:040B13004583574778 +:040B140066616A5755 +:040B150000F59663EE +:040B16006CB64583F1 +:040B170002D58D6313 +:040B18006AF702A3D3 +:040B190005A34702E7 +:040B1A0043146CD63E +:040B1B000017F713B5 +:040B1C000006C78385 +:040B1D008FD99BF9D8 +:040B1E0000F680233A +:040B1F004394478232 +:040B20006CB64783E5 +:040B210097138B8D0E +:040B2200C78300374E +:040B23009B9D000690 +:040B240080238FD9C2 +:040B2500478300F60C +:040B2600C3B501C48E +:040B2700E0EF4512A4 +:040B2800478987FF73 +:040B29000CF5026362 +:040B2A000A63479182 +:040B2B00478502F503 +:040B2C0004F5176352 +:040B2D0000B4478346 +:040B2E00E0EFC3B978 +:040B2F00A081E45F5E +:040B3000C43A470973 +:040B3100470DBD1D92 +:040B3200C703BFED49 +:040B330042850334C0 +:040B340014E3C416EC +:040B35004741E2074B +:040B360074E300A3C1 +:040B37004783BD39FA +:040B3800C39D00B4A5 +:040B39005783676116 +:040B3A00E7936A874C +:040B3B001423002758 +:040B3C0017376AF706 +:040B3D00202300026F +:040B3E00F0EF0EF7CF +:040B3F000513B03FAB +:040B4000D0EF12C020 +:040B4100B64DBFCF1F +:040B42000004222366 +:040B43004DB010EFB2 +:040B440001C447831E +:040B4500464565615B +:040B460000279713DA +:040B4700879367D950 +:040B480097BA5847B9 +:040B49000513438CC1 +:040B4A0020EF6945EA +:040B4B0065B750B08A +:040B4C0065610001DE +:040B4D008593464501 +:040B4E0005136185A5 +:040B4F0020EF73051B +:040B500067E14F709A +:040B51007DB7C78322 +:040B52004782EB9952 +:040B530047834398F9 +:040B5400E79300071C +:040B55000023002752 +:040B5600E0EF00F7D5 +:040B5700D0EFCC4FC0 +:040B5800CA02BC4FC2 +:040B5900BF61C802AE +:040B5A0000B4478319 +:040B5B00F0EFDBC913 +:040B5C00B771AA8F34 +:040B5D00656165D990 +:040B5E000613115118 +:040B5F008593042056 +:040B6000051371C543 +:040B6100C4067485CD +:040B620033B020EF9D +:040B6300656165DD86 +:040B640040C0061374 +:040B6500628585938D +:040B66007FC505132F +:040B6700327020EFD9 +:040B680067E140A25F +:040B69008123470598 +:040B6A00450174E7E6 +:040B6B008082013152 +:040B6C001111675D9F +:040B6D00840707935F +:040B6E00CC06C826C3 +:040B6F00C002CA22D4 +:040B7000C43E4481BA +:040B710075B764618F +:040B720046450001F3 +:040B73008E45859393 +:040B740068040513F9 +:040B7500461020EF17 +:040B7600971347A2E8 +:040B77006561002490 +:040B7800430C973E55 +:040B790005134645D5 +:040B7A0020EF6B8578 +:040B7B00E0EF44B0B3 +:040B7C004681BEAF41 +:040B7D001737C22242 +:040B7E0025830002C9 +:040B7F0067C10D0736 +:040B8000F63317FD34 +:040B8100646100F5B6 +:040B82007CC42623E6 +:040B83000D07270330 +:040B8400000305372E +:040B8500471367E1CA +:040B86008F69FFF77D +:040B87007CE7A8233C +:040B8800CA15C63E86 +:040B89000863478234 +:040B8A00676102F6A7 +:040B8B0000149513AA +:040B8C0078C707130C +:040B8D00EABD972AFC +:040B8E001023479257 +:040B8F0075B700B77F +:040B900046450001D5 +:040B91008EC58593F5 +:040B92006807851358 +:040B93003E9020EF81 +:040B9400B88FE0EF47 +:040B950067614685C9 +:040B9600260347B239 +:040B9700A3037C47F1 +:040B980087BA7D0794 +:040B99006741E605C5 +:040B9A0002E31263FD +:040B9B0065DDE0BD77 +:040B9C000613656176 +:040B9D0085930360D9 +:040B9E0005138AC5EC +:040B9F00C01A78C53B +:040BA000243020EFEE +:040BA100468943023C +:040BA20067E144EDD6 +:040BA3007CC42703E4 +:040BA4007C67A223A5 +:040BA500C03AC63656 +:040BA6008F63470909 +:040BA700650902E6F4 +:040BA80071050513BB +:040BA900A5AFD0EF35 +:040BAA00B7B146B2E7 +:040BAB0000075703E5 +:040BAC0002E3468991 +:040BAD004792FAE68B +:040BAE00000175B716 +:040BAF00859346459F +:040BB00085138F45D5 +:040BB10020EF6807C2 +:040BB200E0EF36F04A +:040BB3004681B0EFD8 +:040BB40014F9B75920 +:040BB500BF5D468951 +:040BB6004769048502 +:040BB700EE9754E37E +:040BB80040E2445281 +:040BB900453D44C2B0 +:040BBA00106F017146 +:040BBB00011303E03F +:040BBC000793FBC1DF +:040BBD00CE3E0131F6 +:040BBE00879367E1D1 +:040BBF00D03E761797 +:040BC000879367E1CF +:040BC100D23E762783 +:040BC200879367E1CD +:040BC300D43E76376F +:040BC400879367E1CB +:040BC500D63E76475B +:040BC60067E1D83ECD +:040BC7007657879343 +:040BC80027B7DA3E33 +:040BC90087930F03FC +:040BCA00CA3EF01718 +:040BCB00303007932C +:040BCC001C23636122 +:040BCD00478D00F15F +:040BCE0000F10D2302 +:040BCF006CC3079359 +:040BD00001C7C7830F +:040BD100C086DE22DA +:040BD20009A3DC2671 +:040BD30047250001B1 +:040BD4006CC30313D8 +:040BD50086634405EA +:040BD600841300E79D +:040BD700741300177C +:040BD80067E10FF4CE +:040BD900A6036761A7 +:040BDA0007137CC7BA +:040BDB00478178C70F +:040BDC00568345E90E +:040BDD001C6300078E +:040BDE00476900D68D +:040BDF0010F7626346 +:040BE000078A6759C0 +:040BE1007607071379 +:040BE200439C97BADF +:040BE30007098782F5 +:040BE40050B78C6317 +:040BE500BFF10785D0 +:040BE60066E14785F8 +:040BE7006A86D70340 +:040BE8001007471398 +:040BE9006AE6942301 +:040BEA002703676115 +:040BEB0016937D07D9 +:040BEC00D36300F7D8 +:040BED0087A20006D5 +:040BEE0000E7169373 +:040BEF000006DE63BB +:040BF0004683676170 +:040BF100458574873B +:040BF200E563460170 +:040BF300068500D59E +:040BF4000FF6F613EF +:040BF50074C704239A +:040BF6004501472945 +:040BF70000E786632A +:040BF8000EA3676180 +:040BF900450566F751 +:040BFA00D70367E1D5 +:040BFB0066E16A87BE +:040BFC007DB6C68379 +:040BFD0007429B4DC3 +:040BFE009423834178 +:040BFF00EA816AE736 +:040C0000C68366E160 +:040C0100068A6B668E +:040C020094238F5553 +:040C0300D7036AE7C2 +:040C040040866A8735 +:040C050017B7547257 +:040C0600A023000225 +:040C070054E20EE7BE +:040C0800044101138F +:040C09004789808215 +:040C0A00478DBF8DC6 +:040C0B004791B7BD99 +:040C0C004795B7ADA4 +:040C0D004799B79DAF +:040C0E00479DB78DBA +:040C0F0047A1BFB981 +:040C100047A5BFA98C +:040C110066E1BF9940 +:040C12007DB6C78361 +:040C130026036761EC +:040C1400B793570734 +:040C15008DA3001794 +:040C160047037CF61E +:040C1700078A000642 +:040C18008FD99B6D68 +:040C190000F60023BE +:040C1A00C70367E1C4 +:040C1B0067E16C978A +:040C1C0066E78B23D9 +:040C1D007DB6C78356 +:040C1E004505C79130 +:040C1F003E5000EF54 +:040C2000BF2147A900 +:040C210099AFE0EFB8 +:040C220067E1BFE5E2 +:040C230057C7A70305 +:040C2400000166372E +:040C2500448365613E +:040C260047830007F9 +:040C27000613001799 +:040C280045C57DC67B +:040C29008FC507A2CA +:040C2A0000274483D8 +:040C2B006805051340 +:040C2C008FC504C2AA +:040C2D0000374483C5 +:040C2E008CDD04E273 +:040C2F0000B4D693A4 +:040C30000016F79320 +:040C31007FF4F393C6 +:040C3200C61EC43ED8 +:040C330000474683AD +:040C340000574283A0 +:040C3500E6B302A27E +:040C3600428300D223 +:040C37004703006708 +:040C380002C200777D +:040C390000D2E2B350 +:040C3A0000A306838A +:040C3B0002B2477149 +:040C3C0002E686B393 +:040C3D0007136761D1 +:040C3E00D2937FC707 +:040C3F00C21600C217 +:040C400066E197369C +:040C41006C96C68364 +:040C4200093020EF66 +:040C4300831367E1CF +:040C440047036CC72F +:040C4500C72100B310 +:040C460047A243B2CC +:040C470006900713F9 +:040C4800001386937C +:040C490000F696B368 +:040C4A00979396BE28 +:040C4B00429201448C +:040C4C000007C46376 +:040C4D000700071382 +:040C4E00000307B7E1 +:040C4F0007938CFD7E +:040C5000E09902A085 +:040C51000200079303 +:040C52000001663700 +:040C5300C016656101 +:040C54007E8606137F +:040C5500051345C579 +:040C560020EF6B859B +:040C570067E104103D +:040C58005707A70390 +:040C590000074783C6 +:040C5A000047E793D5 +:040C5B0000F700237B +:040C5C00868FE0EFB0 +:040C5D006761B731E3 +:040C5E006A875783C7 +:040C5F000107C7932F +:040C60006AF71423F8 +:040C610067E1BDF595 +:040C62007487C703C9 +:040C6300468146057B +:040C640000E66563DE +:040C65007693070576 +:040C660084230FF7DD +:040C6700B5CD74D7BC +:040C6800871367E1A6 +:040C69004703748742 +:040C6A004605001724 +:040C6B007487879370 +:040C6C0065634681F5 +:040C6D00070500E691 +:040C6E000FF7769373 +:040C6F0000D780A387 +:040C70006761B5C142 +:040C710074870793EA +:040C72000067C783CD +:040C73007487071368 +:040C740017FDC78120 +:040C75000FF7F793EB +:040C760000F703235D +:040C77006761B555A7 +:040C78007487071363 +:040C79000067478346 +:040C7A00F36346B921 +:040C7B0047B900F67F +:040C7C00B7DD078554 +:040C7D00000165B756 +:040C7E004645656121 +:040C7F007F85859355 +:040C800068050513EB +:040C8100031020EF4D +:040C820075B762E1FF +:040C830085130001D4 +:040C840046456B82F4 +:040C8500808585934E +:040C860001D020EF8A +:040C8700A68364E1FB +:040C8800C7835704C3 +:040C8900E7930006E7 +:040C8A00802300477C +:040C8B00D0EF00F6B0 +:040C8C006761FABFE3 +:040C8D0062E167E1D8 +:040C8E007FC7071302 +:040C8F006CC7831398 +:040C9000000217B790 +:040C91000D07A603A2 +:040C9200879367E1FC +:040C9300064278C7D6 +:040C940046818241D2 +:040C9500D50345ED51 +:040C960006630007EA +:040C9700068500C509 +:040C98009AE307894B +:040C99000783FEB619 +:040C9A00467100A3FC +:040C9B0002C787B352 +:040C9C0097BA4615A8 +:040C9D0083F54BDCB4 +:040C9E0002F6646393 +:040C9F004163461156 +:040CA000183806D624 +:040CA1004703973E30 +:040CA2005733FDC700 +:040CA3008B0540D7A6 +:040CA400078AC70DE7 +:040CA50097BA1838AA +:040CA600FE47A783DB +:040CA70000D78023CF +:040CA8005704A70343 +:040CA9000007478376 +:040CAA0000239BED9B +:040CAB00D0EF00F78F +:040CAC0067E1F71FE6 +:040CAD007C078DA390 +:040CAE007637B3E101 +:040CAF0006850001B5 +:040CB0008146061360 +:040CB100851345C59D +:040CB20020EF6B8242 +:040CB300D0EF6D0011 +:040CB400A537F0BFB1 +:040CB500051300071C +:040CB600C0EF120574 +:040CB700B7C9E25F78 +:040CB8008FE347B1CE +:040CB9006509FAF6D9 +:040CBA0071050513A8 +:040CBB00E13FC0EF66 +:040CBC00871367E152 +:040CBD0067E17FC7A5 +:040CBE006CC7831369 +:040CBF00B78962E1AE +:040CC00000A3068304 +:040CC10067E1467130 +:040CC20002C68633AD +:040CC3007FC787134D +:040CC400879345814C +:040CC50097327FC71C +:040CC6000167470378 +:040CC70065634679A2 +:040CC800070500E636 +:040CC9000FF7759319 +:040CCA0086334671B6 +:040CCB00676102C695 +:040CCC008B2397B22D +:040CCD00460500B721 +:040CCE0074C70123C3 +:040CCF00470367610F +:040CD0009FE37E47D9 +:040CD100C703D2E69D +:040CD20067E101676E +:040CD3007CE79C23FB +:040CD4000683BB05D3 +:040CD500467100A3C1 +:040CD600863367E119 +:040CD700871302C6B7 +:040CD80045FD7FC790 +:040CD9007FC78793B7 +:040CDA004703973203 +:040CDB00DF4D016781 +:040CDC00BF4D177D74 +:040CDD004481478582 +:040CDE006561C23E4C +:040CDF00000177B7E2 +:040CE000859346456D +:040CE100051382472E +:040CE20020EF680592 +:040CE30046A96AA014 +:040CE40002D486B3FD +:040CE50047924615D7 +:040CE6005363873697 +:040CE700471500D6D7 +:040CE800763762E118 +:040CE900851300016E +:040CEA0007256B82ED +:040CEB008346061323 +:040CEC00C63E45C5F6 +:040CED005E6020EF36 +:040CEE00A60366E112 +:040CEF00470357065A +:040CF0006713000680 +:040CF1000023004795 +:040CF200D0EF00E659 +:040CF30066E1E0FFD7 +:040CF400C23647B20B +:040CF50066C162E191 +:040CF60016FDC4160D +:040CF70000021737A9 +:040CF8000D072703BA +:040CF900EF998F756B +:040CFA0067E1CF11CE +:040CFB0078C786131D +:040CFC00478145EDFA +:040CFD000006550395 +:040CFE0002E50263A6 +:040CFF000609078556 +:040D0000FEB79AE3BD +:040D01000513650968 +:040D0200C63A710577 +:040D0300CF3FC0EF2F +:040D040087BA473231 +:040D050006936741A9 +:040D0600B7C9FFF773 +:040D07008763462593 +:040D0800461100C7C9 +:040D09004625E0910A +:040D0A0004C7DE63D9 +:040D0B0007854729E8 +:040D0C0002E7E7B360 +:040D0D0002E4833346 +:040D0E00979A6761E8 +:040D0F0066F70B2355 +:040D1000F59FE0EF7C +:040D110066B7C91DDB +:040D120086930001C3 +:040D130047A27D4630 +:040D1400000176372D +:040D1500BD8606137E +:040D1600851345C537 +:040D170020EF6B87D7 +:040D1800D0EF53C005 +:040D1900A537D77FA4 +:040D1A0005130007B6 +:040D1B00C0EF12050E +:040D1C004792C91F12 +:040D1D005707A703CA +:040D1E0066B7B535CA +:040D1F0086930001B6 +:040D2000B7F17CC6E5 +:040D21009663466926 +:040D2200C49300C7AF +:040D230087BA001477 +:040D24004631B5E5BA +:040D2500F6C798E392 +:040D260067E1BFE9D9 +:040D27007DB7C7834A +:040D2800BE0790E38F +:040D2900BCD587A20C +:040D2A00BCFD47A91C +:040D2B00DBC1011314 +:040D2C002023182840 +:040D2D002E2324113C +:040D2E002C232281CF +:040D2F00D0EF22914E +:040D3000C22AC7BF4D +:040D3100356020EF1A +:040D3200440D479293 +:040D33004611EBC5B5 +:040D34000828182C47 +:040D3500560020EF55 +:040D3600000175B78C +:040D37008593461149 +:040D38000828A905D9 +:040D3900524020EF15 +:040D3A0006400793D5 +:040D3B005783E941B0 +:040D3C00059303C157 +:040D3D00462103E167 +:040D3E0001E10513B7 +:040D3F0000F11E237E +:040D4000534020EF0D +:040D4100046157836F +:040D4200020102A305 +:040D430000F11A237E +:040D4400048157834C +:040D450000F11B237B +:040D460000EF455223 +:040D47005783753029 +:040D4800D42A04A104 +:040D490000F11A2378 +:040D4A0004C1578306 +:040D4B0000F11B2375 +:040D4C0000EF45521D +:040D4D00578373B0A5 +:040D4E00D62A04E1BC +:040D4F0000F11A2372 +:040D500005015783BF +:040D510000F11B236F +:040D520000EF455217 +:040D5300D82A7230F8 +:040D5400234125030F +:040D550000EFCA2AB7 +:040D560055A27170C1 +:040D57000793DA2AFA +:040D580087131E20BF +:040D5900E063FE65F0 +:040D5A00460514E74F +:040D5B0000EF182865 +:040D5C0057D27230C8 +:040D5D0006F50B6329 +:040D5E000660079391 +:040D5F0020EFC23E81 +:040D6000479229C0CD +:040D61008963470556 +:040D620047632AE7D2 +:040D6300071326F755 +:040D64008863F3505D +:040D650044632AE7D2 +:040D6600071324F754 +:040D67008763F3406B +:040D680075B72AE74A +:040D6900859300016D +:040D6A00C23E9DC523 +:040D6B00851367E1A4 +:040D6C0046456B8706 +:040D6D00480020EF2B +:040D6E00C21FD0EFE1 +:040D6F00000F4537F5 +:040D7000240505133E +:040D7100B3BFC0EF5D +:040D7200DA63479267 +:040D730058632A0790 +:040D740076372A8024 +:040D750065610001B3 +:040D7600AFC60613EB +:040D7700051345C556 +:040D780020EF6805FB +:040D7900147D3B802A +:040D7A006461A2B955 +:040D7B0000017637C6 +:040D7C00A98606132B +:040D7D00051345C550 +:040D7E0020EF6804F6 +:040D7F0056B23A002E +:040D8000763767E17A +:040D810085130001D5 +:040D820006136B8762 +:040D830045C5AA8632 +:040D840038A020EF84 +:040D8500BC5FD0EF90 +:040D860054B257C24A +:040D8700C23E430124 +:040D8800C42245013B +:040D89000893646304 +:040D8A009263479297 +:040D8B0047831AA7D9 +:040D8C00468301E1B8 +:040D8D00470301C156 +:040D8E00CFD501D1EB +:040D8F00000177B731 +:040D90009A87879324 +:040D910006134522DE +:040D9200C03201E189 +:040D930000017637AE +:040D9400AB46061351 +:040D9500051345C538 +:040D960020EF6805DD +:040D970067E13400DC +:040D9800000175B72A +:040D990085934645B3 +:040D9A008513B0C548 +:040D9B0020EF6B8753 +:040D9C006441254049 +:040D9D00B65FD0EF7E +:040D9E0014B7147DF5 +:040D9F00A783000224 +:040DA00067610D0476 +:040DA10078C7568336 +:040DA2008B638FE1EF +:040DA300071306F636 +:040DA400570378C7B2 +:040DA50000630027C0 +:040DA600650914F7D0 +:040DA70071050513BA +:040DA800A5FFC0EFF4 +:040DA9000793BFD914 +:040DAA00BDC9065069 +:040DAB00406482B36B +:040DAC002000079389 +:040DAD000057F46394 +:040DAE00200002938C +:040DAF00041367E1E1 +:040DB0009713200372 +:040DB10085130102A3 +:040DB200834166878C +:040DB300561346810C +:040DB400182C009463 +:040DB500C616C81A7C +:040DB600054020EFE5 +:040DB700166387AA8E +:040DB80043420E059F +:040DB900182842B202 +:040DBA0000133613D9 +:040DBB0000EF85962A +:040DBC0083225A3004 +:040DBD0077B7BF0540 +:040DBE008793000116 +:040DBF00B799BE071B +:040DC0002E6010EFA2 +:040DC100578367618C +:040DC20065096A87CE +:040DC300710505139E +:040DC4000027E7938A +:040DC5006AF7142392 +:040DC6000EF4A02364 +:040DC7009E3FC0EF9C +:040DC800000175B7FA +:040DC90046456561D5 +:040DCA00AC0585935C +:040DCB00680505139F +:040DCC00304020EFA4 +:040DCD0067E1440D89 +:040DCE00000174B7F5 +:040DCF006B87851396 +:040DD000859346457C +:040DD10020EFACC49F +:040DD200D0EF2EE050 +:040DD3005632A8FFED +:040DD4004581183409 +:040DD500D0EF450511 +:040DD60087AAA11F28 +:040DD700E20510E33E +:040DD80075B7676123 +:040DD90005130001FD +:040DDA00464568071B +:040DDB00ADC585938A +:040DDC002C4020EF98 +:040DDD00ACC485938A +:040DDE00464564E141 +:040DDF006B84851389 +:040DE0002B4020EF95 +:040DE100A55FD0EF4B +:040DE20055B256426E +:040DE300450118347A +:040DE400945FD0EF59 +:040DE50013E387AAE3 +:040DE60020EFDE0517 +:040DE7006761080038 +:040DE800000175B7DA +:040DE9008593464563 +:040DEA000513B20536 +:040DEB0020EF680786 +:040DEC0075B7114086 +:040DED004645000176 +:040DEE00AEC5859376 +:040DEF006B84851379 +:040DF000274020EF89 +:040DF100A15FD0EF3F +:040DF200440DA0010B +:040DF300440DBB45AB +:040DF40006700793EB +:040DF500440DB36591 +:040DF60006800793D9 +:040DF7000713B345E6 +:040DF8008A63F360B7 +:040DF900071306E7EF +:040DFA009CE3F37013 +:040DFB0075B7DAE707 +:040DFC0085930001DA +:040DFD00BB55A3C57A +:040DFE000660071371 +:040DFF0006E782631E +:040E000000F74F6345 +:040E0100064007138D +:040E020006E781631B +:040E0300065007137B +:040E0400D8E799E3AF +:040E0500000175B7BC +:040E06009F458593EC +:040E07000713B379A1 +:040E08008963067084 +:040E0900071304E7E0 +:040E0A009CE30680DF +:040E0B0075B7D6E7FA +:040E0C0085930001C9 +:040E0D00BB95A2856A +:040E0E00000175B7B3 +:040E0F009E458593E4 +:040E100075B7B3AD52 +:040E110085930001C4 +:040E1200B385A5C53A +:040E1300000175B7AE +:040E1400A6C5859357 +:040E150075B7BB9959 +:040E160085930001BF +:040E1700B3B1A4C50A +:040E1800000175B7A9 +:040E1900A0458593D8 +:040E1A0075B7B3896C +:040E1B0085930001BA +:040E1C00BB25A80545 +:040E1D00000175B7A4 +:040E1E00A1458593D2 +:040E1F002083B33D3C +:040E20002403240182 +:040E2100248323C142 +:040E2200557D238156 +:040E23002441011352 +:040E240067E1808280 +:040E25007DA7C703DB +:040E260067E146F149 +:040E27007EE78223BD +:040E280002D70733B3 +:040E2900879367E163 +:040E2A0097BA7FC72D +:040E2B0000E7D68383 +:040E2C0011236761C6 +:040E2D004B987ED789 +:040E2E008B7D66E171 +:040E2F007EE69423A4 +:040E30000147C6832D +:040E310015236761BD +:040E3200C6837ED71E +:040E330067610127CB +:040E34007CD71B2329 +:040E350066E1479893 +:040E3600902383552D +:040E3700C6837EE60A +:040E38006761015796 +:040E39007CD71E2321 +:040E3A000137C68333 +:040E3B0013236761B5 +:040E3C00D6837ED704 +:040E3D00676100C722 +:040E3E007CD71F231B +:040E3F000167C7037D +:040E40009C2367E1A7 +:040E410080827CE748 +:040E4200C58367E11C +:040E430067E17E479E +:040E44007E27D683AC +:040E4500D28367E10C +:040E460067E17E875B +:040E47007EA7D78328 +:040E4800CA26111194 +:040E490067E1C03E5F +:040E4A007D67C78376 +:040E4B006761CC22ED +:040E4C0067E1C23E5A +:040E4D007E07D783C2 +:040E4E007FC7071340 +:040E4F0067E1C43E55 +:040E50007DC7D78300 +:040E510067E1C63E51 +:040E52007E67C7836D +:040E530067E1C83E4D +:040E54007DE7D483DF +:040E5500D30367E17B +:040E560067E17D874C +:040E57006CC787934A +:040E580000B7C60316 +:040E59008603C24109 +:040E5A001D6300A76D +:040E5B0047F106B69F +:040E5C0002F607B3E0 +:040E5D00D38397BAEA +:040E5E00916300E7B5 +:040E5F00A38306D390 +:040E6000F41301077F +:040E6100F3930FF206 +:040E6200996301F39C +:040E6300C3830483BE +:040E640044020147FC +:040E6500048394630B +:040E66000127C3831A +:040E67009F6344122F +:040E6800A3830283DB +:040E69004422008798 +:040E6A000153D393CA +:040E6B000283986303 +:040E6C000157C383E4 +:040E6D009363443215 +:040E6E00C3830283B5 +:040E6F0044420137C1 +:040E700000839E63FA +:040E710000C7D7835C +:040E720000979A63E8 +:040E7300063347F10A +:040E7400963A02F6B2 +:040E75000166478348 +:040E76000067866328 +:040E7700460567E1E4 +:040E780074C7812397 +:040E790087B347F103 +:040E7A00F29302F5F8 +:040E7B0097BA01F22F +:040E7C000107D60391 +:040E7D0000D79723E0 +:040E7E0062B39A01C0 +:040E7F00982300565E +:040E800046020057CF +:040E810000C78A23F9 +:040E82008923461268 +:040E8300462200C73C +:040E8400005612936F +:040E850000A7D603E9 +:040E860066338A7DC8 +:040E87009523005659 +:040E8800463200C727 +:040E890000C78AA371 +:040E8A009623464223 +:040E8B008B2300971E +:040E8C0089A30067CF +:040E8D00666100C7D3 +:040E8E007D86061344 +:040E8F00166367E19E +:040E9000069302C5FE +:040E91000333465091 +:040E9200069302D3EE +:040E93007637064068 +:040E94000613000140 +:040E950046B3570603 +:040E9600446202D3DD +:040E970045C544D237 +:040E98006B878513CC +:040E9900106F017164 +:040E9A0066617350CA +:040E9B007E26061396 +:040E9C0000C5076323 +:040E9D000613666171 +:040E9E0017637E86D2 +:040E9F00467102C5D1 +:040EA00002C585B34F +:040EA100446246154C +:040EA200851344D29E +:040EA300972E6B8794 +:040EA40045C54B18DD +:040EA50007338B7D07 +:040EA600763702C7D2 +:040EA700061300012D +:040EA80001715786F7 +:040EA9006F70106FE7 +:040EAA000001763796 +:040EAB000005568365 +:040EAC0056060613CD +:040EAD000693B75D94 +:040EAE0006B3271050 +:040EAF00079302D5CE +:040EB000763706404B +:040EB1006561000176 +:040EB200BDC60613A0 +:040EB300051345C519 +:040EB400C6B36B85D1 +:040EB500106F02F6C2 +:040EB600F06F6C501D +:040EB70086AAFDDF2B +:040EB8000001763788 +:040EB9000613656156 +:040EBA0045C555C60F +:040EBB006B8505132B +:040EBC006AB0106F99 +:040EBD00763786AA54 +:040EBE006561000169 +:040EBF00B8860613D8 +:040EC000051345C50C +:040EC100106F6B85BE +:040EC20046B7695076 +:040EC3008693000F03 +:040EC40005332406C8 +:040EC500678902D562 +:040EC6009647879331 +:040EC7003E8007134F +:040EC800006336B7D6 +:040EC900EA0686931C +:040ECA000001763776 +:040ECB00B7C606138D +:040ECC0057B345C50E +:040ECD00F7B302F580 +:040ECE00472902E7C7 +:040ECF0002D556B33F +:040ED0000513656140 +:040ED100D7336B8523 +:040ED200106F02E7B4 +:040ED300069365100D +:040ED40006B34670AB +:040ED500079302D5A8 +:040ED6007637064025 +:040ED7006561000150 +:040ED8005546061362 +:040ED900051345C5F3 +:040EDA00C6B36B85AB +:040EDB00106F02F69C +:040EDC00069362D047 +:040EDD0005052710D0 +:040EDE0002D5053301 +:040EDF000640069330 +:040EE0000001763760 +:040EE100BDC6061371 +:040EE20046B345C509 +:040EE300656102D56E +:040EE4006B85051302 +:040EE5006070106FBA +:040EE600763786AA2B +:040EE7006561000140 +:040EE800BB460613EC +:040EE900051345C5E3 +:040EEA00106F6B8595 +:040EEB0016935F10EB +:040EEC0086E1018515 +:040EED000001763753 +:040EEE0016D1656153 +:040EEF00B7460613E9 +:040EF000051345C5DC +:040EF100106F6B858E +:040EF20045F15D5019 +:040EF30002B505330C +:040EF400859365E19C +:040EF50046457FC52A +:040EF600656195AAF3 +:040EF7006B850513EF +:040EF8006550106FC2 +:040EF9000025179326 +:040EFA000513655D1A +:040EFB00953EBA85E1 +:040EFC006561410CDF +:040EFD00051346454E +:040EFE00106F6B8581 +:040EFF0047A963B0EC +:040F000000F50F6386 +:040F0100002517931D +:040F0200051365610D +:040F0300953EBD0555 +:040F04006561410CD6 +:040F05000513464545 +:040F0600106F6B8578 +:040F070075B761B0A9 +:040F080085930001CC +:040F0900B7F5B9453A +:040F0A0045851141C7 +:040F0B00C02AC6062C +:040F0C006761298D63 +:040F0D007EC74783D1 +:040F0E0007134682FD +:040F0F00E7897EC729 +:040F1000000177372E +:040F1100BCC707133F +:040F1200763740B23C +:040F13006561000113 +:040F1400BD460613BD +:040F1500051345C5B6 +:040F160001416B85A5 +:040F170053F0106F14 +:040F1800A70367E1E3 +:040F190067E17CC749 +:040F1A0078C787937A +:040F1B00464546AD54 +:040F1C000167D58311 +:040F1D0006E59263F0 +:040F1E000FF6F69341 +:040F1F000463E1196D +:040F200011312A075A +:040F210064E1C4269D +:040F22006454C283CE +:040F23006461C6221D +:040F240043440793A8 +:040F2500003295936E +:040F2600C80697AEB4 +:040F27000007A30319 +:040F28000047C78334 +:040F29008513465195 +:040F2A008733FF56B4 +:040F2B00260302C7D0 +:040F2C0075130043F6 +:040F2D0043950FF5E4 +:040F2E0064548493F0 +:040F2F004344041320 +:040F30004703963AA3 +:040F3100E86300462B +:040F320063DD02A3D6 +:040F33008393050A95 +:040F3400951EB34310 +:040F350085024108E8 +:040F3600078906859C +:040F3700F8C69AE37B +:040F3800BF694681C6 +:040F39004783E3996E +:040F3A0017FD00039C +:040F3B000FF7F79322 +:040F3C00822395A2D5 +:040F3D00470100F573 +:040F3E00A8914681AF +:040F3F000003470361 +:040F400095A20785EA +:040F410002E7E7B329 +:040F42008763B7ED1D +:040F4300879300028E +:040F44008023FFF215 +:040F4500B7C500F438 +:040F46008DA367E12F +:040F470067E17C07DB +:040F48005707A7039D +:040F490000074783D3 +:040F4A0000239BEDF8 +:040F4B00443200F735 +:040F4C0044A240C2B9 +:040F4D00D06F01510F +:040F4E00478DCE8F6E +:040F4F0004F70E6332 +:040F50001AE34791C8 +:040F5100461CFAF749 +:040F5200872A9782D1 +:040F5300C783468585 +:040F540065610004CF +:040F5500078E464578 +:040F56004783943EFB +:040F5700400400440E +:040F58008433445149 +:040F590040DC0287EF +:040F5A00680505130E +:040F5B00C036C23AA0 +:040F5C00438C97A289 +:040F5D004C1010EF35 +:040F5E00459140DC9D +:040F5F00C60397A28C +:040F6000E363004700 +:040F610065DD14C571 +:040F62008593060A63 +:040F6300962EB4C54D +:040F6400468242106F +:040F650086024712A7 +:040F6600C3914A1CCD +:040F6700C783978223 +:040F680043510004ED +:040F69000017859355 +:040F6A000733078EB4 +:040F6B00431400F437 +:040F6C0000474703F0 +:040F6D00073342D034 +:040F6E0096930267ED +:040F6F000533003511 +:040F7000230300D483 +:040F710097320005AE +:040F720004634718B5 +:040F7300022300E372 +:040F740097A200053B +:040F75000047C783E7 +:040F760096A24751A7 +:040F770002E787B353 +:040F780000B480231E +:040F7900479C97B248 +:040F7A00B731C29C2D +:040F7B00E4E34791D3 +:040F7C0067DDF0E756 +:040F7D00B607879399 +:040F7E00973E070A89 +:040F7F008782431C06 +:040F8000433D460C9B +:040F810000C645035E +:040F820000D647034B +:040F83000005C7831B +:040F840000E646033A +:040F850000669D6302 +:040F860000F7786395 +:040F8700F61317FD49 +:040F880080230FF7BC +:040F8900BDC100C521 +:040F8A00863AFD6D39 +:040F8B00F863BFDD6B +:040F8C00078500C70E +:040F8D000FF7F71350 +:040F8E0000E58023D7 +:040F8F00FD6DBD6DCA +:040F9000BFDD873208 +:040F91005583460836 +:040F9200570300C63B +:040F9300463D00E6F1 +:040F9400000557837A +:040F950000C69E6391 +:040F960000F5F76308 +:040F9700971317FD98 +:040F98008341010789 +:040F9900C191A01949 +:040F9A001023872E6B +:040F9B00B56100E557 +:040F9C0000E7F46313 +:040F9D00B7E5078528 +:040F9E004701F9ED21 +:040F9F004650B7FD04 +:040FA000421845BDF1 +:040FA100000747837B +:040FA20000B6996399 +:040FA30017FDC781EE +:040FA4000FF7F793B9 +:040FA50000F700232E +:040FA6004683BDB908 +:040FA700F5630046A8 +:040FA800078500D7E2 +:040FA9000FF7F693B5 +:040FAA0000D7002349 +:040FAB004798B5A905 +:040FAC0065614B9C94 +:040FAD0000074703EF +:040FAE00051346459C +:040FAF00070A6B853D +:040FB000438C97BA1D +:040FB100371010EFF6 +:040FB20040C24432C3 +:040FB300015144A202 +:040FB400B08FD06FBB +:040FB5004B9C479872 +:040FB60000074503E8 +:040FB700B7ED978279 +:040FB80047884B9883 +:040FB900B7CD970217 +:040FBA008C2367E13C +:040FBB00BFE96A0719 +:040FBC00C305C6950E +:040FBD00000166B712 +:040FBE007D46869353 +:040FBF000001763780 +:040FC000061365614E +:040FC10045C5BD86DF +:040FC2006B85051323 +:040FC30028F010EF13 +:040FC40066B7BF65E8 +:040FC500869300010E +:040FC600B7CD7CC661 +:040FC700D7E947DC43 +:040FC800479C439867 +:040FC9008082BF550E +:040FCA00EA6347BDD2 +:040FCB0057B716A757 +:040FCC000113445277 +:040FCD008793EF41D6 +:040FCE00C03E355795 +:040FCF00004157B7CF +:040FD00044178793A8 +:040FD1003793C23E52 +:040FD200052300F5FE +:040FD300679500F12D +:040FD40050078793A8 +:040FD5001081222342 +:040FD60010112423AF +:040FD70001050413F9 +:040FD8001091202331 +:040FD900142347395D +:040FDA00042200F1FC +:040FDB000AA77E6380 +:040FDC00079365E131 +:040FDD00462904A0FD +:040FDE006AC58593C8 +:040FDF0000D1051325 +:040FE00000F105A374 +:040FE10000010623E2 +:040FE20013B010EF49 +:040FE300C78367E178 +:040FE40065E167D785 +:040FE500036006138C +:040FE60000F10C23E7 +:040FE700C78367E174 +:040FE800859367572F +:040FE900051378C5AF +:040FEA000CA3021141 +:040FEB0067E100F1C9 +:040FEC006CA7C783A4 +:040FED0000F10BA361 +:040FEE00C78367E16D +:040FEF000D236B67FC +:040FF00067E100F1C4 +:040FF1007447C783F7 +:040FF20000F10DA35A +:040FF300C78367E168 +:040FF4000E236AA7B7 +:040FF50067E100F1BF +:040FF60056F7C78360 +:040FF70000F10EA354 +:040FF800C78367E163 +:040FF9000F2367C794 +:040FFA0067E100F1BA +:040FFB005747C7830A +:040FFC0000F10FA34E +:040FFD00C78367E15E +:040FFE00002357571E +:040FFF0010EF02F1FC +:0410000086220C50E8 +:0410010005700593DE +:04100200D0EF850A9C +:041003003533832FCF +:04100400053300A010 +:04100500208340A064 +:04100600240310812E +:0410070024831041ED +:0410080001131001BF +:04100900808210C110 +:04100A00040C07B714 +:04100B00042787939C +:04100C00CC3E64E191 +:04100D007EC4C78353 +:04100E007637EB91B5 +:04100F0006130001C3 +:0410100045B55C8600 +:041011007EC4851301 +:04101200153010EF96 +:041013008593463546 +:0410140005137EC47E +:0410150010EF00B127 +:0410160065E11DF083 +:041017000420061398 +:0410180074858593C3 +:0410190010EF086864 +:04101A0065E105D0B7 +:04101B000A2006138E +:04101C007FC5859374 +:04101D0005E10513D1 +:04101E0004B010EF1B +:04101F00059386228D +:04102000850A10002D +:04102100FB9FC0EF82 +:0410220006136565E7 +:04102300059300141D +:04102400051336A0DA +:04102500C0EF89E5AA +:041026004501FFBFC2 +:04102700557DBFAD87 +:0410280067E180827A +:041029007E07862395 +:04102A00F56347BD66 +:04102B0057FD00A7C6 +:04102C008082853EFB +:04102D00EE8101133C +:04102E00010507931E +:04102F009513C22E25 +:041030000070010744 +:041031001000059313 +:041032002A23C03E6F +:04103300282310114D +:0410340026231081DE +:04103500C0EF109167 +:0410360057FDF49FCF +:0410370075B7E10D9B +:04103800859300019B +:0410390000685C05EA +:04103A00DB6FC0EFB9 +:04103B001D6387AA00 +:04103C0047031C0545 +:04103D00CF1101616D +:04103E000E63468572 +:04103F0020830ED725 +:041040002403114133 +:0410410024831101F2 +:04104200853E10C116 +:041043001181011303 +:04104400470380825C +:041045001B630141E7 +:0410460046831A07BC +:041047000713015139 +:041048009563055057 +:0410490047031AE659 +:04104A004683018157 +:04104B000722017106 +:04104C0006938F5523 +:04104D0014E304A004 +:04104E004712FCD772 +:04104F0066E1F369FA +:04105000478100785C +:041051008693453904 +:0410520045A96AC67C +:0410530000D7460379 +:0410540000C566630A +:0410550000D783330A +:0410560000C30023B0 +:0410570007050785FD +:04105800FEB796E366 +:041059000251478376 +:04105A000AA367611D +:04105B00472566F7C8 +:04105C0000F76663D0 +:04105D000EA3676116 +:04105E00A02966F768 +:04105F000241478380 +:04106000FEF77AE33A +:0410610002714703CE +:04106200656167E17C +:0410630074E7822389 +:0410640002814703BB +:04106500061367E126 +:04106600852303607B +:0410670047036AE7EA +:0410680067E10291A9 +:0410690002D1059318 +:04106A0056E787A31B +:04106B0002A1470394 +:04106C00051367E120 +:04106D008E2378C591 +:04106E00470366E7E7 +:04106F0067E102B182 +:0410700056E78A2392 +:0410710002C147036E +:041072008AA367E105 +:04107300470356E7F2 +:0410740067E10231FD +:041075006CE785237C +:04107600C70367E164 +:0410770067E16AC7FC +:041078006CE784A3FA +:0410790002614703C6 +:04107A008B2367E17C +:04107B0010EF6AE721 +:04107C0047816D40FB +:04107D004483B729C8 +:04107E00E8E901415B +:04107F000151468352 +:0410800005500713FD +:041081000CE6946382 +:04108200024156834E +:04108300042007132B +:04108400EEE697E31A +:0410850002615403AD +:0410860040C007134C +:04108700EEE411E39F +:04108800C42A67E12E +:041089008513463550 +:04108A0005937EC785 +:04108B0010EF0171F0 +:04108C004712007097 +:04108D0014E347A27F +:04108E006561EC07A5 +:04108F000420061320 +:041090000513102C08 +:0410910010EF748563 +:04109200478267C06A +:04109300470166E1CA +:04109400C03E07A2B1 +:041095007FC68693F9 +:0410960005E00793D7 +:04109700100006132C +:0410980000D7053345 +:041099008E1DC43AAA +:04109A00C23E0078DA +:04109B0000F705B3A2 +:04109C0004C44863DD +:04109D0064E010EF0C +:04109E00472247920C +:04109F00943E0485F2 +:0410A00047828F1DD7 +:0410A100100707131A +:0410A2000FF4F493C0 +:0410A30000F485339D +:0410A400834107423B +:0410A500F00404133C +:0410A600059300703E +:0410A700052210000E +:0410A8000442C23A02 +:0410A900D7BFC0EFFE +:0410AA00804166E13A +:0410AB004712478120 +:0410AC007FC68693E2 +:0410AD0067E1F445BE +:0410AE00812347054E +:0410AF00BF1574E70E +:0410B00010EF862295 +:0410B100BFC5600057 +:0410B200BD1547859C +:0410B300BD054789A7 +:0410B400DD810113C6 +:0410B5002223086882 +:0410B60020232211C0 +:0410B7002E23228141 +:0410B800C0EF2091D4 +:0410B900C02AE57FE5 +:0410BA00532010EFC0 +:0410BB009A6347826B +:0410BC00646116074E +:0410BD00000175B702 +:0410BE00859346458B +:0410BF000513598537 +:0410C00064E168047B +:0410C1005BE010EFF1 +:0410C2006A048C230D +:0410C300ECDFC0EFAF +:0410C40064C1C62617 +:0410C50014FDC42230 +:0410C600000217B756 +:0410C7000D07A783E7 +:0410C8005683676183 +:0410C9008FE578C770 +:0410CA0000F68E633B +:0410CB0078C70713C8 +:0410CC00002757039F +:0410CD0012F70763AC +:0410CE000513650998 +:0410CF00B0EF710508 +:0410D000BFD9DC1F89 +:0410D10075B747A206 +:0410D200464500018E +:0410D3005AC58593E2 +:0410D4006807851311 +:0410D50056E010EFE2 +:0410D60075B747B2F1 +:0410D7004645000189 +:0410D800ACC585938B +:0410D9006B87851389 +:0410DA006CC010EFE7 +:0410DB00E6DFC0EF9D +:0410DC004401448106 +:0410DD0001041713E0 +:0410DE0020070713CD +:0410DF00409757934C +:0410E000863EC23E48 +:0410E100472D67E14F +:0410E200080C46812F +:0410E3006687851384 +:0410E40039C010EF10 +:0410E50075B7E5797D +:0410E60085930001ED +:0410E70008085C0594 +:0410E800AFEFC0EFB7 +:0410E9004703ED1DAF +:0410EA00EF0501818C +:0410EB0001914683A6 +:0410EC000550071391 +:0410ED0002E697631D +:0410EE0001A1468393 +:0410EF0062634705EC +:0410F000660502D7B8 +:0410F10000841593CF +:0410F200061395B29A +:0410F300846346A02C +:0410F400061300E6F9 +:0410F500451205702B +:0410F600C0EF0874CB +:0410F700E151D8DF0C +:0410F8000405048562 +:0410F90017E3474171 +:0410FA0010EFF8E417 +:0410FB0045814300E8 +:0410FC00F0EF453D8F +:0410FD0067E1CB1FBD +:0410FE0067D7C78366 +:0410FF00071367610B +:0411000097BA6AC769 +:041101000007C5031B +:0411020067E14581DB +:041103006CA784A3AE +:04110400C93FF0EF00 +:04110500763747A250 +:0411060086A60001B8 +:04110700580606136D +:04110800851345C541 +:0411090010EF680774 +:04110A0047B2574051 +:04110B00000175B7B3 +:04110C00859346453C +:04110D00851358C529 +:04110E0010EF6B87EC +:04110F00C0EF5FA02E +:041110004537D9BFC7 +:041111000513000FB3 +:04111200B0EF240511 +:041113002083CB5F0B +:04111400240322414D +:04111500450222016C +:0411160021C124834C +:04111700228101131D +:0411180010EF8082D2 +:0411190057FD3B80C3 +:04111A00B7D5C03E47 +:04111B0001855713E0 +:04111C00018517939F +:04111D0006B78FD9A9 +:04111E00171300FFA4 +:04111F008F75008543 +:0411200067418FD9BB +:04112100F0070713B9 +:041122008D79812121 +:0411230080828D5DDC +:0411240017B7C6092A +:04112500A023000201 +:041126004701000776 +:04112700FFC5F69377 +:041128000002163774 +:0411290000E507B323 +:04112A0002D7646321 +:04112B00470D898D56 +:04112C0002E59463E1 +:04112D000007D6835E +:04112E00000217376D +:04112F0000D71223B0 +:041130000027C7834A +:0411310000F702239E +:04113200000217B7E9 +:0411330080824B88E3 +:041134000711439CC0 +:04113500B7F9C25CE8 +:041136009963470969 +:04113700D70300E5F5 +:0411380017B70007DE +:0411390092230002FB +:04113A00BFF900E712 +:04113B009DE34705E4 +:04113C00C703FCE504 +:04113D0017B70007D9 +:04113E008223000206 +:04113F00B7E900E725 +:04114000C222115165 +:04114100000214375D +:041142004601C0267C +:04114300059384AAE2 +:04114400051304A0EB +:04114500C4060404D4 +:04114600884FC0EF1F +:04114700460185A632 +:041148000404051383 +:041149008B2FC0EF39 +:04114A0004A0059365 +:04114B000404051380 +:04114C00C0EF4605A5 +:04114D00051386AF51 +:04114E00441204043F +:04114F00448240A2F4 +:04115000013145859F +:04115100878FC06F55 +:04115200C422114161 +:04115300000214374B +:04115400C02AC226C5 +:04115500460184AE1D +:0411560004A0059359 +:041157000404051374 +:04115800C0EFC60618 +:04115900478283AF97 +:04115A000513460132 +:04115B0085BE040445 +:04115C00866FC0EFEB +:04115D00040405136E +:04115E0040B2442235 +:04115F00449285A68B +:0411600001414605FE +:04116100852FC06FA7 +:04116200C222115143 +:0411630014334405F8 +:04116400741300A45C +:0411650085A20FF45C +:04116600C40645195D +:04116700FADFF0EFCC +:04116800441285A206 +:04116900451D40A23E +:04116A00F06F0131F0 +:04116B001151F9FF26 +:04116C00C222050690 +:04116D000FF57413F3 +:04116E00450585A20C +:04116F00F0EFC406D3 +:0411700085A2F8BF9D +:0411710040A2441242 +:0411720001314509F9 +:04117300F7DFF06F43 +:0411740045151151BB +:04117500F0EFC406CD +:041176000713F2BFAA +:041177004781086044 +:0411780004E5136314 +:0411790009000593D1 +:04117A000200051357 +:04117B00F5DFF0EFBD +:04117C000513458191 +:04117D00F0EF02107D +:04117E004581F53F73 +:04117F000220051332 +:04118000F49FF0EFF9 +:041181000513458D80 +:04118200F0EF023058 +:041183004581F3FFB0 +:0411840002800513CD +:04118500F35FF0EF35 +:0411860003000593CA +:041187000710051335 +:04118800F29FF0EFF3 +:0411890040A24785B4 +:04118A000131853E6C +:04118B00114180820C +:04118C0000A101A31A +:04118D000002153710 +:04118E004605C4222C +:04118F000513842E92 +:0411900005930405BA +:04119100C60600315D +:04119200FD9FB0EF1E +:04119300B0EF852212 +:0411940040B2AB1F9B +:0411950001414422AE +:0411960011518082F1 +:041197006461C222AB +:041198006A8457838B +:04119900C026C406A2 +:04119A00F9F7F793D7 +:04119B0083C107C243 +:04119C006AF41423BA +:04119D00000214B781 +:04119E000EF4A02388 +:04119F00B0EF455117 +:0411A00045D1A81F6E +:0411A10003800513AF +:0411A200FA7FF0EFF1 +:0411A300051345D11A +:0411A400F0EF0390D5 +:0411A50045D1F9DF58 +:0411A600F0EF4551D0 +:0411A70045D1F95FD6 +:0411A8000710051314 +:0411A900F8BFF0EFAC +:0411AA00051345D113 +:0411AB00F0EF05E07C +:0411AC0045D1F81F12 +:0411AD0006D0051350 +:0411AE00F77FF0EFE8 +:0411AF00453145D1B0 +:0411B000F6FFF0EF67 +:0411B1003200059370 +:0411B200F0EF450510 +:0411B30045D1F65FCD +:0411B400F0EF4519FA +:0411B5000593F5DFCA +:0411B60045093200B5 +:0411B700F53FF0EF21 +:0411B8006A8457836B +:0411B900E79340A2D6 +:0411BA0014230407EF +:0411BB0044126AF47C +:0411BC000EF4A0236A +:0411BD000131448236 +:0411BE0011218082F9 +:0411BF006461C8227D +:0411C0006A84578363 +:0411C100C626CA066E +:0411C200F9F7F793AF +:0411C30083C107C21B +:0411C4001423C02E02 +:0411C50017376AF47A +:0411C60020230002E0 +:0411C70084AA0EF7F1 +:0411C8003200059359 +:0411C900F0EF4505F9 +:0411CA005783F09FB8 +:0411CB0017376A84E4 +:0411CC0045C1000217 +:0411CD000207E7939B +:0411CE006AF4142388 +:0411CF000EF72023D4 +:0411D00010EF852671 +:0411D10077133160FF +:0411D200EB110FF519 +:0411D300000185B7DB +:0411D4008593464574 +:0411D5008526A58541 +:0411D6002DC010EF29 +:0411D7004781470500 +:0411D80000F486B3E6 +:0411D9000006C50344 +:0411DA00C43A45D1FD +:0411DB00F0EFC23E31 +:0411DC004792EC1F2B +:0411DD000785472219 +:0411DE000FF7F6937E +:0411DF00FEE6E2E363 +:0411E0006A84578343 +:0411E100000214B73D +:0411E200F79345D169 +:0411E30007C2FDF74B +:0411E400142383C18C +:0411E500A0236AF4E5 +:0411E60005130EF4EB +:0411E700F0EF0C0019 +:0411E8005783E91F21 +:0411E90045026A84CD +:0411EA00E79345C181 +:0411EB0014230207C0 +:0411EC00A0236AF4DE +:0411ED0010EF0EF4FD +:0411EE0077932A20A9 +:0411EF00EB910FF57C +:0411F00085B7450278 +:0411F100464500016E +:0411F200A5858593B7 +:0411F300268010EF53 +:0411F4004481478566 +:0411F5004782C23E2D +:0411F600873345D125 +:0411F7004503009715 +:0411F8000485000763 +:0411F900E4BFF0EF70 +:0411FA00F71347920E +:0411FB0063E30FF4A7 +:0411FC005783FEF720 +:0411FD0040D26A84EE +:0411FE00000217379D +:0411FF000407E79367 +:041200006AF4142355 +:041201002023444220 +:0412020044B20EF7ED +:041203008082016183 +:04120400C2221151A0 +:041205000002143798 +:041206004601C026B7 +:04120700059384AA1D +:04120800051302C008 +:04120900C40604040F +:04120A00D75FB0EF0B +:04120B00460585A669 +:04120C0004040513BE +:04120D00DA3FB0EF25 +:04120E0002C0059382 +:04120F0004040513BB +:04121000B0EF4605F0 +:041211000513D5BF2D +:04121200441204047A +:04121300448240A22F +:0412140001314585DA +:04121500D69FB06F41 +:04121600C42211419C +:041217000002143786 +:04121800C02AC22600 +:04121900460184AE58 +:04121A0002C0059376 +:04121B0004040513AF +:04121C00B0EFC60663 +:04121D004782D2BF73 +:04121E00051346016D +:04121F0085BE040480 +:04122000D57FB0EFD7 +:0412210004040513A9 +:0412220040B2442270 +:04122300449285A6C6 +:041224000141460539 +:04122500D43FB06F93 +:041226000002153776 +:04122700460111511A +:0412280005134581E4 +:04122900C4060405EE +:04122A00D2FFB0EF50 +:04122B00B0EF4529B2 +:04122C0045E1851FF4 +:04122D00F0EF450594 +:04122E0045E1FA3F5D +:04122F00F0EF45098E +:0412300045E1F9BFDC +:04123100F0EF450D88 +:041232004505F93F36 +:04123300F45FF0EF85 +:04123400152140A29E +:041235000015351358 +:041236008082013180 +:04123700C22211516D +:041238004505842ABA +:04123900F0EFC40608 +:04123A00991DF2BF49 +:04123B008C49040EC8 +:04123C000FF4741324 +:04123D00450585A23C +:04123E00F61FF0EFB8 +:04123F00450985A236 +:04124000F59FF0EF37 +:04124100441285A22C +:04124200450D40A274 +:04124300F06F013116 +:041244001151F4BF91 +:04124500842AC22213 +:04124600C406450590 +:04124700EF5FF0EF76 +:04124800751347894A +:0412490013630D8599 +:04124A00641302F433 +:04124B0085A2001563 +:04124C00F0EF450575 +:04124D0085A2F27F05 +:04124E00F0EF45096F +:04124F0085A2F1FF84 +:0412500040A2441262 +:041251000131450D15 +:04125200F11FF06F29 +:041253008C490416A8 +:041254000FF474130C +:0412550000446413DA +:041256001151BFD99A +:041257001437C22264 +:04125800C0260002AA +:0412590084AA46011C +:04125A0005C0059333 +:04125B00040405136F +:04125C00B0EFC40625 +:04125D00F593C2BF84 +:04125E0046050FF43E +:04125F00040405136B +:04126000C57FB0EFA7 +:0412610005C005932C +:041262000404051368 +:04126300B0EF46059D +:041264000513C0FFAF +:041265004412040427 +:04126600448240A2DC +:041267000131458587 +:04126800C1DFB06FC3 +:04126900C422114149 +:04126A000002143733 +:04126B00C02AC226AD +:04126C00460184AE05 +:04126D0005C0059320 +:04126E00040405135C +:04126F00B0EFC60610 +:041270004782BDFFF5 +:04127100051346011A +:04127200F5930404E8 +:04127300B0EF0FF7D2 +:041274000513C09FFF +:041275004422040407 +:0412760085A640B257 +:041277004605449252 +:04127800B06F014111 +:041279001151BF5FF1 +:04127A000220051336 +:04127B00C222C406C1 +:04127C00F0EFC026A9 +:04127D007413F69F51 +:04127E0045CD0FF556 +:04127F00F0EF4559EE +:041280006489FA7F04 +:04128100710485135C +:04128200EF6FB0EF6B +:04128300455D458DF3 +:04128400F95FF0EF2F +:041285007104851358 +:04128600EE6FB0EF68 +:04128700080465935F +:041288000220051328 +:04128900F81FF0EF6B +:04128A003E8005138A +:04128B00ED2FB0EFA4 +:04128C0007F475935B +:04128D0040A2441225 +:04128E00051344827E +:04128F000131022007 +:04129000F65FF06FA6 +:04129100C222115113 +:0412920005136409D3 +:04129300C406710418 +:04129400EAEFB0EFDE +:04129500455945C5AD +:04129600F4DFF0EFA2 +:0412970071040513C6 +:04129800E9EFB0EFDB +:041299004589455DE1 +:04129A00F3DFF0EF9F +:04129B0071040513C2 +:04129C0040A2441216 +:04129D00B06F0131FC +:04129E001151E88F73 +:04129F00842EC222B5 +:0412A000454985AA8D +:0412A100F0EFC406A0 +:0412A20085A2F1FF31 +:0412A30040A244120F +:0412A4000131454D82 +:0412A500F11FF06FD6 +:0412A600051385AAFD +:0412A700F06F03D011 +:0412A80085AAF07FA4 +:0412A900F06F454558 +:0412AA001151EFFFF0 +:0412AB00C222C40691 +:0412AC00006547830F +:0412AD00456D842ADD +:0412AE0000479593CD +:0412AF00F5938DDD49 +:0412B000F0EF0FF557 +:0412B1004583EE3F44 +:0412B200457100641E +:0412B300ED9FF0EFCC +:0412B400003445833A +:0412B500F0EF4529E8 +:0412B6004583ECFF81 +:0412B7004525004485 +:0412B800EC5FF0EF08 +:0412B9000054458315 +:0412BA00F0EF4521EB +:0412BB004583EBBFBD +:0412BC0045350004B0 +:0412BD00EB1FF0EF44 +:0412BE000014458350 +:0412BF00F0EF4531D6 +:0412C0004583EA7FF9 +:0412C10044120024AF +:0412C200452D40A2D4 +:0412C300F06F013196 +:0412C4001141E97F6C +:0412C500842AC42291 +:0412C600C2264511E6 +:0412C70084AEC032FF +:0412C800F0EFC60677 +:0412C9004602E39F57 +:0412CA000F85759384 +:0412CB000793CE4D6A +:0412CC00EA637FF062 +:0412CD0045110A8736 +:0412CE000015E5938F +:0412CF00E69FF0EFB7 +:0412D00000141513DE +:0412D10001051413EC +:0412D200559380416F +:0412D300F59300444B +:0412D40045050FF5C8 +:0412D500E51FF0EF32 +:0412D6000044159328 +:0412D7000F05F59377 +:0412D800F0EF4509E5 +:0412D9000737E43FB0 +:0412DA000713019C59 +:0412DB005733CC07B2 +:0412DC0056B7029768 +:0412DD0086930225CD +:0412DE0047810FF63F +:0412DF000287073348 +:0412E00002E6F063CF +:0412E100042C26B7FC +:0412E200D7F6869322 +:0412E300F9634785DF +:0412E400F7B700E672 +:0412E5008793080BD8 +:0412E600B7B3FBF7A8 +:0412E700078900E78C +:0412E8000713676120 +:0412E900973E62C703 +:0412EA0000074503B1 +:0412EB000280071363 +:0412EC0002E50533DF +:0412ED00001457137F +:0412EE004533953AB5 +:0412EF00471D028510 +:0412F0000FF575136E +:0412F1000FF57593ED +:0412F20000A773637B +:0412F3004422459DAF +:0412F400449240B22E +:0412F500079A058EC1 +:0412F600F5938DDD02 +:0412F700450D0F850D +:0412F800F06F014151 +:0412F9004511DC3F80 +:0412FA00DBDFF0EF57 +:0412FB001151BFB915 +:0412FC00842AC2225C +:0412FD00C406456975 +:0412FE00D63FF0EFF8 +:0412FF007593478517 +:041300001A630FA5B8 +:04130100E59300F47C +:04130200441200256C +:04130300456940A256 +:04130400F06F013154 +:04130500E593D93F54 +:04130600BFC500A5BA +:0413070000A5558365 +:04130800C22211519B +:04130900842A81A110 +:04130A0004B0051313 +:04130B00F0EFC40635 +:04130C004583D77FBF +:04130D00051300A420 +:04130E00F0EF04A058 +:04130F005583D6BF6D +:04131000051300C4FD +:0413110081A104D0E2 +:04131200D5DFF0EF44 +:0413130000C445834A +:0413140004C00513F9 +:04131500D51FF0EF01 +:0413160000E4558317 +:0413170004F00513C6 +:04131800F0EF81A1D0 +:041319004583D43FF5 +:04131A00051300E4D3 +:04131B00F0EF04E00B +:04131C005583D37FA3 +:04131D000513004470 +:04131E0081A1051094 +:04131F00D29FF0EF7A +:0413200000444583BD +:0413210005000513AB +:04132200D1DFF0EF38 +:04132300006455838A +:041324000530051378 +:04132500F0EF81A1C3 +:041326004583D0FF2C +:041327000513006446 +:04132800F0EF0520BD +:041329005583D03FD9 +:04132A000513008423 +:04132B0081A1055047 +:04132C00CF5FF0EFB0 +:04132D000084458370 +:04132E00054005135E +:04132F00CE9FF0EF6E +:0413300001045583DC +:04133100057005132B +:04133200F0EF81A1B6 +:041333004583CDBF62 +:041334000513010498 +:04133500F0EF056070 +:041336005583CCFF10 +:041337000513012475 +:0413380081A10590FA +:04133900CC1FF0EFE6 +:04133A0001244583C2 +:04133B000580051311 +:04133C00CB5FF0EFA4 +:04133D00014455838F +:04133E0005B00513DE +:04133F00F0EF81A1A9 +:041340004583CA7F98 +:04134100441201440D +:04134200051340A2AD +:04134300013105A0CF +:04134400C95FF06F1E +:04134500C22211515E +:041346000513842ADD +:04134700C40603F0E5 +:04134800C3BFF0EF40 +:041349000F05759384 +:04134A0044128DC1FB +:04134B00051340A2A4 +:04134C00013103F078 +:04134D00C71FF06F57 +:04134E00C222115155 +:04134F004569842A3E +:04135000F0EFC406F0 +:041351001593C19F90 +:0413520044120064DD +:0413530003F5751316 +:041354008DC940A25D +:041355000FF5F59308 +:0413560001314569B3 +:04135700C49FF06FD0 +:0413580065E1113109 +:041359008593461D15 +:04135A00850AA90552 +:04135B0000EFC806D1 +:04135C00F0EF355029 +:04135D004501C75F20 +:04135E00E77FF0EF46 +:04135F0005134585A8 +:04136000F0EF035057 +:04136100655DC23FC5 +:041362005D0505130D +:04136300E91FF0EF9F +:04136400F0EF450160 +:041365004519FA7FAD +:04136600D01FF0EFB5 +:041367000440051326 +:04136800D03FF0EF93 +:041369004505458170 +:04136A00CD3FF0EF94 +:04136B00F0EF850A10 +:04136C0040C2CFDFCD +:04136D008082015128 +:04136E00C422114143 +:04136F004511842A76 +:04137000C02EC606BF +:04137100B97FF0EF61 +:041372000433458279 +:04137300759302B4B8 +:0413740045110075AA +:0413750001F4779375 +:041376008DDD078E74 +:04137700BC9FF0EF38 +:041378004054551375 +:04137900442240B218 +:04137A000FF57513E3 +:04137B00808201412A +:04137C00C222115127 +:04137D004541842A38 +:04137E00F0EFC406C2 +:04137F001593B61FED +:0413800044120034DF +:0413810040A2891DE0 +:04138200F5938DC989 +:0413830045410FF5DC +:04138400F06F0131D4 +:04138500C139B93F72 +:04138600C02611511B +:0413870002600513E8 +:04138800059384AE97 +:04138900C222080074 +:04138A008432C406DF +:04138B00B79FF0EF29 +:04138C0005934785F9 +:04138D0089630094DC +:04138E0047A100F47F +:04138F0005A4059319 +:0413900000F484637E +:04139100018405933B +:0413920040A244121F +:04139300F593448208 +:0413940005130FF539 +:04139500013103100F +:04139600B4DFF06F61 +:041397000513458174 +:04139800BFDD026053 +:04139900C822112134 +:04139A00CA06C62693 +:04139B00C232C02E6C +:04139C004785C43687 +:04139D0084BA842A60 +:04139E0000F50E63E5 +:04139F000D6347A1F2 +:0413A000059304F5B8 +:0413A100F593006759 +:0413A20045150FF5E9 +:0413A300B19FF0EF17 +:0413A400A80945C18E +:0413A5000027059385 +:0413A6000FF5F593B7 +:0413A700F0EF451509 +:0413A8004599B07F34 +:0413A900F0EF451903 +:0413AA0085A2AFFF6A +:0413AB004505862648 +:0413AC00F67FF0EFE9 +:0413AD0045B147C13E +:0413AE0000F40363E1 +:0413AF00051345A13C +:0413B000F0EF022038 +:0413B1004442AE3FC5 +:0413B20045924622F8 +:0413B30040D24502DD +:0413B400016144B2DD +:0413B500C3FFF06F13 +:0413B6000327059371 +:0413B7000FF5F593A6 +:0413B800F0EF4515F8 +:0413B9000593AC3FAD +:0413BA00BF6D020001 +:0413BB00C2221151E8 +:0413BC00C406C0267D +:0413BD00478984AE2A +:0413BE0045818432AF +:0413BF000097E6634A +:0413C000006495939D +:0413C10085E105E2DB +:0413C20000251713D8 +:0413C3000045179337 +:0413C4008FC98FD965 +:0413C500F5938DDD32 +:0413C60045650FF575 +:0413C700A89FF0EFFC +:0413C800F0EF4541BC +:0413C900478DA39F0A +:0413CA000F85759383 +:0413CB0000F41463B3 +:0413CC000055E59350 +:0413CD00F0EF4541B7 +:0413CE004789A6FFA6 +:0413CF0005B00593CD +:0413D0000097F96326 +:0413D10005934791A8 +:0413D200F46305209B +:0413D30005930097E7 +:0413D4004539053062 +:0413D500A51FF0EF71 +:0413D6003E8005133D +:0413D7009A2FB0EFAA +:0413D800F0EF45519C +:0413D900478D9F9FFE +:0413DA00036345C1A3 +:0413DB00458100F454 +:0413DC00F0EF456188 +:0413DD004412A33FD4 +:0413DE00448240A263 +:0413DF00051345812C +:0413E0000131036074 +:0413E100A21FF06FE8 +:0413E200C2221151C1 +:0413E3004551842AC2 +:0413E400F0EFC4065C +:0413E50047119C9F71 +:0413E60000877C639D +:0413E70008857513ED +:0413E800F78505136D +:0413E90000153513A3 +:0413EA00441240A2C7 +:0413EB0080820131CA +:0413EC0077634709D3 +:0413ED0075130087ED +:0413EE0005130905D5 +:0413EF00B7DDF7056A +:0413F0000FF57793EB +:0413F1000017D513F9 +:0413F200BFF98905B1 +:0413F300F9410113A8 +:0413F4004791D2A2A9 +:0413F500D4866461D5 +:0413F600DA3ED0A665 +:0413F7006CC4079328 +:0413F800C683CC36A6 +:0413F900472103275E +:0413FA001733C82AB3 +:0413FB00C68300D7CE +:0413FC006713033739 +:0413FD00DC3A00874F +:0413FE0020000713B1 +:0413FF0000D71733C9 +:041400000347C68355 +:041401006741DE3A27 +:0414020000D71733C5 +:041403000357C68342 +:041404000737C0BA2C +:041405001733002079 +:04140600C2BA00D78F +:041407000331071393 +:041408006761C4BA9A +:04140900703707131E +:04140A006761C6BA96 +:04140B00704707130C +:04140C006761C8BA92 +:04140D0070570713FA +:04140E006761CABA8E +:04140F0070670713E8 +:041410006761CCBA8A +:0414110070770713D6 +:04141200C703CEBA84 +:04141300C783043750 +:0414140066E1044742 +:04141500C43EC03AD7 +:041416007FC6879373 +:0414170067DDC23E8D +:04141800600787934F +:0414190009A3CA2E2B +:04141A004701020183 +:04141B006CC4041386 +:04141C007FC686936E +:04141D00D03E42F18A +:04141E000187179398 +:04141F00C63E87E15D +:0414200002500793DC +:0414210000F7156358 +:04142200C63E57FD6E +:0414230007B3A691D4 +:041424004615025710 +:041425004BDC97B64F +:041426000187D31354 +:04142700749383F542 +:04142800666301F303 +:04142900558206F6EC +:04142A0000279613EE +:04142B00962ECE32F9 +:04142C0086024210E2 +:04142D00025703B3AC +:04142E001000061391 +:04142F006621DE3222 +:041430000613C0B22D +:04143100C2B2200023 +:041432001C100593F2 +:041433000076863386 +:0414340082154A10C3 +:041435007FF67613B5 +:0414360006B61B6378 +:0414370067E1D43E57 +:041438007FC7879350 +:0414390077B793BE30 +:04143A008593000195 +:04143B00851E618722 +:04143C00D63A461D39 +:04143D0000EFD21ECC +:04143E0066E1113022 +:04143F0057A25392CB +:041440008693573206 +:0414410042F17FC62F +:041442004622E90154 +:041443004792E229C1 +:0414440007F10705A0 +:04144500B78DC23E5F +:0414460077B7D23E64 +:041447008593000188 +:04144800461D6207D4 +:04144900D43A851EEE +:04144A000E1000EF91 +:04144B00579266E16D +:04144C00869357220A +:04144D0042F17FC623 +:04144E0045A2E919B1 +:04144F009863460553 +:04145000B7F100C52B +:04145100200006135E +:041452006641DE32DF +:041453004662C0B27B +:0414540000C4F333AA +:04145500FA030DE3A6 +:041456004572461283 +:0414570010904E0C97 +:0414580000A604B333 +:04145900FE84A60364 +:04145A0046031088AD +:04145B00060A000677 +:04145C002603962AA3 +:04145D008E6DFD464D +:04145E004552DA59C0 +:04145F00FFF5C5933D +:0414600096E3898501 +:0414610005B3F8A532 +:0414620045420257A6 +:04146300498C95B665 +:04146400F5938195E6 +:0414650005F97FF511 +:04146600F6A5EBE319 +:04146700092345858B +:04146800172300B492 +:0414690008A30004D0 +:04146A000A2300044D +:04146B00CC1000049D +:04146C000763658924 +:04146D00E46326B658 +:04146E00059314C509 +:04146F000B63080003 +:04147000E26322B65B +:0414710045C10EC59E +:0414720022B601633A +:041473000AC5EF6354 +:041474000663459135 +:0414750045A11CB6BB +:04147600F2B61BE3CC +:0414770006234605FD +:04147800460300C463 +:041479001F630504E4 +:04147A0046711E0693 +:04147B0002C706336B +:04147C0055839636C8 +:04147D00061300E66C +:04147E0065635770DB +:04147F0096131EB6EC +:04148000516301D7DC +:04148100460D1EC036 +:0414820000C406A3F9 +:0414830009234609EA +:04148400861300C407 +:041485008A1500675D +:041486004615CE1128 +:041487000AC79F638E +:04148800073347F1EE +:04148900079302F7CC +:04148A0096BA4AF0D4 +:04148B0000E6D7039D +:04148C000AE7E56323 +:04148D000464478329 +:04148E0006A3CFD111 +:04148F004789000485 +:041490000633AA7DF8 +:041491000593025766 +:04149200963620D09A +:0414930082154A1064 +:041494007FF6761356 +:04149500EEB61DE3AF +:04149600FFF7061343 +:0414970002560633C0 +:041498009636458DB2 +:0414990082754A50BE +:04149A0000B61A631B +:04149B00E60146021E +:04149C000EF4F493C3 +:04149D004609BDE956 +:04149E00B5D14582FD +:04149F00E60146021A +:0414A0000FB4F493FE +:0414A1004605B5E95E +:0414A2000793BFC528 +:0414A30006630200DA +:0414A400079300F6B4 +:0414A5001CE3040040 +:0414A6000793E6F6CC +:0414A70016233010C8 +:0414A800479100F474 +:0414A9000593AAA954 +:0414AA000363400098 +:0414AB00EB6316B623 +:0414AC00059302C5DD +:0414AD0007631000C1 +:0414AE00059314B6D8 +:0414AF0018E320001E +:0414B0004709E4B64E +:0414B10000E416231A +:0414B2009863470DE7 +:0414B300478300E784 +:0414B400F7B5046420 +:0414B500072347853D +:0414B600478300F474 +:0414B70008A305740D +:0414B800A0FD00F49F +:0414B900800607930F +:0414BA001207896329 +:0414BB0010E367854E +:0414BC000793E2F6BA +:0414BD0016232020B2 +:0414BE00478D00F462 +:0414BF0005B7A209C2 +:0414C0000A630008B3 +:0414C100E36312B619 +:0414C20065C104C537 +:0414C30012B60063FA +:0414C40002C5E36317 +:0414C50008636791C0 +:0414C60067A110F614 +:0414C700DEF619E351 +:0414C8002020079346 +:0414C90000F41623F2 +:0414CA000923479D0E +:0414CB0047B500F42D +:0414CC0000F40A23FB +:0414CD0007B7B75D49 +:0414CE000B630002AA +:0414CF0007B70EF657 +:0414D00016E300041B +:0414D1000793DCF6AB +:0414D200B77520309A +:0414D300004007B717 +:0414D4000EF60963A4 +:0414D50002C7E06307 +:0414D600001007B744 +:0414D7000EF60063AA +:0414D800002007B732 +:0414D900DAF615E347 +:0414DA0016234791FD +:0414DB00079300F47F +:0414DC00BF7D078049 +:0414DD00010007B74C +:0414DE000CF60F6396 +:0414DF00020007B749 +:0414E0000EF604639D +:0414E100008007B7C9 +:0414E200D8F613E342 +:0414E300204007930B +:0414E40000F41623D7 +:0414E50009234791FF +:0414E60047F900F4CE +:0414E7004671BF513A +:0414E80002C70733FD +:0414E90000041623C2 +:0414EA0096BA8B958E +:0414EB0000A6D7037D +:0414EC008B7D468529 +:0414ED0000E408A36C +:0414EE0000D79B6325 +:0414EF0004644683C8 +:0414F0004789CE99C1 +:0414F10000F40923D7 +:0414F20007A3478580 +:0414F300D71100F419 +:0414F400541650A694 +:0414F50054864532A2 +:0414F60006C1011317 +:0414F70007238082C5 +:0414F800B7DD00F468 +:0414F900000406A342 +:0414FA000793B52D72 +:0414FB001623201084 +:0414FC00B5B100F492 +:0414FD003010079311 +:0414FE0000F41623BD +:0414FF0009234799DD +:04150000BDE100F455 +:04150100201007931C +:0415020000F41623B8 +:04150300BFC5479584 +:041504001020079319 +:0415050000F41623B5 +:041506000793B5C9C9 +:04150700BFC1202020 +:041508002020079305 +:041509000793BDADDA +:04150A00BFF92020E5 +:04150B00BD59470D72 +:04150C0020300793F1 +:04150D000793BF6D14 +:04150E00B59520303F +:04150F0020300793EE +:041510000793B7E1A5 +:04151100162320403D +:04151200478D00F40D +:0415130000F40923B4 +:0415140002800793B7 +:041515000793BDF18A +:041516001623204038 +:04151700479500F400 +:0415180000F40923AF +:04151900B5E947E108 +:04151A0020400793D3 +:04151B0000F416239F +:04151C0009234799BF +:04151D0047D100F4BE +:04151E001111BD6585 +:04151F000185179398 +:04152000C826CA22ED +:0415210087E1CC068C +:0415220084AE842AE5 +:041523000007DD637D +:0415240005134581E5 +:0415250037D507703F +:0415260000A101A37C +:04152700EE634785A3 +:04152800741306A78B +:041529002E9507F400 +:04152A0045014591A1 +:04152B002E81268166 +:04152C000184D793CC +:04152D0000F102A324 +:04152E000104D7934A +:04152F0000F10323A1 +:041530000084D793C9 +:0415310000F103A31F +:04153200008102230F +:0415330000910423FC +:041534000400071395 +:0415350009500793BF +:0415360000E4096361 +:041537000480071312 +:04153800146347856C +:04153900079300E430 +:04153A004599087057 +:04153B0004A30048BD +:04153C0024ED00F1A9 +:04153D002EB1451571 +:04153E004585842A31 +:04153F00003105135F +:04154000078324F504 +:04154100D66300313C +:041542002E8D0007E3 +:0415430006E34785EF +:04154400E011FEF5BF +:0415450045032641F3 +:0415460040E200314E +:0415470044C2445204 +:04154800808201712B +:04154900FD8101130C +:04154A004581C02AED +:04154B0004900513F0 +:04154C00D022D206D1 +:04154D00F0EFCE26C7 +:04154E00C901F45F7C +:04154F005092450170 +:0415500044F254020B +:0415510002810113FF +:04155200842A8082E5 +:041553002611451503 +:04155400458584AA9B +:041555000071051309 +:0415560047032C51CA +:041557000793007185 +:0415580016630FF017 +:041559002E1900F750 +:04155A0004E34785DA +:04155B00E091FEF528 +:04155C004703261506 +:04155D00079300717F +:04155E0011E30FE0A6 +:04155F0045C9FCF787 +:0415600024AD00288E +:04156100C783478273 +:04156200F713004734 +:04156300CF15002779 +:0415640000E145035A +:0415650000F14783C7 +:041566000121470315 +:041567000522890DC3 +:0415680047838D5DCB +:04156900050A01016D +:04156A0000D1440365 +:04156B008D5D839976 +:04156C00011147839F +:04156D00883D831D15 +:04156E008B990786C8 +:04156F00943E8FD93E +:0415700014650505F4 +:0415710000851533A9 +:041572008B91BF9DFD +:041573004503CB89D8 +:0415740047830101A7 +:041575000522011139 +:0415760047C58D5D7B +:041577004781B7CD24 +:04157800BFF1450179 +:04157900FDC101139C +:04157A00CC2665D93D +:04157B0084AA4629CF +:04157C004F058593FF +:04157D00D00600682C +:04157E0024E1CE2274 +:04157F00C03E478D96 +:041580002C2122C137 +:0415810045A924A9AB +:041582002AC900680A +:04158300A0234581DB +:041584000513000447 +:04158500F0EF04007F +:041586004785E65F50 +:041587001B63842A34 +:0415880005930EF5C4 +:0415890005131AA08C +:04158A00F0EF0480FA +:04158B00C22AE51F6C +:04158C000885176354 +:04158D00002845915C +:04158E0047032A5590 +:04158F00479200A1DE +:041590000CF71A63D7 +:0415910000B147035B +:041592000AA0079311 +:041593000CF71463DA +:041594003E8005137D +:04159500241D2AFDEA +:041596001963478509 +:0415970005B700F59F +:0415980005134000F7 +:04159900F0EF0E90D1 +:04159A00F575E15FA3 +:04159B0047852C0153 +:04159C0002F50663EB +:04159D002C394401A0 +:04159E0017FD47826C +:04159F000FF7F793B8 +:0415A000CBD9C03EA5 +:0415A1004785DC3569 +:0415A200008482231C +:0415A3008526C09C3D +:0415A400E95FF0EF1C +:0415A500C488157D64 +:0415A600A0412A69CD +:0415A7000513458162 +:0415A800F0EF07A0B9 +:0415A900F579DD9F54 +:0415AA00002845913F +:0415AB0047832281CF +:0415AC004431008145 +:0415AD000407F793A5 +:0415AE004411FFDD08 +:0415AF004581BF6D46 +:0415B0000E90051381 +:0415B100DB7FF0EFFD +:0415B2000410079387 +:0415B30000A46563C8 +:0415B400079344094C +:0415B50005130E907C +:0415B600C23E0FA082 +:0415B7002A792A9DC6 +:0415B80017634785E9 +:0415B900451200F5E2 +:0415BA00F0EF458188 +:0415BB00F965D91FD6 +:0415BC00E1112271A6 +:0415BD002A79440142 +:0415BE00051345814B +:0415BF00F0EF07B092 +:0415C000C111D7DF9F +:0415C1000593440149 +:0415C20005132000ED +:0415C300F0EF050040 +:0415C400D13DD6DF60 +:0415C500B78D440199 +:0415C60020CDF43D03 +:0415C70000143513C4 +:0415C8004472508297 +:0415C900011344E2E4 +:0415CA0080820241D8 +:0415CB001121451C89 +:0415CC00CA06C82261 +:0415CD00C22EC6263E +:0415CE00440DC03ACE +:0415CF0002C7E1630B +:0415D0004783CF1965 +:0415D10084B6004597 +:0415D200E3918BA175 +:0415D30085B20626B1 +:0415D40005100513E6 +:0415D500D27FF0EFE2 +:0415D6004409C909F2 +:0415D7008522204504 +:0415D800444240D277 +:0415D900016144B2B6 +:0415DA0005138082F3 +:0415DB0020D50640D1 +:0415DC000513458529 +:0415DD00289D00B194 +:0415DE0000B147030E +:0415DF000FF007936F +:0415E00000F7166397 +:0415E100478528E52D +:0415E200FEF504E32B +:0415E3004703222177 +:0415E400079300B1B8 +:0415E50012E30FE01E +:0415E6004782FCF745 +:0415E70020200413A9 +:0415E8008C1D8C05C5 +:0415E90080410442F7 +:0415EA0085A6C4818D +:0415EB00283D450151 +:0415EC0045124582DD +:0415ED0085A2282586 +:0415EE00280D45017E +:0415EF00BF7944017B +:0415F0000006263794 +:0415F100019C05B79D +:0415F20000021537A7 +:0415F300A80606132D +:0415F400CC0585930A +:0415F50002050513D3 +:0415F600D9BFA06F4A +:0415F70085AA862E0D +:0415F80000021537A1 +:0415F90002050513CF +:0415FA00E39FA06F5C +:0415FB0085AA862E09 +:0415FC00000215379D +:0415FD0002050513CB +:0415FE00DFDFA06F1C +:0415FF00676180821E +:041600006A8757831B +:04160100F7F7F7936D +:0416020083C107C2D7 +:041603006AF714234B +:041604000002173792 +:041605000EF7202399 +:041606006761808216 +:041607006A87578314 +:041608000807E79355 +:041609006AF7142345 +:04160A00000217378C +:04160B000EF7202393 +:04160C00363780826B +:04160D0005B70029F4 +:04160E001537019CEF +:04160F0006130002BC +:0416100085932E068A +:041611000513CC05EC +:04161200A06F0205BE +:04161300F06FD29F03 +:041614006765F73FD0 +:04161500C0872783E0 +:04161600679DE38564 +:041617009787879397 +:0416180002F505339F +:04161900C4061151A1 +:04161A00C0A724231E +:04161B008B7FA0EF32 +:04161C00450140A2A2 +:04161D008082013195 +:04161E00808245057C +:04161F00C40611519B +:041620008C7FA0EF2C +:04162100A78367E54F +:0416220040A2C0879B +:0416230000F5353366 +:04162400808201318E +:04162500A42367E5AE +:041626008082C007F7 +:041627001463470100 +:04162800450100E692 +:0416290007B3808201 +:04162A00070500E5CB +:04162B0000E586B39D +:04162C000007C78369 +:04162D00FFF6C6837B +:04162E00FED783E37D +:04162F0040D78533E8 +:04163000C7B380823A +:041631008B8D00A5F8 +:0416320000C50733B5 +:04163300478DE78177 +:0416340002C7E9639D +:04163500716387AAAC +:04163600C6830CE576 +:04163700078500051E +:041638008FA30585F2 +:04163900EAE3FED70B +:04163A008082FEE7C5 +:04163B000005C6835D +:04163C000585078594 +:04163D00FED78FA3A2 +:04163E00FEE7EAE3F6 +:04163F00011144024F +:04164000769380829B +:0416410087AA00353F +:04164200C683CA9100 +:041643000785000512 +:041644008FA30585E6 +:04164500F693FED743 +:04164600B7FD0037B5 +:04164700FFC77693D0 +:04164800FE06861301 +:0416490006C7F56378 +:04164A00C022117138 +:04164B00A30349C0EC +:04164C00A38300056F +:04164D00CBC0008589 +:04164E00A0234D8008 +:04164F00A30300678A +:04165000CF80004502 +:04165100A2234DC0C3 +:04165200A283006708 +:04165300A30300C528 +:041654008593010574 +:04165500CFC00245BB +:04165600FFC5A40325 +:041657000077A42351 +:041658000057A6236E +:041659000067A8235B +:04165A000247879329 +:04165B00FE87AE2335 +:04165C00FAC7EEE3F8 +:04165D00F8D7F2E3E5 +:04165E00079141901F +:04165F00AE23059120 +:04166000BFCDFEC735 +:04166100079141901C +:04166200AE2305911D +:04166300EBE3FEC7F0 +:04166400E4E3FED7E6 +:041665008082F4E7A4 +:04166600011380826A +:04166700D6A6F7C14B +:04166800DA86C13E1F +:04166900D8A267E1BB +:04166A00DEBADCB652 +:04166B005C87A48371 +:04166C000005DC6336 +:04166D0008B0079327 +:04166E00557DC09C4A +:04166F00544650D6B7 +:04167000011354B658 +:04167100808208412A +:04167200208007933A +:0416730000F1182347 +:04167400CA2AC22A92 +:04167500C19947814F +:04167600FFF5879362 +:04167700CC3EC63E61 +:0416780057FD18B44E +:041679008526842E10 +:04167A001923004CE4 +:04167B00C03600F184 +:04167C0057FD2601EF +:04167D0000F55563BC +:04167E0008B0079316 +:04167F00DC5DC09CD2 +:0416800080234792EA +:04168100BF5D000742 +:04168200167DC60506 +:0416830007B3470161 +:0416840086B300E544 +:04168500C78300E532 +:04168600C683000710 +:041687009663000660 +:04168800046300D720 +:04168900070500C78A +:04168A008533F3FDB4 +:04168B00808240D742 +:04168C008082450112 +:04168D00CA0987AA55 +:04168E00C703058504 +:04168F000785FFF5D7 +:041690008FA3167D91 +:04169100FB65FEE710 +:041692009363963E8A +:04169300808200C78A +:041694008FA3078594 +:04169500BFD5FE07B8 +:0416960087AA95AAE0 +:0416970000B78563B0 +:041698000007C7037D +:041699008533E701AD +:04169A00808240A763 +:04169B00B7FD07850B +:04169C00A783C5D586 +:04169D001151FFC523 +:04169E00C406C2229A +:04169F008413C026CA +:0416A000D363FFC54C +:0416A100943E00076C +:0416A20000EF84AA27 +:0416A300676115F076 +:0416A40064872783AD +:0416A500EF81863A11 +:0416A60000042223F7 +:0416A700648724230D +:0416A80040A2441206 +:0416A90044828526CC +:0416AA00006F01319B +:0416AB007063141044 +:0416AC00401402F4F0 +:0416AD0000D407332B +:0416AE0000E7966358 +:0416AF0043DC43983D +:0416B000C018973691 +:0416B1002423C05CD2 +:0416B200BFD96486B2 +:0416B30043D887BAD7 +:0416B4007DE3C319F6 +:0416B5004394FEE478 +:0416B60000D78633A0 +:0416B70000861F6327 +:0416B80096B2401096 +:0416B9008633C3941D +:0416BA001BE300D757 +:0416BB004310FAC717 +:0416BC0096B2435847 +:0416BD00C3D8C39437 +:0416BE007563B76534 +:0416BF0047B100C46B +:0416C000BF79C09C92 +:0416C10006B340101C +:0416C200166300C4E7 +:0416C300431400D7F5 +:0416C40096B243583F +:0416C500C058C01435 +:0416C600B759C3C08D +:0416C70011418082CB +:0416C8008493C2261F +:0416C90098F100355F +:0416CA00C422C6066A +:0416CB0047B104A17E +:0416CC0004F4FB63C4 +:0416CD00EA6344B1D7 +:0416CE00C02A04B476 +:0416CF000AD000EF4E +:0416D00026836761A5 +:0416D1004782648761 +:0416D2006487061310 +:0416D300E431843644 +:0416D4000413646136 +:0416D500401864C491 +:0416D600853EEB0161 +:0416D700C03E45814B +:0416D8007F8000EF20 +:0416D900C00847827C +:0416DA0085A6853E1E +:0416DB0000EFC03E1E +:0416DC00577D7EA018 +:0416DD0015634782C8 +:0416DE00473106E5A5 +:0416DF00853EC398E9 +:0416E00006B000EF61 +:0416E100D8E3A02981 +:0416E20047B1FA040E +:0416E3004501C11CE0 +:0416E400442240B2AA +:0416E50001414492E9 +:0416E60040188082A6 +:0416E7004E638F05BA +:0416E80045AD020703 +:0416E90000E5F663BF +:0416EA00943AC01856 +:0416EB00A029C0046E +:0416EC00926340586D +:0416ED00C218028697 +:0416EE0000EF853E46 +:0416EF0005130310CC +:0416F000071300B428 +:0416F10099610044B7 +:0416F20040E507B315 +:0416F300943ED3F15D +:0416F400C0188F0982 +:0416F500C2D8BF7523 +:0416F60086A2B7C54C +:0416F700BF8540402B +:0416F80000350413A2 +:0416F90003E39871FE +:0416FA0005B3FC85B3 +:0416FB00853E40A444 +:0416FC00279DC03E28 +:0416FD004782577D4C +:0416FE00FAE51AE30C +:0416FF00A303BFBDC5 +:04170000111100853E +:04170100CC06C82624 +:04170200C232CA2203 +:04170300E36384AE6A +:04170400D60308669A +:04170500771300C591 +:04170600CB3D480689 +:0417070048C882AAA2 +:041708004080470DC9 +:0417090002A70733F9 +:04170A004509498CB8 +:04170B0040B407B32C +:04170C004433C03E64 +:04170D00851302A797 +:04170E00953E0016EE +:04170F0000A473635C +:041710007613842A9E +:04171100C636400692 +:041712008516C63D35 +:04171300C41685A2D1 +:04171400ECFFF0EF07 +:0417150046B242A2F4 +:04171600CD2D47315D +:04171700488C4602B2 +:04171800C42AC636E3 +:04171900C5FFF0EF29 +:04171A0000C4D6032E +:04171B0046B243226D +:04171C00B7F6761393 +:04171D000806661341 +:04171E0000C496234A +:04171F00A823478232 +:04172000C8C00064D9 +:041721008C1D933E4A +:041722000064A0239C +:041723008336C480C5 +:041724000066F36305 +:041725004592833630 +:04172600861A408857 +:041727002701C01ABC +:04172800430244989C +:04172900073345013C +:04172A00C4984067B8 +:04172B00933A409815 +:04172C000064A02392 +:04172D008516A80D68 +:04172E00C416862235 +:04172F00832A2F05D5 +:0417300046B242A2D9 +:04173100488CFD459E +:04173200C016851642 +:04173300DA5FF0EF9A +:041734004731428275 +:0417350000E2A0230B +:0417360000C4D70311 +:041737006713557D62 +:0417380096230407E9 +:0417390040E200E4A6 +:04173A0044C244520F +:04173B008082017136 +:04173C0000C5D7030A +:04173D00F78101131C +:04173E00DEA6C12240 +:04173F0001F107931A +:04174000C22AC306F0 +:041741007713C02E2C +:0417420084B208075E +:04174300FF87F41315 +:041744004998CB1DD8 +:041745000593EB0D10 +:04174600C63604009F +:04174700E03FF0EFA0 +:0417480046B24782DC +:04174900CB88C388FE +:04174A004712E91940 +:04174B00557D47B1D0 +:04174C00409AC31CE0 +:04174D0054F6440A00 +:04174E0008810113FA +:04174F0047828082CB +:041750000400071377 +:041751000713CBD8D7 +:041752000CA30200E2 +:04175300071300E494 +:041754002A23030041 +:041755000D2300045C +:04175600CA3600E4AB +:0417570006138726C8 +:041758004683025072 +:04175900C29900072A +:04175A000AC69863C0 +:04175B00409703337D +:04175C00020302631F +:04175D00451245826A +:04175E008626869ABB +:04175F00C61AC83AA4 +:04176000E7FFF0EFC0 +:04176100026356FDCC +:0417620048541CD5F6 +:041763004742433284 +:04176400C854969A35 +:0417650000074683B0 +:041766001A06896373 +:0417670000170493D0 +:041768002023577D66 +:04176900262300042F +:04176A00C05800045F +:04176B00000424232F +:04176C00040401A3CD +:04176D0004042C2321 +:04176E000004C5832B +:04176F00000187B737 +:041770008513461582 +:041771002B7DA547E0 +:0417720000148713C5 +:04177300E539401400 +:041774000106F61361 +:041775000613C60988 +:0417760001A30200C9 +:04177700F61304C49D +:04177800C609008618 +:0417790002B00613A1 +:04177A0004C401A3FF +:04177B000004C5831E +:04177C0002A00613AE +:04177D0004C58163BB +:04177E008726445422 +:04177F004525458136 +:04178000460342A931 +:041781000313000747 +:041782000613001733 +:041783007963FD0683 +:04178400C99506C538 +:04178500A805C4549B +:04178600B7A10705FB +:04178700000187B71F +:04178800A5478613D8 +:0417890040C506331E +:04178A0015334505C9 +:04178B008EC900C53E +:04178C0084BAC01447 +:04178D004652B751B8 +:04178E000046059379 +:04178F00CA2E42100C +:0417900002064963A1 +:041791004603C450F7 +:0417920006930007B3 +:04179300116302E0FC +:04179400460306D62C +:0417950006930017A0 +:041796001B6302A02F +:0417970046D202D65E +:0417980086130709A4 +:041799004294004630 +:04179A00C163CA322B +:04179B00C05402062E +:04179C000633A081EF +:04179D00E69340C0CF +:04179E00C45000260D +:04179F00B7E1C014DA +:0417A000025686B3B4 +:0417A100871A4585D9 +:0417A200BFA596B297 +:0417A300B7C556FD73 +:0417A40022230705F0 +:0417A5004581000476 +:0417A600452546810E +:0417A700460342A90A +:0417A8000313000720 +:0417A900061300170C +:0417AA007763FD065E +:0417AB00F1E106C59D +:0417AC00000745836A +:0417AD00000184B7FC +:0417AE008513460D4C +:0417AF00C63AA5C4CD +:0417B000473221D1CA +:0417B1008493CD014F +:0417B2008D05A5C438 +:0417B3000400069395 +:0417B40000A696B342 +:0417B50007054008DC +:0417B600C0088D5585 +:0417B700000745835F +:0417B8000001853770 +:0417B90005134619B5 +:0417BA000493A605E9 +:0417BB000C230017E4 +:0417BC00294900B403 +:0417BD000737C52DF8 +:0417BE00071300000D +:0417BF00E70D00072B +:0417C0004752401438 +:0417C1001006F69385 +:0417C2000711CE91AC +:0417C3004858CA3A7E +:0417C400973E47A263 +:0417C500B599C858B2 +:0417C600025686B38E +:0417C700871A4585B3 +:0417C800BFB596B261 +:0417C900B7DD072160 +:0417CA00451246027C +:0417CB00000166B7FC +:0417CC0086930858A0 +:0417CD0085A2BFE64C +:0417CE000000009780 +:0417CF00000000E72F +:0417D00047A2C42A3E +:0417D10094E3577DC9 +:0417D2004782FCE767 +:0417D300D703557D66 +:0417D400771300C7C0 +:0417D5001EE3040704 +:0417D6004848DC079C +:0417D7004602BBD932 +:0417D80066B7451299 +:0417D90008580001AB +:0417DA00BFE686934D +:0417DB002A0585A2B4 +:0417DC001101BFC177 +:0417DD008332CA2663 +:0417DE00459084B6F8 +:0417DF00CC2249943B +:0417E000842ACE0683 +:0417E100D36387AE99 +:0417E20086B200C605 +:0417E30000D32023EC +:0417E4000437C603FD +:0417E5000685C601AE +:0417E60000D32023E9 +:0417E700F69343949E +:0417E800C69102069E +:0417E9000003268350 +:0417EA002023068929 +:0417EB00A28300D302 +:0417EC0083930007DC +:0417ED00F2930197DB +:0417EE0083630062AF +:0417EF00439006021B +:0417F0000437C68371 +:0417F1000206761363 +:0417F20000D036B33A +:0417F3008613EA412E +:0417F40085A604378B +:0417F500C41A85226B +:0417F600C03AC23EF5 +:0417F70056FD970202 +:0417F80006D50863A7 +:0417F90043224792AE +:0417FA0043944511BE +:0417FB0000032603BE +:0417FC008A9947CCB3 +:0417FD00470243015B +:0417FE0000A6976347 +:0417FF0040C583332B +:04180000000353632B +:0418010047944301C4 +:0418020054634B9050 +:041803008E9100D6EC +:041804004281933654 +:04180500126307E97A +:04180600450106533F +:041807000285A81D91 +:04180800260347D498 +:041809008E910003B9 +:04180A00F8D2DBE352 +:04180B00861E46856A +:04180C00852285A606 +:04180D00C63EC81AF1 +:04180E00C21EC4161C +:04180F009702C03A42 +:04181000470256FD38 +:0418110042A243921A +:04181200434247B254 +:04181300FCD519E304 +:0418140040F2557DCC +:0418150044D2446213 +:041816008082610566 +:0418170000D785B3BE +:0418180003000513B1 +:0418190004A581A3FE +:04181A000457C58327 +:04181B00001686131A +:04181C000689963E65 +:04181D0004B601A369 +:04181E004685BF99A3 +:04181F0085A6863ED6 +:04182000C616852241 +:04182100C23EC41AE5 +:041822009702C03A2F +:0418230001E356FD8A +:0418240042B2FCD5FB +:041825004792432281 +:0418260047020285EE +:041827001101BFAD3F +:04182800842ECC221C +:041829004603C2327E +:04182A00CA26018445 +:04182B0084B6CE06AB +:04182C000693C02A35 +:04182D00859306E0B9 +:04182E000863043512 +:04182F00E4631ED67A +:04183000069306C64F +:041831000463063016 +:04183200ED630AD682 +:04183300046300C684 +:0418340006932006F1 +:041835000C630580BB +:04183600031316D6AC +:041837000123042461 +:04183800A87904C4C3 +:0418390006400693CC +:04183A0000D606636B +:04183B00069006937A +:04183C00FED615E3DC +:04183D00230340142D +:04183E00F613000796 +:04183F00051308067F +:04184000C249004356 +:0418410000032683F7 +:041842008637C3081A +:04184300D863000165 +:041844000713000680 +:0418450006B302D014 +:0418460001A340D0EA +:04184700061304E49C +:041848004729A68600 +:041849000693A07DE5 +:04184A000A630730F6 +:04184B00EF631AD657 +:04184C00069300C639 +:04184D00006306F03E +:04184E00069306D621 +:04184F001EE307008D +:041850004014F8D672 +:041851000206E69312 +:04185200A809C0140D +:0418530007500693A1 +:0418540004D6036350 +:04185500078006936F +:04185600F8D611E3CC +:04185700078006936D +:0418580000018637CE +:0418590004D402A30E +:04185A00A7C6061304 +:04185B004314A0FD95 +:04185C00042403134A +:04185D0000468613A8 +:04185E004298C310D9 +:04185F0004E4012379 +:04186000AABD4705D1 +:041861000406F61370 +:0418620000032683D6 +:04186300DE35C308A3 +:0418640086C106C271 +:041865004008BF9DDB +:041866007293431422 +:0418670083130805DA +:04186800866300464D +:041869002023000236 +:04186A00429400673D +:04186B007513A80148 +:04186C00202304052C +:04186D00D9750067C2 +:04186E000006D68317 +:04186F0006F0051367 +:0418700000018737B5 +:041871000CA60D6351 +:04187200A68706132C +:0418730001A347295D +:0418740040480404E0 +:041875004863C408F8 +:041876002303000543 +:0418770073130004E3 +:041878002023FFB377 +:04187900E29900648C +:04187A00CD11832EDB +:04187B00F533832E90 +:04187C00137D02E6F0 +:04187D004503953258 +:04187E00002300053E +:04187F00D53300A3BA +:04188000F26302E627 +:0418810046A10AE68C +:0418820000D71E630A +:041883008B05401879 +:041884004054CB11F0 +:041885004763481855 +:04188600071300D76D +:041887000FA30300A8 +:04188800137DFEE3EB +:04188900406585B37E +:04188A004692C80CAE +:04188B008726450265 +:04188C0085A2081019 +:04188D00F0EFC41A9A +:04188E00577DD3DFD0 +:04188F001563432278 +:04189000557D0CE591 +:04189100446240F27B +:04189200610544D2D6 +:0418930002A38082AA +:04189400863704C4CB +:041895000613000135 +:041896004008A686DA +:041897000007230320 +:04189800080572933A +:04189900000326839F +:04189A008163031152 +:04189B002023020202 +:04189C007713006757 +:04189D00C70100156A +:04189E0002056513C7 +:04189F004741C008F5 +:0418A0004008F6B94D +:0418A100FDF57513C9 +:0418A200B791C00832 +:0418A3000405729333 +:0418A4000067202396 +:0418A500FC028FE3CF +:0418A60082C106C233 +:0418A7000613BFD98C +:0418A8004721A687A7 +:0418A90086AAB72D27 +:0418AA004010B7999A +:0418AB004848431452 +:0418AC000806729325 +:0418AD00004683135B +:0418AE00000287634A +:0418AF00006720238B +:0418B000C30842988F +:0418B1002023A8093F +:0418B2007613006742 +:0418B300429804064D +:0418B4001023DA6DB6 +:0418B500282300A73D +:0418B600832E000479 +:0418B7004314B7B966 +:0418B80086134581CD +:0418B900C310004612 +:0418BA000006A3037E +:0418BB00851A4050FA +:0418BC002849C41AD9 +:0418BD00C5014322FC +:0418BE004065053349 +:0418BF004058C04885 +:0418C00001A3C818A0 +:0418C100B71504044F +:0418C20045924814EF +:0418C300861A45023A +:0418C400577D948236 +:0418C500F2E507E35E +:0418C6008B09401832 +:0418C7004742EB1594 +:0418C80051E344485C +:0418C900853AF2E585 +:0418CA004592BF3153 +:0418CB004685450207 +:0418CC00C63A861A78 +:0418CD009482C41A23 +:0418CE0004E356FDDC +:0418CF004732F0D5D7 +:0418D00007054322A3 +:0418D10046424454F3 +:0418D20040E38E91D0 +:0418D300BFC1FED7BC +:0418D40003134701B2 +:0418D500B7FD0194C6 +:0418D600C2221151C8 +:0418D7006465C0265E +:0418D800852E84AA2B +:0418D9002623C406F8 +:0418DA0020FDC00429 +:0418DB00166357FD3C +:0418DC00278300F569 +:0418DD00C391C0C42F +:0418DE0040A2C09CC8 +:0418DF0044824412E9 +:0418E00080820131D0 +:0418E1000FF5F59377 +:0418E2001463962ACB +:0418E300450100C5F6 +:0418E4004783808234 +:0418E5008DE300058A +:0418E6000505FEB73F +:0418E700F363B7FDF3 +:0418E80086B304A51A +:0418E9007F6300C554 +:0418EA00459302D54B +:0418EB004781FFF63C +:0418EC00936317FDEE +:0418ED00808200F500 +:0418EE0000F6873346 +:0418EF0000074303A8 +:0418F00000C7873373 +:0418F1000023972A0F +:0418F200B7DD0067F7 +:0418F30000F5873342 +:0418F4000007468320 +:0418F50000F50733C0 +:0418F600002307853F +:0418F70017E300D71C +:0418F8008082FEF6F6 +:0418F900BFE547817F +:0418FA0080828082E6 +:0418FB0085B2E5814C +:0418FC00F2EFF06FA8 +:0418FD00C8061131D7 +:0418FE00C426C62214 +:0418FF00F0EFEA110B +:041900004781E72F05 +:04190100443240C26A +:04190200853E44A238 +:04190300808201518C +:04190400C032842E3B +:04190500203D84AA53 +:0419060087A246026C +:04190700FEC574E3C2 +:04190800852685B2F9 +:04190900F0EFC23207 +:04190A0087AAEF8F2A +:04190B004612DD6142 +:04190C00C02A85A2C6 +:04190D00C8EFF0EF40 +:04190E00852685A203 +:04190F00E34FF0EFC3 +:04191000B7C947828A +:04191100FFC5A783E4 +:04191200FFC7851373 +:041913000007D56391 +:04191400419C95AAB3 +:041915008082953EF9 +:04191600C422114195 +:04191700278364615D +:04191800C606650496 +:04191900832AC22635 +:04191A006504041349 +:04191B004501EB8512 +:04191C0046014581BA +:04191D0047014681B7 +:04191E000D600293C3 +:04191F000000007351 +:041920005C6384AAD6 +:041921002881000514 +:04192200409004B33A +:04192300557DC10429 +:04192400442240B267 +:0419250001414492A6 +:04192600C0088082F3 +:0419270045814008AE +:04192800951A4601C5 +:0419290047014681AB +:04192A00029347815C +:04192B0000730D60D8 +:04192C0084AA000089 +:04192D0000055963F5 +:04192E002831C01A82 +:04192F0004B34302B8 +:04193000C10440901E +:04193100401C54FD05 +:04193200933E557D0E +:04193300FC6492E3DB +:04193400853EC00428 +:0419350067E1BF7532 +:041936005C87A50322 +:0419370000008082AA +:0419380000000000AB +:0419390000000000AA +:04193A0000000000A9 +:04193B0000000000A8 +:04193C00FFFFFFFFAB +:04193D00FFFFFFFFAA +:04193E000000FFFFA7 +:04193F000001052C72 +:04194000000105326B +:041941000001053864 +:041942000001053E5D +:04194300000104E0BB +:041944000001050099 +:041945000001050098 +:041946000001050097 +:041947000001050096 +:041948000001050095 +:041949000001050094 +:04194A00000105048F +:04194B000001050A88 +:04194C00000129B2BB +:04194D0000012AAEBD +:04194E0000012AAEBC +:04194F0000012AC2A7 +:0419500000012AC2A6 +:0419510000012ACE99 +:0419520000012AD294 +:0419530000012AE085 +:0419540000012AE084 +:0419550000012AF86B +:0419560000012CC0A0 +:0419570000012CC699 +:0419580000012CC698 +:0419590000012CC09D +:04195A0000012CCA92 +:04195B0000012AF865 +:04195C0000012CC09A +:04195D0000012CC693 +:04195E000000000184 +:04195F00020503007A +:041960000000000281 +:041961000001669487 +:04196200000166A476 +:04196300000166B069 +:04196400000166BC5C +:04196500000166C84F +:04196600000166D442 +:04196700000166E035 +:04196800000166EC28 +:04196900000166F81B +:04196A00000167040D +:04196B000001671000 +:04196C0074204B4F49 +:04196D006E69206F10 +:04196E000000746998 +:04196F00736C6146EE +:041970007274206508 +:0419710065676769D6 +:0419720000000072FF +:04197300656D6954E1 +:041974000074756F17 +:04197500252E752581 +:041976006D75322E2B +:0419770000000073F9 +:04197800252E75257E +:041979006D75322E28 +:04197A0075252F732D +:04197B00322E252EB5 +:04197C0000736D7512 +:04197D004353534F2E +:04197E007766202048 +:04197F007525202E7C +:04198000322E252EB0 +:04198100000061758C +:0419820074696E49CD +:0419830072726520F7 +:041984002020726F3E +:0419850000006425D5 +:0419860020202020DD +:0419870053204F4E4C +:0419880000434E5971 +:041989003431303293 +:04198A003130322D99 +:04198B006D20203972 +:04198C0073717261A0 +:04198D000000000056 +:04198E000D80600068 +:04198F0000402010E4 +:041990000804022025 +:0419910000004022F0 :041992000000000051 -:041993000000000050 -:04199400000001004E -:04199500010101004B -:04199600000001004C +:041993000DC0600023 +:0419940000783C118A +:04199500070180E0E6 +:041996000000180E27 :04199700000000004C -:04199800010000004A -:04199900060B000039 -:04199A000100004404 -:04199B000000000048 -:04199C000001000046 -:04199D008080000C3A -:04199E001A1A1A8077 -:04199F0000000A0832 -:0419A00000012EB85C -:0419A10000012F4EC4 -:0419A20000012F56BB -:0419A30000012F46CA -:0419A40000012F52BD -:0419A50000012F5AB4 -:0419A60000012F4AC3 -:0419A70000012FA06C -:0419A80000012F5EAD -:0419A90000012F62A8 -:0419AA0000012F66A3 -:0419AB0000012FA068 -:0419AC0000012FA067 -:0419AD0000012FA066 -:0419AE0000012FA065 -:0419AF0000012FA064 -:0419B000000133BA45 -:0419B10000012FAA58 -:0419B200000130966A -:0419B300000130A659 -:0419B400000130C03E -:0419B500000130FEFF -:0419B600000130E21A -:0419B70000013114E6 -:0419B80000013220D8 -:0419B9000001327285 -:0419BA000001329462 -:0419BB00656E6F44A2 -:0419BC000000000027 -:0419BD006C696146AA -:0419BE00000064655C -:0419BF00666F72508D -:0419C0002075252E3B -:0419C1000073392551 -:0419C200756C3425E7 -:0419C3006325632510 -:0419C4006C2520204E -:0419C50000000075A9 -:0419C600656E694C95 -:0419C700746C756D5A -:0419C800646F6D20BB -:0419C90000003A657B -:0419CA00736572705F -:0419CB002D31207327 -:0419CC0000000035E2 -:0419CD0020787525E4 -:0419CE0075736E754A -:0419CF00726F707053 -:0419D00000646574D6 -:0419D100666F72507B -:0419D20020656C69B7 -:0419D30064616F6C70 -:0419D4000000003AD5 -:0419D5007365727054 -:0419D60075252073E0 -:0419D7000075252D45 -:0419D800000168247E -:0419D9000001682879 -:0419DA000001682C74 -:0419DB00000168306F -:0419DC00000167306F -:0419DD000001683469 -:0419DE000001683864 -:0419DF000001683C5F -:0419E000000168405A -:0419E100000173503E -:0419E2000001684454 -:0419E3000001684C4B -:0419E4000001685046 -:0419E500000168583D -:0419E6000001685C38 -:0419E700000168642F -:0419E8000001686C26 -:0419E900000168741D -:0419EA000001687C14 -:0419EB000001688C03 -:0419EC000001689CF2 -:0419ED00000168ACE1 -:0419EE00000168BCD0 -:0419EF00000168CCBF -:0419F000000168DCAE -:0419F100000168E4A5 -:0419F200000168EC9C -:0419F3003EA93E29A2 -:0419F4003EE93E6921 -:0419F5003E993E19C0 -:0419F6003ED93E593F -:0419F7003EC93E396E -:0419F8003E1D3E4D05 -:0419F9003E2D3EED54 -:0419FA003EAD3ECDF3 -:0419FB003E653E6D9A -:0419FC001C483E0144 -:0419FD001C501C1846 -:0419FE001CC81CD015 -:0419FF005ED85E58F8 -:041A000000003EB9EB -:041A01007365725047 -:041A0200000000736D -:041A0300666E6F4359 -:041A0400006D726996 -:041A05006D73694D47 -:041A0600686374613C -:041A07006572202CB8 -:041A0800007972747B -:041A090000000031A8 -:041A0A0000000032A6 -:041A0B0000000033A4 -:041A0C0000000034A2 -:041A0D00000000369F -:041A0E00000000379D -:041A0F00000000389B -:041A10000000003999 -:041A1100554E454D9C -:041A120000000000D0 -:041A130000004B4F35 -:041A14004B434142BD -:041A150000000000CD -:041A16000000505527 -:041A17004E574F4493 -:041A180000000000CA -:041A19005446454C9E -:041A1A0000000000C8 -:041A1B00484749529D -:041A1C000000005472 -:041A1D004F464E4999 -:041A1E0000000000C4 -:041A1F005F44434C91 -:041A20004B434142B1 -:041A21004847494C9D -:041A2200000000546C -:041A23004E4143539A -:041A2400454E494C96 -:041A2500444F4D5F7E -:041A26000000004577 -:041A27004E41435396 -:041A2800454E494C92 -:041A29005059545F5D -:041A2A000000004573 -:041A2B004E41435392 -:041A2C00454E494C8E -:041A2D00544E495F6B -:041A2E000000002B89 -:041A2F004E4143538E -:041A3000454E494C8A -:041A3100544E495F67 -:041A32000000002D83 -:041A3300454E494C87 -:041A3400544C554D6C -:041A3500444F4D5F6E -:041A36000000004567 -:041A3700534148507F -:041A380000002B453A -:041A3900534148507D -:041A3A0000002D4536 -:041A3B00464F525070 -:041A3C005F454C496D -:041A3D004B544F486F -:041A3E000000594506 -:041A3F006F7272450B -:041A40000000007230 -:041A410053206F4E71 -:041A42006163204478 -:041A43006420647245 -:041A4400002E746597 -:041A450061766E490F -:041A46002064696C43 -:041A47006461656809 -:041A480000007265C3 -:041A490061766E490B -:041A4A002064696C3F -:041A4B002072646839 -:041A4C0000435243BE -:041A4D0061766E4907 -:041A4E002064696C3B -:041A4F0061746164F9 -:041A5000435243209A -:041A51000000000091 -:041A52006164705506 -:041A53006320657433 -:041A540065636E61F7 -:041A550064656C6CEC +:041998000D8060005E +:0419990000301804FE +:04199A0002014060A6 +:04199B00000014062E +:04199C000000000047 +:04199D002520732569 +:04199E000063257548 +:04199F00252E752557 +:0419A0006B75322E03 +:0419A10025207A483B +:0419A2002E252E754B +:0419A3007A487532D7 +:0419A400000000003F +:0419A500747365549E +:0419A60074617020D8 +:0419A7006E72657483 +:0419A800000000003B +:0419A9003A31564138 +:0419AA00424752203E +:0419AB0000000053E5 +:0419AC003A31564135 +:0419AD00734752200A +:0419AE0000000042F3 +:0419AF003A31564132 +:0419B0006250592008 +:0419B1000000725070 +:0419B2003A3256412E +:0419B3006250592005 +:0419B400000072506D +:0419B5003A3256412B +:0419B6007347522001 +:0419B70000000042EA +:0419B8003A33564127 +:0419B900424752202F +:0419BA00000056488B +:0419BB003A33564124 +:0419BC00424752202C +:0419BD0000000053D3 +:0419BE003A33564121 +:0419BF0073475220F8 +:0419C00000000042E1 +:0419C1003A3356411E +:0419C20062505920F6 +:0419C300000072505E +:0419C4007473614C8B +:0419C50065737520B1 +:0419C60000000064B9 +:0419C700000000001C +:0419C800000000011A +:0419C900000000001A +:0419CA000000000019 +:0419CB000000000018 +:0419CC000000010016 +:0419CD000101010013 +:0419CE000000010014 +:0419CF000000000014 +:0419D0000100000012 +:0419D100060B000001 +:0419D20001000044CC +:0419D3000000000010 +:0419D400000100000E +:0419D5008080000C02 +:0419D6001A1A1A803F +:0419D70000000A08FA +:0419D80000012F9843 +:0419D9000001302EAB +:0419DA0000013036A2 +:0419DB0000013026B1 +:0419DC0000013032A4 +:0419DD000001303A9B +:0419DE000001302AAA +:0419DF000001308053 +:0419E0000001303E94 +:0419E100000130428F +:0419E200000130468A +:0419E300000130804F +:0419E400000130804E +:0419E500000130804D +:0419E600000130804C +:0419E700000130804B +:0419E8000001349A2C +:0419E9000001308A3F +:0419EA000001317651 +:0419EB000001318640 +:0419EC00000131A025 +:0419ED00000131DEE6 +:0419EE00000131C201 +:0419EF00000131F4CE +:0419F00000013300BF +:0419F100000133526C +:0419F2000001337449 +:0419F300656E6F446A +:0419F40000000000EF +:0419F5006C69614672 +:0419F6000000646524 +:0419F700666F725055 +:0419F8002075252E03 +:0419F9000073392519 +:0419FA00756C3425AF +:0419FB0063256325D8 +:0419FC006C25202016 +:0419FD000000007571 +:0419FE00656E694C5D +:0419FF00746C756D22 +:041A0000646F6D2082 +:041A010000003A6542 +:041A02007365727026 +:041A03002D312073EE +:041A040000000035A9 +:041A050020787525AB +:041A060075736E7511 +:041A0700726F70701A +:041A0800006465749D +:041A0900666F725042 +:041A0A0020656C697E +:041A0B0064616F6C37 +:041A0C000000003A9C +:041A0D00736572701B +:041A0E0075252073A7 +:041A0F000075252D0C +:041A10000001690464 +:041A1100000169085F +:041A12000001690C5A +:041A13000001691055 +:041A14000001681055 +:041A1500000169144F +:041A1600000169184A +:041A17000001691C45 +:041A18000001692040 +:041A19000001743024 +:041A1A00000169243A +:041A1B000001692C31 +:041A1C00000169302C +:041A1D000001693823 +:041A1E000001693C1E +:041A1F000001694415 +:041A20000001694C0C +:041A21000001695403 +:041A22000001695CFA +:041A23000001696CE9 +:041A24000001697CD8 +:041A25000001698CC7 +:041A26000001699CB6 +:041A2700000169ACA5 +:041A2800000169BC94 +:041A2900000169C48B +:041A2A00000169CC82 +:041A2B003EA93E2969 +:041A2C003EE93E69E8 +:041A2D003E993E1987 +:041A2E003ED93E5906 +:041A2F003EC93E3935 +:041A30003E1D3E4DCC +:041A31003E2D3EED1B +:041A32003EAD3ECDBA +:041A33003E653E6D61 +:041A34001C483E010B +:041A35001C501C180D +:041A36001CC81CD0DC +:041A37005ED85E58BF +:041A380000003EB9B3 +:041A3900736572500F +:041A3A000000007335 +:041A3B00666E6F4321 +:041A3C00006D72695E +:041A3D006D73694D0F +:041A3E006863746104 +:041A3F006572202C80 +:041A40000079727443 +:041A41000000003170 +:041A4200000000326E +:041A4300000000336C +:041A4400000000346A +:041A45000000003667 +:041A46000000003765 +:041A47000000003863 +:041A48000000003961 +:041A4900554E454D64 +:041A4A000000000098 +:041A4B0000004B4FFD +:041A4C004B43414285 +:041A4D000000000095 +:041A4E0000005055EF +:041A4F004E574F445B +:041A50000000000092 +:041A51005446454C66 +:041A52000000000090 +:041A53004847495265 +:041A5400000000543A +:041A55004F464E4961 :041A5600000000008C -:041A570073616C4605 -:041A5800657220682B -:041A5900652064613F -:041A5A0000007272A4 -:041A5B0073616C4601 -:041A5C007265206827 -:041A5D00206573612C -:041A5E00007272653B -:041A5F0073616C46FD -:041A60007277206811 -:041A6100206574691F -:041A62000072726537 -:041A630073616C46F9 -:041A6400657620681B -:041A6500206669721C -:041A66006C696166E0 -:041A6700000000007B -:041A680061766E49EC -:041A69002064696C20 -:041A6A0067616D69DA -:041A6B000000006512 -:041A6C004353534F3E -:041A6D000000000075 -:041A6E00696C6156E8 -:041A6F0069746164D1 -:041A70006420676E19 -:041A7100006174613B -:041A72006220752554 -:041A730073657479AA -:041A7400000000006E -:041A7500252E752580 -:041A76002575322E72 -:041A77000073257360 -:041A780061647055E0 -:041A7900676E6974B7 -:041A7A0000574620AB -:041A7B0061656C70C5 -:041A7C0077206573F7 -:041A7D002E746961F9 -:041A7E0000002E2E08 -:041A7F0069726556CD -:041A80006E697966AC -:041A81006C66206708 -:041A82000068736124 -:041A830061656C70BD -:041A840072206573F4 -:041A850061747365B0 -:041A86000000747276 -:041A870072746552BE -:041A8800676E6979A3 -:041A890064707520F0 -:041A8A00006574611E -:041A8B0061647055CD -:041A8C00203F65741E -:041A8D002C593D3162 -:041A8E004E3D322077 -:041A8F000000000053 -:041A90006D726946C4 -:041A910065726177A2 -:041A920064707520E7 -:041A930064657461B1 -:041A9400000000004E -:041A950000013C5AB6 -:041A960000013C2AE5 -:041A970000013C040A -:041A980000013C1CF1 -:041A990000013D0CFF -:041A9A0000013D0CFE -:041A9B0000013DCE3B -:041A9C0000013DF414 -:041A9D0000013E0006 -:041A9E0000013E3CC9 -:041A9F0000013E10F4 -:041AA00000013D20E4 -:041AA10000013D20E3 -:041AA20000013D649E -:041AA30000013D9E63 -:041AA40000013D9E62 -:041AA5006420642530 -:041AA60000000042FA -:041AA700252E75254E -:041AA8002075322E45 -:041AA9000000737551 -:041AAA006C20752512 -:041AAB0073656E6988 +:041A57005F44434C59 +:041A58004B43414279 +:041A59004847494C65 +:041A5A000000005434 +:041A5B004E41435362 +:041A5C00454E494C5E +:041A5D00444F4D5F46 +:041A5E00000000453F +:041A5F004E4143535E +:041A6000454E494C5A +:041A61005059545F25 +:041A6200000000453B +:041A63004E4143535A +:041A6400454E494C56 +:041A6500544E495F33 +:041A66000000002B51 +:041A67004E41435356 +:041A6800454E494C52 +:041A6900544E495F2F +:041A6A000000002D4B +:041A6B00454E494C4F +:041A6C00544C554D34 +:041A6D00444F4D5F36 +:041A6E00000000452F +:041A6F005341485047 +:041A700000002B4502 +:041A71005341485045 +:041A720000002D45FE +:041A7300464F525038 +:041A74005F454C4935 +:041A75004B544F4837 +:041A760000005945CE +:041A77006F727245D3 +:041A780000000072F8 +:041A790053206F4E39 +:041A7A006163204440 +:041A7B00642064720D +:041A7C00002E74655F +:041A7D0061766E49D7 +:041A7E002064696C0B +:041A7F0064616568D1 +:041A8000000072658B +:041A810061766E49D3 +:041A82002064696C07 +:041A83002072646801 +:041A84000043524386 +:041A850061766E49CF +:041A86002064696C03 +:041A870061746164C1 +:041A88004352432062 +:041A89000000000059 +:041A8A0061647055CE +:041A8B0063206574FB +:041A8C0065636E61BF +:041A8D0064656C6CB4 +:041A8E000000000054 +:041A8F0073616C46CD +:041A900065722068F3 +:041A91006520646107 +:041A9200000072726C +:041A930073616C46C9 +:041A940072652068EF +:041A950020657361F4 +:041A96000072726503 +:041A970073616C46C5 +:041A980072772068D9 +:041A990020657469E7 +:041A9A0000727265FF +:041A9B0073616C46C1 +:041A9C0065762068E3 +:041A9D0020666972E4 +:041A9E006C696166A8 +:041A9F000000000043 +:041AA00061766E49B4 +:041AA1002064696CE8 +:041AA20067616D69A2 +:041AA30000000065DA +:041AA4004353534F06 +:041AA500000000003D +:041AA600696C6156B0 +:041AA7006974616499 +:041AA8006420676EE1 +:041AA9000061746103 +:041AAA00622075251C +:041AAB007365747972 :041AAC000000000036 -:041AAD006C206F4EEC -:041AAE00006B6E69F2 -:041AAF000001859419 -:041AB0000000000230 -:041AB10000013B04F1 -:041AB200000171DCE2 -:041AB300000171E8D5 -:041AB400000171F0CC -:041AB5007020752503 -:041AB6006C6578697A -:041AB70000000073B8 -:041AB800000185960E -:041AB9000000000E1B -:041ABA0000013B48A4 -:041ABB00706D653CA9 -:041ABC00003E7974FB -:041ABD00203A752531 -:041ABE00000073258C -:041ABF00252575253F -:041AC0000000000022 -:041AC1006564695699 -:041AC2006E69206FBA -:041AC3006F727020AE -:041AC4003E2020633D -:041AC500000000001D -:041AC600706D61538B -:041AC700676E696C71 -:041AC80074706F20A7 -:041AC9003E20202E6D -:041ACA000000000018 -:041ACB00636E79537A -:041ACC0074706F20A3 -:041ACD002020202E87 -:041ACE003E20202076 -:041ACF000000000013 -:041AD0007074754F6A -:041AD1006F20747599 -:041AD200202E7470DE -:041AD3003E20202071 -:041AD400000000000E -:041AD5006E61635388 -:041AD600656E696C64 -:041AD70074706F2098 -:041AD8003E20202E5E -:041AD9000000000009 -:041ADA0074736F5062 -:041ADB006F72702D89 -:041ADC0020202E6335 -:041ADD003E20202067 -:041ADE000000000004 -:041ADF00706D6F4374 -:041AE0006269746162 -:041AE10074696C694F -:041AE2003E20207909 -:041AE30000000000FF -:041AE400696475417B -:041AE500706F206F8F -:041AE6006E6F697442 -:041AE7003E2020730A -:041AE80000000000FA -:041AE9007474655359 -:041AEA0073676E6947 -:041AEB0074706F2084 -:041AEC003E20202058 -:041AED0000000000F5 -:041AEE00616F4C3C9C -:041AEF00727020648D -:041AF0006C69666F48 -:041AF100003E20652E -:041AF2007661533C8A -:041AF3007270206588 -:041AF4006C69666F44 -:041AF500003E20652A -:041AF6007365523C86 -:041AF700732074657F -:041AF8006974746534 -:041AF9003E73676E63 -:041AFA0000000000E8 -:041AFB006B6E694C59 -:041AFC006F72702075 -:041AFD00693E2D66AB -:041AFE007475706E1D -:041AFF0000000000E3 -:041B00006B6E694C53 -:041B0100706E692079 -:041B02003E2D74758B -:041B0300666F727027 -:041B040000000000DD -:041B050074696E4948 -:041B0600206C616985 -:041B070075706E691E -:041B08000000007465 -:041B09006F7475413F -:041B0A006574656435 -:041B0B006920746376 -:041B0C007475706E0E -:041B0D0000000000D4 -:041B0E006F7475413A -:041B0F0031564120EA -:041B1000472F5920E2 -:041B1100000000735D -:041B12006F74754136 -:041B130032564120E5 -:041B1400472F5920DE -:041B15000000007359 -:041B16006F74754132 -:041B170033564120E0 -:041B1800472F5920DA -:041B19000000007355 -:041B1A002044434CD4 -:041B1B0074204C42A4 -:041B1C006F656D691B -:041B1D0000007475DB -:041B1E002044534FBD -:041B1F0062616E652C -:041B20000000656CF0 -:041B21002044534FBA -:041B22007461747303 -:041B23006420737552 -:041B24002E70736943 +:041AAD00252E752548 +:041AAE002575322E3A +:041AAF000073257328 +:041AB00061647055A8 +:041AB100676E69747F +:041AB2000057462073 +:041AB30061656C708D +:041AB40077206573BF +:041AB5002E746961C1 +:041AB60000002E2ED0 +:041AB7006972655695 +:041AB8006E69796674 +:041AB9006C662067D0 +:041ABA0000687361EC +:041ABB0061656C7085 +:041ABC0072206573BC +:041ABD006174736578 +:041ABE00000074723E +:041ABF007274655286 +:041AC000676E69796B +:041AC10064707520B8 +:041AC20000657461E6 +:041AC3006164705595 +:041AC400203F6574E6 +:041AC5002C593D312A +:041AC6004E3D32203F +:041AC700000000001B +:041AC8006D7269468C +:041AC900657261776A +:041ACA0064707520AF +:041ACB006465746179 +:041ACC000000000016 +:041ACD0000013D3A9D +:041ACE0000013D0ACC +:041ACF0000013CE4F2 +:041AD00000013CFCD9 +:041AD10000013DECE7 +:041AD20000013DECE6 +:041AD30000013EAE22 +:041AD40000013ED4FB +:041AD50000013EE0EE +:041AD60000013F1CB0 +:041AD70000013EF0DC +:041AD80000013E00CB +:041AD90000013E00CA +:041ADA0000013E4485 +:041ADB0000013E7E4A +:041ADC0000013E7E49 +:041ADD0064206425F8 +:041ADE0000000042C2 +:041ADF00252E752516 +:041AE0002075322E0D +:041AE1000000737519 +:041AE2006C207525DA +:041AE30073656E6950 +:041AE40000000000FE +:041AE5006C206F4EB4 +:041AE600006B6E69BA +:041AE7000001867400 +:041AE80000000002F8 +:041AE90000013BE4D9 +:041AEA00000172BCC9 +:041AEB00000172C8BC +:041AEC00000172D0B3 +:041AED0070207525CB +:041AEE006C65786942 +:041AEF000000007380 +:041AF00000018676F5 +:041AF1000000000EE3 +:041AF20000013C288B +:041AF300706D653C71 +:041AF400003E7974C3 +:041AF500203A7525F9 +:041AF6000000732554 +:041AF7002525752507 +:041AF80000000000EA +:041AF9006564695661 +:041AFA006E69206F82 +:041AFB006F72702076 +:041AFC003E20206305 +:041AFD0000000000E5 +:041AFE00706D615353 +:041AFF00676E696C39 +:041B000074706F206E +:041B01003E20202E34 +:041B020000000000DF +:041B0300636E795341 +:041B040074706F206A +:041B05002020202E4E +:041B06003E2020203D +:041B070000000000DA +:041B08007074754F31 +:041B09006F20747560 +:041B0A00202E7470A5 +:041B0B003E20202038 +:041B0C0000000000D5 +:041B0D006E6163534F +:041B0E00656E696C2B +:041B0F0074706F205F +:041B10003E20202E25 +:041B110000000000D0 +:041B120074736F5029 +:041B13006F72702D50 +:041B140020202E63FC +:041B15003E2020202E +:041B160000000000CB +:041B1700706D6F433B +:041B18006269746129 +:041B190074696C6916 +:041B1A003E202079D0 +:041B1B0000000000C6 +:041B1C006964754142 +:041B1D00706F206F56 +:041B1E006E6F697409 +:041B1F003E202073D1 +:041B200000000000C1 +:041B21007474655320 +:041B220073676E690E +:041B230074706F204B +:041B24003E2020201F :041B250000000000BC -:041B2600706D493C59 -:041B27002074726F45 -:041B280074746573F9 -:041B29003E20202E0C -:041B2A0000000000B7 -:041B2B002E77463C8F -:041B2C00647075204C -:041B2D00206574615A -:041B2E003E20202015 -:041B2F0000000000B2 -:041B30006E776F4419 -:041B31006D61732D42 -:041B32006E696C70FC -:041B33000000006747 -:041B34007061775312 -:041B350066656C2055 -:041B360069722F742D -:041B37000074686767 -:041B38002D65725055 -:041B390020434441C0 -:041B3A006E69616708 -:041B3B0000000000A6 -:041B3C006C6C754612 -:041B3D0020585420B8 -:041B3E0075746573E2 -:041B3F000000007032 -:041B400020335641B7 -:041B410065746E69F0 -:041B420063616C72FD -:041B430078696665F2 -:041B4400000000009D -:041B450020335641B2 -:041B4600206573752E -:041B470020315641B2 -:041B480000424752BE -:041B49006166654428 -:041B4A0020746C7522 -:041B4B00494D444874 -:041B4C004349562093 -:041B4D000000000094 -:041B4E00616E615013 -:041B4F00696E6F73D9 -:041B50006168206345 -:041B510000006B63C2 -:041B520069726F48FD -:041B5300746E6F7AC3 -:041B54006D206C6133 -:041B5500006B73614D -:041B560074726556EA -:041B57006C616369F1 -:041B580073616D2028 -:041B59000000006B1D -:041B5A006B73614DFB -:041B5B006C6F632028 -:041B5C000000726FA4 -:041B5D006B73614DF8 -:041B5E006972622026 -:041B5F006E746867D1 -:041B60000073736536 -:041B610065766552EE -:041B62002065737215 -:041B63000046504C9C -:041B64005949443C5B -:041B650074616C201B -:041B66006574202E54 -:041B6700003E747355 -:041B68006E616353F4 -:041B6900656E696CD0 -:041B6A000000007304 -:041B6B00202E6C5369 -:041B6C0065727473B7 -:041B6D006874676EC3 -:041B6E000000000073 -:041B6F00202E6C5365 -:041B700072627968BC -:041B71007320646910 -:041B7200002E72745B -:041B7300202E6C5361 -:041B74006874656DBF -:041B75000000646F99 -:041B7600202E6C535E -:041B770065746C61C4 -:041B780074616E72B4 -:041B790000676E692A -:041B7A00202E6C535A -:041B7B0067696C61C9 -:041B7C006E656D6EB7 -:041B7D0000000074F0 -:041B7E00202E6C5356 -:041B7F0020746C6101 -:041B800065746E69B1 -:041B81006C617672AB -:041B8200000000005F -:041B8300202E6C5351 -:041B8400657079749B +:041B2600616F4C3C63 +:041B27007270206454 +:041B28006C69666F0F +:041B2900003E2065F5 +:041B2A007661533C51 +:041B2B00727020654F +:041B2C006C69666F0B +:041B2D00003E2065F1 +:041B2E007365523C4D +:041B2F007320746546 +:041B300069747465FB +:041B31003E73676E2A +:041B320000000000AF +:041B33006B6E694C20 +:041B34006F7270203C +:041B3500693E2D6672 +:041B36007475706EE4 +:041B370000000000AA +:041B38006B6E694C1B +:041B3900706E692041 +:041B3A003E2D747553 +:041B3B00666F7270EF +:041B3C0000000000A5 +:041B3D0074696E4910 +:041B3E00206C61694D +:041B3F0075706E69E6 +:041B4000000000742D +:041B41006F74754107 +:041B420065746564FD +:041B4300692074633E +:041B44007475706ED6 +:041B4500000000009C +:041B46006F74754102 +:041B470031564120B2 +:041B4800472F5920AA +:041B49000000007325 +:041B4A006F747541FE +:041B4B0032564120AD +:041B4C00472F5920A6 +:041B4D000000007321 +:041B4E006F747541FA +:041B4F0033564120A8 +:041B5000472F5920A2 +:041B5100000000731D +:041B52002044434C9C +:041B530074204C426C +:041B54006F656D69E3 +:041B550000007475A3 +:041B56002044534F85 +:041B570062616E65F4 +:041B58000000656CB8 +:041B59002044534F82 +:041B5A0074617473CB +:041B5B00642073751A +:041B5C002E7073690B +:041B5D000000000084 +:041B5E00706D493C21 +:041B5F002074726F0D +:041B600074746573C1 +:041B61003E20202ED4 +:041B6200000000007F +:041B63002E77463C57 +:041B64006470752014 +:041B65002065746122 +:041B66003E202020DD +:041B6700000000007A +:041B68006E776F44E1 +:041B69006D61732D0A +:041B6A006E696C70C4 +:041B6B00000000670F +:041B6C0070617753DA +:041B6D0066656C201D +:041B6E0069722F74F5 +:041B6F00007468672F +:041B70002D6572501D +:041B71002043444188 +:041B72006E696167D0 +:041B7300000000006E +:041B74006C6C7546DA +:041B75002058542080 +:041B760075746573AA +:041B770000000070FA +:041B7800203356417F +:041B790065746E69B8 +:041B7A0063616C72C5 +:041B7B0078696665BA +:041B7C000000000065 +:041B7D00203356417A +:041B7E0020657375F6 +:041B7F00203156417A +:041B80000042475286 +:041B810061666544F0 +:041B820020746C75EA +:041B8300494D44483C +:041B8400434956205B :041B8500000000005C -:041B86004320203C9C -:041B87006F7473758F -:041B88006C53206D0D -:041B89003E20202EAC -:041B8A000000000057 -:041B8B007030343250 -:041B8C003838322F84 -:041B8D0072702070E2 -:041B8E000000636F81 -:041B8F007034383343 -:041B90003030342F8E -:041B910072702070DE -:041B92000000636F7D -:041B93006930383449 -:041B94003637352F7C -:041B950072702069E1 -:041B96000000636F79 -:041B9700703038343E -:041B98003637352F78 -:041B990072702070D6 -:041B9A000000636F75 -:041B9B00693036393E -:041B9C003830312F7D -:041B9D00702069301B -:041B9E0000636F72FF -:041B9F00656E694CBA -:041BA0006D2078320A -:041BA1000065646F08 -:041BA200656E694CB7 -:041BA3006D20783306 -:041BA4000065646F05 -:041BA500656E694CB4 -:041BA6006D20783402 -:041BA7000065646F02 -:041BA800656E694CB1 -:041BA9006D207835FE -:041BAA000065646FFF -:041BAB00656E694CAE -:041BAC006620783502 -:041BAD00616D726F85 -:041BAE0000000074BF -:041BAF00783635321D -:041BB000203034327B -:041BB1006570736187 -:041BB2000000746358 -:041BB3006D205854F5 -:041BB4000065646FF5 -:041BB500494D44480A -:041BB600435449202B -:041BB700000000002A -:041BB8006C616E41AD -:041BB9007320676FBF -:041BBA0020636E79BD -:041BBB000046504C44 -:041BBC006C616E41A9 -:041BBD007320676FBB -:041BBE0020636E79B9 -:041BBF0000687456F0 -:041BC0006E7973487F -:041BC1006F742063BA -:041BC2006172656C7B -:041BC3000065636EE8 -:041BC4006E7973566D -:041BC50068742063BD -:041BC6006873657269 -:041BC70000646C6FDB -:041BC8004C502D4808 -:041BC9007250204CEA -:041BCA006F432D65D3 -:041BCB0000747361CE -:041BCC004C502D4804 -:041BCD006F50204CE9 -:041BCE00432D7473BC -:041BCF007473616F5B -:041BD0000000000011 -:041BD1007030383404 -:041BD200206E6920F8 -:041BD300706D61735D -:041BD4000072656CCA -:041BD5007030303408 -:041BD600206E6920F4 -:041BD700706D617359 -:041BD8000072656CC6 -:041BD9006F6C6C4180 -:041BDA0056542077C6 -:041BDB0050482050FE -:041BDC0078324C4CC3 -:041BDD000000000004 -:041BDE006F6C6C417B -:041BDF007075207786 -:041BE000706D617350 -:041BE1007832656C85 -:041BE20000000000FF -:041BE3007664413CA7 -:041BE4006974202ED2 -:041BE500676E696D51 -:041BE6003E2020205D -:041BE70000000000FA -:041BE8006564695671 -:041BE900504C206FCD -:041BEA0000000046B1 -:041BEB00506250599B -:041BEC006E6920728C -:041BED006C6F4320B6 -:041BEE0000617053CF -:041BEF0072502F52AF -:041BF00066666F2096 -:041BF10000746573A4 -:041BF20020592F4700 -:041BF3007366666F40 -:041BF4000000746514 -:041BF50062502F42C9 -:041BF60066666F2090 -:041BF700007465739E -:041BF80072502F52A6 -:041BF9006961672097 -:041BFA000000006E79 -:041BFB0020592F47F7 -:041BFC006E69616746 -:041BFD0000000000E4 -:041BFE0062502F42C0 -:041BFF006961672091 -:041C00000000006E72 -:041C01002D6572508B -:041C020020434441F6 -:041C03006E6961475E -:041C040000000000DC -:041C05002D62755384 -:041C0600656E696C32 -:041C070073203120F5 -:041C080000007274F2 -:041C09002D62755380 -:041C0A00656E696C2E -:041C0B0073203220F0 -:041C0C0000007274EE -:041C0D002D6275537C -:041C0E00656E696C2A -:041C0F0073203320EB -:041C100000007274EA -:041C11002D62755378 -:041C1200656E696C26 -:041C130073203420E6 -:041C140000007274E6 -:041C15002D62755374 -:041C1600656E696C22 -:041C170073203520E1 -:041C180000007274E2 -:041C19002D62755370 -:041C1A00756C6F6313 -:041C1B0031206E6D99 -:041C1C00727473204B -:041C1D0000000000C3 -:041C1E002D6275536B -:041C1F00756C6F630E -:041C200032206E6D93 -:041C21007274732046 -:041C220000000000BE -:041C23002D62755366 -:041C2400756C6F6309 -:041C250033206E6D8D -:041C26007274732041 -:041C270000000000B9 -:041C28002D62755361 -:041C2900756C6F6304 -:041C2A0034206E6D87 -:041C2B00727473203C -:041C2C0000000000B4 -:041C2D002D6275535C -:041C2E00756C6F63FF -:041C2F0035206E6D81 -:041C30007274732037 -:041C310000000000AF -:041C32002D62755357 -:041C3300756C6F63FA -:041C340036206E6D7B -:041C35007274732032 -:041C360000000000AA -:041C370073202E48A0 -:041C38006C706D61FE -:041C390074617265FB -:041C3A000000006541 -:041C3B0073202E489C -:041C3C007461722E2F -:041C3D006461206559 -:041C3E000000006A38 -:041C3F0073202E4898 -:041C40006C636E79EA -:041C410000006E65CC -:041C420062202E48A6 -:041C4300706B6361FE -:041C44006863726FF0 -:041C4500000000009B -:041C460061202E48A3 -:041C470076697463E3 -:041C48000000006533 -:041C490073202E5680 -:041C4A006C636E79E0 -:041C4B0000006E65C2 -:041C4C0062202E568E -:041C4D00706B6361F4 -:041C4E006863726FE6 -:041C4F000000000091 -:041C500061202E568B -:041C510076697463D9 -:041C52000000006529 -:041C5300706D6153FC -:041C5400676E696CE2 -:041C55006168702032 -:041C560000006573B2 -:041C570063616C4217 -:041C58000000006B1D -:041C590065756C42FF +:041B8600616E6150DB +:041B8700696E6F73A1 +:041B8800616820630D +:041B890000006B638A +:041B8A0069726F48C5 +:041B8B00746E6F7A8B +:041B8C006D206C61FB +:041B8D00006B736115 +:041B8E0074726556B2 +:041B8F006C616369B9 +:041B900073616D20F0 +:041B91000000006BE5 +:041B92006B73614DC3 +:041B93006C6F6320F0 +:041B94000000726F6C +:041B95006B73614DC0 +:041B960069726220EE +:041B97006E74686799 +:041B980000737365FE +:041B990065766552B6 +:041B9A0020657372DD +:041B9B000046504C64 +:041B9C005949443C23 +:041B9D0074616C20E3 +:041B9E006574202E1C +:041B9F00003E74731D +:041BA0006E616353BC +:041BA100656E696C98 +:041BA20000000073CC +:041BA300202E6C5331 +:041BA400657274737F +:041BA5006874676E8B +:041BA600000000003B +:041BA700202E6C532D +:041BA8007262796884 +:041BA90073206469D8 +:041BAA00002E727423 +:041BAB00202E6C5329 +:041BAC006874656D87 +:041BAD000000646F61 +:041BAE00202E6C5326 +:041BAF0065746C618C +:041BB00074616E727C +:041BB10000676E69F2 +:041BB200202E6C5322 +:041BB30067696C6191 +:041BB4006E656D6E7F +:041BB50000000074B8 +:041BB600202E6C531E +:041BB70020746C61C9 +:041BB80065746E6979 +:041BB9006C61767273 +:041BBA000000000027 +:041BBB00202E6C5319 +:041BBC006570797463 +:041BBD000000000024 +:041BBE004320203C64 +:041BBF006F74737557 +:041BC0006C53206DD5 +:041BC1003E20202E74 +:041BC200000000001F +:041BC3007030343218 +:041BC4003838322F4C +:041BC50072702070AA +:041BC6000000636F49 +:041BC700703438330B +:041BC8003030342F56 +:041BC90072702070A6 +:041BCA000000636F45 +:041BCB006930383411 +:041BCC003637352F44 +:041BCD0072702069A9 +:041BCE000000636F41 +:041BCF007030383406 +:041BD0003637352F40 +:041BD100727020709E +:041BD2000000636F3D +:041BD3006930363906 +:041BD4003830312F45 +:041BD50070206930E3 +:041BD60000636F72C7 +:041BD700656E694C82 +:041BD8006D207832D2 +:041BD9000065646FD0 +:041BDA00656E694C7F +:041BDB006D207833CE +:041BDC000065646FCD +:041BDD00656E694C7C +:041BDE006D207834CA +:041BDF000065646FCA +:041BE000656E694C79 +:041BE1006D207835C6 +:041BE2000065646FC7 +:041BE300656E694C76 +:041BE40066207835CA +:041BE500616D726F4D +:041BE6000000007487 +:041BE70078363532E5 +:041BE8002030343243 +:041BE900657073614F +:041BEA000000746320 +:041BEB006D205854BD +:041BEC000065646FBD +:041BED00494D4448D2 +:041BEE0043544920F3 +:041BEF0000000000F2 +:041BF0006C616E4175 +:041BF1007320676F87 +:041BF20020636E7985 +:041BF3000046504C0C +:041BF4006C616E4171 +:041BF5007320676F83 +:041BF60020636E7981 +:041BF70000687456B8 +:041BF8006E79734847 +:041BF9006F74206382 +:041BFA006172656C43 +:041BFB000065636EB0 +:041BFC006E79735635 +:041BFD006874206385 +:041BFE006873657231 +:041BFF0000646C6FA3 +:041C00004C502D48CF +:041C01007250204CB1 +:041C02006F432D659A +:041C03000074736195 +:041C04004C502D48CB +:041C05006F50204CB0 +:041C0600432D747383 +:041C07007473616F22 +:041C080000000000D8 +:041C090070303834CB +:041C0A00206E6920BF +:041C0B00706D617324 +:041C0C000072656C91 +:041C0D0070303034CF +:041C0E00206E6920BB +:041C0F00706D617320 +:041C10000072656C8D +:041C11006F6C6C4147 +:041C1200565420778D +:041C130050482050C5 +:041C140078324C4C8A +:041C150000000000CB +:041C16006F6C6C4142 +:041C1700707520774D +:041C1800706D617317 +:041C19007832656C4C +:041C1A0000000000C6 +:041C1B007664413C6E +:041C1C006974202E99 +:041C1D00676E696D18 +:041C1E003E20202024 +:041C1F0000000000C1 +:041C20006564695638 +:041C2100504C206F94 +:041C22000000004678 +:041C23005062505962 +:041C24006E69207253 +:041C25006C6F43207D +:041C26000061705396 +:041C270072502F5276 +:041C280066666F205D +:041C2900007465736B +:041C2A0020592F47C7 +:041C2B007366666F07 +:041C2C0000007465DB +:041C2D0062502F4290 +:041C2E0066666F2057 +:041C2F000074657365 +:041C300072502F526D +:041C3100696167205E +:041C32000000006E40 +:041C330020592F47BE +:041C34006E6961670D +:041C350000000000AB +:041C360062502F4287 +:041C37006961672058 +:041C38000000006E3A +:041C39002D65725053 +:041C3A0020434441BE +:041C3B006E69614726 +:041C3C0000000000A4 +:041C3D002D6275534C +:041C3E00656E696CFA +:041C3F0073203120BD +:041C400000007274BA +:041C41002D62755348 +:041C4200656E696CF6 +:041C430073203220B8 +:041C440000007274B6 +:041C45002D62755344 +:041C4600656E696CF2 +:041C470073203320B3 +:041C480000007274B2 +:041C49002D62755340 +:041C4A00656E696CEE +:041C4B0073203420AE +:041C4C0000007274AE +:041C4D002D6275533C +:041C4E00656E696CEA +:041C4F0073203520A9 +:041C500000007274AA +:041C51002D62755338 +:041C5200756C6F63DB +:041C530031206E6D61 +:041C54007274732013 +:041C5500000000008B +:041C56002D62755333 +:041C5700756C6F63D6 +:041C580032206E6D5B +:041C5900727473200E :041C5A000000000086 -:041C5B006565724702 -:041C5C000000006E16 -:041C5D006E617943F8 -:041C5E000000000082 -:041C5F000064655266 -:041C60006567614D06 -:041C61000061746E3C -:041C62006C6C6559E8 -:041C63000000776F97 -:041C640074696857E0 -:041C65000000006516 -:041C66000066664F5F -:041C670072727543DD -:041C680020746E6511 -:041C690075706E69BB -:041C6A000000007402 -:041C6B00206C6C413C -:041C6C0075706E69B8 -:041C6D00000073748C -:041C6E004273475224 -:041C6F000000000071 -:041C70005062505915 -:041C710000000072FD -:041C720000007332C9 -:041C730000007335C5 -:041C74000073303198 -:041C750000007333C5 -:041C76000073303394 -:041C77002D706F5409 -:041C78007466656CBD -:041C79000000000067 -:041C7A00746E6543DC -:041C7B00000072658E -:041C7C0074746F42CB -:041C7D00722D6D6FE8 -:041C7E0074686769B6 -:041C7F000000000061 -:041C80002066664F25 -:041C8100207366283E -:041C82003639203D92 -:041C8300297A486B07 -:041C8400000000005C -:041C85002020783271 -:041C86002073662839 -:041C87003834203D90 -:041C8800297A486B02 -:041C89000000000057 -:041C8A0000706F5423 -:041C8B0074746F42BC -:041C8C0000006D6F78 -:041C8D0069726F48C1 -:041C8E00746E6F7A87 -:041C8F0000006C6184 -:041C900074726556AF -:041C91006C616369B6 +:041C5B002D6275532E +:041C5C00756C6F63D1 +:041C5D0033206E6D55 +:041C5E007274732009 +:041C5F000000000081 +:041C60002D62755329 +:041C6100756C6F63CC +:041C620034206E6D4F +:041C63007274732004 +:041C6400000000007C +:041C65002D62755324 +:041C6600756C6F63C7 +:041C670035206E6D49 +:041C680072747320FF +:041C69000000000077 +:041C6A002D6275531F +:041C6B00756C6F63C2 +:041C6C0036206E6D43 +:041C6D0072747320FA +:041C6E000000000072 +:041C6F0073202E4868 +:041C70006C706D61C6 +:041C710074617265C3 +:041C72000000006509 +:041C730073202E4864 +:041C74007461722EF7 +:041C75006461206521 +:041C76000000006A00 +:041C770073202E4860 +:041C78006C636E79B2 +:041C790000006E6594 +:041C7A0062202E486E +:041C7B00706B6361C6 +:041C7C006863726FB8 +:041C7D000000000063 +:041C7E0061202E486B +:041C7F0076697463AB +:041C800000000065FB +:041C810073202E5648 +:041C82006C636E79A8 +:041C830000006E658A +:041C840062202E5656 +:041C8500706B6361BC +:041C86006863726FAE +:041C87000000000059 +:041C880061202E5653 +:041C890076697463A1 +:041C8A0000000065F1 +:041C8B00706D6153C4 +:041C8C00676E696CAA +:041C8D0061687020FA +:041C8E00000065737A +:041C8F0063616C42DF +:041C90000000006BE5 +:041C910065756C42C7 :041C9200000000004E -:041C930069726F48BB -:041C94002B202E7A59 -:041C950072655620FE -:041C960000002E74A8 -:041C970074737543AA -:041C980000006D6F6C -:041C9900746C754DA5 -:041C9A00696C706998 -:041C9B0069746163A4 -:041C9C0000006E6F67 -:041C9D0074627553A5 -:041C9E007463617298 -:041C9F00006E6F69FB -:041CA0006F747541A7 -:041CA100000000003F -:041CA20000006E4F81 -:041CA300494D44481B -:041CA400475228205B -:041CA50000002942D0 -:041CA600494D444818 -:041CA7004359282055 -:041CA80034724362ED -:041CA90000293434A6 -:041CAA000049564453 -:041CAB0000373A388C -:041CAC00737361509D -:041CAD007572687470 -:041CAE000000000032 -:041CAF00656E694CA9 -:041CB000282078323E -:041CB10029626F62D3 -:041CB200000000002E -:041CB300656E694CA5 -:041CB4000000783282 -:041CB500656E694CA3 -:041CB600322078322E -:041CB700337830341A -:041CB80000003036C2 -:041CB900656E694C9F -:041CBA003220783329 -:041CBB003378303416 -:041CBC0000003036BE -:041CBD00656E694C9B -:041CBE004720783310 -:041CBF0072656E6577 -:041CC0000000636954 -:041CC100656E694C97 -:041CC200282078332B -:041CC3006563616C88 -:041CC400000029648F -:041CC500656E694C93 -:041CC6002820783426 -:041CC70029626F62BD -:041CC8000000000018 -:041CC900656E694C8F -:041CCA00000078336B -:041CCB00656E694C8D -:041CCC000000783468 -:041CCD00656E694C8B -:041CCE000000783565 -:041CCF003032393145 -:041CD00038303178FF -:041CD10000000030DF -:041CD2003030363147 -:041CD3003032317802 -:041CD40000000030DC -:041CD500303239313F -:041CD60030323178FF -:041CD70000000030D9 -:041CD800656E654789 -:041CD90020636972A9 -:041CDA0000333A3465 -:041CDB0078323135F5 -:041CDC00203034324E -:041CDD006974706F47 -:041CDE0000002E6D67 -:041CDF0078343833EA -:041CE000203034324A -:041CE1006974706F43 -:041CE20000002E6D63 -:041CE30078303233F0 -:041CE4002030343246 -:041CE5006974706F3F -:041CE60000002E6D5F -:041CE70078363532E4 -:041CE8002030343242 -:041CE9006974706F3B -:041CEA0000002E6D5B -:041CEB00656E654776 -:041CEC002063697296 -:041CED00393A363119 -:041CEE0000000000F2 -:041CEF004D352E320F -:041CF00028207A48E6 -:041CF1002978616D80 -:041CF20000000000EE -:041CF300484D3031F7 -:041CF4006D28207ABD -:041CF50000296465F9 -:041CF600484D3333EF -:041CF7006D28207ABA -:041CF80000296E69E8 -:041CF90020414756E9 -:041CFA0078303436D4 -:041CFB004030303411 -:041CFC00000030377D -:041CFD0020414756E5 -:041CFE0078303237D1 -:041CFF00403030340D -:041D00000000303778 -:041D010020565444D0 -:041D020070303834D1 -:041D030000000000DC -:041D040041534556AC -:041D05003034362020 -:041D060030383478C5 -:041D07000030364032 -:041D08002E6365528F -:041D0900313036201F -:041D0A0000000000D5 -:041D0B002E6365528C -:041D0C003930372013 -:041D0D0000000000D2 -:041D0E00484D3539CE -:041D0F004828207AC6 -:041D100020565444C1 -:041D11000029494913 -:041D1200484D3533D0 -:041D13004828207AC2 -:041D140020565444BD -:041D15000000294958 -:041D1600484D3631CD -:041D17004528207AC1 -:041D180029565444B0 -:041D190000000000C6 -:041D1A007A484D397D -:041D1B0044532820E5 -:041D1C0000295654F0 -:041D1D006D206425AC -:041D1E00000000566B -:041D1F002020202040 -:041D20000000752525 -:041D2100000186FA3D -:041D22000000002499 -:041D230000013AEA97 -:041D240064206425AE -:041D250000006765EE -:041D2600252E7525CC -:041D27000075322EE3 -:041D280065206425A9 -:041D29006972746EF9 -:041D2A0000007365DD -:041D2B006F706D69FF -:041D2C006465747204 -:041D2D0000000000B2 -:041D2E006F706D491C -:041D2F00203F74726B -:041D30002C593D31BC -:041D31004E3D3220D1 -:041D320000000000AD -:041D330064616F4C2C -:041D340020676E694D -:041D350074746573EA -:041D360073676E69F8 -:041D370000000000A8 -:041D38004452535569 -:041D390000415441D0 -:041D3A006573753C1C -:041D3B0000003E6402 -:041D3C00000174200E -:041D3D000000200082 -:041D3E0020002CE570 -:041D3F00E926F4FDA0 -:041D400038BC20008B -:041D4100000000009E -:041D42000001742CFC -:041D4300000020007C -:041D44002000323E0B -:041D4500F113FA0498 -:041D46003B612000DD -:041D47000000000098 -:041D48000001506ED8 -:041D49000001506ED7 -:041D4A0000014FD471 -:041D4B0000015064DF -:041D4C0000015162DF -:041D4D000001506ED3 -:041D4E007830323780 -:041D4F0000303034FC -:041D5000783034367D -:041D510000303034FA -:041D520030303631C6 -:041D5300303432787E -:041D5400C8000000C3 -:041D550007FE00F095 -:041D56000FCA20C0D0 -:041D570032100396AD -:041D58000020000265 -:041D590030383231BB -:041D5A003034327877 -:041D5B00A0000000E4 -:041D5C00061800F075 -:041D5D000FAA20C0E9 -:041D5E0032100348F4 -:041D5F00000102027B -:041D60007830363968 -:041D610000303432E8 -:041D62007800000005 -:041D6300049200F0F6 -:041D64000F8020C00C -:041D650032100336FF -:041D66000000040273 -:041D67007832313568 -:041D680000303432E1 -:041D69004000000036 -:041D6A0002AA00F0D9 -:041D6B000E4D20C039 -:041D6C0032100332FC -:041D6D000042081018 -:041D6E00783438335A -:041D6F0000303432DA -:041D7000300000003F -:041D7100020000F07C -:041D72000E3B20C044 -:041D73003210032502 -:041D740000841020B7 -:041D7500783032335D -:041D760000303432D3 -:041D77002800000040 -:041D780001AA00F0CC -:041D79000E3120C047 -:041D7A003210031F01 -:041D7B0001082040FB -:041D7C00783635324E -:041D7D0000303432CC -:041D7E002000000041 -:041D7F00015500F01A -:041D80000E2720C04A -:041D81003210031900 -:041D8200021040808B -:041D83007030343256 -:041D8400000000005B -:041D85005A080000F8 -:041D8600035A00F00C -:041D87000F3920C030 -:041D88003210033ED4 -:041D89000000000E48 +:041C930065657247CA +:041C94000000006EDE +:041C95006E617943C0 +:041C9600000000004A +:041C9700006465522E +:041C98006567614DCE +:041C99000061746E04 +:041C9A006C6C6559B0 +:041C9B000000776F5F +:041C9C0074696857A8 +:041C9D0000000065DE +:041C9E000066664F27 +:041C9F0072727543A5 +:041CA00020746E65D9 +:041CA10075706E6983 +:041CA20000000074CA +:041CA300206C6C4104 +:041CA40075706E6980 +:041CA5000000737454 +:041CA60042734752EC +:041CA7000000000039 +:041CA80050625059DD +:041CA90000000072C5 +:041CAA000000733291 +:041CAB00000073358D +:041CAC000073303160 +:041CAD00000073338D +:041CAE00007330335C +:041CAF002D706F54D1 +:041CB0007466656C85 +:041CB100000000002F +:041CB200746E6543A4 +:041CB3000000726556 +:041CB40074746F4293 +:041CB500722D6D6FB0 +:041CB600746867697E +:041CB7000000000029 +:041CB8002066664FED +:041CB9002073662806 +:041CBA003639203D5A +:041CBB00297A486BCF +:041CBC000000000024 +:041CBD002020783239 +:041CBE002073662801 +:041CBF003834203D58 +:041CC000297A486BCA +:041CC100000000001F +:041CC20000706F54EB +:041CC30074746F4284 +:041CC40000006D6F40 +:041CC50069726F4889 +:041CC600746E6F7A4F +:041CC70000006C614C +:041CC8007472655677 +:041CC9006C6163697E +:041CCA000000000016 +:041CCB0069726F4883 +:041CCC002B202E7A21 +:041CCD0072655620C6 +:041CCE0000002E7470 +:041CCF007473754372 +:041CD00000006D6F34 +:041CD100746C754D6D +:041CD200696C706960 +:041CD300697461636C +:041CD40000006E6F2F +:041CD500746275536D +:041CD6007463617260 +:041CD700006E6F69C3 +:041CD8006F7475416F +:041CD9000000000007 +:041CDA0000006E4F49 +:041CDB00494D4448E3 +:041CDC004752282023 +:041CDD000000294298 +:041CDE00494D4448E0 +:041CDF00435928201D +:041CE00034724362B5 +:041CE100002934346E +:041CE200004956441B +:041CE30000373A3854 +:041CE4007373615065 +:041CE5007572687438 +:041CE60000000000FA +:041CE700656E694C71 +:041CE8002820783206 +:041CE90029626F629B +:041CEA0000000000F6 +:041CEB00656E694C6D +:041CEC00000078324A +:041CED00656E694C6B +:041CEE0032207832F6 +:041CEF0033783034E2 +:041CF000000030368A +:041CF100656E694C67 +:041CF20032207833F1 +:041CF30033783034DE +:041CF4000000303686 +:041CF500656E694C63 +:041CF60047207833D8 +:041CF70072656E653F +:041CF800000063691C +:041CF900656E694C5F +:041CFA0028207833F3 +:041CFB006563616C50 +:041CFC000000296457 +:041CFD00656E694C5B +:041CFE0028207834EE +:041CFF0029626F6285 +:041D000000000000DF +:041D0100656E694C56 +:041D02000000783332 +:041D0300656E694C54 +:041D0400000078342F +:041D0500656E694C52 +:041D0600000078352C +:041D0700303239310C +:041D080038303178C6 +:041D090000000030A6 +:041D0A00303036310E +:041D0B0030323178C9 +:041D0C0000000030A3 +:041D0D003032393106 +:041D0E0030323178C6 +:041D0F0000000030A0 +:041D1000656E654750 +:041D11002063697270 +:041D120000333A342C +:041D130078323135BC +:041D14002030343215 +:041D15006974706F0E +:041D160000002E6D2E +:041D170078343833B1 +:041D18002030343211 +:041D19006974706F0A +:041D1A0000002E6D2A +:041D1B0078303233B7 +:041D1C00203034320D +:041D1D006974706F06 +:041D1E0000002E6D26 +:041D1F0078363532AB +:041D20002030343209 +:041D21006974706F02 +:041D220000002E6D22 +:041D2300656E65473D +:041D2400206369725D +:041D2500393A3631E0 +:041D260000000000B9 +:041D27004D352E32D6 +:041D280028207A48AD +:041D29002978616D47 +:041D2A0000000000B5 +:041D2B00484D3031BE +:041D2C006D28207A84 +:041D2D0000296465C0 +:041D2E00484D3333B6 +:041D2F006D28207A81 +:041D300000296E69AF +:041D310020414756B0 +:041D3200783034369B +:041D330040303034D8 +:041D34000000303744 +:041D350020414756AC +:041D36007830323798 +:041D370040303034D4 +:041D38000000303740 +:041D39002056544498 +:041D3A007030383499 +:041D3B0000000000A4 +:041D3C004153455674 +:041D3D0030343620E8 +:041D3E00303834788D +:041D3F0000303640FA +:041D40002E63655257 +:041D410031303620E7 +:041D4200000000009D +:041D43002E63655254 +:041D440039303720DB +:041D4500000000009A +:041D4600484D353996 +:041D47004828207A8E +:041D48002056544489 +:041D490000294949DB +:041D4A00484D353398 +:041D4B004828207A8A +:041D4C002056544485 +:041D4D000000294920 +:041D4E00484D363195 +:041D4F004528207A89 +:041D50002956544478 +:041D5100000000008E +:041D52007A484D3945 +:041D530044532820AD +:041D540000295654B8 +:041D55006D20642574 +:041D56000000005633 +:041D57002020202008 +:041D580000007525ED +:041D5900000187DA24 +:041D5A000000002461 +:041D5B0000013BCA7E +:041D5C006420642576 +:041D5D0000006765B6 +:041D5E00252E752594 +:041D5F000075322EAB +:041D60006520642571 +:041D61006972746EC1 +:041D620000007365A5 +:041D63006F706D69C7 +:041D640064657472CC +:041D6500000000007A +:041D66006F706D49E4 +:041D6700203F747233 +:041D68002C593D3184 +:041D69004E3D322099 +:041D6A000000000075 +:041D6B0064616F4CF4 +:041D6C0020676E6915 +:041D6D0074746573B2 +:041D6E0073676E69C0 +:041D6F000000000070 +:041D70004452535531 +:041D71000041544198 +:041D72006573753CE4 +:041D730000003E64CA +:041D740000017500F5 +:041D7500000020004A +:041D760020002CE538 +:041D7700E926F4FD68 +:041D780038BC200053 +:041D79000000000066 +:041D7A000001750CE3 +:041D7B000000200044 +:041D7C002000323ED3 +:041D7D00F113FA0460 +:041D7E003B612000A5 +:041D7F000000000060 +:041D80000001514EBF +:041D81000001514EBE +:041D8200000150B458 +:041D830000015144C6 +:041D840000015242C6 +:041D85000001514EBA +:041D86007830323748 +:041D870000303034C4 +:041D88007830343645 +:041D890000303034C2 :041D8A00303036318E :041D8B003034327846 -:041D8C00C800004C3F +:041D8C00C80000008B :041D8D0007FE00F05D -:041D8E0029CA270037 +:041D8E000FCA20C098 :041D8F003210039675 :041D9000002000022D :041D91003038323183 -:041D92003838327833 +:041D9200303432783F :041D9300A0000000AC -:041D9400061801200C -:041D95000FAA27006A +:041D9400061800F03D +:041D95000FAA20C0B1 :041D960032100348BC :041D97000001020243 :041D98007830363930 -:041D990000383832A4 +:041D990000303432B0 :041D9A0078000000CD -:041D9B00049201208D -:041D9C000F8027008D +:041D9B00049200F0BE +:041D9C000F8020C0D4 :041D9D0032100336C7 :041D9E00000004023B :041D9F007832313530 -:041DA0004C3034325D -:041DA10040000042BC +:041DA00000303432A9 +:041DA10040000000FE :041DA20002AA00F0A1 -:041DA300294D27009F +:041DA3000E4D20C001 :041DA40032100332C4 :041DA50000420810E0 :041DA6007834383322 -:041DA7004C30343256 -:041DA80030000042C5 +:041DA70000303432A2 +:041DA8003000000007 :041DA900020000F044 -:041DAA00293B2700AA +:041DAA000E3B20C00C :041DAB0032100325CA :041DAC00008410207F :041DAD007830323325 -:041DAE004C3034324F -:041DAF0028000042C6 +:041DAE00003034329B +:041DAF002800000008 :041DB00001AA00F094 -:041DB10029312700AD +:041DB1000E3120C00F :041DB2003210031FC9 :041DB30001082040C3 :041DB4007836353216 -:041DB5004C30343248 -:041DB60020000042C7 +:041DB5000030343294 +:041DB6002000000009 :041DB700015500F0E2 -:041DB80029272700B0 +:041DB8000E2720C012 :041DB90032100319C8 :041DBA000210408053 -:041DBB007038383212 +:041DBB00703034321E :041DBC000000000023 -:041DBD005A170000B1 -:041DBE00036001209D -:041DBF0013452700A1 -:041DC0003210033F9B +:041DBD005A080000C0 +:041DBE00035A00F0D4 +:041DBF000F3920C0F8 +:041DC0003210033E9C :041DC1000000000E10 -:041DC2007830383409 -:041DC3000030363383 -:041DC4003C000000DF -:041DC5000258016857 -:041DC6000A3F2EE0C2 -:041DC700441003269B -:041DC8000000000E09 -:041DC9007830343208 -:041DCA00003036337C -:041DCB0020000000F4 -:041DCC00012C01687D -:041DCD000A182EE0E2 -:041DCE0044100312A8 -:041DCF00000081008F -:041DD0007034383300 -:041DD100000000000E -:041DD2003E000000CF -:041DD3000280018009 -:041DD4001D3234E0A8 -:041DD5004410033E75 -:041DD6000000000EFB -:041DD7003030363141 -:041DD80030303478FB -:041DD900C80000003E -:041DDA0007D001909D -:041DDB002278382012 -:041DDC00501002F0B1 -:041DDD000000020000 -:041DDE0078303237F0 -:041DDF00003030346C -:041DE0005A000000A5 -:041DE10003840190E6 -:041DE2002240382043 -:041DE300501002603A -:041DE4000000000CEF -:041DE50078303436E8 -:041DE6000030303465 -:041DE70050000000A8 -:041DE8000320019043 -:041DE900223038204C -:041DEA005010026033 -:041DEB000000000CE8 -:041DEC0078303436E1 -:041DED000034383353 -:041DEE0050000000A1 -:041DEF00032001804C -:041DF0003F303D80C3 -:041DF100501002602C -:041DF2000000000EDF -:041DF30069303834E7 +:041DC2003030363156 +:041DC300303432780E +:041DC400C800004C07 +:041DC50007FE00F025 +:041DC60029CA2700FF +:041DC700321003963D +:041DC80000200002F5 +:041DC900303832314B +:041DCA0038383278FB +:041DCB00A000000074 +:041DCC0006180120D4 +:041DCD000FAA270032 +:041DCE003210034884 +:041DCF00000102020B +:041DD00078303639F8 +:041DD100003838326C +:041DD2007800000095 +:041DD3000492012055 +:041DD4000F80270055 +:041DD500321003368F +:041DD6000000040203 +:041DD70078323135F8 +:041DD8004C30343225 +:041DD9004000004284 +:041DDA0002AA00F069 +:041DDB00294D270067 +:041DDC00321003328C +:041DDD0000420810A8 +:041DDE0078343833EA +:041DDF004C3034321E +:041DE000300000428D +:041DE100020000F00C +:041DE200293B270072 +:041DE3003210032592 +:041DE4000084102047 +:041DE50078303233ED +:041DE6004C30343217 +:041DE700280000428E +:041DE80001AA00F05C +:041DE9002931270075 +:041DEA003210031F91 +:041DEB00010820408B +:041DEC0078363532DE +:041DED004C30343210 +:041DEE00200000428F +:041DEF00015500F0AA +:041DF0002927270078 +:041DF1003210031990 +:041DF200021040801B +:041DF30070383832DA :041DF40000000000EB -:041DF5005A0600008A -:041DF600035A00F09C -:041DF7000F3941A0BF -:041DF8007210033E24 -:041DF9000001020FD4 -:041DFA0070303834D9 -:041DFB0000000000E4 -:041DFC005A02000087 -:041DFD00035A01E0A4 -:041DFE001E3C41A0A6 -:041DFF009410063EF8 -:041E00000000000CD2 -:041E010078303436CB -:041E02000030383440 -:041E0300500100008A -:041E0400032001E0D6 -:041E0500213041A0A7 -:041E060094100260D2 -:041E07000000000CCB -:041E080078303436C4 -:041E0900003231353D -:041E0A005000000084 -:041E0B0003200200AE -:041E0C001C3047003F -:041E0D0094100260CB -:041E0E000000000CC4 -:041E0F0069363735C4 -:041E100000000000CE -:041E11005A1500005E -:041E12000360012048 -:041E130013454E2005 -:041E14007210033F06 -:041E15000001020FB7 -:041E160070363735B6 -:041E170000000000C7 -:041E18005A1100005B -:041E19000360024020 -:041E1A0027444E20EB -:041E1B0084100540EA +:041DF5005A17000079 +:041DF6000360012065 +:041DF7001345270069 +:041DF8003210033F63 +:041DF9000000000ED8 +:041DFA0078303834D1 +:041DFB00003036334B +:041DFC003C000000A7 +:041DFD00025801681F +:041DFE000A3F2EE08A +:041DFF004410032663 +:041E00000000000ED0 +:041E010078303432CF +:041E02000030363343 +:041E030020000000BB +:041E0400012C016844 +:041E05000A182EE0A9 +:041E0600441003126F +:041E07000000810056 +:041E080070343833C7 +:041E090000000000D5 +:041E0A003E00000096 +:041E0B0002800180D0 +:041E0C001D3234E06F +:041E0D004410033E3C +:041E0E000000000EC2 +:041E0F003030363108 +:041E100030303478C2 +:041E1100C800000005 +:041E120007D0019064 +:041E130022783820D9 +:041E1400501002F078 +:041E150000000200C7 +:041E160078303237B7 +:041E17000030303433 +:041E18005A0000006C +:041E190003840190AD +:041E1A00224038200A +:041E1B005010026001 :041E1C000000000CB6 -:041E1D0078303038B1 -:041E1E00003030362A -:041E1F00640000005B -:041E20000420025840 -:041E210017584E8080 -:041E22001010048018 -:041E230000000004B7 -:041E240070303237B1 -:041E250000000000B9 -:041E2600A004000014 -:041E2700067202D06D -:041E280014DC5DC0A9 -:041E29001810052860 -:041E2A0000000004B0 -:041E2B0034323031EC -:041E2C003836377895 -:041E2D008000000031 -:041E2E000540030068 -:041E2F001DA064C0CE -:041E30001010068800 -:041E310000000004A9 -:041E320030383231E1 -:041E330032303178A0 -:041E3400A0000034D6 -:041E35000698040007 -:041E360026F88540C5 -:041E37001010037014 -:041E380000000004A2 +:041E1D0078303436AF +:041E1E00003030342C +:041E1F00500000006F +:041E2000032001900A +:041E21002230382013 +:041E220050100260FA +:041E23000000000CAF +:041E240078303436A8 +:041E2500003438331A +:041E26005000000068 +:041E27000320018013 +:041E28003F303D808A +:041E290050100260F3 +:041E2A000000000EA6 +:041E2B0069303834AE +:041E2C0000000000B2 +:041E2D005A06000051 +:041E2E00035A00F063 +:041E2F000F3941A086 +:041E30007210033EEB +:041E31000001020F9B +:041E320070303834A0 +:041E330000000000AB +:041E34005A0200004E +:041E3500035A01E06B +:041E36001E3C41A06D +:041E37009410063EBF +:041E38000000000C9A :041E39007830343693 -:041E3A00693036399C -:041E3B005000000053 +:041E3A000030383408 +:041E3B005001000052 :041E3C00032001E09E -:041E3D00213083408D -:041E3E00B41002607A -:041E3F000000000D92 -:041E400030383031D5 -:041E41000000006934 -:041E4200F0050000A7 -:041E43000898021CDD -:041E440010948CA0CA -:041E4500B810052CA0 -:041E46000000000D8B -:041E470030383031CE -:041E48000000007026 -:041E4900F010000095 -:041E4A0008980438B8 -:041E4B0024948CA0AF -:041E4C001810052C39 -:041E4D00000000048D -:041E4E0030303631C9 -:041E4F003032317884 -:041E5000C800003096 -:041E5100087004B061 -:041E52002EFF9C4083 -:041E5300101003F177 -:041E54000000000486 -:041E55007665642F1B -:041E56006370652F21 -:041E57006F635F71E5 -:041E58006F72746EC3 -:041E590072656C6CD6 -:041E5A00615F305F35 -:041E5B006D5F6C76D5 -:041E5C0000006D65B0 -:041E5D002B302D23D6 -:041E5E000000002060 -:041E5F00004C6C685F -:041E60004567666507 -:041E610000004746F0 -:041E620033323130B6 -:041E630037363534A5 -:041E64004241393886 -:041E65004645444367 -:041E66000000000078 -:041E670033323130B1 -:041E680037363534A0 -:041E69006261393841 -:041E6A0066656463E2 -:041E6B000000000073 -:041E6C001A808080D8 -:041E6D0000081A1A35 -:041E6E000000000070 -:041E6F00000000006F -:041E700000017954A0 -:041E7100000000006D -:041E7200000000006C -:041E73000001035C0B -:041E74000001041C49 -:041E7500000101CE99 -:041E7600000101EA7C -:041E7700000102362E -:041E780000800000E6 -:041E790000800000E5 -:041E7A000000000064 -:041E7B000000000063 -:041E7C000000000062 -:041E7D000000000061 -:041E7E000000000060 -:041E7F00000000005F -:041E8000000000005E -:041E8100000000005D -:041E8200000000005C -:041E8300000000005B -:041E8400000000005A -:041E85000000000059 -:041E86000000000058 -:041E87000000000057 -:041E88000000000056 -:041E89000000000055 -:041E8A000000000054 -:041E8B000000000053 -:041E8C000000000052 -:041E8D000000000051 -:041E8E000000000050 -:041E8F00000000004F -:041E9000000000004E -:041E9100000000004D -:041E9200000000004C -:041E9300000000004B -:041E9400000000004A -:041E95000000000049 -:041E96000000000048 -:041E97000000000047 -:041E98000000000046 -:041E99000000000045 -:041E9A000000000044 -:041E9B0000010174CD -:041E9C0000800000C2 -:041E9D000100000040 -:041E9E00000201003D -:041E9F0000800000BF -:041EA000000000013D -:041EA10000000080BD -:041EA200000100003B -:041EA300000001003A -:041EA400000000003A -:041EA500020E04091C -:041EA6003D0E00C825 -:041EA7003F6E038304 -:041EA8003ED03DAC3F -:041EA90000000383AF -:041EAA00026404B218 -:041EAB003C9300E97B -:041EAC003F56041683 -:041EAD003E9F3D49CE -:041EAE000000041616 -:041EAF00017804E5CD -:041EB0003CCE0081A3 -:041EB1003FAE0383BA -:041EB2003F333D4934 -:041EB30000000383A5 -:041EB40001B405B8B8 -:041EB5003C49009311 -:041EB6003F9F041630 -:041EB7003F103CD9C3 -:041EB800000004160C -:041EB900000171981B -:041EBA000001719C16 -:041EBB00000171AC05 -:041EBC00000165B408 -:041EBD00000165C4F7 -:041EBE00000165D0EA -:041EBF00000165DCDD -:041EC000000165E8D0 -:041EC100000165F4C3 -:041EC20000016600B5 -:041EC3000001660CA8 -:041EC400000166189B -:041EC500000166248E -:041EC6000001663081 -:041EC7000001736043 -:041EC8000001736C36 -:041EC9000001737C25 -:041ECA000001738C14 -:041ECB000001739C03 -:041ECC00000173ACF2 -:041ECD00000173603D -:041ECE000001736C30 -:041ECF000001737C1F -:041ED0000001738C0E -:041ED1000001739CFD -:041ED2000001733C5C -:041ED300000173484F -:041ED4000001735442 -:041ED50000017198FF -:041ED600000171D4C2 -:041ED700000171D0C5 -:041ED800000171D8BC -:041ED9000001715C37 -:041EDA00000171642E -:041EDB000001716C25 -:041EDC00000171741C -:041EDD000001717C13 -:041EDE00000171800E -:041EDF000001718805 -:041EE00000017190FC -:041EE100000170DCB0 -:041EE20000000002FA -:041EE3000001870271 -:041EE4000AF0012CD3 -:041EE5000001382898 -:041EE600000170EC9B -:041EE70000000002F5 -:041EE8000001870866 -:041EE90000130000E2 -:041EEA000001382893 -:041EEB00000170FC86 -:041EEC0000000002F0 -:041EED000001870A5F -:041EEE0000FF000AE7 -:041EEF00000138288E -:041EF0000001710874 -:041EF10000000002EB -:041EF200000186F66F -:041EF30000FF0001EB -:041EF4000001382889 -:041EF500000171185F -:041EF60000000002E6 -:041EF700000187005F -:041EF800078000C897 -:041EF9000001382884 -:041EFA00000171244E -:041EFB0000000002E1 -:041EFC00000186FC5F -:041EFD0000070001D9 -:041EFE00000138287F -:041EFF00000171303D -:041F000000000002DB -:041F0100000187064E -:041F0200003F00019B -:041F03000001382879 -:041F04000001714027 -:041F050000000002D6 -:041F0600000186FE52 -:041F070004B000A082 -:041F08000001382874 -:041F09000001714C16 -:041F0A0000000002D1 -:041F0B00000186F853 -:041F0C00001F0000B2 -:041F0D00000138286F -:041F0E0000016CC0A2 -:041F0F0000000000CE -:041F10000001869EA8 -:041F110000010001CA -:041F1200000184A89E -:041F130000016CD08D -:041F140000000000C9 -:041F15000001869FA2 -:041F160000010001C5 -:041F1700000184B091 -:041F180000016CE078 -:041F190000000001C3 -:041F1A00000186A09C -:041F1B0000180000AA -:041F1C0000013ACEB8 -:041F1D0000016CF063 -:041F1E0000000000BF -:041F1F00000186999E -:041F200000010001BB -:041F2100000184B087 -:041F220000016D004D -:041F230000000000BA -:041F24000001869A98 -:041F250000010001B6 -:041F2600000184B082 -:041F270000016D1434 -:041F280000000000B5 -:041F29000001869B92 -:041F2A0000010001B1 -:041F2B00000184B07D -:041F2C0000016D241F -:041F2D0000000001AF -:041F2E00000186A187 -:041F2F00001F00008F -:041F3000000139FE75 -:041F310000016D3806 -:041F320000000000AB -:041F33000001869C87 -:041F340000010001A7 -:041F3500000184B073 -:041F36000001701422 -:041F370000000001A5 -:041F380000018670AE -:041F39000010000094 -:041F3A00000139D693 -:041F3B00000170240D -:041F3C0000000001A0 -:041F3D0000018671A8 -:041F3E00001000008F -:041F3F00000139D68E -:041F400000017034F8 -:041F4100000000019B -:041F420000018672A2 -:041F4300001000008A -:041F4400000139D689 -:041F450000017044E3 -:041F46000000000196 -:041F4700000186739C -:041F48000010000085 -:041F4900000139D684 -:041F4A0000017054CE -:041F4B000000000191 -:041F4C000001867496 -:041F4D000010000080 -:041F4E00000139D67F -:041F4F0000017064B9 -:041F5000000000018C -:041F51000001867590 -:041F5200001000007B -:041F5300000139D67A -:041F540000017078A0 -:041F55000000000187 -:041F5600000186768A -:041F57000010000076 -:041F5800000139D675 -:041F59000001708C87 -:041F5A000000000182 -:041F5B000001867784 -:041F5C000010000071 -:041F5D00000139D670 -:041F5E00000170A06E -:041F5F00000000017D -:041F6000000186787E -:041F6100001000006C -:041F6200000139D66B -:041F6300000170B455 -:041F64000000000178 -:041F65000001867978 -:041F66000010000067 -:041F6700000139D666 -:041F6800000170C83C -:041F69000000000173 -:041F6A000001867A72 -:041F6B000010000062 -:041F6C00000139D661 -:041F6D0000016B0400 -:041F6E00000000036C -:041F6F0000018544A4 -:041F7000000000006D -:041F7100000000006C -:041F720000016B18E7 -:041F73000000000367 -:041F740000018524BF -:041F75000000000068 -:041F76000000000067 -:041F770000016B2CCE -:041F78000000000362 -:041F79000001853CA2 -:041F7A000000000063 -:041F7B000000000062 -:041F7C0000016B40B5 -:041F7D00000000035D -:041F7E0000018514C5 -:041F7F00000000005E -:041F8000000000005D -:041F810000016B549C -:041F82000000000358 -:041F83000001852CA8 -:041F84000000000059 -:041F85000000000058 -:041F860000016B6883 -:041F87000000000353 -:041F88000001851CB3 -:041F89000000000054 -:041F8A000000000053 -:041F8B0000016B7C6A -:041F8C00000000034E -:041F8D00000184FCCF -:041F8E00000000004F -:041F8F00000000004E -:041F900000016B9051 -:041F91000000000349 -:041F9200000184F4D2 -:041F9300000000004A -:041F94000000000049 -:041F950000016BA438 -:041F96000000000344 -:041F9700000185348C -:041F98000000000045 -:041F99000000000044 -:041F9A0000016E2CA8 -:041F9B000000000042 -:041F9C000001868139 -:041F9D00000400013B -:041F9E000001837C3F -:041F9F0000016E3C93 -:041FA000000000003D -:041FA1000001868233 -:041FA2000004000136 -:041FA3000001839026 -:041FA40000016E4C7E -:041FA5000000000038 -:041FA600000186832D -:041FA7000003000132 -:041FA800000183A40D -:041FA90000016E5C69 -:041FAA000000000033 -:041FAB000001868427 -:041FAC00000100012F -:041FAD00000184C0EB -:041FAE0000016E6C54 -:041FAF00000000002E -:041FB0000001868521 -:041FB100000100012A -:041FB200000184B8EE -:041FB30000016E7C3F -:041FB4000000000029 -:041FB5000001867C25 -:041FB6000004000122 -:041FB70000017B1C8E -:041FB80000016E882E -:041FB9000000000024 -:041FBA000001867D1F -:041FBB00000500011C -:041FBC0000017B3075 -:041FBD0000016E941D -:041FBE00000000001F -:041FBF000001867E19 -:041FC0000004000118 -:041FC10000017B1C84 -:041FC20000016EA00C -:041FC300000000001A -:041FC4000001867F13 -:041FC5000004000113 -:041FC60000017B1C7F -:041FC70000016EACFB -:041FC8000000000015 -:041FC900000186800D -:041FCA000002000110 -:041FCB0000017B484E -:041FCC0000016EBCE6 -:041FCD000000000010 -:041FCE000001868602 -:041FCF00000100010C -:041FD000000184A0E8 -:041FD10000016ECCD1 -:041FD200000000000B -:041FD3000001868BF8 -:041FD4000002000106 -:041FD500000183EC98 -:041FD60000016ED4C4 -:041FD7000000000006 -:041FD8000001868CF2 -:041FD9000001000102 -:041FDA00000184B0CE -:041FDB0000016D484C -:041FDC000000000100 -:041FDD0000018687F2 -:041FDE0000FF000000 -:041FDF0000013AB80B -:041FE00000016D5837 -:041FE10000000001FB -:041FE20000018688EC -:041FE300003F0000BB -:041FE40000013AB806 -:041FE50000016D6822 -:041FE60000000000F7 -:041FE7000001868AE5 -:041FE80000070000EE -:041FE90000017B6414 -:041FEA0000016D7411 -:041FEB0000000001F1 -:041FEC0000018689E1 -:041FED00000F0000E1 -:041FEE00000139FEB7 -:041FEF0000016D84FC -:041FF00000000001EC -:041FF1000001869DC8 -:041FF200001F0000CC -:041FF300000139FEB2 -:041FF40000016D90EB -:041FF50000000004E4 -:041FF600000125526F -:041FF70000016ABCBF -:041FF80000000000E5 -:041FF90000016F4430 -:041FFA0000000000E3 -:041FFB000001868DCE -:041FFC0000020001DE -:041FFD00000183B4A8 -:041FFE0000016F541B -:041FFF0000000000DE -:042000000001868EC7 -:0420010000010001D9 -:04200200000184D085 -:0420030000016F6405 -:0420040000000000D8 -:042005000001868FC1 -:0420060000010001D4 -:04200700000184B0A0 -:0420080000016F78EC -:0420090000000000D3 -:04200A0000018690BB -:04200B0000010001CF -:04200C00000184B09B -:04200D0000016F8CD3 -:04200E0000000003CB -:04200F00000184EC5C -:0420100000017484D3 -:04201100000137B2E1 -:0420120000016DA0BC -:0420130000000000C9 -:0420140000018668D9 -:0420150000020001C4 -:04201600000183C082 -:0420170000016DACAB -:0420180000000001C3 -:042019000001866ECE -:04201A00000F0000B3 -:04201B0000013A92F4 -:04201C0000016DBC96 -:04201D0000000001BE -:04201E000001866ACD -:04201F00001C0000A1 -:04202000000139FA88 -:0420210000016DCC81 -:0420220000000000BA -:042023000001866BC7 -:0420240000010001B6 -:04202500000184E052 -:0420260000016DD870 -:0420270000000000B5 -:042028000001866CC1 -:0420290000010001B1 -:04202A00000184B07D -:04202B0000016DE85B -:04202C0000000000B0 -:04202D000001866FB9 -:04202E0000010001AC -:04202F00000184D850 -:0420300000016DF846 -:0420310000000000AB -:042032000001866DB6 -:0420330000010001A7 -:04203400000184B073 -:0420350000016E0C2C -:0420360000000000A6 -:0420370000018669B5 -:0420380000030001A0 -:04203900000183CC53 -:04203A0000016E181B -:04203B00000000039E -:04203C000001850416 -:04203D00000000009F -:04203E00000000009E -:04203F0000016BB879 -:042040000000000498 -:042041000001229CDC -:0420420000016AE04F -:042043000000000099 -:0420440000016BC864 -:042045000000000493 -:04204600000122FE75 -:0420470000016AE04A -:042048000000000094 -:0420490000016BD84F -:04204A00000000048E -:04204B0000012C94D0 -:04204C000000000090 -:04204D00000000008F -:04204E0000016BEC36 -:04204F00000000018C -:04205000000186A95C -:04205100000A01017F -:0420520000013B1E30 -:0420530000016C001C -:042054000000000088 -:04205500000185EA17 -:042056000001000184 -:04205700000184B050 -:0420580000016C1403 -:042059000000000083 -:04205A000001859567 -:04205B00000A000176 -:04205C0000017AF015 -:04205D0000016C24EE -:04205E00000000007E -:04205F000001866492 -:042060000002000179 -:0420610000017AE41C -:0420620000016C38D5 -:042063000000000079 -:04206400000185CA28 -:042065000001000175 -:04206600000184C829 -:0420670000016C48C0 -:042068000000000074 -:042069000001848F5F -:04206A000001000170 -:04206B00000184C824 -:04206C0000016C58AB -:04206D00000000006F -:04206E000001859C4C -:04206F00000100016B -:04207000000184C81F -:0420710000016C6896 -:04207200000000006A -:04207300000185D60D -:042074000003000164 -:0420750000017B5497 -:0420760000016C7881 -:042077000000000065 -:04207800000184944B -:042079000001000161 -:04207A00000184B02D -:04207B0000016C8470 -:04207C000000000060 -:04207D00000185C613 -:04207E00000300015A -:04207F000001836C6D -:0420800000016C9857 -:042081000000000457 -:04208200000141F028 -:042083000000000059 +:041E3D00213041A06F +:041E3E00941002609A +:041E3F000000000C93 +:041E4000783034368C +:041E41000032313505 +:041E4200500000004C +:041E43000320020076 +:041E44001C30470007 +:041E45009410026093 +:041E46000000000C8C +:041E4700693637358C +:041E48000000000096 +:041E49005A15000026 +:041E4A000360012010 +:041E4B0013454E20CD +:041E4C007210033FCE +:041E4D000001020F7F +:041E4E00703637357E +:041E4F00000000008F +:041E50005A11000023 +:041E510003600240E8 +:041E520027444E20B3 +:041E530084100540B2 +:041E54000000000C7E +:041E55007830303879 +:041E560000303036F2 +:041E57006400000023 +:041E58000420025808 +:041E590017584E8048 +:041E5A0010100480E0 +:041E5B00000000047F +:041E5C007030323779 +:041E5D000000000081 +:041E5E00A0040000DC +:041E5F00067202D035 +:041E600014DC5DC071 +:041E61001810052828 +:041E62000000000478 +:041E630034323031B4 +:041E6400383637785D +:041E650080000000F9 +:041E66000540030030 +:041E67001DA064C096 +:041E680010100688C8 +:041E69000000000471 +:041E6A0030383231A9 +:041E6B003230317868 +:041E6C00A00000349E +:041E6D0006980400CF +:041E6E0026F885408D +:041E6F0010100370DC +:041E7000000000046A +:041E7100783034365B +:041E72006930363964 +:041E7300500000001B +:041E7400032001E066 +:041E75002130834055 +:041E7600B410026042 +:041E77000000000D5A +:041E7800303830319D +:041E790000000069FC +:041E7A00F00500006F +:041E7B000898021CA5 +:041E7C0010948CA092 +:041E7D00B810052C68 +:041E7E000000000D53 +:041E7F003038303196 +:041E800000000070EE +:041E8100F01000005D +:041E82000898043880 +:041E830024948CA077 +:041E84001810052C01 +:041E85000000000455 +:041E86003030363191 +:041E8700303231784C +:041E8800C80000305E +:041E8900087004B029 +:041E8A002EFF9C404B +:041E8B00101003F13F +:041E8C00000000044E +:041E8D007665642FE3 +:041E8E006370652FE9 +:041E8F006F635F71AD +:041E90006F72746E8B +:041E910072656C6C9E +:041E9200615F305FFD +:041E93006D5F6C769D +:041E940000006D6578 +:041E95002B302D239E +:041E96000000002028 +:041E9700004C6C6827 +:041E980045676665CF +:041E990000004746B8 +:041E9A00333231307E +:041E9B00373635346D +:041E9C00424139384E +:041E9D00464544432F +:041E9E000000000040 +:041E9F003332313079 +:041EA0003736353468 +:041EA1006261393809 +:041EA20066656463AA +:041EA300000000003B +:041EA4001A808080A0 +:041EA50000081A1AFD +:041EA6000000000038 +:041EA7000000000037 +:041EA80000017A3487 +:041EA9000000000035 +:041EAA000000000034 +:041EAB000001035CD3 +:041EAC000001041C11 +:041EAD00000101CE61 +:041EAE00000101EA44 +:041EAF0000010236F6 +:041EB00000800000AE +:041EB10000800000AD +:041EB200000000002C +:041EB300000000002B +:041EB400000000002A +:041EB5000000000029 +:041EB6000000000028 +:041EB7000000000027 +:041EB8000000000026 +:041EB9000000000025 +:041EBA000000000024 +:041EBB000000000023 +:041EBC000000000022 +:041EBD000000000021 +:041EBE000000000020 +:041EBF00000000001F +:041EC000000000001E +:041EC100000000001D +:041EC200000000001C +:041EC300000000001B +:041EC400000000001A +:041EC5000000000019 +:041EC6000000000018 +:041EC7000000000017 +:041EC8000000000016 +:041EC9000000000015 +:041ECA000000000014 +:041ECB000000000013 +:041ECC000000000012 +:041ECD000000000011 +:041ECE000000000010 +:041ECF00000000000F +:041ED000000000000E +:041ED100000000000D +:041ED200000000000C +:041ED3000001017495 +:041ED400008000008A +:041ED5000100000008 +:041ED6000002010005 +:041ED7000080000087 +:041ED8000000000105 +:041ED9000000008085 +:041EDA000001000003 +:041EDB000000010002 +:041EDC000000000002 +:041EDD00020E0409E4 +:041EDE003D0E00C8ED +:041EDF003F6E0383CC +:041EE0003ED03DAC07 +:041EE1000000038377 +:041EE200026404B2E0 +:041EE3003C9300E943 +:041EE4003F5604164B +:041EE5003E9F3D4996 +:041EE60000000416DE +:041EE700017804E595 +:041EE8003CCE00816B +:041EE9003FAE038382 +:041EEA003F333D49FC +:041EEB00000003836D +:041EEC0001B405B880 +:041EED003C490093D9 +:041EEE003F9F0416F8 +:041EEF003F103CD98B +:041EF00000000416D4 +:041EF1000001727802 +:041EF2000001727CFD +:041EF3000001728CEC +:041EF40000016694EF +:041EF500000166A4DE +:041EF600000166B0D1 +:041EF700000166BCC4 +:041EF800000166C8B7 +:041EF900000166D4AA +:041EFA00000166E09D +:041EFB00000166EC90 +:041EFC00000166F883 +:041EFD000001670475 +:041EFE000001671068 +:041EFF00000174402A +:041F00000001744C1C +:041F01000001745C0B +:041F02000001746CFA +:041F03000001747CE9 +:041F04000001748CD8 +:041F05000001744023 +:041F06000001744C16 +:041F07000001745C05 +:041F08000001746CF4 +:041F09000001747CE3 +:041F0A000001741C42 +:041F0B000001742835 +:041F0C000001743428 +:041F0D0000017278E5 +:041F0E00000172B4A8 +:041F0F00000172B0AB +:041F1000000172B8A2 +:041F11000001723C1D +:041F12000001724414 +:041F13000001724C0B +:041F14000001725402 +:041F15000001725CF9 +:041F160000017260F4 +:041F170000017268EB +:041F180000017270E2 +:041F1900000171BC96 +:041F1A0000000002C1 +:041F1B00000187E258 +:041F1C000AF0012C9A +:041F1D00000139087E +:041F1E00000171CC81 +:041F1F0000000002BC +:041F2000000187E84D +:041F210000130000A9 +:041F22000001390879 +:041F2300000171DC6C +:041F240000000002B7 +:041F2500000187EA46 +:041F260000FF000AAE +:041F27000001390874 +:041F2800000171E85B +:041F290000000002B2 +:041F2A00000187D655 +:041F2B0000FF0001B2 +:041F2C00000139086F +:041F2D00000171F846 +:041F2E0000000002AD +:041F2F00000187E046 +:041F3000078000C85E +:041F3100000139086A +:041F32000001720434 +:041F330000000002A8 +:041F3400000187DC45 +:041F350000070001A0 +:041F36000001390865 +:041F37000001721023 +:041F380000000002A3 +:041F3900000187E636 +:041F3A00003F000163 +:041F3B000001390860 +:041F3C00000172200E +:041F3D00000000029E +:041F3E00000187DE39 +:041F3F0004B000A04A +:041F4000000139085B +:041F41000001722CFD +:041F42000000000299 +:041F4300000187D83A +:041F4400001F00007A +:041F45000001390856 +:041F460000016DA089 +:041F47000000000096 +:041F48000001877E8F +:041F49000001000192 +:041F4A000001858885 +:041F4B0000016DB074 +:041F4C000000000091 +:041F4D000001877F89 +:041F4E00000100018D +:041F4F000001859078 +:041F500000016DC05F +:041F5100000000018B +:041F52000001878083 +:041F53000018000072 +:041F540000013BAE9F +:041F550000016DD04A +:041F56000000000087 +:041F57000001877985 +:041F58000001000183 +:041F5900000185906E +:041F5A0000016DE035 +:041F5B000000000082 +:041F5C000001877A7F +:041F5D00000100017E +:041F5E000001859069 +:041F5F0000016DF41C +:041F6000000000007D +:041F61000001877B79 +:041F62000001000179 +:041F63000001859064 +:041F640000016E0406 +:041F65000000000177 +:041F6600000187816E +:041F6700001F000057 +:041F680000013ADE5C +:041F690000016E18ED +:041F6A000000000073 +:041F6B000001877C6E +:041F6C00000100016F +:041F6D00000185905A +:041F6E00000170F40A +:041F6F00000000016D +:041F70000001875095 +:041F7100001000005C +:041F720000013AB67A +:041F730000017104F4 +:041F74000000000168 +:041F7500000187518F +:041F76000010000057 +:041F770000013AB675 +:041F780000017114DF +:041F79000000000163 +:041F7A000001875289 +:041F7B000010000052 +:041F7C0000013AB670 +:041F7D0000017124CA +:041F7E00000000015E +:041F7F000001875383 +:041F8000001000004D +:041F810000013AB66B +:041F820000017134B5 +:041F83000000000159 +:041F8400000187547D +:041F85000010000048 +:041F860000013AB666 +:041F870000017144A0 +:041F88000000000154 +:041F89000001875577 +:041F8A000010000043 +:041F8B0000013AB661 +:041F8C000001715887 +:041F8D00000000014F +:041F8E000001875671 +:041F8F00001000003E +:041F900000013AB65C +:041F91000001716C6E +:041F9200000000014A +:041F9300000187576B +:041F94000010000039 +:041F950000013AB657 +:041F96000001718055 +:041F97000000000145 +:041F98000001875865 +:041F99000010000034 +:041F9A0000013AB652 +:041F9B00000171943C +:041F9C000000000140 +:041F9D00000187595F +:041F9E00001000002F +:041F9F0000013AB64D +:041FA000000171A823 +:041FA100000000013B +:041FA2000001875A59 +:041FA300001000002A +:041FA40000013AB648 +:041FA50000016BE4E8 +:041FA6000000000334 +:041FA700000186248B +:041FA8000000000035 +:041FA9000000000034 +:041FAA0000016BF8CF +:041FAB00000000032F +:041FAC0000018604A6 +:041FAD000000000030 +:041FAE00000000002F +:041FAF0000016C0CB5 +:041FB000000000032A +:041FB1000001861C89 +:041FB200000000002B +:041FB300000000002A +:041FB40000016C209C +:041FB5000000000325 +:041FB600000185F4AD +:041FB7000000000026 +:041FB8000000000025 +:041FB90000016C3483 +:041FBA000000000320 +:041FBB000001860C8F +:041FBC000000000021 +:041FBD000000000020 +:041FBE0000016C486A +:041FBF00000000031B +:041FC000000185FC9B +:041FC100000000001C +:041FC200000000001B +:041FC30000016C5C51 +:041FC4000000000316 +:041FC500000185DCB6 +:041FC6000000000017 +:041FC7000000000016 +:041FC80000016C7038 +:041FC9000000000311 +:041FCA00000185D4B9 +:041FCB000000000012 +:041FCC000000000011 +:041FCD0000016C841F +:041FCE00000000030C +:041FCF000001861473 +:041FD000000000000D +:041FD100000000000C +:041FD20000016F0C8F +:041FD300000000000A +:041FD4000001876120 +:041FD5000004000103 +:041FD6000001845C26 +:041FD70000016F1C7A +:041FD8000000000005 +:041FD900000187621A +:041FDA0000040001FE +:041FDB00000184700D +:041FDC0000016F2C65 +:041FDD000000000000 +:041FDE000001876314 +:041FDF0000030001FA +:041FE00000018484F4 +:041FE10000016F3C50 +:041FE20000000000FB +:041FE300000187640E +:041FE40000010001F7 +:041FE500000185A0D2 +:041FE60000016F4C3B +:041FE70000000000F6 +:041FE8000001876508 +:041FE90000010001F2 +:041FEA0000018598D5 +:041FEB0000016F5C26 +:041FEC0000000000F1 +:041FED000001875C0C +:041FEE0000040001EA +:041FEF0000017BFC76 +:041FF00000016F6815 +:041FF10000000000EC +:041FF2000001875D06 +:041FF30000050001E4 +:041FF40000017C105C +:041FF50000016F7404 +:041FF60000000000E7 +:041FF7000001875E00 +:041FF80000040001E0 +:041FF90000017BFC6C +:041FFA0000016F80F3 +:041FFB0000000000E2 +:041FFC000001875FFA +:041FFD0000040001DB +:041FFE0000017BFC67 +:041FFF0000016F8CE2 +:0420000000000000DC +:0420010000018760F3 +:0420020000020001D7 +:0420030000017C2834 +:0420040000016F9CCC +:0420050000000000D7 +:0420060000018766E8 +:0420070000010001D3 +:0420080000018580CE +:0420090000016FACB7 +:04200A0000000000D2 +:04200B000001876BDE +:04200C0000020001CD +:04200D00000184CC7E +:04200E0000016FB4AA +:04200F0000000000CD +:042010000001876CD8 +:0420110000010001C9 +:0420120000018590B4 +:0420130000016E2832 +:0420140000000001C7 +:0420150000018767D8 +:0420160000FF0000C7 +:0420170000013B98F1 +:0420180000016E381D +:0420190000000001C2 +:04201A0000018768D2 +:04201B00003F000082 +:04201C0000013B98EC +:04201D0000016E4808 +:04201E0000000000BE +:04201F000001876ACB +:0420200000070000B5 +:0420210000017C44FA +:0420220000016E54F7 +:0420230000000001B8 +:0420240000018769C7 +:04202500000F0000A8 +:0420260000013ADE9D +:0420270000016E64E2 +:0420280000000001B3 +:042029000001877DAE +:04202A00001F000093 +:04202B0000013ADE98 +:04202C0000016E70D1 +:04202D0000000004AB +:04202E000001263255 +:04202F0000016B9CA5 +:0420300000000000AC +:042031000001702416 +:0420320000000000AA +:042033000001876DB4 +:0420340000020001A5 +:04203500000184948E +:042036000001703401 +:0420370000000000A5 +:042038000001876EAE +:0420390000010001A1 +:04203A00000185B06C +:04203B0000017044EC +:04203C0000000000A0 +:04203D000001876FA8 +:04203E00000100019C +:04203F000001859087 +:0420400000017058D3 +:04204100000000009B +:0420420000018770A2 +:042043000001000197 +:042044000001859082 +:042045000001706CBA +:042046000000000393 +:04204700000185CC43 +:0420480000017564BA +:0420490000013892C8 +:04204A0000016E80A3 +:04204B000000000091 +:04204C0000018748C0 +:04204D00000200018C +:04204E00000184A069 +:04204F0000016E8C92 +:04205000000000018B +:042051000001874EB5 +:04205200000F00007B +:0420530000013B72DB +:0420540000016E9C7D +:042055000000000186 +:042056000001874AB4 +:04205700001C000069 +:0420580000013ADA6F +:0420590000016EAC68 +:04205A000000000082 +:04205B000001874BAE +:04205C00000100017E +:04205D00000185C039 +:04205E0000016EB857 +:04205F00000000007D +:042060000001874CA8 +:042061000001000179 +:042062000001859064 +:0420630000016EC842 +:042064000000000078 +:042065000001874FA0 +:042066000001000174 +:04206700000185B837 +:0420680000016ED82D +:042069000000000073 +:04206A000001874D9D +:04206B00000100016F +:04206C00000185905A +:04206D0000016EEC14 +:04206E00000000006E +:04206F00000187499C +:042070000003000168 +:04207100000184AC3A +:0420720000016EF803 +:042073000000000366 +:04207400000185E4FE +:042075000000000067 +:042076000000000066 +:0420770000016C9860 +:042078000000000460 +:0420790000012398A7 +:04207A0000016BC036 +:04207B000000000061 +:04207C0000016CA84B +:04207D00000000045B +:04207E00000123FA40 +:04207F0000016BC031 +:04208000000000005C +:0420810000016CB836 +:042082000000000456 +:0420830000012D74B7 :042084000000000058 -:0420850000016CAC3E -:042086000000000452 -:04208700000133CC55 -:042088000000000054 -:042089000000000053 -:04208A0000016EE003 -:04208B000000000051 -:04208C000001869534 -:04208D00000300014B -:04208E00000183DCEE -:04208F0000016EF0EE -:04209000000000014B -:042091000001869232 -:04209200001F00002B -:0420930000013A6EA0 -:0420940000016F00D8 -:042095000000000146 -:04209600000186932C -:0420970000FF000046 -:0420980000013A2ADF -:0420990000016F10C3 -:04209A000000000141 -:04209B000001869426 -:04209C0000C80A006E -:04209D0000013A2ADA -:04209E0000016F20AE -:04209F00000000013C -:0420A000000186971E -:0420A1000005000036 -:0420A20000013A14EB -:0420A30000016F3099 -:0420A4000000000137 -:0420A5000001869818 -:0420A6000005000031 -:0420A70000013A14E6 -:0420A80000016FA024 -:0420A9000000000033 -:0420AA000001869615 -:0420AB00000500012B -:0420AC00000183F8B4 -:0420AD0000016FAC13 -:0420AE00000000002E -:0420AF000001869115 -:0420B0000002000129 -:0420B1000001841096 -:0420B20000016FBCFE -:0420B3000000000128 -:0420B400000186A2FF -:0420B50000FF000028 -:0420B600000139FEEE -:0420B70000016FC8ED -:0420B8000000000123 -:0420B900000186A3F9 -:0420BA0000FF000023 -:0420BB00000139FEE9 -:0420BC0000016FD4DC -:0420BD00000000011E -:0420BE00000186A4F3 -:0420BF0000FF00001E -:0420C000000139FEE4 -:0420C10000016FE0CB -:0420C2000000000119 -:0420C300000186A5ED -:0420C40000FF000019 -:0420C500000139FEDF -:0420C60000016FECBA -:0420C7000000000114 -:0420C800000186A6E7 -:0420C90000FF000014 -:0420CA00000139FEDA -:0420CB0000016FF8A9 -:0420CC00000000010F -:0420CD00000186A7E1 -:0420CE0000FF00000F -:0420CF00000139FED5 -:0420D0000001700497 -:0420D100000000010A -:0420D200000186A8DB -:0420D300000F0000FA -:0420D400000139FED0 -:0420D5000001850C75 -:0420D6000000000006 -:0420D7000000000005 -:0420D8000000000004 -:0420D9000000000003 -:0420DA000000000002 -:0420DB00000171C8C7 -:0420DC00000171CCC2 -:0420DD00000171D0BD -:0420DE0000017198F4 -:0420DF00000172B0DA -:0420E000000172CCBD -:0420E1000001732463 -:0420E2000001732C5A -:0420E3000001733451 -:0420E400000172B0D5 -:0420E500000172CCB8 -:0420E600000172D4AF -:0420E700000172E49E -:0420E800000172F48D -:0420E900000172B0D0 -:0420EA00000172BCC3 -:0420EB000001730479 -:0420EC000001731468 -:0420ED0000017280FC -:0420EE000001740475 -:0420EF000001741068 -:0420F00000017198E2 -:0420F10000017280F8 -:0420F20000017288EF -:0420F3000001723442 -:0420F4000001724035 -:0420F5000001724C28 -:0420F6000001725C17 -:0420F700000173BCB5 -:0420F800000173CCA4 -:0420F900000173D897 -:0420FA0000017198D8 -:0420FB000001728CE2 -:0420FC0000017298D5 -:0420FD00000172A8C4 -:0420FE0000017280EB -:0420FF0000017198D3 -:04210000000174382E -:04210100000174481D -:04210200000174580C -:0421030000017468FB -:042104000001742042 -:042105000001742C35 -:0421060000017280E2 -:0421070000000000D4 -:0421080000000000D3 -:0421090000000000D2 -:04210A0000000000D1 -:04210B0000000000D0 -:04210C0000000000CF -:04210D0000000000CE +:042085000000000057 +:0420860000016CCC1D +:042087000000000154 +:042088000001878943 +:04208900000A010147 +:04208A0000013BFE18 +:04208B0000016CE004 +:04208C000000000050 +:04208D00000186CAFE +:04208E00000100014C +:04208F000001859037 +:0420900000016CF4EB +:04209100000000004B +:04209200000186754E +:04209300000A00013E +:0420940000017BD0FC +:0420950000016D04D5 +:042096000000000046 +:042097000001874479 +:042098000002000141 +:0420990000017BC403 +:04209A0000016D18BC +:04209B000000000041 +:04209C00000186AA0F +:04209D00000100013D +:04209E00000185A810 +:04209F0000016D28A7 +:0420A000000000003C +:0420A1000001856F46 +:0420A2000001000138 +:0420A300000185A80B +:0420A40000016D3892 +:0420A5000000000037 +:0420A6000001867C33 +:0420A7000001000133 +:0420A800000185A806 +:0420A90000016D487D +:0420AA000000000032 +:0420AB00000186B6F4 +:0420AC00000300012C +:0420AD0000017C347E +:0420AE0000016D5868 +:0420AF00000000002D +:0420B0000001857432 +:0420B1000001000129 +:0420B2000001859014 +:0420B30000016D6457 +:0420B4000000000028 +:0420B500000185752C +:0420B6000003000122 +:0420B7000001844C54 +:0420B80000016D783E +:0420B900000000041F +:0420BA00000142D00F +:0420BB000000000021 +:0420BC000000000020 +:0420BD0000016D8C25 +:0420BE00000000041A +:0420BF00000134AC3C +:0420C000000000001C +:0420C100000000001B +:0420C20000016FC0EA +:0420C3000000000019 +:0420C400000187751B +:0420C5000003000113 +:0420C600000184BCD5 +:0420C70000016FD0D5 +:0420C8000000000113 +:0420C9000001877219 +:0420CA00001F0000F3 +:0420CB0000013B4E87 +:0420CC0000016FE0C0 +:0420CD00000000010E +:0420CE000001877313 +:0420CF0000FF00000E +:0420D00000013B0AC6 +:0420D10000016FF0AB +:0420D2000000000109 +:0420D300000187740D +:0420D40000C80A0036 +:0420D50000013B0AC1 +:0420D6000001700095 +:0420D7000000000104 +:0420D8000001877705 +:0420D90000050000FE +:0420DA0000013AF4D3 +:0420DB000001701080 +:0420DC0000000001FF +:0420DD0000018778FF +:0420DE0000050000F9 +:0420DF0000013AF4CE +:0420E000000170800B +:0420E10000000000FB +:0420E20000018776FC +:0420E30000050001F3 +:0420E400000184D89B +:0420E5000001708CFA +:0420E60000000000F6 +:0420E70000018771FC +:0420E80000020001F1 +:0420E900000184F07E +:0420EA000001709CE5 +:0420EB0000000001F0 +:0420EC0000018782E6 +:0420ED0000FF0000F0 +:0420EE0000013ADED5 +:0420EF00000170A8D4 +:0420F00000000001EB +:0420F10000018783E0 +:0420F20000FF0000EB +:0420F30000013ADED0 +:0420F400000170B4C3 +:0420F50000000001E6 +:0420F60000018784DA +:0420F70000FF0000E6 +:0420F80000013ADECB +:0420F900000170C0B2 +:0420FA0000000001E1 +:0420FB0000018785D4 +:0420FC0000FF0000E1 +:0420FD0000013ADEC6 +:0420FE00000170CCA1 +:0420FF0000000001DC +:0421000000018786CD +:0421010000FF0000DB +:0421020000013ADEC0 +:04210300000170D88F +:0421040000000001D6 +:0421050000018787C7 +:0421060000FF0000D6 +:0421070000013ADEBB +:04210800000170E47E +:0421090000000001D1 +:04210A0000018788C1 +:04210B00000F0000C1 +:04210C0000013ADEB6 +:04210D00000185EC5C :04210E0000000000CD :04210F0000000000CC :0421100000000000CB :0421110000000000CA :0421120000000000C9 -:0421130000000000C8 -:0421140000000000C7 -:0421150000000000C6 -:0421160000000000C5 -:0421170000000000C4 -:0421180000000000C3 -:0421190000000000C2 -:04211A0000000000C1 -:04211B0000000000C0 -:04211C0000000000BF -:04211D0000000000BE -:04211E0000000000BD -:04211F00000163F662 -:0421200000018480B6 -:0421210000018480B5 -:042122000010801019 -:042123000100800037 -:042124000002400075 -:0421250000000001B5 -:042126000002800033 -:042127000002200092 -:0421280000017368D7 -:04212900000172AC93 -:04212A00000172003E -:04212B000001721429 -:04212C0000017198A5 -:04212D0000017288B3 -:04212E00000172B08A -:04212F00000172BC7D -:04213000000172B088 -:04213100000172CC6B -:04213200000171B87F -:04213300000171C076 -:04213400000173E44F -:04213500000173F43E -:04213600000172280A -:042137000001722C05 -:0421380000017264CC -:0421390000017274BB -:04213A000001841C00 -:04213B000000000997 -:04213C0000017B849F -:04213D00000000039B -:04213E0000017C38E8 -:04213F000000000597 -:0421400000017C74AA -:042141000000000B8F -:0421420000017CD844 -:04214300000000098F -:0421440000017DB465 -:042145000000000D89 -:0421460000017E68AE -:04214700000000068E -:0421480000017F6CA7 -:04214900000000058D -:04214A0000017FE42D -:04214B000000000987 -:04214C0000018048C6 -:04214D000000000F7F -:04214E00000180FC10 -:04214F000000000686 -:0421500000018228E0 -:042151000000000981 -:04215200000182A066 -:04215300C896554B8A +:04211300000172A8AD +:04211400000172ACA8 +:04211500000172B0A3 +:0421160000017278DA +:0421170000017390C0 +:04211800000173ACA3 +:042119000001740449 +:04211A000001740C40 +:04211B000001741437 +:04211C0000017390BB +:04211D00000173AC9E +:04211E00000173B495 +:04211F00000173C484 +:04212000000173D473 +:0421210000017390B6 +:042122000001739CA9 +:04212300000173E460 +:04212400000173F44F +:0421250000017360E2 +:04212600000174E45C +:04212700000174F04F +:0421280000017278C8 +:0421290000017360DE +:04212A0000017368D5 +:04212B000001731428 +:04212C00000173201B +:04212D000001732C0E +:04212E000001733CFD +:04212F000001749C9B +:04213000000174AC8A +:04213100000174B87D +:0421320000017278BE +:042133000001736CC8 +:0421340000017378BB +:0421350000017388AA +:0421360000017360D1 +:0421370000017278B9 +:042138000001751815 +:042139000001752804 +:04213A0000017538F3 +:04213B0000017548E2 +:04213C000001750029 +:04213D000001750C1C +:04213E0000017360C9 +:04213F00000000009C +:04214000000000009B +:04214100000000009A +:042142000000000099 +:042143000000000098 +:042144000000000097 +:042145000000000096 +:042146000000000095 +:042147000000000094 +:042148000000000093 +:042149000000000092 +:04214A000000000091 +:04214B000000000090 +:04214C00000000008F +:04214D00000000008E +:04214E00000000008D +:04214F00000000008C +:04215000000000008B +:04215100000000008A +:042152000000000089 +:042153000000000088 +:042154000000000087 +:042155000000000086 +:042156000000000085 +:04215700000164D649 +:04215800000185609D +:04215900000185609C +:04215A0000108010E1 +:04215B0001008000FF +:04215C00000240003D +:04215D00000001017C +:04215E0000028000FB +:04215F00000220005A +:0421600000017448BE +:042161000001738C7A +:04216200000172E026 +:04216300000172F411 +:04216400000172788C +:04216500000173689A +:042166000001739071 +:042167000001739C64 +:04216800000173906F +:04216900000173AC52 +:04216A000001729866 +:04216B00000172A05D +:04216C00000174C436 +:04216D00000174D425 +:04216E0000017308F1 +:04216F000001730CEC +:0421700000017344B3 +:0421710000017354A2 +:04217200000184FCE8 +:04217300000000095F +:0421740000017C6486 +:042175000000000363 +:0421760000017D18CF +:04217700000000055F +:0421780000017D5491 +:042179000000000B57 +:04217A0000017DB82B +:04217B000000000957 +:04217C0000017E944C +:04217D000000000D51 +:04217E0000017F4895 +:04217F000000000656 +:042180000001804C8E +:042181000000000555 +:04218200000180C414 +:04218300000000094F +:0421840000018128AD +:042185000000000F47 +:04218600000181DCF7 +:04218700000000064E +:0421880000018308C7 +:042189000000000949 +:04218A00000183804D +:04218B00C896554B52 :00000001FF diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index dc33154..0005947 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -71,7 +71,7 @@ alt_u8 stable_frames; alt_u8 update_cur_vm; alt_u8 profile_sel, profile_sel_menu, input_profiles[AV_LAST], lt_sel, def_input, profile_link, lcd_bl_timeout; -alt_u8 osd_enable, osd_enable_pre=1, osd_status_timeout, osd_status_timeout_pre; +alt_u8 osd_enable, osd_enable_pre=1, osd_status_timeout, osd_status_timeout_pre=1; alt_u8 auto_input, auto_av1_ypbpr, auto_av2_ypbpr = 1, auto_av3_ypbpr; char row1[LCD_ROW_LEN+1], row2[LCD_ROW_LEN+1], menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; @@ -97,9 +97,9 @@ alt_u32 read_it2(alt_u32 regaddr); // 8. Compare your MIF/HEX to the captured scan chain and update it accordingly // 9. Dump the updated scan chain data to an array like below (last 16 bits are 0) // 10. PLL can be then reconfigured with custom pll_reconfig as shown in program_mode() -const alt_u32 pll_config_default_data[] = {0x0d806000, 0x00402010, 0x08040220, 0x00004022, 0x00000000}; -const alt_u32 pll_config_2x_5x_data[] = {0x0dc06000, 0x00783c11, 0x070180e0, 0x0000180e, 0x00000000}; -const alt_u32 pll_config_3x_4x_data[] = {0x0d806000, 0x00301804, 0x02014060, 0x00001406, 0x00000000}; +const pll_config_t pll_configs[] = { {{0x0d806000, 0x00402010, 0x08040220, 0x00004022, 0x00000000}}, // 1x, 1x (default) + {{0x0dc06000, 0x00783c11, 0x070180e0, 0x0000180e, 0x00000000}}, // 2x, 5x + {{0x0d806000, 0x00301804, 0x02014060, 0x00001406, 0x00000000}} }; // 3x, 4x volatile sc_regs *sc = (volatile sc_regs*)SC_CONFIG_0_BASE; volatile osd_regs *osd = (volatile osd_regs*)OSD_GENERATOR_0_BASE; @@ -165,6 +165,41 @@ inline void TX_enable(tx_mode_t mode) SetAVMute(FALSE); } +void pll_reconfigure(alt_u8 id) +{ + if ((id < sizeof(pll_configs)/sizeof(pll_config_t)) && (id != pll_reconfig->pll_config_status.c_config_id)) { + memcpy((void*)pll_reconfig->pll_config_data.data, pll_configs[id].data, sizeof(pll_config_t)); + pll_reconfig->pll_config_status.t_config_id = id; + + printf("Reconfiguring PLL to config %u\n", id); + + // Try switching to fixed reference clock as otherwise reconfig may hang or corrupt configuration + if (cm.avinput != AV_TESTPAT) { + sys_ctrl &= ~VIDGEN_OFF; + IOWR_ALTERA_AVALON_PIO_DATA(PIO_0_BASE, sys_ctrl); + usleep(10); + } + + // Do not reconfigure if clock switch failed + if ((IORD_ALTERA_AVALON_PIO_DATA(PIO_1_BASE) & PLL_ACTIVECLK_MASK) == 0) { + // reset state machine if previous reconfigure hanged (should not occur with stable refclk) + if (pll_reconfig->pll_config_status.busy) { + pll_reconfig->pll_config_status.reset = 1; + usleep(1); + } + + pll_reconfig->pll_config_status.reset = 0; + pll_reconfig->pll_config_status.update = 1; + usleep(10); + } + + if (cm.avinput != AV_TESTPAT) { + sys_ctrl |= VIDGEN_OFF; + IOWR_ALTERA_AVALON_PIO_DATA(PIO_0_BASE, sys_ctrl); + } + } +} + void set_lpf(alt_u8 lpf) { alt_u32 pclk; @@ -330,6 +365,9 @@ status_t get_status(tvp_sync_input_t syncinput) if ((tc.s400p_mode != cm.cc.s400p_mode) && (video_modes[cm.id].v_total == 449)) status = (status < MODE_CHANGE) ? MODE_CHANGE : status; + if (cm.pll_config != pll_reconfig->pll_config_status.c_config_id) + status = (status < MODE_CHANGE) ? MODE_CHANGE : status; + if (update_cur_vm) { cm.h_mult_total = (video_modes[cm.id].h_total*cm.sample_mult) + ((cm.sample_mult*video_modes[cm.id].h_total_adj*5 + 50) / 100); tvp_setup_hpll(cm.h_mult_total, clkcnt, cm.cc.tvp_hpll2x && (video_modes[cm.id].flags & MODE_PLLDIVBY2)); @@ -576,7 +614,7 @@ void update_sc_config() // Configure TVP7002 and scan converter logic based on the video mode void program_mode() { - alt_u8 h_syncinlen, v_syncinlen, hdmitx_pclk_level, osd_x_size, osd_y_size, pll_config; + alt_u8 h_syncinlen, v_syncinlen, hdmitx_pclk_level, osd_x_size, osd_y_size; alt_u32 h_hz, v_hz_x100, h_synclen_px; // Mark as stable (needed after sync up to avoid unnecessary mode switch) @@ -629,30 +667,21 @@ void program_mode() set_csc(cm.cc.ypbpr_cs); cm.sample_sel = tvp_set_hpll_phase(video_modes[cm.id].sampler_phase, cm.sample_mult); + pll_reconfig->pll_config_status.reset = (cm.fpga_vmultmode == FPGA_V_MULTMODE_1X); + switch (cm.fpga_vmultmode) { case FPGA_V_MULTMODE_2X: case FPGA_V_MULTMODE_5X: - pll_config = PLL_CONFIG_2X_5X; + cm.pll_config = PLL_CONFIG_2X_5X; break; case FPGA_V_MULTMODE_3X: case FPGA_V_MULTMODE_4X: - pll_config = PLL_CONFIG_3X_4X; + cm.pll_config = PLL_CONFIG_3X_4X; break; default: - pll_config = cm.pll_config; break; } - - while (pll_reconfig->pll_config_status.busy) {} - pll_reconfig->pll_config_status.reset = (cm.fpga_vmultmode == FPGA_V_MULTMODE_1X); - if (cm.pll_config != pll_config) { - if (pll_config == PLL_CONFIG_2X_5X) - memcpy((void*)pll_reconfig->pll_config_data.data, pll_config_2x_5x_data, sizeof(pll_config_2x_5x_data)); - else - memcpy((void*)pll_reconfig->pll_config_data.data, pll_config_3x_4x_data, sizeof(pll_config_3x_4x_data)); - pll_reconfig->pll_config_status.update = 1; - cm.pll_config = pll_config; - } + pll_reconfigure(cm.pll_config); if (cm.fpga_vmultmode == FPGA_V_MULTMODE_1X) { osd_x_size = (video_modes[cm.id].v_active > 700) ? 1 : 0; @@ -748,8 +777,7 @@ int init_hw() // Reload initial PLL config (needed after jtagm_reset_req if config has changed). // Note that test pattern gets restored only if pclk was active before jtagm_reset_req assertion. - memcpy((void*)pll_reconfig->pll_config_data.data, pll_config_default_data, sizeof(pll_config_default_data)); - pll_reconfig->pll_config_status.update = 1; + pll_reconfigure(PLL_CONFIG_VG); //wait >500ms for SD card interface to be stable //over 200ms and LCD may be buggy? @@ -893,10 +921,10 @@ int latency_test() { // Enable chip outputs void enable_outputs() { - // program video mode - program_mode(); // enable TVP output tvp_enable_output(); + // program video mode + program_mode(); // enable and unmute TX TX_enable(tc.tx_mode); diff --git a/software/sys_controller/ossc/av_controller.h b/software/sys_controller/ossc/av_controller.h index 065b8c5..e0f4f63 100644 --- a/software/sys_controller/ossc/av_controller.h +++ b/software/sys_controller/ossc/av_controller.h @@ -44,6 +44,8 @@ // HDMI_TX definitions #define HDMITX_MODE_MASK 0x00040000 +#define PLL_ACTIVECLK_MASK 0x00080000 + #define TX_PIXELREP_DISABLE 0 #define TX_PIXELREP_2X 1 #define TX_PIXELREP_4X 3 @@ -84,6 +86,10 @@ typedef enum { TX_DVI = 2 } tx_mode_t; +typedef struct { + alt_u32 data[5]; +} pll_config_t; + //TODO: transform binary values into flags typedef struct { alt_u32 totlines; diff --git a/sys.sopcinfo b/sys.sopcinfo index ad7cca4..f8b1464 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1570657889 + 1570902617 false true false