diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index c2c2757..81bd04d 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -61,7 +61,7 @@ :04003C0040A267E98E :04003D00A207A5036E :04003E00406F0131DD -:04003F00A985680027 +:04003F00A98567E048 :0400400067E980826A :04004100000207377B :04004200A2E7A4236A @@ -1391,14 +1391,14 @@ :04056E0095AA4645BF :04056F0005136565A6 :04057000506F1C8527 -:0405710047A93DA0B9 +:0405710047A93D80D9 :0405720000F50E631F :04057300050A67E12D :04057400658787937D :04057500410C953E62 :04057600464565652C :040577001C850513C7 -:040578003BC0506FC5 +:040578003BA0506FE5 :04057900859365DD24 :04057A00B7FDB445D0 :04057B00CA2211116E @@ -1689,7 +1689,7 @@ :0406980002D546B38E :04069900051365657B :04069A00406F1C850C -:04069B0046B752E02C +:04069B0046B752C04C :04069C008693000F32 :04069D0005332406F7 :04069E00678902D591 @@ -1705,7 +1705,7 @@ :0406A800656502D5AD :0406A9001C85051394 :0406AA0002E7D73359 -:0406AB004EC0406F8E +:0406AB004EA0406FAE :0406AC00271006937A :0406AD000533050507 :0406AE00069302D5D8 @@ -1714,7 +1714,7 @@ :0406B10046B345C542 :0406B200656502D5A3 :0406B3001C8505138A -:0406B4004C80406FC7 +:0406B4004C60406FE7 :0406B5002710069371 :0406B60002D5053331 :0406B7000640069360 @@ -1723,7 +1723,7 @@ :0406BA0002D546B36C :0406BB000513656559 :0406BC00406F1C85EA -:0406BD00BFF94A60D7 +:0406BD00BFF94A40F7 :0406BE0067E9ED01FA :0406BF00A547C70381 :0406C00067DD66DDAF @@ -1737,7 +1737,7 @@ :0406C800061365654B :0406C90045C5B70666 :0406CA001C85051373 -:0406CB0046C0406F76 +:0406CB0046A0406F96 :0406CC0067E9ED01EC :0406CD00A557C70363 :0406CE0067DD66DDA1 @@ -1751,43 +1751,43 @@ :0406D600061365653D :0406D70045C5B70658 :0406D8001C85051365 -:0406D9004340406FEB +:0406D9004320406F0B :0406DA00665D86AA29 :0406DB000613656538 :0406DC0045C5B786D3 :0406DD001C85051360 -:0406DE004200406F27 +:0406DE0041E0406F48 :0406DF00665D86AA24 :0406E0000613656533 :0406E10045C5C186C4 :0406E2001C8505135B -:0406E30040C0406F64 +:0406E30040A0406F84 :0406E400F80506937C :0406E500665D06E266 :0406E60086E16565DF :0406E700E30606130D :0406E800051345C5EC :0406E900406F1C85BD -:0406EA0016933F2004 +:0406EA0016933F0024 :0406EB0086E101851E :0406EC006565665D7D :0406ED00061316D109 :0406EE0045C5B84600 :0406EF001C8505134E -:0406F0003D80406F9A +:0406F0003D60406FBA :0406F10096B34685F1 :0406F200665D00A69B :0406F3000613656520 :0406F40045C5B786BB :0406F5001C85051348 -:0406F6003C00406F15 +:0406F6003BE0406F36 :0406F700468505052A :0406F80000A696B30F :0406F9006565665D70 :0406FA00B8C6061365 :0406FB00051345C5D9 :0406FC00406F1C85AA -:0406FD0047833A6095 +:0406FD0047833A40B5 :0406FE00471100455B :0406FF00696386B2F3 :04070000675D06F734 @@ -1800,7 +1800,7 @@ :04070700438C97BACE :04070800051365650B :04070900406F1C859C -:04070A0045185770C7 +:04070A0045185750E7 :04070B004503491C3D :04070C0087820007D9 :04070D004508491C36 @@ -1815,7 +1815,7 @@ :04071600BA06061306 :04071700051345C5BC :04071800406F1C858D -:04071900455C3360A8 +:04071900455C3340C8 :04071A004398DBE93C :04071B00B7C1479C7F :04071C0067E9808287 @@ -1848,7 +1848,7 @@ :04073700C03600F6D2 :04073800430C9732A5 :04073900C43A464137 -:04073A004B5040EFF1 +:04073A004B3040EF11 :04073B0047B2472258 :04073C0046034585A6 :04073D0092B300472C @@ -1864,7 +1864,7 @@ :0407470065E547829B :04074800953E464153 :040749001C858593F3 -:04074A00475040EFE5 +:04074A00473040EF05 :04074B0047A242B2CD :04074C0000546433BE :04074D00B79D0785C8 @@ -1997,7 +1997,7 @@ :0407CC0045C544F2E9 :0407CD001C8505136F :0407CE000281011390 -:0407CF0005C0406FB2 +:0407CF0005A0406FD2 :0407D00005634682F5 :0407D100469200D478 :0407D20002D41363D7 @@ -2009,7 +2009,7 @@ :0407D800051345C5FB :0407D90001131C8567 :0407DA00406F0281E9 -:0407DB00665D02E075 +:0407DB00665D02C095 :0407DC00000456833C :0407DD00C1860613B8 :0407DE0067E9BF55B3 @@ -2108,11 +2108,11 @@ :04083B000613665DDD :04083C0045B5BD06FB :04083D002484851377 -:04083E006A1030EF1D +:04083E0069F030EF3E :04083F0024848593F5 :040840000513463521 :0408410040EF023151 -:0408420065E50970EF +:0408420065E509500F :040843000460061334 :0408440025858593EE :04084500E0EF184880 @@ -2308,7 +2308,7 @@ :0409030085134635DD :0409040005932487AC :0409050040EF02318C -:0409060047125860DC +:0409060047125840FC :0409070011E347C2EF :040908006565EC072E :04090900046006136D @@ -2396,7 +2396,7 @@ :04095B0045C5BE864A :04095C001C850513DE :04095D00306F0141B5 -:04095E001121223011 +:04095E001121221031 :04095F00C8226769DA :04096000A587041350 :04096100CA06401C66 @@ -2415,12 +2415,12 @@ :04096E00C01C0207A0 :04096F000EF6A023BD :0409700040EF8526A9 -:0409710077933FE059 +:0409710077933FC079 :04097200EB890FF509 :04097300464565E1AF :04097400470585931B :0409750040EF8526A4 -:0409760047853C6015 +:0409760047853C4035 :0409770086B34701FB :04097800C50300E4CF :0409790045D100065E @@ -2440,12 +2440,12 @@ :04098700E79345C1EC :04098800C01C020786 :040989000EF4A023A5 -:04098A00398040EF81 +:04098A00396040EFA1 :04098B000FF574935D :04098C004502E889AF :04098D00464565E195 :04098E004705859301 -:04098F00360040EFFF +:04098F0035E040EF20 :0409900047814485D2 :0409910045D1470203 :04099200973EC23E8C @@ -2471,11 +2471,11 @@ :0409A60085934641AE :0409A70045371B4471 :0409A80040EF00021A -:0409A90047B72FA07D +:0409A90047B72F809D :0409AA0085130002AF :0409AB0046410207B8 :0409AC001C8405930F -:0409AD002E8040EF69 +:0409AD002E6040EF89 :0409AE00000247B745 :0409AF003C07A62338 :0409B000A223470D2A @@ -2497,7 +2497,7 @@ :0409C0000056951335 :0409C100953A05411D :0409C20040EFC0360C -:0409C300468229201F +:0409C300468229003F :0409C40000024737AF :0409C5003C87260342 :0409C60097B3478517 @@ -2515,12 +2515,12 @@ :0409D200859364E5C0 :0409D3004641214434 :0409D40000024537A1 -:0409D500248040EF4B +:0409D500246040EF6B :0409D60000024437A0 :0409D700859367E5B8 :0409D800051322875A :0409D900464102048D -:0409DA00234040EF87 +:0409DA00232040EFA7 :0409DB002623470D7B :0409DC0022233C0492 :0409DD0024233CE4AF @@ -2573,7 +2573,7 @@ :040A0C00BF06061308 :040A0D00051345C5C3 :040A0E0030EF21455F -:040A0F0047A275E0A5 +:040A0F0047A275C0C5 :040A100006934762A0 :040A1100D6033E804A :040A1200079301073E @@ -2589,7 +2589,7 @@ :040A1C0056B345C5C3 :040A1D00665D02D63A :040A1E00BFC6061336 -:040A1F0071C030EF83 +:040A1F0071A030EFA3 :040A200035554505FE :040A2100C4BE479177 :040A220003A3479251 @@ -2708,7 +2708,7 @@ :040A9300C703D83A83 :040A94008223024473 :040A9500DC3A02E75E -:040A9600745030EF79 +:040A9600743030EF99 :040A970005936569F5 :040A980006139C05A0 :040A9900051302C07F @@ -2995,12 +2995,12 @@ :040BB200073700E41D :040BB3000713021012 :040BB4008F6508073A -:040BB5001E070E63A6 +:040BB50020070C63A6 :040BB6004703479218 :040BB700C6830004ED :040BB8008F1502771C :040BB90000E4002331 -:040BBA0090636709D4 +:040BBA009E636709C6 :040BBB00470920E4E2 :040BBC0000E400232E :040BBD00070367E9DA @@ -3010,7 +3010,7 @@ :040BC100C146061310 :040BC200851345A9A9 :040BC30030EF9EC7AA -:040BC400570308A02B +:040BC400570308804B :040BC500EF190084A0 :040BC600C68347A2F9 :040BC700D703021737 @@ -3019,3787 +3019,3787 @@ :040BCA000685000498 :040BCB0002D7073313 :040BCC0000E414230A -:040BCD0000A45683A7 +:040BCD0000A4560327 :040BCE00879367E9B9 :040BCF00D7039EC7E3 -:040BD000078300E7B0 -:040BD100EE89001495 -:040BD200C60346A26E -:040BD30086930226DD -:040BD40086B30017CD -:040BD500068602C6C8 -:040BD60040D706B34B -:040BD70000D415230E -:040BD8000613666931 -:040BD90056839EC6DB -:040BDA00560300843A -:040BDB00458900C682 -:040BDC0046338E15F9 -:040BDD00122302B627 -:040BDE00462200C4E7 -:040BDF0002164603B1 -:040BE00000C4072323 -:040BE10000A4560313 -:040BE20040C70633CF -:040BE30002B64633DD -:040BE40000C4132313 -:040BE5009D634611B5 -:040BE60006370AC7FD +:040BD000068300E7B1 +:040BD100EE09001415 +:040BD200861347A29D +:040BD300C5830016C0 +:040BD40006330227BB +:040BD500060602B658 +:040BD60040C70633DB +:040BD70000C415231E +:040BD800879367E9AF +:040BD900D5839EC75B +:040BDA00560300C7F7 +:040BDB004509008444 +:040BDC008D9147A20E +:040BDD0002A5C5B3F5 +:040BDE0000B412232A +:040BDF000217C583B1 +:040BE00000B4072333 +:040BE10000A4558394 +:040BE20040B705B360 +:040BE30002A5C5B3EF +:040BE40000B4132323 +:040BE5009F63459134 +:040BE60005B70AB68F :040BE7009D630020EA -:040BE800454200C4BE -:040BE90002C006132D -:040BEA0002C5063307 -:040BEB00962A4552AF -:040BEC000246460374 -:040BED0008B60E63D5 -:040BEE0045834612E3 -:040BEF00460502367F -:040BF00008C5886349 -:040BF1007800051370 -:040BF20040D50633B1 -:040BF3004633430939 -:040BF40064E9026648 -:040BF5009EC4869381 -:040BF6000126D6837B -:040BF7009EC4829383 -:040BF8008806869352 -:040BF90000C41223FF -:040BFA00C6334611A7 -:040BFB00C6B302C6B5 -:040BFC008E230266DC -:040BFD00861300C299 -:040BFE001C239EC452 -:040BFF00869300D603 -:040C000096239EC4D5 -:040C0100E5A900A6BB -:040C0200071346A9E5 +:040BE80047C200B44C +:040BE90002C00593AE +:040BEA0002B785B316 +:040BEB0095BE47D29A +:040BEC000245C58376 +:040BED000AA5806372 +:040BEE004585479260 +:040BEF000237C50301 +:040BF00008B50A63D7 +:040BF1007800031372 +:040BF20040C305B344 +:040BF300C5B34289BB +:040BF40067E9025556 +:040BF5009EC78613FE +:040BF600012656037B +:040BF7009EC784937E +:040BF8008806061352 +:040BF90000B412230F +:040BFA0045B3459129 +:040BFB00463302B6C5 +:040BFC008E230256EC +:040BFD00859300B428 +:040BFE009C239EC7CF +:040BFF00861300C594 +:040C000016239EC752 +:040C0100E53900666B +:040C02000713462965 :040C03004733BC8730 -:040C0400469502D738 +:040C0400461502C7C8 :040C0500876107629A :040C060000E407A35C -:040C070002D70733D6 -:040C08009EC486936D -:040C090001A6D683E7 -:040C0A008693973600 -:040C0B009D239EC4C3 -:040C0C00071300E6E4 -:040C0D009723438066 -:040C0E00472200E693 -:040C0F00470346A9A8 -:040C100006B30227FE -:040C1100071302D7EC -:040C12008F15438077 -:040C130000E41523C1 -:040C1400871366E9F3 -:040C150047039EC62D -:040C16008B11023705 -:040C17004741C3612D -:040C18009EC68613DB -:040C190002E600A34C -:040C1A008693472551 -:040C1B0081239EC6CD -:040C1C00470502E6A0 -:040C1D00082366E959 -:040C1E00871300E454 -:040C1F0047039EC623 -:040C2000162300A7F0 -:040C2100EB010004DF -:040C2200869347125C -:040C230047039EC61F -:040C2400852302879B -:040C2500471200E68C -:040C26000101048341 -:040C2700853746C205 -:040C280008A3017D9F -:040C29006769009760 -:040C2A00A6D70C231A -:040C2B004603472213 -:040C2C000583002418 -:040C2D005703013434 -:040C2E004301012756 -:040C2F00C63A4095EC -:040C3000051347223F -:040C3100428983F57C -:040C3200014747032C -:040C3300A89DCE3A70 -:040C340040004737FE -:040C350009E38F65DB -:040C36004792E007FA -:040C37000277C70376 -:040C38000017371357 -:040C39000023070984 -:040C3A00073700E494 -:040C3B008A630020A8 -:040C3C00073700E492 -:040C3D00EFE30400DD -:040C3E004705DEE4A4 -:040C3F0000E408A322 -:040C400047C2BBD517 -:040C410002C00713D3 -:040C420002E787330B -:040C4300973E47D2BF -:040C4400024746839A -:040C45009FE34709D9 -:040C4600B7C5DCE66C -:040C4700471166E902 -:040C48009EC68613AB -:040C490002E600A31C -:040C4A00B781470D1A -:040C4B000015F3930A -:040C4C0004039163A9 -:040C4D000255C5B3D4 -:040C4E0000169613E3 -:040C4F007613167D85 -:040C500043050FF653 -:040C5100069347724D -:040C520003930016F2 -:040C5300873306409D -:040C5400073302E67A -:040C55000713021768 -:040C560047330327F6 -:040C570043B202772B -:040C5800027683B3EA -:040C590043E2971EBD -:040C5A0002E383B37B -:040C5B007FE3C81E4D -:040C5C000663FA75BC -:040C5D00012300036C -:040C5E0009A300C422 -:040C5F00E78100B475 -:040C60000923C21989 -:040C6100060300C4C2 -:040C620056630134A0 -:040C630047C204C0C0 -:040C640002F606B3DB -:040C6500011446032D -:040C660006054792A6 -:040C670002D606B3F8 -:040C68000693CBD450 -:040C690087B302C08B -:040C6A0046D202D498 -:040C6B00C68397B6EF -:040C6C0067E90237FB -:040C6D00A5F78793CD -:040C6E000046F61333 -:040C6F000F63C63E0B -:040C700066E93C06EF -:040C7100A5E6C6032B -:040C7200F563468957 -:040C730046A106C6CA -:040C740000D7802302 -:040C7500C619A8955F -:040C7600468547C2A6 -:040C7700D6B38E91D1 -:040C7800BF4D02D793 -:040C7900C63A67E927 -:040C7A009EC7871377 -:040C7B0001675503B5 -:040C7C000127568373 -:040C7D009EC78793F4 -:040C7E0001E7C603C1 -:040C7F0002A686B390 -:040C80000107550310 -:040C810002A6B5B35F -:040C820002A685330E -:040C8300C1EFD0EFFE -:040C8400810D05F6E3 -:040C85008D4D6689A2 -:040C860086A6869325 -:040C8700650D96AAB7 -:040C88000D450513FE -:040C890002A6D6B336 -:040C8A003E8006138F -:040C8B0086B34732B3 -:040C8C00B78D02C658 -:040C8D00469147B293 -:040C8E0000D78023E8 -:040C8F00C68347A22F -:040C9000068601C70C -:040C910000D78E23D7 -:040C920065C147925F -:040C930047D015FD34 -:040C940074B347B23C -:040C9500555900B7F6 -:040C96000007C6830A -:040C970001071793A7 -:040C9800C43E83C112 -:040C990000B677B377 -:040C9A0085B345F9E0 -:040C9B00CC3E02B495 -:040C9C0002F5C5B3E5 -:040C9D0005955782E0 -:040C9E0002A5C5B333 -:040C9F000FF7F51343 -:040CA000073347923D -:040CA100573302E5DE -:040CA20095BA02C736 -:040CA3000297C703EA -:040CA4000FF5F593C0 -:040CA500F807071332 -:040CA60087610762F9 -:040CA70005C295BA33 -:040CA80081C14711AE -:040CA9002EE69E6332 -:040CAA000325859306 -:040CAB0085C105C238 -:040CAC0006134521C5 -:040CAD00033302000B -:040CAE00C96300C551 -:040CAF0087332E0554 -:040CB000972A00C5BA -:040CB1000FF0051328 -:040CB20000E55663A0 -:040CB3000FF00593A6 -:040CB400406585B35F -:040CB5000FF5F7132D -:040CB600451585BAA1 -:040CB700D41AD03645 -:040CB800D232CE3A2C -:040CB900F71FD0EF62 -:040CBA004519561270 -:040CBB00D0EF85B23F -:040CBC000593F67F27 -:040CBD000513080013 -:040CBE00D0EF026011 -:040CBF004772F5BFC4 -:040CC00005135322A3 -:040CC10005B3031064 -:040CC200F59300673F -:040CC300D0EF0FF56A -:040CC4005682F47FE1 -:040CC50045B54721C9 -:040CC60000E683635E -:040CC700051345A527 -:040CC800D0EF022047 -:040CC9004511F33F9F -:040CCA00EE3FD0EF3A -:040CCB000F85759389 -:040CCC00D0EF45110F -:040CCD0047A2F23F09 -:040CCE00D593450570 -:040CCF00F593004752 -:040CD000D0EF0FF55D -:040CD1009593F13FC7 -:040CD200F593004452 -:040CD30045090F05BB -:040CD400F05FD0EF0E -:040CD500073747E2B4 -:040CD6000713019C63 -:040CD7005733CC07BC -:040CD80056B702F712 -:040CD90086930225D7 -:040CDA0045810FF64B -:040CDB000297073342 -:040CDC0002E6F063D9 -:040CDD00042C26B706 -:040CDE00D7F686932C -:040CDF00F9634585EB -:040CE000F5B700E67E -:040CE1008593080BE4 -:040CE200B5B3FBF5B6 -:040CE300058900E59A -:040CE400071367612A -:040CE500972E5007EF -:040CE60000074703B9 -:040CE70002800693EE -:040CE800073347A2E5 -:040CE900D69302D7C5 -:040CEA009736001722 -:040CEB00029744B375 -:040CEC00F493469D9A -:040CED00F7130FF4F6 -:040CEE00F3630FF4A9 -:040CEF00471D009607 -:040CF000059A070E4C -:040CF100F5938DD911 -:040CF200450D0F8518 -:040CF300E89FD0EFB7 -:040CF400C50347925B -:040CF500E0EF049791 -:040CF600479291CFC1 -:040CF700C5034705E5 -:040CF8007863048792 -:040CF90047B200A757 -:040CFA000007C50327 -:040CFB003513157127 -:040CFC004761001537 -:040CFD0002E50533D4 -:040CFE000713676110 -:040CFF00953AB8C7A3 -:040D0000F6DFD0EF5B -:040D0100069347927C -:040D0200458102C065 -:040D0300011787034A -:040D0400073347D298 -:040D0500973E02D73C -:040D06000207450398 -:040D0700E7BFD0EF83 -:040D080001340703A8 -:040D09000002863727 -:040D0A00269367E9DC -:040D0B004218002763 -:040D0C00A5878493A0 -:040D0D008F559B79EA -:040D0E000503C218FF -:040D0F00470501345F -:040D100016A75C6363 -:040D11001563470916 -:040D120047C216E5D9 -:040D130002FAF737B2 -:040D140008070713B2 -:040D150014F77E63EE -:040D1600E0EF4519AC -:040D17004098D1CF60 -:040D1800DFF7771377 -:040D19004094C098AA -:040D1A00173767E937 -:040D1B00202300028F -:040D1C0085130ED756 -:040D1D0087139EC7D3 -:040D1E0055839EC794 -:040D1F00460300E7A0 -:040D2000450301E79F -:040D2100570302254D -:040D2200879300C7EC -:040D230006939EC7CE -:040D240007332BC0A6 -:040D2500051302A709 -:040D26000733190076 -:040D2700C50302A757 -:040D2800D6B3021725 -:040D290095B302D5A7 -:040D2A0085B300C5C8 -:040D2B00450502A5D3 -:040D2C0002B7473390 -:040D2D0006400593E4 -:040D2E00032707137D -:040D2F0002B747338D -:040D3000771355F9E7 -:040D31006D630FF7E8 -:040D320096360EE5FE -:040D330000B60733CC -:040D340000075363FE -:040D350046374701F5 -:040D3600061300029E -:040D3700422C38060C -:040D380005137579B1 -:040D39008B0D7FF5AA -:040D3A00072E8DE90A -:040D3B00C2388F4DDE -:040D3C0075E94238DB -:040D3D008A8D15FD89 -:040D3E0006B68F6DF9 -:040D3F00C2348ED953 -:040D4000C12FD0EF00 -:040D41004709479285 -:040D420001144483D1 -:040D43000597C683C7 -:040D440087634781F9 -:040D4500478300E6FA -:040D46008F85012470 -:040D47000017B79347 -:040D4800453D45815F -:040D4900D0EFC43EE5 -:040D4A00051385FF09 -:040D4B00D0EF059050 -:040D4C0047A280DF5B -:040D4D0002F57593A3 -:040D4E00049AE79983 -:040D4F00F5938DC5C6 -:040D5000E5930FF523 -:040D51000513010580 -:040D5200D0EF059049 -:040D5300479283BF81 -:040D5400051106B7C8 -:040D5500F406869387 -:040D560047054BD032 -:040D570000C6EB6384 -:040D5800047876B7EE -:040D59008BF68693FC -:040D5A00F4634701F6 -:040D5B00C70300C604 -:040D5C004792013782 -:040D5D0005C7C6837D -:040D5E000597C583AD -:040D5F00C683E689D8 -:040D60008463013770 -:040D6100479204E6CB -:040D620089A3852EAE -:040D6300444A00E717 -:040D640044BA40DA73 -:040D650009810113EC -:040D6600854FE06F66 -:040D6700B90D47B2C9 -:040D680005C2059922 -:040D6900450985C1F2 -:040D6A00B33146411A -:040D6B00B31D4581EE -:040D6C007513157D69 -:040D6D00B5550FF574 -:040D6E00671340982F -:040D6F00B55D200747 -:040D700005E205850E -:040D710085E1830590 -:040D72004709BDFD73 -:040D7300D6E58B63D3 -:040D7400879367E911 -:040D7500C5039EC74D -:040D7600C19100A780 -:040D770067E545895E -:040D78000124468389 -:040D790014878793C1 -:040D7A0040DA444ACD -:040D7B00C60344BAAD -:040D7C00011305A7B3 -:040D7D00D06F0981A9 -:040D7E0067E9AA3F38 -:040D7F00A487A7039B -:040D8000879367E509 -:040D810046AD1DC797 -:040D8200D58346458A -:040D83009F63016702 -:040D8400F69304E5F9 -:040D8500E1190FF66B -:040D860028070A63CD -:040D8700C62611214A -:040D8800C50364E952 -:040D8900C822A424B4 -:040D8A000793646502 -:040D8B001613F70440 -:040D8C0097B20035E5 -:040D8D00438CCA06C3 -:040D8E000047C783D0 -:040D8F00A303475122 -:040D90008733004560 -:040D9100849302E75E -:040D92000413A4247E -:040D9300971AF704B0 -:040D94006363433919 -:040D9500433102D311 -:040D960004D36363BC -:040D9700806347AD81 -:040D980086630CF66C -:040D99004781086620 -:040D9A00A0F147017C -:040D9B000789068539 -:040D9C00F8C69DE315 -:040D9D00B74546818F -:040D9E000047478340 -:040D9F009B63460DFF -:040DA000475016C7DB -:040DA100421845BDF2 -:040DA200000747837C -:040DA3001EB69C6379 -:040DA40017FDC781EF -:040DA5000FF7F793BA -:040DA60000F700232F -:040DA7004503B7E960 -:040DA80047050047B4 -:040DA9007513157534 -:040DAA006E630FF570 -:040DAB00453700A721 -:040DAC00230300021B -:040DAD0017333C8537 -:040DAE00471300F7F0 -:040DAF007733FFF7A0 -:040DB0002423006791 -:040DB10047353CE5A1 -:040DB20000E69C6358 -:040DB300C783E39976 -:040DB40017FD000522 -:040DB5000FF7F793AA -:040DB600022396225C -:040DB700B76100F62A -:040DB8000005C70368 -:040DB90096220785F2 -:040DBA0002E7E7B3B2 -:040DBB00C519B7FDA2 -:040DBC008023157DFE -:040DBD00E0EF00A4BF -:040DBE00B7B5D7CF1F -:040DBF0080A367E9BD -:040DC0004737A40706 -:040DC1002783000282 -:040DC20045013C07A4 -:040DC30020239BED61 -:040DC40044423CF772 -:040DC50044B240D222 -:040DC600F06F016168 -:040DC700478380CF0F -:040DC800468D00470D -:040DC9000AD7816361 -:040DCA009EE34691CD -:040DCB00471CF2D7F8 -:040DCC0087AA9782D9 -:040DCD00C68347058D -:040DCE0045D1000407 -:040DCF00068E6565C2 -:040DD000429096A215 -:040DD1000046C6838F -:040DD2001B450513A5 -:040DD30086B3425051 -:040DD400C43E02B661 -:040DD50096B2C23AD6 -:040DD6004645428CC0 -:040DD70030EFC03603 -:040DD800471223E0BB -:040DD900468247A265 -:040DDA00863E85BA12 -:040DDB00E0EF85368A -:040DDC00C783C88F72 -:040DDD0065E50004C4 -:040DDE00000244B714 -:040DDF00943E078EA9 -:040DE0000044450383 -:040DE100859346416F -:040DE20005161C8551 -:040DE300952605410B -:040DE40020C030EF0C -:040DE500004446037D -:040DE60097B34785F3 -:040DE700A62300C778 -:040DE80047123CF47E -:040DE9004682EB094A -:040DEA00C7034611E4 -:040DEB001563004646 -:040DEC0046D80EC710 -:040DED004737C76D50 -:040DEE002683000256 -:040DEF008FD53C87D9 -:040DF0003CF7242385 -:040DF1004B1CA8E906 -:040DF200C03AC781BB -:040DF300470297829A -:040DF4000004C783AD -:040DF5000785471413 -:040DF6000037971318 -:040DF70000E40633DB -:040DF8008463420CC2 -:040DF900022300D5FC -:040DFA009722000636 -:040DFB008023C3147A -:040DFC00B71100F437 -:040DFD0002F669632E -:040DFE0088634609B7 -:040DFF00470C04C7D2 -:040E00004503433D26 -:040E0100460300C7DD -:040E0200C78300D7CB -:040E0300470300059C -:040E0400916300E70F -:040E05007C630266A2 -:040E060017FD00F6DE -:040E07000FF7F713D7 -:040E080000E580235E -:040E09004611B58950 -:040E0A00E4C78DE3C9 -:040E0B00F96DBD2D93 -:040E0C00B7FD873275 -:040E0D0000E7F8639F -:040E0E00F61307854B -:040E0F0080230FF736 -:040E1000B51500C54F -:040E1100863AFD6DB3 -:040E1200470CBFDDED -:040E13005603453D00 -:040E1400D78300C7B9 -:040E1500570300057A -:040E16009E6300E7F0 -:040E1700776300A657 -:040E180017FD00F6CC -:040E19000107971323 -:040E1A00A019834157 -:040E1B008732C21147 -:040E1C0000E590233A -:040E1D00F463BBCDF2 -:040E1E00078500E75D -:040E1F00FA6DB7E5CC -:040E2000B7FD4701D2 -:040E210000464683BE -:040E220000D7F5639D -:040E2300F6930785B6 -:040E240000230FF7A1 -:040E2500BBC100D776 -:040E26000CE3460D86 -:040E27004442F0C78A -:040E280044B240D2BE -:040E2900016145011D -:040E2A00DD3FE06F59 -:040E2B00862E80820D -:040E2C00153785AA47 -:040E2D0005130002A7 -:040E2E00C06F02058A -:040E2F001111C63F98 -:040E3000018517938E -:040E3100C826CA22E3 -:040E320087E1CC0682 -:040E330084AE842ADB -:040E34000007DD6373 -:040E350005134581DB -:040E360037D5077035 -:040E370000A101A372 -:040E3800E3634785A4 -:040E390074130AA77D -:040E3A00D0EF07F4FA -:040E3B004591810F4D -:040E3C003F754501B8 -:040E3D0007136769C7 -:040E3E00431CA58725 -:040E3F00F7F7F79337 -:040E40001737C31C81 -:040E41002023000268 -:040E4200D7930EF73D -:040E430002A3018481 -:040E4400D79300F14F -:040E4500032301047E -:040E4600D79300F14D -:040E470003A300847D -:040E4800022300F190 -:040E490004230081FD -:040E4A0007130091F9 -:040E4B000793040005 -:040E4C0009630950DD -:040E4D00071300E4A3 -:040E4E004785048050 -:040E4F0000E4146344 -:040E5000087007938C -:040E5100000215374F -:040E5200004C4619F1 -:040E5300020505137C -:040E540000F104A302 -:040E5500BF5FC0EFCC -:040E5600E0EF45156F -:040E5700842AE20FF8 -:040E580005134585B4 -:040E5900F0EF003185 -:040E5A000783F49F77 -:040E5B00D763003128 -:040E5C00E0EF0007BC -:040E5D004785E32FB3 -:040E5E00FEF504E3B6 -:040E5F0067E9E4015A -:040E6000A407A82318 -:040E61000031450314 -:040E6200445240E2D4 -:040E6300017144C213 -:040E640067E580823C -:040E650023C7879385 -:040E66001131479867 -:040E6700C806C622D1 -:040E6800440DC4264B -:040E69000CB76B63F4 -:040E6A000047C783F3 -:040E6B008BA184AA29 -:040E6C0005A6E39163 -:040E6D0005800513E4 -:040E6E00F07FF0EF32 -:040E6F00ED4D842A97 -:040E70000002153730 -:040E7100051357F915 -:040E7200460502052A -:040E73000071059372 -:040E740000F103A3E3 -:040E7500B75FC0EFB4 -:040E76000002173728 -:040E77000513478197 -:040E780086B3020734 -:040E7900C68300F438 -:040E7A004605000623 -:040E7B00007105936A -:040E7C0003A3C03ECE -:040E7D00C0EF00D1F1 -:040E7E004782B53FB3 -:040E7F00000217371F -:040E800020000693B5 -:040E810005130785C9 -:040E82009CE30207E4 -:040E830054FDFCD747 -:040E84000593460587 -:040E850003A3007152 -:040E8600C0EF009128 -:040E87001737B2FF68 -:040E8800051300024C -:040E89004605020711 -:040E8A00007105935B -:040E8B00009103A32C -:040E8C00B19FC0EF63 -:040E8D00051345857F -:040E8E00F0EF007110 -:040E8F004783E75F4F -:040E90004715007191 -:040E910090638BFDE2 -:040E9200051304E759 -:040E9300E0EF0FA0DD -:040E94004585D2CFEF -:040E950000710513D0 -:040E9600E57FF0EF15 -:040E9700007144831F -:040E9800E0EFE49112 -:040E99004785D42F86 -:040E9A00FEF505E379 -:040E9B00A82367E938 -:040E9C00E481A40742 -:040E9D00A01144114B -:040E9E0040C2440901 -:040E9F004432852232 -:040EA000015144A216 -:040EA10044158082F2 -:040EA20067E5BFCD74 -:040EA30023C7879347 -:040EA4001131479829 -:040EA500C806C62293 -:040EA600C02AC42674 -:040EA7006F63440D24 -:040EA800C78300B745 -:040EA90084B20047C8 -:040EAA00E3918BA1A4 -:040EAB00051305A680 -:040EAC00F0EF05104E -:040EAD00842AE0DFD4 -:040EAE004409C90129 -:040EAF00852240C296 -:040EB00044A24432E2 -:040EB10080820151E9 -:040EB20006400513DE -:040EB300CAEFE0EFB3 -:040EB4000513458558 -:040EB500F0EF0071E9 -:040EB6004703DD9F72 -:040EB700079300712C -:040EB80017630FF0BD -:040EB900E0EF00F76F -:040EBA004785CBEFAE -:040EBB00FEF502E35B -:040EBC000071470377 -:040EBD00A82367E916 -:040EBE000793A407EB -:040EBF001EE30FE03F -:040EC0004502FAF7F6 -:040EC100F0EF85A623 -:040EC2000593DA9F1B -:040EC3008D852020D9 -:040EC40081C105C221 -:040EC500F0EF450104 -:040EC600B74DD99FAC -:040EC70065DD71393B -:040EC8004629C42AC9 -:040EC900C1C5859387 -:040ECA00DE060848F0 -:040ECB00DA26DC2225 -:040ECC00A97FC0EF4B -:040ECD00C03E478D4F -:040ECE00000627B73C -:040ECF0000021437D2 -:040ED000019C04B7C6 -:040ED100A8078613D5 -:040ED200CC04859334 -:040ED30002040513FD -:040ED40094BFC0EF18 -:040ED500DA7FC0EF11 -:040ED600000627B734 -:040ED700A8078613CF -:040ED800CC0485932E -:040ED90002040513F7 -:040EDA00933FC0EF93 -:040EDB0002040513F5 -:040EDC00084C46294F -:040EDD009D5FC0EF66 -:040EDE00458164E501 -:040EDF0004000513F3 -:040EE0002204AE2317 -:040EE100D3BFF0EF9C -:040EE200842A478592 -:040EE30023C484930D -:040EE4001CF517637F -:040EE5001AA00593B7 -:040EE600048005136C -:040EE700D23FF0EF17 -:040EE8001D63C22A9A -:040EE9004591148596 -:040EEA00F0EF080815 -:040EEB004703D05F8A -:040EEC004792012107 -:040EED001AF7156378 -:040EEE000131470384 -:040EEF000AA00793BB -:040EF00018F71F636D -:040EF1003E80051327 -:040EF200BB2FE0EF43 -:040EF300BD8FE0EFE0 -:040EF40019634785B2 -:040EF50005B700F548 -:040EF60005134000A0 -:040EF700F0EF0E907A -:040EF800F56DCE1FA7 -:040EF900BC0FE0EF5B -:040EFA0005634785C0 -:040EFB0044010EF5AB -:040EFC00A82367E9D7 -:040EFD004782A4077D -:040EFE00F79317FD52 -:040EFF00C03E0FF7EB -:040F000016078163EC -:040F01004785D81533 -:040F0200051345810D -:040F0300C09C0490FA -:040F040000848223C0 -:040F0500CABFF0EF80 -:040F0600E5514781E9 -:040F0700E0EF4515BD -:040F0800842AB5CFB3 -:040F09000513458502 -:040F0A00F0EF00F113 -:040F0B004703C85F71 -:040F0C00079300F156 -:040F0D0017630FF067 -:040F0E00E0EF00F719 -:040F0F004785B6AFAD -:040F1000FEF502E305 -:040F110067E9E401A7 -:040F1200A407A82365 -:040F130000F1468320 -:040F14000FE00713D0 -:040F15009863478115 -:040F160045C904E6DF -:040F1700F0EF1008DF -:040F1800C703C51F27 -:040F19007693004487 -:040F1A0086630027C3 -:040F1B004703100672 -:040F1C0047830261A4 -:040F1D004603027114 -:040F1E008B0D02A194 -:040F1F008F5D0722B9 -:040F20000281478380 -:040F21004683070AF2 -:040F2200839902515C -:040F230047038FD918 -:040F2400821D029197 -:040F250007068ABD74 -:040F26008F518B1943 -:040F2700078596BAEA -:040F280097B316E580 -:040F2900363700D780 -:040F2A0005B70029DE -:040F2B001537019CD9 -:040F2C0017FD0002AB -:040F2D002E06061373 -:040F2E00CC058593D6 -:040F2F00020505139F -:040F3000C0EFC49CAE -:040F31004522FD8FC9 -:040F32002000061382 -:040F3300F0EF458115 -:040F3400A859DBDFFE -:040F350005134581DA -:040F3600F0EF07A031 -:040F370018E3BE5F9E -:040F38004591F005EA -:040F3900F0EF0808C5 -:040F3A004783BC9F8E -:040F3B00443101013B -:040F3C000407F7931C -:040F3D00EE079EE33A -:040F3E00BDDD4411C0 -:040F3F0005134581D0 -:040F4000F0EF0E9030 -:040F41000793BBDF78 -:040F420065630410CF -:040F4300440900A4B9 -:040F44000E90079371 -:040F45000FA00513E1 -:040F4600E0EFC23ED8 -:040F4700E0EFA60F22 -:040F48004785A86FC2 -:040F490000F5176335 -:040F4A004581451286 -:040F4B00B93FF0EFCB -:040F4C00E0EFF57D60 -:040F4D00E111A72FD8 -:040F4E0067E944010A -:040F4F0005134581C0 -:040F5000A82307B01B -:040F5100F0EFA40712 -:040F5200C111B79F73 -:040F530005934401BD -:040F54000513200061 -:040F5500F0EF0500B4 -:040F56000EE3B69F51 -:040F57004401E80564 -:040F58004505BD5935 -:040F5900EA0411E3B2 -:040F5A00546250F29B -:040F5B00053354D234 -:040F5C00612140A02F -:040F5D008B118082F2 -:040F5E0001E34781E3 -:040F5F004783F207CB -:040F600047030281C0 -:040F610007A2029150 -:040F620047458FD997 -:040F63000113BF01B6 -:040F64000793DD41D1 -:040F6500CE3E20104C -:040F660017B71008A1 -:040F67002023301FF4 -:040F6800242322918B -:040F6900222322110C -:040F6A00CC3E2281D6 -:040F6B00D71FF0EFAD -:040F6C00C0EF84AAA4 -:040F6D00C4B1B49FB8 -:040F6E00409004B3F8 -:040F6F00B3FFC0EF1D -:040F70008C63470542 -:040F7100456336E4BA -:040F7200572936972E -:040F730036E48B6372 -:040F740036048D634F -:040F7500859365DD1E -:040F760067E5C34523 -:040F77008513464553 -:040F780020EF1C87C3 -:040F790045053BB03F -:040F7A006539C48190 -:040F7B00EAD505139B -:040F7C00228120832B -:040F7D0022412403E6 -:040F7E0022012483A5 -:040F7F0022C1011377 -:040F800065618082A5 -:040F81006A050513E5 -:040F8200AF6FC0EF9E -:040F830067DD448161 -:040F840006B3141884 -:040F8500C583009789 -:040F86008613DF8669 -:040F870095B2A587F3 -:040F88008063460933 -:040F8900460D06C447 -:040F8A0006C48263B4 -:040F8B00000F4437D8 -:040F8C002404041322 -:040F8D00851367E57C -:040F8E0046451C8731 -:040F8F00DFC6C48372 -:040F900035D020EF49 -:040F9100E0EF45093F -:040F9200084C835F25 -:040F9300D0EF8522F4 -:040F940067DDA41F52 -:040F95006465DD555D -:040F96001DC40693DD -:040F9700D6034752E4 -:040F98000413000638 -:040F99000E631DC402 -:040F9A00D60302E692 -:040F9B0014630026B5 -:040F9C00C0EF02C7D9 -:040F9D000493A89F72 -:040F9E0065DD069077 -:040F9F00C2858593EF -:040FA0008437BFA92A -:040FA1000413001E17 -:040FA200B76D4804DB -:040FA300000494377B -:040FA4003E040413F0 -:040FA500D683B745F3 -:040FA6000CE30186D1 -:040FA700448DFCD7A2 -:040FA8006561BF853B -:040FA9006A050513BD -:040FAA00A56FC0EF80 -:040FAB00656565DD36 -:040FAC00859346459E -:040FAD000513C6C59D -:040FAE0020EF1B45D0 -:040FAF0067E52E3094 -:040FB000859365DDE3 -:040FB1004645C78565 -:040FB2001C87851300 -:040FB3002D1020EFEE -:040FB400E0EF45091C -:040FB5005537FA8F23 -:040FB600084C004C97 -:040FB700B405051365 -:040FB8009AFFD0EFDD -:040FB90047D2D559ED -:040FBA0000045703D5 -:040FBB000CF70063CC -:040FBC0000245703B3 -:040FBD00F6E79FE3D1 -:040FBE0067E5C00221 -:040FBF00851365DD54 -:040FC00046451C87FF -:040FC100C8858593C7 -:040FC200295020EFA3 -:040FC300E0EF45090D -:040FC4005703F6CF0A -:040FC50047D20024EB -:040FC60008F71E63A7 -:040FC70020000613ED -:040FC8001008458147 -:040FC900EDEFC0EF99 -:040FCA001008458244 -:040FCB00A67FF0EF1E -:040FCC0015E384AAFB -:040FCD004782E8056A -:040FCE0000100437D4 -:040FCF00C03E078594 -:040FD000C23E678531 -:040FD1002000079362 -:040FD200F46386A29C -:040FD30006930087FA -:040FD4004792200020 -:040FD500C4361010FE -:040FD6000087959368 -:040FD700A50367E91E -:040FD800C0EFA3C7FC -:040FD90046A2C40F59 -:040FDA0084AA1018BD -:040FDB0000D7063302 -:040FDC0018E616639A -:040FDD00E40494E3B1 -:040FDE001FF00713E6 -:040FDF0000876D63B7 -:040FE0000613101CC8 -:040FE1008E15200049 -:040FE20000D785337C -:040FE300C43645814A -:040FE400E72FC0EF44 -:040FE500458246A259 -:040FE600C4361008F5 -:040FE7009F7FF0EF09 -:040FE8000B6346A2AF -:040FE90004B3160532 -:040FEA00BD0940A05D -:040FEB001400079354 -:040FEC00B7A1C03EAB -:040FED0020000613C7 -:040FEE001008458121 -:040FEF00E46FC0EFFC -:040FF00085A2440191 -:040FF100F0EF100805 -:040FF20084AA9CDF52 -:040FF300DE0518E31C -:040FF4000793040556 -:040FF50016E31400EB -:040FF600645DFEF444 -:040FF700A584079333 -:040FF80004078593D2 -:040FF9001008466D29 -:040FFA00DDEFC0EF78 -:040FFB00A58407932F -:040FFC0005C785930D -:040FFD0000C8466979 -:040FFE00DCEFC0EF75 -:040FFF00879377ED70 -:041000004581A5572A -:041001001F23100891 -:04100200F0EF20F1FA -:0410030084AA989F84 -:04100400DA0516E310 -:0410050046814401DB -:0410060020000613AD -:041007001008458107 -:04100800C0EFC2363D -:041009004692DE0F1E -:04100A002010061399 -:04100B001000059339 -:04100C0087938E1523 -:04100D000642003661 -:04100E00824107C252 -:04100F000016B513FF -:04101000050683C18D -:04101100F4638732CB -:04101200071300C5FB -:04101300973E1000F4 -:0410140015938F0998 -:0410150081C101078D -:0410160057E1E68137 -:04101700478DD03EF3 -:041018008D1D66C103 -:04101900203003136D -:04101A00873316FD05 -:04101B00074200A7E1 -:04101C00E8638341C1 -:04101D00869306B7F9 -:04101E0006C2FFD532 -:04101F00059382C1F2 -:0410200010080804A8 -:04102100F0EFC236F4 -:0410220084AA90DF2D -:04102300D20518E3F7 -:041024000A04059322 -:04102500F0EF1008D0 -:0410260084AA8FDF2A -:04102700D20510E3FB -:0410280004054692E3 -:0410290007930442E3 -:04102A0080411FF0F2 -:04102B00F6D7F6E31B -:04102C002000061387 -:04102D0010084581E1 -:04102E00D4AFC0EF8C -:04102F00879367DD5F -:041030008593A58778 -:041031000613078714 -:0410320010080200A0 -:04103300CFAFC0EF8C -:041034000C00059314 -:04103500F0EF1008C0 -:0410360084AA8BDF1E -:04103700E40500E3E9 -:041038001010B9F1EA -:0410390097320706DD -:04103A009363863EF8 -:04103B00863600678E -:04103C0007C207855B -:04103D0000C71023B5 -:04103E00BF8583C126 -:04103F00000745035E -:04104000C636C832B6 -:04104100C0EFC43AFE -:041042004722E2AFB0 -:0410430046B2464229 -:0410440000A70023DE -:04104500BDA9070535 -:04104600D7134782F3 -:041047008C1500867E -:04104800C03E07851A -:0410490097BA479279 -:04104A001DE3C23EA2 -:04104B00B179E00493 -:04104C0006900713F0 -:04104D00D4E483E381 -:04104E0065DDB97132 -:04104F00C54585937B -:0410500065DDB96938 -:04105100C44585937A -:0410520065DDB94956 -:04105300C645859376 -:041054000113B1696A -:04105500CC26FDC1E7 -:04105600CE22D006D0 -:0410570084AEC42A75 -:04105800C236C032AA -:04105900E39947824E -:04105A00A015450197 -:04105B0007934402B1 -:04105C00F463200019 -:04105D0004130087F1 -:04105E0045A2200087 -:04105F00161345120D -:0410600082410104C4 -:04106100907FF0EF9D -:041062000533C90980 -:04106300508240A0D7 -:0410640044E24472AC -:041065000241011330 -:04106600F7938082FA -:0410670096130FF4D9 -:04106800CB9D008498 -:0410690086B3479271 -:04106A009163008707 -:04106B0067E904D756 -:04106C00A503469200 -:04106D00D593A3C7AD -:04106E008722008451 -:04106F00C0EF05C207 -:04107000F571862F61 -:04107100078547A206 -:041072005793C43E8E -:0410730094BE0084A3 -:041074008F8147829F -:04107500B779C03E49 -:04107600A50367E97E -:0410770085B2A3C7D4 -:04107800B0EFC632DD -:041079004632FE7F7E -:04107A00B755DD5534 -:04107B000007C503A2 -:04107C00C832CA3676 -:04107D00C0EFC63EBC -:04107E0047B2D3AFF3 -:04107F00464246D2CD -:0410800000A7802322 -:04108100B7550785D3 -:04108200DB0101137A -:04108300222300C85C -:04108400262324916A -:0410850024232411EB -:04108600F0EF2481E2 -:0410870084AA903F68 -:04108800EDAFC0EF19 -:0410890004B3C4895F -:04108A00440D409041 -:04108B004611A00D5D -:04108C00104800CC3C -:04108D00768020EF5A -:04108E00461165DDC5 -:04108F00D045859330 -:0410900020EF1048F5 -:04109100C90D72C053 -:041092000493440D72 -:04109300C0EF064064 -:041094000713EACF85 -:041095004D63068021 -:0410960007130097A5 -:041097004C63063070 -:0410980007132E9775 -:041099008163F3403C -:04109A00470536E4EC -:04109B0036E4826352 -:04109C00859365DDF6 -:04109D00ACFDC3459E -:04109E0004815783EF -:04109F0004A1059310 -:0410A0000513461DD1 -:0410A100142302A171 -:0410A20020EF02F148 -:0410A30057837120DE -:0410A40008A3052177 -:0410A5001023020111 -:0410A600578302F179 -:0410A70011230541CB -:0410A800550202F1FA -:0410A900CC8FC0EF39 -:0410AA000561578302 -:0410AB00C22ADA2A51 -:0410AC0002F110231A -:0410AD0005815783DF -:0410AE0002F1112317 -:0410AF00C0EF550237 -:0410B0005783CAEFA9 -:0410B100DC2A05A18F -:0410B20002F1102314 -:0410B30005C1578399 -:0410B40002F1112311 -:0410B500C0EF550231 -:0410B600DE2AC96FF6 -:0410B70024012503E8 -:0410B800C8CFC0EFEE -:0410B900C0AA4592F2 -:0410BA001E2007935A -:0410BB00FE65871334 -:0410BC000CE7E763F3 -:0410BD0000C846051C -:0410BE00C98FC0EF27 -:0410BF0006634786F7 -:0410C000440D00F5E6 -:0410C100066004932E -:0410C2006465B79911 -:0410C3000613665D4D -:0410C40045C5D0C688 -:0410C5001B440513B0 -:0410C600481010EFCF -:0410C70067E556E2A1 -:0410C8008513665DC9 -:0410C90006131C8767 -:0410CA0045C5D1C681 -:0410CB0046D010EF0C -:0410CC00E0EF450507 -:0410CD0057E2B48FA3 -:0410CE004501470190 -:0410CF0057F2C23ED4 -:0410D0004792C43E41 -:0410D10008F7616358 -:0410D2009E6347A230 -:0410D30047831EA78A -:0410D400468302A1AC -:0410D500470302814A -:0410D600CBCD0291EB -:0410D700879367E1B3 -:0410D8000613B20742 -:0410D900C03202A17E -:0410DA000613665D36 -:0410DB0045C5D286AF -:0410DC001B44051399 -:0410DD00425010EF7E -:0410DE0065DD67E580 -:0410DF00859346456A -:0410E0008513D80597 -:0410E100C0EF1C87B9 -:0410E2004505A40F0D -:0410E300E0EF64E5F1 -:0410E4008493AECF74 -:0410E50016B71DC459 -:0410E600A7830002DA -:0410E700D7030D0618 -:0410E80007C2000437 -:0410E900076383C155 -:0410EA00D70306F72B -:0410EB00006300247A -:0410EC0065091AF781 -:0410ED007105051371 -:0410EE00D47FB0EF0C -:0410EF00440DBFE904 -:0410F000065004930F -:0410F1004792B56904 -:0410F20040E786B39A -:0410F300200007933F -:0410F40000D7F463CA -:0410F500200006933E -:0410F6002007049338 -:0410F7000106961345 -:0410F800D5938241C9 -:0410F90000C8009497 -:0410FA00C636C83AF4 -:0410FB00E9EFF0EF3A -:0410FC00474246B26F -:0410FD0004B3C50172 -:0410FE00BD0540A04C -:0410FF00001736138D -:0411000000C885B6E8 -:04110100B8CFC0EFB4 -:04110200BF25872658 -:04110300879367DD8A -:04110400BF81B647AA -:04110500D0CFD0EF88 -:0411060007136769FB -:04110700431CA58759 -:04110800000216B714 -:04110900E7936509FA -:04110A00C31C0027DB -:04110B000EF6A02319 -:04110C007105051351 -:04110D00CCBFB0EFB4 -:04110E00051365DD83 -:04110F0046451B44F2 -:04111000D3458593AB -:04111100558020EFF6 -:0411120067E5440D3C -:04111300464565DD0B -:04111400D4058593E6 -:041115001C8785139B -:04111600544020EF32 -:04111700E0EF4505BB -:041118005662A1CFAB -:04111900458100D438 -:04111A00F0EF4505A8 -:04111B0084AACE9F35 -:04111C00DC051FE3EC -:04111D0065DD64E543 -:04111E00859346452A -:04111F008513D5055A -:0411200020EF1B445D -:04112100450551A08F -:041122009F2FE0EF2C -:04112300470157E247 -:04112400C23E450181 -:04112500C62657F291 -:041126004792C43EEA -:0411270002F76F63F9 -:04112800049347A243 -:0411290094E3F34018 -:04112A00C0EFDAA791 -:04112B0047B2C50FF3 -:04112C00464565DDF2 -:04112D00D945859388 -:04112E001B478513C3 -:04112F0090AFC0EFCE -:0411300065DD67E52D -:041131008593464517 -:041132008513D60546 -:0411330020EF1C8706 -:0411340045054CE041 -:041135009A6FE0EFDE -:041136004792A0013B -:0411370040E786B354 -:041138001000079309 -:0411390000D7F46384 -:04113A001000069308 -:04113B00A50367E9B8 -:04113C0085BAA3C706 -:04113D00CA3600D0DE -:04113E00B0EFC83A0C -:04113F0046D2EA9F0B -:0411400000D0474252 -:0411410005B384AAC4 -:04114200450300D68B -:04114300CE3600069E -:04114400CA2ECC3AA9 -:04114500C0EFC832FD -:041146004642A1AFCD -:04114700476245D2E4 -:0411480000A60023DA -:0411490046F206055F -:04114A00FEC591E36A -:04114B00D20491E356 -:04114C00001736133F -:04114D0000C885B69B -:04114E00C0EFC83AEC -:04114F004742A56FFF -:04115000100707136A -:04115100440DBF99F1 -:04115200067004938C -:04115300440DB3098B -:04115400068004937A -:041155008713B9ED56 -:04115600468DF9B415 -:0411570006E6EE6357 -:04115800070A66DD3F -:04115900DA86869319 -:04115A004318973669 -:04115B0065DD8702C5 -:04115C00CF45859363 -:04115D00464567E5B7 -:04115E001C87851352 -:04115F00420020EF3B -:04116000E0EF450572 -:0411610045378F8FF0 -:041162000513000F62 -:04116300B0EF2405C0 -:04116400D763B71F77 -:0411650055630404C6 -:04116600665D04803E -:0411670006136565A1 -:0411680045C5D7069C -:041169001B4505130A -:04116A001F1010EF53 -:04116B00BD71147DC1 -:04116C00859365DD25 -:04116D00BF7DCAC5B3 -:04116E00859365DD23 -:04116F00BF5DCBC5D0 -:04117000859365DD21 -:04117100B77DCD0574 -:04117200859365DD1F -:04117300B75DC98516 -:04117400859365DD1D -:04117500BF79C54534 -:04117600859365DD1B -:04117700BF59CE4549 -:04117800E92FD0EF9C -:0411790024C12083EA -:04117A0024812403A5 -:04117B002441248364 -:04117C000113557D89 -:04117D008082250146 -:04117E00DDC10113BB -:04117F0020230828F9 -:041180002E232211E7 -:041181002C2320817A -:04118200F0EF2091D9 -:04118300C02AD12F7E -:04118400AEAFC0EF5B -:04118500E931450205 -:0411860065DD64655A -:0411870085934645C1 -:041188000513DD4529 -:04118900B0EF1C8423 -:04118A004509FA1FFA -:04118B0084EFE0EF1E -:04118C006465C222B2 -:04118D001DC4041366 -:04118E00000217B78D -:04118F000D07A7831E -:0411900000045703FD -:0411910083C107C24D -:0411920004F70463F7 -:0411930000245703DA -:0411940002F71A63E1 -:0411950065DD47923B -:0411960085934645B2 -:041197008513C28575 -:0411980020EF1C87A1 -:04119900051333A067 -:04119A00C02A0680E1 -:04119B00A8EFC0EF0A -:04119C002201208389 -:04119D0021C1240345 -:04119E00248345025F -:04119F000113218196 -:0411A00080822241E6 -:0411A10005136509C4 -:0411A200B0EF710534 -:0411A300B76DA75F1E -:0411A40065DD47922C -:0411A5008513464523 -:0411A60085931C878A -:0411A70020EFDB85D5 -:0411A80045092FE0E6 -:0411A900FD7FD0EF07 -:0411AA00FFF0073714 -:0411AB00200707937F -:0411AC006485C00294 -:0411AD0047A2C43E53 -:0411AE000084941312 -:0411AF00943E462DF7 -:0411B00085A284256B -:0411B100F0EF0068F3 -:0411B200C501BC4F68 -:0411B30040A0053320 -:0411B40065DDBF69CD -:0411B500BD858593DC -:0411B600B0EF00682E -:0411B700E10DF39FB4 -:0411B8000161470387 -:0411B90015634685EF -:0411BA00468306D78B -:0411BB0099630141F2 -:0411BC00468300E680 -:0411BD00471901517C -:0411BE0076E00613BE -:0411BF0006E6846359 -:0411C0001004849300 -:0411C10098E367093F -:0411C2000513FAE433 -:0411C300B0EF3E80CB -:0411C40045819F1FA3 -:0411C500D0EF453DE5 -:0411C60067E9B47FA2 -:0411C700A5C7C703EE -:0411C800879367E5BD -:0411C90097BA1A8730 -:0411CA000007C50352 -:0411CB0067E945810A -:0411CC00A4A783232E -:0411CD00B29FD0EF0E -:0411CE00468247927C -:0411CF000613665D40 -:0411D00045C5DC46EF -:0411D1001C878513DF -:0411D200051010EF05 -:0411D300BF314505DE -:0411D4004703FB458D -:0411D50015E30141DC -:0411D6004703FAD7FA -:0411D700F34D015182 -:0411D8000580061375 -:0411D90085A60834AB -:0411DA00F0EF85228B -:0411DB001EE39E9FD2 -:0411DC004782EE0553 -:0411DD00C03E078584 -:0411DE000113B761E1 -:0411DF00D506F541FB -:0411E000D126D3221F -:0411E10000021437BD -:0411E20099BFB0EF12 -:0411E3000804049365 -:0411E400D0BC47C56F -:0411E5000E042023B1 -:0411E600000227B725 -:0411E7000007A62334 -:0411E8000007A82331 -:0411E9000007AA232E -:0411EA008513678979 -:0411EB00B0EF7107E9 -:0411EC006369951F7F -:0411ED00A58307933C -:0411EE001D10069337 -:0411EF00D0B4C39421 -:0411F000D0EF4501F6 -:0411F10015379B4FC4 -:0411F20005130003DE -:0411F300B0EFD40580 -:0411F4002637931FE8 -:0411F50005B7000634 -:0411F6000613019C3F -:0411F7008593A8062E -:0411F8000513CC050A -:0411F900B0EF04044B -:0411FA0067E9CB5F77 -:0411FB00A58787132A -:0411FC004551431402 -:0411FD00F9F6F69376 -:0411FE00D0B4C31492 -:0411FF00903FB0EF7E -:04120000051345D1BC -:04120100D0EF0380A7 -:0412020045D18EEF55 -:04120300039005133C -:041204008E4FD0EF4A -:04120500455145D139 -:041206008DCFD0EFC9 -:04120700051345D1B5 -:04120800D0EF07100C -:0412090045D18D2F0F -:04120A0005E00513E3 -:04120B008C8FD0EF05 -:04120C00051345D1B0 -:04120D00D0EF06D048 -:04120E0045D18BEF4C -:04120F00D0EF4531A6 -:0412100005938B6F48 -:04121100450532005D -:041212008ACFD0EFC0 -:04121300451945D163 -:041214008A4FD0EF3E -:04121500320005930B -:04121600D0EF4509C7 -:0412170067E989AF4B -:04121800A58787938C -:0412190046014394B3 -:04121A00E693458191 -:04121B00C39404066E -:04121C000513D0B432 -:04121D00B0EF040426 -:04121E004529C89FF7 -:04121F00883FB0EF65 -:04122000450545E15A -:04122100947FC0EF07 -:04122200450945E154 -:0412230093FFC0EF86 -:04122400450D45E14E -:04122500937FC0EF04 -:04122600BEBFC0EF98 -:0412270007136369DD -:0412280047E1A58372 -:041229001D63C43A43 -:04122A0045015AF52B -:04122B0095FFC0EF7C -:04122C000FF0079325 -:04122D005CF50D63FC -:04122E001A8087B7E4 -:04122F000807879392 -:041230006789DABE32 -:04123100A1A78413DA -:0412320047A1456922 -:0412330006F10D2390 -:0412340006811C23F0 -:04123500937FC0EFF4 -:041236000F55759348 -:0412370000A5E59396 -:04123800C0EF456955 -:041239004585973F11 -:04123A000350051345 -:04123B00969FC0EFCB -:04123C00051345A5AC -:04123D00C0EF0220DC -:04123E00656195FF52 -:04123F00B8C5051316 -:04124000A6DFC0EF76 -:04124100C0EF4501B4 -:041242004599B5BF56 -:0412430003D00513BC -:04124400945FC0EF04 -:0412450004400593C9 -:04124600C0EF45456B -:04124700458593BF87 -:04124800C0EF454965 -:041249004581933F09 -:04124A00C0EF454D5F -:04124B0018C892BF6E -:04124C009E5FC0EFF2 -:04124D00455D458135 -:04124E0091DFC0EF7D -:04124F00FE5FC0EF8F -:04125000C0EF45099D -:041251007513BF8FC3 -:0412520047CD0FF580 -:0412530054F51363D8 -:0412540004136465B6 -:041255004583138436 -:041256004515002416 -:04125700C28FC0EF93 -:0412580000244783A4 -:0412590003D0059326 -:04125A00839D45111A -:04125B0000279713BE -:04125C0000C4478300 -:04125D008FD99BED9D -:04125E0000F406236F -:04125F00C08FC0EF8D -:041260003E800513B4 -:04126100F7AFB0EF44 -:04126200451145F5F8 -:04126300BF8FC0EF8A -:0412640003000593EB -:041265000610051357 -:04126600BECFC0EF48 -:041267000B200593C0 -:04126800C0EF452569 -:041269000593BE2FFC -:04126A0045290F8083 -:04126B00BD8FC0EF84 -:04126C000370059373 -:04126D00C0EF452D5C -:04126E004581BCEF0B -:04126F00C0EF453D4A -:041270004581BC6F89 -:041271000C900513C5 -:04127200BBCFC0EF3F -:041273000513458199 -:04127400C0EF0CA01B -:041275004581BB2FC5 -:041276000CB00513A0 -:04127700BA8FC0EF7B -:041278000513458194 -:04127900C0EF0CC0F6 -:04127A004581B9EF02 -:04127B000CD005137B -:04127C00B94FC0EFB7 -:04127D00051345818F -:04127E00C0EF0CE0D1 -:04127F004581B8AF3E -:041280000CF0051356 -:04128100B80FC0EFF3 -:04128200051345818A -:04128300C0EF0D00AB -:041284004583B76F78 -:041285000513004409 -:04128600C0EF0E1097 -:041287004515B6AFA4 -:04128800F22FC0EF92 -:04128900086007935F -:04128A0006F51263F0 -:04128B000750059370 -:04128C00070005133F -:04128D00F56FC0EF4A -:04128E0009000593BB -:04128F000200051341 -:04129000F4AFC0EF08 -:04129100051345817B -:04129200C0EF021097 -:041293004581F40F8E -:04129400022005131C -:04129500F36FC0EF44 -:041296000513458D6A -:04129700C0EF023072 -:041298004581F2CFCB -:0412990002800513B7 -:04129A00F22FC0EF80 -:04129B000B000593AC -:04129C00071005131F -:04129D00F16FC0EF3E -:04129E0007000593AD -:04129F00070005132C -:0412A000F0AFC0EFFC -:0412A100470567E9AD -:0412A200A4E781A399 -:0412A300A78367E5D1 -:0412A40067690D4722 -:0412A500A2F72E235B -:0412A6003E078F630D -:0412A700BB9FB0EF4A -:0412A800851362E563 -:0412A90065E1148265 -:0412AA0004600613C3 -:0412AB00CF858593D3 -:0412AC00B0EF056535 -:0412AD006365B15F65 -:0412AE00859366E1DD -:0412AF000513CC0651 -:0412B00006131DC341 -:0412B100B0EF036037 -:0412B20067E5B01F1D -:0412B300028007139B -:0412B40006E78023A6 -:0412B500000247B735 -:0412B60038078793DB -:0412B700767943B849 -:0412B8007FF60613A4 -:0412B900C3B88F71B6 -:0412BA00766943B856 -:0412BB008F71167D9C -:0412BC0043B8C3B8B8 -:0412BD00167D762103 -:0412BE00F1F77713BA -:0412BF0006076713A4 -:0412C00043B8C3B8B4 -:0412C100453D4581E1 -:0412C2008FF7771318 -:0412C3003007671376 -:0412C40043B8C3B8B0 -:0412C500646964E90B -:0412C6000017671393 -:0412C70043B8C3B8AD -:0412C80067139B1DF0 -:0412C900C3B800871F -:0412CA008F7143B825 -:0412CB008F516621B8 -:0412CC00D0EFC3B8E4 -:0412CD006769F2AFAC -:0412CE00A4674503C9 -:0412CF00D0EF458196 -:0412D0008793F1EF20 -:0412D10065699AC4ED -:0412D2000007A0234E -:0412D3000007A2234B -:0412D4000007A42348 -:0412D5000007A62345 -:0412D6000007A82342 -:0412D70002C0061338 -:0412D8000513458134 -:0412D900B0EF9C05D1 -:0412DA0065E1A9DF42 -:0412DB00134585939F -:0412DC0002C0061333 -:0412DD009EC4051393 -:0412DE00A4FFB0EFCA -:0412DF009EC407930F -:0412E00080A3461190 -:0412E100460D02C7ED -:0412E20002C781239B -:0412E300D87FB0EF11 -:0412E400C0EF450111 -:0412E50017B7A5BFD3 -:0412E600A603000259 -:0412E70062E50D07A8 -:0412E800000207B742 -:0412E90014828713D1 -:0412EA0066658FF1B5 -:0412EB000713C03AEB -:0412EC00C4BA161654 -:0412ED000713676913 -:0412EE00D03AA467E7 -:0412EF009AC4871303 -:0412F0006769DE3A12 -:0412F100A4870713B4 -:0412F2006769C83A26 -:0412F300A307071333 -:0412F4006769D23A1A -:0412F50066E16365E6 -:0412F600A3470713F0 -:0412F700C636DC1A01 -:0412F800D43AC6A27C -:0412F90014079263E1 -:0412FA00871366E10F -:0412FB004481C5461F -:0412FC006465C23A29 -:0412FD00464565DD20 -:0412FE00DF058593F0 -:0412FF001B44051374 -:0413000010EFCA3EE2 -:04130100479259B006 -:04130200002496939A -:0413030096BE6565C8 -:041304004645428C8C -:041305001C8505132B -:04130600585010EF3C -:041307000002463763 -:041308003C062683F6 -:04130900E69345051D -:04130A002023004656 -:04130B00D0EF3CD60D -:04130C0047D2A4DF41 -:04130D0016374681C8 -:04130E0025030002B1 -:04130F0067410D061F -:0413100075B3177D1D -:04131100474200E56A -:04131200000303379A -:041313002603C30CDE -:0413140057120D0659 -:04131500FFF6461386 -:0413160000667633C4 -:04131700C985C310B1 -:0413180002F58763F0 -:04131900879367E56A -:04131A0096131DC742 -:04131B0097B2001471 -:04131C0065DDEAADF4 -:04131D0000A7902372 -:04131E008593464528 -:04131F000513DF854E -:0413200010EF1B446B -:04132100450551B07D -:041322009F3FD0EF2A -:041323005792468512 -:041324000007A30318 -:04132500439C57A2EC -:0413260067C1E39D1B -:0413270002F3116359 -:0413280047B2E0BD2B -:041329000360061344 -:04132A008593CA1AC3 -:04132B0057E2CC07B2 -:04132C00851344EDF4 -:04132D00B0EF1DC739 -:04132E004352911F76 -:04132F005722468972 -:04133000460947C261 -:04133100006720230E -:04133200439CCC36D6 -:0413330004C6806309 -:04133400051365092F -:04133500CA3E710536 -:04133600C26FB0EFE3 -:0413370046E247D271 -:04133800D783BF99FF -:0413390046890007DA -:04133A00FAF583E35A -:04133B00464565DDE1 -:04133C00E0058593B0 -:04133D001B44051335 -:04133E004A5010EF12 -:04133F00D0EF4505A1 -:04134000468197DF6C -:0413410014F9B7697B -:04134200BF554689C4 -:0413430046E90485EE -:04134400EE96D1E36D -:04134500D0EF453D63 -:041346004737AA6F0C -:0413470027830002F6 -:041348009BED3C07D6 -:041349003CF720232A -:04134A00665D64E593 -:04134B004685471D6F -:04134C00E10606139D -:04134D00851345C5FA -:04134E0010EF214437 -:04134F00646525E0CC -:04135000464565DDCC -:04135100F205859389 -:0413520022840513D9 -:0413530087BFB0EFB1 -:04135400D0EF45058C -:04135500A5379D5FBC -:041356000513000774 -:04135700B0EF1205DC -:041358004799BA0FE8 -:04135900CC02CE3EB6 -:04135A00C602CA02FB -:04135B00C8A6D60248 -:04135C00B0EFCAA282 -:04135D0017B7BD4FB2 -:04135E00A78300025F -:04135F0046C20D076E -:04136000177D67414D -:04136100C2988F7D22 -:04136200FFF7C69338 -:041363000003073745 -:0413640056928F7599 -:041365000187D61313 -:04136600C298D82A27 -:0413670087B266E9FA -:04136800A4C68693FE -:041369001679CA190E -:04136A000FF67613F1 -:04136B00F663458D53 -:04136C00C60300C5EF -:04136D0015630006FE -:04136E00464200F6FD -:04136F000006202331 -:0413700000F68023E0 -:04137100439C57A2A0 -:0413720057A2EBF99A -:041373006469C3984E -:04137400A2E40413D8 -:0413750000044783A6 -:041376001007846375 -:04137700C78347825F -:041378008F630187F7 -:0413790047820E0792 -:04137A004703676955 -:04137B00C783A41769 -:04137C008FD90127DD -:04137D000FF7F793DC -:04137E000E0794635F -:04137F00B4AFB0EF68 -:04138000B0EF84AA9C -:041381005793B6AF19 -:04138200051300A5AA -:0413830087B312C05A -:04138400473202A743 -:04138500E56397BACB -:0413860047620CF4BA -:041387000630079392 -:041388000CE7E0632B -:041389000004468393 -:04138A004705478547 -:04138B0000F687637E -:04138C00871347F28A -:04138D003733FFA74C -:04138E00478200E0B2 -:04138F00C78346A129 -:0413900017FD0187BD -:041391000FF7F793C8 -:0413920006F6E9630F -:04139300869366DDFA -:04139400078AF406CA -:04139500439497B630 -:04139600879367E9E9 -:041397008682A5C7DE -:04139800665D56F93F -:04139900061365656D -:04139A0045C5E2461D -:04139B0021450513D0 -:04139C00128010EFBC -:04139D00656565DD40 -:04139E0085934645A8 -:04139F000513B64537 -:0413A00010EF2285A3 -:0413A100450531B01D -:0413A20089FFD0EF00 -:0413A30056F5A0015A -:0413A40056F1BFC976 -:0413A50056FDB7F941 -:0413A600C602B7E9DB -:0413A70057A2BDD9B3 -:0413A8005792C398FD -:0413A9000007A02376 -:0413AA006769B71D9B -:0413AB00A2C747038B -:0413AC0000E03733F3 -:0413AD008023070989 -:0413AE0047E200E72B -:0413AF0007854772F5 -:0413B0000FF7F793A9 -:0413B1004799CC3E4E -:0413B20000F70763D6 -:0413B3000017079385 -:0413B4000FF7F793A5 -:0413B500B0EFCE3E89 -:0413B6004785A70FB1 -:0413B700CA3EC62A3A -:0413B800109C6465BC -:0413B9000793DABEFE -:0413BA0087132584EC -:0413BB00C13A00171C -:0413BC00002787136C -:0413BD00C53AC33A30 -:0413BE00871365DD4F -:0413BF00DCBE003759 -:0413C000C73ADEBE8C -:0413C100C93A07918D -:0413C2001098462D0C -:0413C300F3458593D6 -:0413C400CB3A10C848 -:0413C500CF3ACD3E10 -:0413C60006010023F9 -:0413C700EAAFB0EFEA -:0413C800468547828D -:0413C900C78347256A -:0413CA00DA2201879B -:0413CB000493C2368F -:0413CC00866325848B -:0413CD00078500E7A9 -:0413CE000FF7F7938B -:0413CF0047C2C23E11 -:0413D00045E94701A3 -:0413D10057E243900C -:0413D2001DC7879319 -:0413D3000007D683B6 -:0413D40004D61A63BE -:0413D500E16347E9A0 -:0413D60066DD62E787 -:0413D7000027179341 -:0413D800F6468693BC -:0413D900439C97B6E4 -:0413DA00468587823B -:0413DB006765E71942 -:0413DC000F0746832E -:0413DD000016B693AD -:0413DE0080230691D1 -:0413DF00BF3500D73F -:0413E000DF654699E6 -:0413E1000007C683B8 -:0413E200471DBFCD17 -:0413E3006769B72D52 -:0413E400A2D7470342 -:0413E50000E03733BA -:0413E600BF310721EB -:0413E700FF714699B3 -:0413E800BFE1468596 -:0413E90003E307898A -:0413EA0007053AB702 -:0413EB004785B74536 -:0413EC0046A24722AC -:0413ED004713431847 -:0413EE00C29810078A -:0413EF0066C157126A -:0413F0008EF9431817 -:0413F1004792C291CC -:0413F200000206B738 -:0413F300CF018F7522 -:0413F4000114C70316 -:0413F50046814605E2 -:0413F60000E6656345 -:0413F70076930705DD -:0413F80088A30FF7C0 -:0413F900646900D44F -:0413FA00C2024729BB -:0413FB00A5C404136E -:0413FC0000E786631D -:0413FD0000F40023D5 -:0413FE00C23E47851F -:0413FF00439C47A222 -:04140000FF37F713A8 -:04140100C39847A2A3 -:04140200C68367E94D -:041403008793A41710 -:04140400C0BEA417AB -:0414050067E9EA8128 -:04140600A407C783ED -:041407008FD9078AE8 -:04140800C31C472298 -:04140900439847A21B -:04140A00000217B70E -:04140B000EE7A02325 -:04140C00C7834786C5 -:04140D00C78100078C -:04140E00E0EF4501C5 -:04140F004782DC0F25 -:04141000000447038A -:041411000187C78305 -:0414120000E7846308 -:04141300E79947927C -:04141400CF8547D267 -:04141500C7834782C0 -:04141600CB8501275A -:04141700C78367E937 -:04141800CA3EA457CD -:0414190067E5C39D23 -:04141A001A87879313 -:04141B00C50397BAB4 -:04141C0057820007EC -:04141D00C783CA02B5 -:04141E0088630007D8 -:04141F00578200A749 -:04142000802345815F -:04142100D0EF00A761 -:0414220047029D6F71 -:0414230000044783F7 -:041424000187468373 -:0414250007136769D9 -:041426009E63A5E735 -:04142700460300F682 -:0414280046890007EA -:041429001EC6F9637F -:04142A00C60346822D -:04142B00C68304442C -:04142C00026305D67C -:04142D0064691ED6FA -:04142E00A5D4061328 -:04142F00A4040EA360 -:04143000000700238E -:04143100C2B2468D70 -:041432002AF6F9E3BA -:041433000444C603A4 -:0414340010E34585F7 -:04143500859328B6BD -:04143600F593FFA784 -:04143700440D0FF55C -:0414380000B6746323 -:041439006A70006F66 -:04143A00FFF78593A0 -:04143B000FF5F513A1 -:04143C00E16345A182 -:04143D0065E102A5BE -:04143E00B545859398 -:04143F00C68395AA21 -:0414400045990005C5 -:041441008AE3D6362E -:04144200ECE328B7F8 -:04144300458526F5C0 -:0414440028B781E361 -:04144500CCB24702DC -:0414460000F70C237C -:04144700000709236E -:04144800B62FC0EF0C -:041449004789466623 -:04144A000D85759304 -:04144B0026F61BE383 -:04144C000015E5930F -:04144D00CCAE4505D7 -:04144E00892FC0EF33 -:04144F00450945E620 -:0414500088AFC0EFB2 -:04145100450D45E61A -:04145200882FC0EF30 -:04145300FD4FC0EF9A -:04145400CFFFB0EF27 -:04145500C78367E9F9 -:041456006769A437E7 -:04145700A5E70713EB -:041458004585C39D66 -:04145900008595B3C2 -:04145A000405E5930D -:04145B000FF5F59301 -:04145C00CCAE4519B4 -:04145D00816FC0EFEC -:04145E00451D45E6FD -:04145F0080EFC0EF6B -:04146000871367E99E -:041461004796A5E71E -:041462000007440338 -:04146300C683458176 -:0414640047890007AD -:041465000087E663B3 -:041466000064159376 -:0414670085E105E234 -:0414680000269713B0 -:04146900004697930F -:04146A008FD58FD9B2 -:04146B00F5938DDD8B -:04146C0045650FF5CE -:04146D008A0FC0EF33 -:04146E00C0EF454145 -:04146F005732850F5C -:041470007593478D9C -:0414710014630F856C -:04147200E59300F707 -:04147300454100559A -:04147400884FC0EFEE -:04147500FEE34789C2 -:0414760047911C87F7 -:0414770005200593B4 -:041478000087F46392 -:0414790005300593A2 -:04147A00C0EF453941 -:04147B00656186AF72 -:04147C006A050513E5 -:04147D00F0BFA0EF2D -:04147E00C0EF455125 -:04147F005732810F50 -:0414800045C1478D8E -:0414810000F703630A -:0414820045614581FA -:04148300848FC0EFA3 -:041484000513458186 -:04148500C0EF036051 -:04148600478283EF27 -:04148700470556B20D -:041488000007A62390 -:04148900439C47A297 -:04148A00BFF7F7931E -:04148B0018E68CE3F0 -:04148C004645472268 -:04148D0047A2C31C93 -:04148E0017B74398B1 -:04148F00A023000294 -:0414900047820EE79A -:041491000187C78385 -:041492000027971385 -:04149300879367E1F3 -:0414940097BAB60746 -:0414950047C6438C77 -:041496002147851352 -:04149700740010EFDE -:0414980065DD47D6F1 -:04149900851346452C -:04149A00859322878D -:04149B0010EFF14518 -:04149C00450572E0B0 -:04149D00CB2FD0EF92 -:04149E00CF91479211 -:04149F00C70367E92F -:0414A00047A9A3872E -:0414A10000F71563D8 -:0414A200C0EF453D15 -:0414A300A0EFD33FA4 -:0414A400C62AEB9FCA -:0414A5004782CC02AC -:0414A6000404C70370 -:0414A7000597C7835B -:0414A80002F70763DD -:0414A900879367E9D5 -:0414AA00C5039EC711 -:0414AB00468100A7CF -:0414AC00458146012F -:0414AD00DE5FB0EF5F -:0414AE000404C5036A -:0414AF00B30FC0EFC8 -:0414B000C7834702A5 -:0414B10026230404E6 -:0414B2000CA3000780 -:0414B300C58304F7F2 -:0414B400478904045C -:0414B50004F58A634D -:0414B600C6034782A0 -:0414B700C7830414CF -:0414B800836305A79E -:0414B90067E902C716 -:0414BA009EC78793AF -:0414BB0000A7C503BE -:0414BC004589C1910C -:0414BD00C68357F299 -:0414BE00B0EF012763 -:0414BF00C783D9FF07 -:0414C00047020414C7 -:0414C10004F70D23FC -:0414C200C503478295 -:0414C300C7830424B3 -:0414C4008B6305B77A -:0414C500C11100A7AA -:0414C600B0EF450D31 -:0414C700C783AADF4E -:0414C80047020424AF -:0414C90004F70DA374 -:0414CA00C78347028B -:0414CB00470304448B -:0414CC00056305D7D8 -:0414CD00470200F7DB -:0414CE0004F70EA36E -:0414CF00C68367E584 -:0414D0006765100735 -:0414D10010174703A6 -:0414D20000D03633DD -:0414D300000246B716 -:0414D4003C06A783A8 -:0414D50018638B8588 -:0414D600A78300F6F2 -:0414D700838D3C06BF -:0414D8008C638B8D09 -:0414D90047B702E728 -:0414DA0087930002F2 -:0414DB0043B43807D7 -:0414DC00070E8B0D5F -:0414DD008ED19AF919 -:0414DE0043B4C3B49C -:0414DF008F559A9DEE -:0414E0004786C3B8C0 -:0414E1000007C783B6 -:0414E20047C2CB89A9 -:0414E3000007A0233B -:0414E4008E3FC0EF88 -:0414E500E0EF4505EA -:0414E6004782A64F44 -:0414E7000187C7832F -:0414E80034078DE355 -:0414E900000A54376A -:0414EA00D9FFA0EF97 -:0414EB00CB84041397 -:0414EC00A0EF942AAF -:0414ED007A63D95FE6 -:0414EE0017B70085A7 -:0414EF00A7830002CD -:0414F00007370D07A6 -:0414F1008FF900105F -:0414F20027B7D7ED54 -:0414F3004398000218 -:0414F400A30343808B -:0414F50043D40007D5 -:0414F600833143DC1F -:0414F70053138B05FB -:0414F80006B200B385 -:0414F9007413C23A6C -:0414FA0073137FF4F5 -:0414FB0083D1001386 -:0414FC0000C6D293C1 -:0414FD00001347137E -:0414FE000FF7F7935A -:0414FF000C84369390 -:04150000000304637D -:041501001904369300 -:04150200C693460244 -:041503004603001685 -:041504001D6301263C -:0415050046127A060A -:041506007C060363F9 -:041507008263C20237 -:0415080046027C0615 -:0415090009234685E7 -:04150A00469100D630 -:04150B004682C2361C -:04150C001C63429486 -:04150D00468202D43C -:04150E000106C68389 -:04150F0002E69763F6 -:0415100042D44682F9 -:04151100FCE686135B -:0415120002C2E163CD -:041513000326869392 -:041514000056ED632D -:041515004694468230 -:0415160040D786B381 -:0415170041F6D613B0 -:041518008E918EB171 -:041519005C634621A8 -:04151A00459200D620 -:04151B0046834609B4 -:04151C00F363004134 -:04151D00468900C536 -:04151E000FF6F6933B -:04151F00CEBEC23644 -:0415200045A657D2B3 -:0415210085134645A3 -:04152200CC962587B7 -:04152300C09AC2BAEE -:041524004B8010EFF9 -:04152500471643061C -:0415260047F642E65C -:0415270066E9E51973 -:04152800A766C603E9 -:041529001C63468574 -:04152A00459200D610 -:04152B0046834609A4 -:04152C00F363004124 -:04152D00468900C526 -:04152E000FF6F6932B -:04152F0056F2C23678 -:041530008683460563 -:041531005C630136C0 -:0415320085B702D6A1 -:0415330041900002E1 -:04153400826D45156A -:0415350004638A3D84 -:04153600419002A638 -:0415370006E216FDB5 -:041538008A3D826DF9 -:041539008C6386E158 -:04153A00459200C610 -:04153B004683460994 -:04153C00F363004114 -:04153D00468900C516 -:04153E000FF6F6931B -:04153F004682C236E8 -:041540005433C280DE -:04154100D43300643B -:04154200A22302825C -:04154300C69C0056EC -:0415440000E6882312 -:04154500A5F1C6C086 -:04154600BC594789BC -:04154700BC49478DC7 -:04154800B47947919A -:04154900B4694795A5 -:04154A00B4594799B0 -:04154B00B449479DBB -:04154C00BCBD47A13A -:04154D00BCAD47A545 -:04154E0007136769AF -:04154F004683A41714 -:041550004637000713 -:04155100B79300024A -:04155200002300165C -:04155300971300F7F3 -:0415540027830027C2 -:041555009BED3C06C8 -:0415560020238FD9E6 -:0415570057823CF685 -:041558000007C703BE -:0415590083A367E918 -:04155A009A63A4E705 -:04155B00C0EF3A069D -:04155C004505F04F02 -:04155D00886FE0EFC4 -:04155E00BC1D47A9C0 -:04155F00C78367E9EE -:04156000FBFDA417D4 -:041561003C00061331 -:041562004537458143 -:04156300B0EF0002E3 -:041564005782874FD4 -:04156500443745853D -:04156600C5030002B7 -:04156700C0EF0007CA -:04156800665DCBFFF2 -:04156900E34606133C -:04156A00453745C1FB -:04156B0000EF00028B -:04156C0047B61EB0B0 -:04156D000613665D9E -:04156E008693BEC6DC -:04156F0045C19EC70D -:04157000010405135A -:041571001D5000EF1A -:041572000613665D99 -:0415730045C1E44644 -:041574000204051355 -:041575001C5000EF17 -:04157600871367E987 -:0415770056839EC732 -:0415780007930107CD -:04157900665D064065 -:04157A0002F6F7334B -:04157B00E546061328 -:04157C00051345C14D -:04157D00D6B30304DA -:04157E0000EF02F682 -:04157F00665D19F09C -:04158000E606061362 -:04158100051345C148 -:0415820000EF04046E -:0415830067E918F00C -:041584009EC7871364 -:041585009EC78693E4 -:0415860001D747033F -:0415870001C6C68350 -:041588000613665D83 -:0415890045C1E7066B -:04158A00050405133C -:04158B0016D000EF87 -:04158C000613665D7F -:04158D0045C1E7C6A7 -:04158E000604051337 -:04158F0015D000EF84 -:04159000871367E96D -:0415910086939EC7D8 -:0415920057039EC796 -:04159300D68301A753 -:04159400665D018609 -:04159500E70606134C -:04159600051345C133 -:0415970000EF070456 -:04159800665D13B0C9 -:04159900E8C6061387 -:04159A00051345C12F -:04159B0000EF080451 -:04159C0067E912B039 -:04159D009EC787134B -:04159E009EC78693CB -:04159F0000E7570307 -:0415A00000C6D68328 -:0415A1000613665D6A -:0415A20045C1E70652 -:0415A300090405131F -:0415A400109000EFB4 -:0415A5000613665D66 -:0415A60045C1E986CC -:0415A7000A0405131A -:0415A8000F9000EFB1 -:0415A900871367E954 -:0415AA0087939EC7BE -:0415AB00D6839EC77E -:0415AC0057030127B9 -:0415AD00665D01670F -:0415AE00E706061333 -:0415AF00051345C11A -:0415B00000EF0B0439 -:0415B100665D0D70F6 -:0415B200EA460613EC -:0415B300051345C116 -:0415B40000EF0E0432 -:0415B50057820C70DD -:0415B600051367654D -:0415B700C6830F04D4 -:0415B800478300075E -:0415B9000713248769 -:0415BA00E78124871A -:0415BB000713675D4E -:0415BC00665DBE07A3 -:0415BD00BE860613CD -:0415BE0000EF45C134 -:0415BF00443709F0B4 -:0415C000665D000262 -:0415C100EB0606131C -:0415C200051345C107 -:0415C30000EF100421 -:0415C400665D08B0A8 -:0415C5004685471DF3 -:0415C600EB460613D7 -:0415C700051345C102 -:0415C80000EF11041B -:0415C900079307700D -:0415CA0043B83804E6 -:0415CB00002767137B -:0415CC002623C3B857 -:0415CD0007133C04C0 -:0415CE00C3F81FF04F -:0415CF00BD2DC7B8AF -:0415D000472247A2C5 -:0415D100C793439CDD -:0415D200C31C01072E -:0415D300C783B535E0 -:0415D4004685011433 -:0415D500E563470182 -:0415D600078500F68F -:0415D7000FF7F71300 -:0415D800C78367E975 -:0415D90088A3A41728 -:0415DA00E7A900E499 -:0415DB00041364652C -:0415DC00400CBEC43D -:0415DD004537464107 -:0415DE0010EF000208 -:0415DF00C7032220FC -:0415E000481C01148E -:0415E10097BA070AA4 -:0415E2004641438CAF -:0415E3000002443787 -:0415E40002040513E5 -:0415E500208010EF63 -:0415E6003C04278317 -:0415E7000027E7935F -:0415E8003CF420238C -:0415E9003C04262375 -:0415EA002223478DE4 -:0415EB0024233CF485 -:0415EC00B3D93C042F -:0415ED00C78367E960 -:0415EE009713A42784 -:0415EF0067E5003775 -:0415F000F7078793DF -:0415F100676197BADD -:0415F2005447071340 -:0415F30095E3439C9D -:0415F400C0EFDAE783 -:0415F500B34DCA0F19 -:0415F6000124C78382 -:0415F70047014685DD -:0415F80000F6E563B1 -:0415F900F713078558 -:0415FA0067E90FF797 -:0415FB00A417C783E7 -:0415FC0000E489235B -:0415FD006465F3E14D -:0415FE00BEC4041350 -:0415FF004641506CA5 -:041600000002453768 -:04160100198010EF4D -:041602000124C703F5 -:04160300BF9D587CB3 -:04160400C78346D979 -:041605001E630164FB -:04160600C78102D7BF -:04160700F79317FD41 -:041608008B230FF72A -:0416090067E900F499 -:04160A00A417C783D7 -:04160B006465F7C15A -:04160C00BEC4041341 -:04160D004641484CBE -:04160E00000245375A -:04160F00160010EFC2 -:04161000C503505C62 -:041611009782016457 -:04161200464165E503 -:041613001C8585931A -:0416140046B9BF35DF -:04161500F363873EB6 -:04161600473900F65A -:041617008B23070515 -:04161800B7D100E462 -:04161900656565DDC1 -:04161A008593464529 -:04161B000513ECC502 -:04161C0010EF1B456B -:04161D00646512A04E -:04161E00464565DDFB -:04161F00EDC58593FD -:041620001C8405130E -:04162100118010EF35 -:041622000002473744 -:041623003C072783D6 -:04162400E7934505FE -:041625002023004737 -:04162600C0EF3CF7DE -:0416270067E5DE1F76 -:041628002A078313F7 -:04162900000217B7ED -:04162A000D07A703FE -:04162B0017FD67C17F -:04162C0067E58F7D62 -:04162D001DC78793BB -:04162E00466D46813E -:04162F000007D58358 -:0416300000B7066396 -:04163100078906859A -:04163200FEC69AE373 -:0416330007134782D0 -:04163400878302C0E6 -:0416350087B301175F -:04163600472902E757 -:04163700C783979A34 -:04163800646302479E -:04163900471502F758 -:04163A0006D742632A -:04163B00973E1118AD -:04163C00FC4747031D -:04163D0040D7573308 -:04163E00C7158B053C -:04163F001118078AED -:04164000A78397BA2B -:041641008023FD47BE -:0416420067E900D77D -:04164300A40780A3D5 -:041644000002473722 -:041645003C072783B4 -:0416460020239BEDD5 -:0416470045013CF726 -:04164800E07FC0EF90 -:04164900665DB99190 -:04164A0006130685F8 -:04164B0045C5EE861D -:04164C001C840513E2 -:04164D00664000EF04 -:04164E00C0EF45059F -:04164F00A537D41FC8 -:041650000513000777 -:04165100A0EF1205EF -:04165200B7C1FB8F92 -:041653008EE347B12A -:041654006509FAF634 -:041655007105051303 -:04165600FA6FA0EF98 -:0416570067E9B789FF -:04165800A447C78359 -:04165900C0078AE359 -:04165A0006134782AA -:04165B00646502C000 -:04165C000117868369 -:04165D002A040793C1 -:04165E002A04041343 -:04165F0002C6863306 -:04166000466597B292 -:041661000207C78332 -:0416620008C71C6336 -:04166300C781467D78 -:04166400F61317FD65 -:0416650007130FF761 -:04166600873302C004 -:04166700079302E6FD -:0416680087B302C082 -:04166900972202F6CC -:04166A0002C7002390 -:04166B00C50397A27A -:04166C0067E9020721 -:04166D00A777C78311 -:04166E0000F695638A -:04166F00962367E96E -:041670004585A6A75F -:041671008D3FB0EF0A -:04167200C78367E9DA -:04167300EFB9A41710 -:04167400A58367E102 -:0416750046417A0769 -:0416760000024537F2 -:041677007C1000EFF4 -:04167800071347828B -:04167900668D02C0B8 -:04167A00011787834A -:04167B00BF2686936D -:04167C0087B3665D6D -:04167D00061302E767 -:04167E0045C5BC069C -:04167F004783943ECB -:041680006465020497 -:041681001C840513AD -:0416820002D787B351 -:041683003E8006930C -:0416840002D7C6B310 -:04168500584000EFDA -:041686000593464141 -:04168700B3BD1C844F -:041688004601477957 -:04168900F6F769E324 -:04168A00B7A5078574 -:04168B00C78367E9C1 -:04168C009713A427E5 -:04168D0067E50037D6 -:04168E00F707879340 -:04168F00676197BA3E -:0416900050470713A5 -:041691004285B3617A -:0416920067E54301C4 -:04169300851365DD79 -:0416940046451B4765 -:04169500EF858593C5 -:04169600C09AC2969E -:04169700741000EFDC -:0416980046A9430616 -:0416990006B34795B8 -:04169A00429602D39F -:04169B00D363873658 -:04169C00471500D717 -:04169D00665D6465BD -:04169E000613072503 -:04169F0045C5F086C7 -:0416A0001C8405138E -:0416A100C09AC29693 -:0416A200510000EF04 -:0416A30000024737C3 -:0416A4003C07278355 -:0416A500E79345057D -:0416A60020230047B6 -:0416A700C0EF3CF75D -:0416A8004296BDDFCA -:0416A90000021737ED -:0416AA0087964306D6 -:0416AB000D07228382 -:0416AC00177D6741FE -:0416AD0000E2F2B3B2 -:0416AE008F63E385DE -:0416AF0067E50002E9 -:0416B0001DC78713B8 -:0416B100478146ED3A -:0416B20000075603D4 -:0416B30000C28E6380 -:0416B4000709078596 -:0416B500FED79AE3DF -:0416B60005136509AA -:0416B700C296710561 -:0416B800A0EFC09A45 -:0416B900BF75E1CF49 -:0416BA0088634725D5 -:0416BB00471100E7EC -:0416BC0000031363B1 -:0416BD00DA63472580 -:0416BE00472902E7CF -:0416BF00E7B3078501 -:0416C000033302E707 -:0416C100676902E370 -:0416C20003A3979A4D -:0416C300C0EFA4F7D9 -:0416C400C9019D1F9C -:0416C500869366DDC5 -:0416C600665DDE86F9 -:0416C700BEC6061382 -:0416C80066DDB539ED -:0416C900B9868693C5 -:0416CA004769BFCDE0 -:0416CB0000E795633C -:0416CC0000134313B1 -:0416CD004731BF19C9 -:0416CE00FAE790E3C4 -:0416CF0067E9B3F91B -:0416D000A417C70391 -:0416D10005634792D4 -:0416D200B43DC60756 -:0416D300F06F47A9C4 -:0416D4004695C6EF82 -:0416D50042F6FE6378 -:0416D60018634689C6 -:0416D700869300D620 -:0416D800F693FFA7DF -:0416D900F5630FF6B0 -:0416DA00461642D599 -:0416DB0044054689F3 -:0416DC0000D6002311 -:0416DD00F06F46095B -:0416DE00440DD72FB1 -:0416DF00F06F46055D -:0416E000459DD6AF9F -:0416E10000B78D635E -:0416E2000023458913 -:0416E300F06F00B7ED -:0416E4000023D86F98 -:0416E500F06F00F7AB -:0416E600458DD7EF68 -:0416E7004595B7FD71 -:0416E8000616B7ED3E -:0416E900F5938DD117 -:0416EA00E5930FF580 -:0416EB00F06F004557 -:0416EC004539D86F35 -:0416ED0005B00593AC -:0416EE00E9CFB0EFA1 -:0416EF003E80051321 -:0416F000E34FF06F65 -:0416F1004007E79334 -:0416F200E68FF06F20 -:0416F300C2194612C0 -:0416F40028069963C8 -:0416F500092346027D -:0416F6004611000693 -:0416F70098E3C23280 -:0416F800478284069B -:0416F90085134665AA -:0416FA0085930114BF -:0416FB0000EF02A753 -:0416FC00C90955B013 -:0416FD0047834712C6 -:0416FE00E3110041B3 -:0416FF00F793478591 -:04170000C23E0FF7DF -:04170100C403478254 -:04170200C78302A4F3 -:041703008D630437B7 -:0417040045410087D4 -:04170500DF6FB0EFF3 -:04170600040E891D27 -:0417070075938C4901 -:0417080045410FF454 -:04170900E30FB0EF4B -:04170A00C5834782CA -:04170B00C78302B4DA -:04170C0086630447A5 -:04170D00051300B709 -:04170E00B0EF03D065 -:04170F004782E1AF7D -:0417100002C4C583C7 -:041711000457C7832F -:0417120000B7856334 -:04171300B0EF4545A9 -:041714004782E06FB9 -:0417150002D4C583B2 -:0417160002E4C40322 -:041717000467C78319 -:0417180000B797631C -:04171900C7834782B9 -:04171A008963047764 -:04171B0045490087B5 -:04171C00DE4FB0EFFD -:04171D00454D85A20F -:04171E00DDCFB0EF7C -:04171F00C503478235 -:04172000C78302F485 -:041721008463048752 -:04172200478502A74E -:0417230000A7F863C0 -:04172400C50367E9A9 -:041725001571A5F79E -:041726000015351362 -:04172700053347E15E -:0417280067E102F57E -:04172900B8C7879323 -:04172A00B0EF953E49 -:04172B004782EC2FD6 -:04172C000304C503EA -:04172D000497C783D3 -:04172E0000A7846329 -:04172F00837FB0EF15 -:041730000793646552 -:04173100C503148454 -:04173200C783031452 -:04173300041304A7F0 -:041734008463148432 -:04173500B0EF00A76A -:04173600C703F8AF3E -:0417370047830324BD -:04173800DA3A04B4E1 -:0417390002E78163DF -:04173A00B0EF45695E -:04173B005752D20F20 -:04173C00FCF5751330 -:04173D0000471793B7 -:04173E0000A7E5B368 -:04173F000FF5F5931A -:04174000B0EF456958 -:04174100C783D52F56 -:041742004703033422 -:04174300C58304C492 -:0417440016630344E1 -:04174500470300F75F -:041746000A6304D45A -:04174700058E00B754 -:04174800F5938DDDAB -:0417490005130FF580 -:04174A00B0EF02807A -:04174B008793D2AFFF -:04174C00853E03547F -:04174D000593461D9D -:04174E0000EF04E4C0 -:04174F0067E540F01A -:0417500028D787937C -:04175100853EC5010B -:04175200DCCFB0EF49 -:0417530003C4C70301 -:04175400055447836E -:0417550000F718631E -:0417560003D4C703EE -:04175700056447835B -:0417580000F709632A -:04175900879367E526 -:04175A00C503258717 -:04175B00B0EF0407E0 -:04175C0067E9926F38 -:04175D00A437849396 -:04175E000004C703B9 -:04175F001007066306 -:04176000879367E51F -:04176100C583258790 -:04176200470303E74F -:041763001D63057489 -:0417640067E50CB772 -:0417650025878793BA -:0417660003F7C703BB -:04176700058447832B -:041768000EF7046311 -:041769008AAFB0EFA4 -:04176A00879367E515 -:04176B00C703258704 -:04176C0064DD03F73E -:04176D00A584849338 -:04176E00070607B7AC -:04176F001007879345 -:0417700085934641D6 -:0417710010C809840F -:04177200D0BEC0BA6B -:04177300FFAFA0EF35 -:0417740085934641D2 -:0417750018C80A8402 -:04177600FEEFA0EFF3 -:0417770018DC47062D -:04177800E319DA3E59 -:04177900DA3E10DC68 -:04177A00450145855B -:04177B00B9EFB0EF23 -:04177C00109C4481F8 -:04177D000097873317 -:04177E000007458398 -:04177F00B0EF450979 -:0417800057D2B8CFB5 -:041781000024971396 -:04178200973E451138 -:04178300559343181F -:04178400F5930107D1 -:04178500C0BA0FF5E2 -:04178600B72FB0EFDA -:0417870045154706B7 -:0417880000875593EE -:041789000FF5F593D0 -:04178A00B62FB0EFD7 -:04178B0045194706AF -:04178C000FF775934B -:04178D00B56FB0EF95 -:04178E004505458543 -:04178F00B4EFB0EF14 -:04179000B0EF45056C -:041791008915B00FF7 -:041792000485FD6568 -:0417930092E3471185 -:041794004581FAE4AD -:04179500B36FB0EF8F -:04179600059445036E -:04179700838FB0EF9D -:04179800C202A025C4 -:04179900DCAFF06F62 -:04179A00058615D1DA -:04179B000FF5F593BE -:04179C00DA2E4505F7 -:04179D00B16FB0EF89 -:04179E00450955D2D2 -:04179F00B0EFB0EF08 -:0417A0000004C783F7 -:0417A100F00797E3D3 -:0417A200859367E5DF -:0417A300061325877D -:0417A40005130460C5 -:0417A500A0EF01941C -:0417A6004712F30FE4 -:0417A7008B2367E940 -:0417A8004789A607C0 -:0417A9000CF70D63C9 -:0417AA000B634791F5 -:0417AB00478500F777 -:0417AC0004F71563C6 -:0417AD000124478349 -:0417AE00A0EFC3A93C -:0417AF00A835A59F15 -:0417B0000124478346 -:0417B1006769CBA5F4 -:0417B200A5870713ED -:0417B30045C5431CC9 -:0417B400E793455919 -:0417B500C31C00272A -:0417B60000021737DF -:0417B7000EF72023E6 -:0417B800B74FB0EF88 -:0417B90002B0051362 -:0417BA00B0EF4581C6 -:0417BB00C0EFB6AF16 -:0417BC0045038A5FF8 -:0417BD00A0EF059400 -:0417BE0057C2F9FF16 -:0417BF0000042437C7 -:0417C000EB0404131F -:0417C100A0EF943EC3 -:0417C2006EE3A40F1F -:0417C30057C2FE8586 -:0417C4000007C463F3 -:0417C500E5FFE06FED -:0417C600A0AFA0EF41 -:0417C700473257C28C -:0417C80000E7E463EF -:0417C900F77FE06F57 -:0417CA00574287BA41 -:0417CB00C63E8F99EE -:0417CC00E43FE06FA7 -:0417CD0000042623CB -:0417CE009E9FB0EF3B -:0417CF0001844783C7 -:0417D00046456565C0 -:0417D1000027971343 -:0417D200879367E1B1 -:0417D30097BAB60704 -:0417D4000513438C2A -:0417D50000EF2145BB -:0417D60065DD247039 -:0417D70046456565B9 -:0417D800F1458593BF -:0417D900228505134D -:0417DA00235000EFA9 -:0417DB00C0EF450511 -:0417DC00A0EFFB8FF0 -:0417DD00C62A9D4F2C -:0417DE00CC02CE0269 -:0417DF004783BFBDC0 -:0417E000DFA501245C -:0417E10080FFC0EFD6 -:0417E2004695BF8DDC -:0417E300BCF6EFE37E -:0417E400460144096D -:0417E500954FF06FBD -:0417E600F7C1011333 -:0417E700C13ED6A683 -:0417E80067E5DA8651 -:0417E900DCB6D8A2F0 -:0417EA00A483DEBA3C -:0417EB00DC63134761 -:0417EC00079300055A -:0417ED00C09C08B0E4 -:0417EE0050D6557DFF -:0417EF0054B6544652 -:0417F0000841011398 -:0417F1000793808258 -:0417F2001823208018 -:0417F300C22A00F115 -:0417F400842ECA2A4B -:0417F500C1994781CE -:0417F600FFF58793E1 -:0417F700CC3EC63EE0 -:0417F80057FD18B4CD -:0417F9008526004CF5 -:0417FA0000F11923BE -:0417FB002C59C0366F -:0417FC00556357FDDD -:0417FD00079300F559 -:0417FE00C09C08B0D3 -:0417FF004792DC5DD4 -:04180000000780233A -:04180100C5C5BF5D3D -:04180200FFC5A783F4 -:04180300C4221141A9 -:041804008413C6067D -:04180500D363FFC5E5 -:04180600943E000705 -:0418070000EFC02A04 -:0418080067690BB051 -:04180900A807278382 -:04180A00863A4502D3 -:04180B002223EB9118 -:04180C002023000491 -:04180D004422A88742 -:04180E00014140B2A2 -:04180F0009F0006F6D -:0418100000F47F63FE -:041811000733401445 -:04181200966300D405 -:04181300439800E70F -:04181400973643DCE4 -:04181500C05CC018DB -:04181600A88620235D -:04181700873EBFE960 -:04181800C39943DC51 -:04181900FEF47DE379 -:04181A00063343143A -:04181B001F6300D770 -:04181C0040100086F2 -:04181D00C31496B2A8 -:04181E0000D70633B6 -:04181F00FAC79DE384 -:0418200043DC4390D2 -:04182100C31496B2A4 -:04182200B775C35C77 -:0418230000C4756325 -:04182400C11C47B1EB -:041825004010B74D6B -:0418260000C406B341 -:0418270000D79663ED -:0418280043DC4394C6 -:04182900C01496B29F -:04182A00C340C05C9B -:04182B008082B76997 -:04182C00C22611417E -:04182D00003584936B -:04182E00C60698F161 -:04182F0004A1C4222A -:0418300087AA47310B -:0418310004E4FC636C -:04183200EB6344B16F -:04183300853E04B436 -:0418340000EFC03EC3 -:04183500676900706F -:04183600A807268356 -:0418370006134782CB -:041838008436A80743 -:041839006469E431C9 -:04183A00A8440413A7 -:04183B00EB01401865 -:04183C004581853E1F -:04183D0000EFC03EBA -:04183E00478279C0A4 -:04183F00853EC0081A -:04184000C03E85A67B -:0418410078E000EF5C -:041842004782577D05 -:0418430006E516633D -:04184400C3984731CD -:0418450000EF853EED -:04184600A0297C4019 -:04184700FA04D7E3E5 -:04184800C3984731C9 -:0418490040B2450163 -:04184A00449244225E -:04184B008082014155 -:04184C008F054018AC -:04184D0002074F63DC -:04184E00F66345AD4B -:04184F00C01800E5D8 -:04185000C004943A02 -:041851004058A02932 -:041852000286936314 -:04185300853EC218F4 -:0418540078A000EF89 -:0418550000B40513C3 -:0418560000440793B0 -:041857000733996159 -:0418580002E340F572 -:04185900943AFCF5CC -:04185A00C01C8F8996 -:04185B00C2D8BF6DC3 -:04185C0086A2BFF9A8 -:04185D00B7BD404093 -:04185E00003504133A -:04185F0002E3987197 -:0418600005B3FC854B -:04186100853E40A4DC -:041862002721C03E3C -:041863004782577DE4 -:04186400FAE519E3A5 -:041865001111BFB5E9 -:041866004590C232B5 -:04186700CC06C826BD -:0418680084AECA225E -:0418690008C6E46366 -:04186A00D50382AA76 -:04186B00771300C52A -:04186C00CB3D480523 -:04186D00470D48D00B -:04186E00073340807C -:04186F00498C02C7D7 -:0418700007B346096B -:04187100C03E40B481 -:0418720002C7443332 -:0418730000168613C2 -:041874007363963EC6 -:04187500843200C4F5 -:0418760040057513A1 -:04187700C535C63677 -:0418780085A28516AA -:04187900F0EFC416B2 -:04187A0042A2ECBFDB -:04187B00473146B2F9 -:04187C004602CD252E -:04187D00C636488C97 -:04187E00A0EFC42AE9 -:04187F00D603BCCF01 -:04188000432200C43B -:04188100761346B2E2 -:041882006613B7F63C -:04188300962308069A -:04188400478200C4D3 -:041885000064A82330 -:04188600933EC8C005 -:0418870040F40733EF -:041888000064A02335 -:04188900C498863643 -:04188A0000C6F3633E -:04188B0045928636C6 -:04188C00C03240889E -:04188D00BA8FA0EF7F -:04188E004602449832 -:04188F008F1145016F -:041890004098C49820 -:04189100C090963A33 -:041892008516A80D02 -:04189300C4168622CF -:0418940042A22571D6 -:04189500832A46B2AA -:04189600488CFD4D30 -:04189700C0168516DC -:04189800DA7FF0EF14 -:04189900473142820F -:04189A0000E2A023A5 -:04189B0000C4D703AB -:04189C006713557DFC -:04189D009623040783 -:04189E0040E200E440 -:04189F0044C24452A9 -:0418A00080820171D0 -:0418A10000C5D703A4 -:0418A200F7810113B6 -:0418A300DEA6C122DA -:0418A40001F10793B4 -:0418A500C22AC3068A -:0418A6007713C02EC6 -:0418A70084B20807F8 -:0418A800FF87F413AF -:0418A9004998CB1D72 -:0418AA000593EB0DAA -:0418AB00C636040039 -:0418AC00E01FF0EF5A -:0418AD0046B2478276 -:0418AE00CB88C38898 -:0418AF004712E919DA -:0418B000557D47B16A -:0418B100409AC31C7A -:0418B20054F6440A9A -:0418B3000881011394 -:0418B4004782808265 -:0418B5000400071311 -:0418B6000713CBD871 -:0418B7000CA302007C -:0418B800071300E42E -:0418B9002A230300DB -:0418BA000D230004F6 -:0418BB00CA3600E445 -:0418BC000613872662 -:0418BD00468302500C -:0418BE00C2990007C4 -:0418BF000AC696635C -:0418C000409706B394 -:0418C1000297016326 -:0418C2004512458204 -:0418C300C83A862673 -:0418C400F0EFC63645 -:0418C500567DE85F05 -:0418C6001AC50A63D2 -:0418C70046B248508D -:0418C80096364742C7 -:0418C9004683C8503A -:0418CA00816300072F -:0418CB0004931A0662 -:0418CC00577D00172D -:0418CD0000042023D0 -:0418CE0000042623C9 -:0418CF002423C058B6 -:0418D00001A300046C -:0418D1002C230404BC -:0418D200C5830404C2 -:0418D30067E10004C5 -:0418D400851346151D -:0418D50023A546C73A -:0418D6008713401420 -:0418D700E5390014DB -:0418D8000106F613FC -:0418D9000613C60923 -:0418DA0001A3020064 -:0418DB00F61304C438 -:0418DC00C6090086B3 -:0418DD0002B006133C -:0418DE0004C401A39A -:0418DF000004C583B9 -:0418E00002A0061349 -:0418E10002C58F634A -:0418E20087264454BD -:0418E30045254581D1 -:0418E400460342A9CC -:0418E50003130007E2 -:0418E60006130017CE -:0418E7007763FD0620 -:0418E800C98506C5E3 -:0418E900A035C4540E -:0418EA00B7B1070586 -:0418EB00861367E118 -:0418EC008D1146C74D -:0418ED001533460564 -:0418EE008EC900A6F9 -:0418EF0084BAC014E3 -:0418F0004652B7693C -:0418F1000046059315 -:0418F200CA2E4210A8 -:0418F300020649633D -:0418F4004603C45093 -:0418F500069300074F -:0418F600116302E098 -:0418F700460306D6C8 -:0418F800069300173C -:0418F9001B6302A0CB -:0418FA0046D202D6FA -:0418FB008613070940 -:0418FC0042940046CC -:0418FD00C163CA32C7 -:0418FE00C0540206CA -:0418FF000633A0818B -:04190000E69340C06A -:04190100C4500026A8 -:04190200B7E1C01475 -:04190300025686B34F -:041904004585871A74 -:04190500BFB596B222 -:04190600B7C556FD0E -:04190700222307058B -:041908004581000411 -:0419090045254681A9 -:04190A00460342A9A5 -:04190B0003130007BB -:04190C0006130017A7 -:04190D007363FD06FD -:04190E00F1E106C538 -:04190F000007458305 -:04191000460D64E13B -:0419110047448513AF -:041912002995C63A13 -:04191300CD01473289 -:04191400474484932D -:0419150006938D05A3 -:0419160096B3040080 -:04191700400800A6DE -:041918008D550705DD -:041919004583C0083A -:04191A0065610007FC -:04191B000513461951 -:04191C000493478564 -:04191D000C23001780 -:04191E00219100B45F -:04191F000713C135B4 -:04192000E70D0000CF -:0419210047524014D5 -:041922001006F69322 -:041923000711CE9149 -:041924004858CA3A1B -:04192500973E47A200 -:04192600BD99C85847 -:04192700025686B32B -:041928004585871A50 -:04192900B75196B26A -:04192A00B7DD0721FD -:04192B004512460219 -:04192C00085866D918 -:04192D00196686931E -:04192E00009785A2F7 -:04192F0000E70000CD -:04193000C42A0000C5 -:04193100577D47A2F5 -:04193200FCE795E356 -:04193300557D478215 -:0419340000C7D7030E -:041935000407771319 -:04193600DE0717E3CE -:04193700B3E5484884 -:04193800451246020C -:04193900085866D90B -:04193A001966869311 -:04193B00221585A24A -:04193C001101BFC90D -:04193D00CA2687AE81 -:04193E0084BA85B62C -:04193F004B984794E6 -:04194000CE06CC22E1 -:041941008332842A3F -:0419420000D7536314 -:0419430020238736A0 -:04194400C68300E373 -:04194500C68104371C -:04194600202307054E -:04194700439800E3DE -:041948000207771308 -:041949002703C71198 -:04194A000709000386 -:04194B0000E3202372 -:04194C008613439823 -:04194D008B1901975A -:04194E004398C335C2 -:04194F000437C68310 -:041950000207771300 -:0419510000D036B3D9 -:041952008613E751C0 -:0419530085220437AE -:04195400C23EC41AB1 -:041955009482C02E8A -:041956000763577D4F -:04195700479206E5C8 -:04195800458243225F -:04195900260343948A -:04195A004311000332 -:04195B0047C88A9956 -:04195C009763470145 -:04195D0007330066E6 -:04195E00536340C5CA -:04195F004701000735 -:041960004B904794CD -:0419610000D65463F5 -:0419620097368E9195 -:0419630086134301A3 -:04196400106301A764 -:0419650045010667CB -:041966000705A80DBC -:04196700250347D439 -:041968008E89000361 -:04196900F8D75BE36D -:04196A008522468507 -:04196B00C63EC81A92 -:04196C00C232C43A85 -:04196D009482C02E72 -:04196E00458256FD5B -:04196F0047224612B3 -:04197000434247B2F5 -:04197100FCD51BE3A3 -:0419720040F2557D6D -:0419730044D24462B4 -:041974008082610507 -:0419750000D78633DE -:041976000300051352 -:0419770004A601A31E -:041978000457C60347 -:0419790000168713BA -:04197A000689973E05 -:04197B0004C701A3F9 -:04197C004685BFA934 -:04197D00C61A8522DF -:04197E00C232C43A73 -:04197F009482C02E60 -:0419800003E357FD29 -:041981004332FCF5FC -:0419820046124722A0 -:041983000305458291 -:041984001101B7494D -:04198500CA26CC2280 -:04198600C02ACE069F -:041987008513842E12 -:04198800C5830435DA -:0419890084B601859A -:04198A000693C232CC -:04198B00EC63078082 -:04198C00069300B608 -:04198D00ED630620E0 -:04198E008C6300B6B0 -:04198F0006931C059A -:041990008D630580DE -:04199100031312D555 -:041992000123042405 -:04199300A80504B4EB -:04199400F9D5869368 -:041995000FF6F693C0 -:0419960066E3465569 -:041997006661FED6B1 -:041998000613068AA2 -:0419990096B24A8632 -:04199A00868242946B -:04199B0003134314DB -:04199C008613042486 -:04199D00C31000462D -:04199E000123429847 -:04199F00470504E410 -:0419A0004014AA75D0 -:0419A1000007230315 -:0419A2000806F6132A -:0419A3000043059365 -:0419A4002683C20DC7 -:0419A500C30C00036C -:0419A600D86366613B -:0419A700071300061C -:0419A80006B302D0B0 -:0419A90001A340D086 -:0419AA00061304E438 -:0419AB00472948067A -:0419AC00F613A0B9D5 -:0419AD002683040683 -:0419AE00C30C000363 -:0419AF0006C2DE711D -:0419B000BFD986C154 -:0419B100431440108B -:0419B200080672931E -:0419B3000046831354 -:0419B4000002866344 -:0419B5000067202384 -:0419B600A8014294AE -:0419B7000406761399 -:0419B8000067202381 -:0419B900D683DA7582 -:0419BA00666100065C -:0419BB0006F0071318 -:0419BC0048060613C0 -:0419BD000EE5876349 -:0419BE0001A3472911 -:0419BF00404C040490 -:0419C000C863C40C28 -:0419C10023030005F7 -:0419C2007313000497 -:0419C3002023FFB32B -:0419C400E299006440 -:0419C500CD99832A0B -:0419C600F5B3832AC8 -:0419C700137D02E6A4 -:0419C800C58395B28C -:0419C90000230005F2 -:0419CA0085B600B32B -:0419CB0002E6D6B3A7 -:0419CC00FEE5F5E35C -:0419CD001E6346A1AE -:0419CE00401800D7E6 -:0419CF00CB118B05A8 -:0419D000481840541F -:0419D10000D7476391 -:0419D20003000713F4 -:0419D300FEE30FA37D -:0419D4000533137D47 -:0419D500C808406599 -:0419D60045024692EE -:0419D7000810872647 -:0419D800C41A85A206 -:0419D900D8FFF0EF54 -:0419DA004322577DD0 -:0419DB000CE51C6398 -:0419DC0040F2557D03 -:0419DD0044D244624A -:0419DE00808261059D -:0419DF0002A3666198 -:0419E000061304B432 -:0419E100400C480668 -:0419E20000072303D4 -:0419E3000805F2936E -:0419E4000003268353 -:0419E5008D630311FA -:0419E60020230202B6 -:0419E700F71300678B -:0419E800C70100151E -:0419E9000205E5937B -:0419EA004741C00CA5 -:0419EB00400CF6B9FD -:0419EC00FDF5F5937D -:0419ED00B791C00CE2 -:0419EE00E693401428 -:0419EF00C014020618 -:0419F00007800693D3 -:0419F10002A3666186 -:0419F200061304D400 -:0419F300BF6549463D -:0419F4000405F29361 -:0419F5000067202344 -:0419F600FC0283E389 -:0419F70082C106C2E1 -:0419F8004721BF7D47 -:0419F9004010BF19C2 -:0419FA00484C4314FE -:0419FB0008067293D5 -:0419FC00004683130B -:0419FD0000028763FA -:0419FE00006720233B -:0419FF00C30C42983B -:041A00002023A809EE -:041A010076130067F1 -:041A020042980406FC -:041A03001023DA6D65 -:041A0400282300B7DC -:041A0500832A00042C -:041A06004314B7814D -:041A0700861345817C -:041A0800C3100046C1 -:041A09000006A3032D -:041A0A00851A4050A9 -:041A0B002841C41A90 -:041A0C00C5014322AB -:041A0D0040650533F8 -:041A0E004058C04834 -:041A0F0001A3C8184F -:041A1000BF190404F2 -:041A1100459248149E -:041A1200861A4502E9 -:041A1300577D9482E5 -:041A1400F2E500E314 -:041A15008B094018E1 -:041A16004742EB0D4B -:041A17005AE3444802 -:041A1800853AF0E536 -:041A19004592B73902 -:041A1A0046854502B6 -:041A1B00C432C63AD1 -:041A1C0056FD94825D -:041A1D00EED50EE311 -:041A1E0046224732E3 -:041A1F00445407051F -:041A20008E8D45C2A0 -:041A2100FED741E3C8 -:041A22004701BFC9F0 -:041A23000194061311 -:041A24001151B7FDA8 -:041A2500C026C222F3 -:041A260064E9842AC1 -:041A2700C406852E3E -:041A2800A604AE233F -:041A290057FD2A1526 -:041A2A0000F516634A -:041A2B00A7C4A78322 -:041A2C00C01CC39186 -:041A2D00441240A27D -:041A2E0001314482BC -:041A2F00F593808229 -:041A3000962A0FF5EE -:041A310000C5146375 -:041A32008082450168 -:041A330000054783E0 -:041A3400FEB78DE389 -:041A3500B7FD0505EF -:041A360080828082A8 -:041A370085B2E5810E -:041A3800FD0FF06F3F -:041A3900C806113199 -:041A3A00C426C622D6 -:041A3B00F0EFEA11CD -:041A3C004781F18F5E -:041A3D00443240C22D -:041A3E00853E44A2FB -:041A3F00808201514F -:041A4000C032842EFE -:041A4100203D84AA16 -:041A420087A246022F -:041A4300FEC574E385 -:041A4400852685B2BC -:041A4500F0EFC232CA -:041A460087AAF9AFC3 -:041A47004612DD6105 -:041A4800C02A85A289 -:041A4900CA3F90EF11 -:041A4A00852685A2C6 -:041A4B00EDAFF0EF1C -:041A4C00B7C947824D -:041A4D00FFC5A783A7 -:041A4E00FFC7851336 -:041A4F000007D56354 -:041A5000419C95AA76 -:041A51008082953EBC -:041A520014634701D1 -:041A5300450100E663 -:041A540007B38082D2 -:041A5500070500E59C -:041A560000E586B36E -:041A57000007C7833A -:041A5800FFF6C6834C -:041A5900FED783E34E -:041A5A0040D78533B9 -:041A5B00C60D8082B2 -:041A5C004701167DAB -:041A5D0000E507B3E6 -:041A5E0000E586B366 -:041A5F000007C78332 -:041A60000006C68333 -:041A610000D79463B3 -:041A620000C7156341 -:041A630040D78533B0 -:041A64000705808270 -:041A6500BFDDF3E509 -:041A66008082450134 -:041A6700CA0987AA77 -:041A68000005C703AB -:041A69000785058563 -:041A6A00FEE78FA361 -:041A6B00FB65167D84 -:041A6C009363963EAC -:041A6D00808200C7AC -:041A6E008FA30785B6 -:041A6F00BFD5FE07DA -:041A700087AA95AA02 -:041A710000B78563D2 -:041A72000007C7039F -:041A73008533E701CF -:041A7400808240A785 -:041A7500B7FD07852D -:041A7600A78363E9F6 -:041A77001151A883DE -:041A7800C406C222BC -:041A79008393842AA5 -:041A7A00E79DA883B9 -:041A7B00458145015B -:041A7C004681460158 -:041A7D000293470188 -:041A7E0000730D6084 -:041A7F0057FD00000F -:041A800000F51A63F0 -:041A810047B128350C -:041A8200557DC11CB1 -:041A8300441240A227 -:041A8400808201312A -:041A850000A3A023F7 -:041A86000003A303B3 -:041A8700460145814E -:041A880000640533BE -:041A8900470146814A -:041A8A0002934781FB -:041A8B0000730D6077 -:041A8C00941A0000A8 -:041A8D00FC8518E3D9 -:041A8E0000A3A023EE -:041A8F00B7F9851A04 -:041A9000A50367E55E -:041A910080821347F5 +:040C070002C70733E6 +:040C08009EC78613EA +:040C090001A65603E7 +:040C0A008613973284 +:040C0B001D239EC740 +:040C0C00879300E6E4 +:040C0D0007139EC764 +:040C0E009723438065 +:040C0F0047A200E711 +:040C1000C7034629A7 +:040C1100063302277D +:040C1200071302C7FB +:040C13008F1143807A +:040C140000E41523C0 +:040C1500871367E9F1 +:040C160047039EC72B +:040C17008B11023704 +:040C18004741CF6120 +:040C19009EC78613D9 +:040C1A0002E600A34B +:040C1B00879347254F +:040C1C0081239EC7CB +:040C1D00470502E79E +:040C1E00082367E957 +:040C1F00871300E453 +:040C200047039EC721 +:040C2100162300A7EF +:040C2200EB010004DE +:040C2300879347125A +:040C240047039EC71D +:040C2500852302879A +:040C2600479200E70A +:040C27000101048340 +:040C280046036769AF +:040C290088A3002478 +:040C2A0047C2009726 +:040C2B000016059317 +:040C2C000C2345153B +:040C2D0047A2A6F73D +:040C2E0042894301B3 +:040C2F000147C703AF +:040C300002B70733CD +:040C310002A70733DC +:040C32000640051360 +:040C33000327071379 +:040C340002A7473399 +:040C35000127D503BB +:040C360002B505B34B +:040C3700017D85377F +:040C380083F5051328 +:040C39000583972E6A +:040C3A00A89D01343C +:040C3B0040004737F7 +:040C3C000BE38F65D2 +:040C3D004792DE07F5 +:040C3E000277C7036F +:040C3F000017371350 +:040C4000002307097D +:040C4100073700E48D +:040C42008A630020A1 +:040C4300073700E48B +:040C4400E1E30400E4 +:040C45004705DEE49D +:040C460000E408A31B +:040C470047C2BBE104 +:040C480002C00713CC +:040C490002E7873304 +:040C4A00973E47D2B8 +:040C4B000247468393 +:040C4C0091E34709E0 +:040C4D00B7C5DCE665 +:040C4E00471167E9FA +:040C4F009EC78613A3 +:040C500002E600A315 +:040C5100B725470D6F +:040C52000015F39303 +:040C530002039063A5 +:040C54000255C5B3CD +:040C55000605060684 +:040C56007613070604 +:040C570043050FF64C +:040C580007B347E2B5 +:040C5900C83E02F798 +:040C5A00FEF570E350 +:040C5B000003066329 +:040C5C0000B409A334 +:040C5D0000C40123AB +:040C5E004683E69152 +:040C5F00C299002412 +:040C600000D4092390 +:040C61000134060351 +:040C620004C0566311 +:040C630006B347C2CB +:040C6400460302F64B +:040C6500479201149D +:040C660006B30605C6 +:040C6700CBD402D612 +:040C680002C006932D +:040C690002D487B377 +:040C6A0097B646D221 +:040C6B000237C68303 +:040C6C00879367E91A +:040C6D00F613A5F7DE +:040C6E00C63E004638 +:040C6F003C060F63CD +:040C7000C60366E968 +:040C71004689A5E625 +:040C720006C6F5635A +:040C7300802346A1F3 +:040C7400A89500D768 +:040C750047C2C61993 +:040C76008E91468590 +:040C770002D7D6B317 +:040C780067E9BF4D1C +:040C79008713C63ADD +:040C7A0055039EC7B9 +:040C7B005683016734 +:040C7C008793012732 +:040C7D00C6039EC745 +:040C7E0086B301E751 +:040C7F00550302A671 +:040C8000B5B3010700 +:040C8100853302A60F +:040C8200D0EF02A607 +:040C830005F6C20FA1 +:040C84006689810DEF +:040C850086938D4D78 +:040C860096AA86A6FE +:040C87000513650DDF +:040C8800D6B30D458D +:040C8900061302A6A6 +:040C8A0047323E802F +:040C8B0002C686B364 +:040C8C0047B2B78D27 +:040C8D0080234691E9 +:040C8E0047A200D7A2 +:040C8F0001C7C68350 +:040C90008E23068623 +:040C9100479200D7AF +:040C920015FD65C126 +:040C930047B247D04D +:040C940000B774B37E +:040C9500C683555964 +:040C960017930007A9 +:040C970083C101070D +:040C980077B3C43E2C +:040C990045F900B663 +:040C9A0002B485B368 +:040C9B00C5B3CC3ED3 +:040C9C00578202F584 +:040C9D00C5B3059541 +:040C9E00F51302A5A3 +:040C9F0047920FF772 +:040CA00002E507332F +:040CA10002C75733FC +:040CA200C70395BA35 +:040CA300F59302972C +:040CA40007130FF52E +:040CA5000762F807E3 +:040CA60095BA876113 +:040CA700471105C22A +:040CA8009E6381C105 +:040CA90085932EE61B +:040CAA0005C2032557 +:040CAB00452185C199 +:040CAC000200061329 +:040CAD0000C5033348 +:040CAE002E05C963E3 +:040CAF0000C58733C2 +:040CB0000513972A67 +:040CB10056630FF087 +:040CB200059300E5C1 +:040CB30085B30FF006 +:040CB400F71340658D +:040CB50085BA0FF5F8 +:040CB600D0364515DA +:040CB700CE3AD41A43 +:040CB800D0EFD23275 +:040CB9005612F73F99 +:040CBA0085B24519A1 +:040CBB00F69FD0EFE1 +:040CBC000800059394 +:040CBD0002600513B9 +:040CBE00F5DFD0EF9F +:040CBF005322477203 +:040CC0000310051305 +:040CC100006705B310 +:040CC2000FF5F593A2 +:040CC300F49FD0EFDB +:040CC40047215682EC +:040CC500836345B54B +:040CC60045A500E65A +:040CC70002200513EF +:040CC800F35FD0EF17 +:040CC900D0EF451112 +:040CCA007593EE5FD1 +:040CCB0045110F853B +:040CCC00F25FD0EF14 +:040CCD00450547A2F0 +:040CCE000047D59373 +:040CCF000FF5F59395 +:040CD000F15FD0EF11 +:040CD10000449593B3 +:040CD2000F05F59382 +:040CD300D0EF450910 +:040CD40047E2F07F84 +:040CD500019C073740 +:040CD600CC0707132D +:040CD70002F7573396 +:040CD800022556B7E4 +:040CD9000FF68693F9 +:040CDA000733458116 +:040CDB00F063029729 +:040CDC0026B702E64F +:040CDD008693042CCA +:040CDE004585D7F67B +:040CDF0000E6F963CF +:040CE000080BF5B751 +:040CE100FBF5859307 +:040CE20000E5B5B3C1 +:040CE30067610589B7 +:040CE400500707139B +:040CE5004703972EFC +:040CE600069300076A +:040CE70047A202809E +:040CE80002D70733F5 +:040CE9000017D69387 +:040CEA0044B3973642 +:040CEB00469D029789 +:040CEC000FF4F4937A +:040CED000FF4F713F6 +:040CEE000096F36316 +:040CEF00070E471D88 +:040CF0008DD9059AFB +:040CF1000F85F593E3 +:040CF200D0EF450DED +:040CF3004792E8BF7D +:040CF4000497C50399 +:040CF50091EFE0EFAC +:040CF60047054792D5 +:040CF7000487C503A6 +:040CF80000A7786376 +:040CF900C50347B236 +:040CFA001571000769 +:040CFB000015351398 +:040CFC000533476114 +:040CFD00676102E544 +:040CFE00B8C7071359 +:040CFF00D0EF953A63 +:040D00004792F6FF21 +:040D010002C0069393 +:040D0200870345819D +:040D030047D20117BB +:040D040002D70733D8 +:040D05004503973ECD +:040D0600D0EF020721 +:040D07000703E7DF18 +:040D080086370134F5 +:040D090067E9000294 +:040D0A000027269305 +:040D0B008493421873 +:040D0C009B79A587A3 +:040D0D00C2188F5524 +:040D0E0001340503A4 +:040D0F005C634705D5 +:040D1000470916A7D2 +:040D110016E515636B +:040D1200F73747C2A6 +:040D1300071302FAC6 +:040D14007E630807EB +:040D1500451914F771 +:040D1600D1EFE0EF4A +:040D17007713409876 +:040D1800C098DFF7A9 +:040D190067E94094B2 +:040D1A000002173785 +:040D1B000ED72023AC +:040D1C009EC78513D6 +:040D1D009EC78713D3 +:040D1E0000E7558312 +:040D1F0001E746039F +:040D20000225450360 +:040D210000C75703AD +:040D22009EC787934E +:040D23002BC0069348 +:040D240002A70733E8 +:040D25001900051399 +:040D260002A70733E6 +:040D27000217C503E7 +:040D280002D5D6B367 +:040D290000C595B3B9 +:040D2A0002A585B3E6 +:040D2B004733450500 +:040D2C00059302B772 +:040D2D000713064062 +:040D2E00473303271D +:040D2F0055F902B7B9 +:040D30000FF777132F +:040D31000EE56D63FB +:040D320007339636B7 +:040D3300536300B650 +:040D3400470100076C +:040D3500000246373B +:040D36003806061362 +:040D37007579422C5C +:040D38007FF505132B +:040D39008DE98B0DA8 +:040D3A008F4D072EA4 +:040D3B004238C23840 +:040D3C0015FD75E943 +:040D3D008F6D8A8D9F +:040D3E008ED906B68E +:040D3F00D0EFC234FB +:040D40004792C14FC6 +:040D41004483470997 +:040D4200C68301144F +:040D43004781059748 +:040D440000E68763DB +:040D450001244783BB +:040D4600B7938F854B +:040D470045810017CB +:040D4800C43E453D23 +:040D4900861FD0EF42 +:040D4A0005900513F8 +:040D4B0080FFD0EF66 +:040D4C00759347A2B2 +:040D4D00E79902F52B +:040D4E008DC5049AB1 +:040D4F000FF5F59314 +:040D50000105E59321 +:040D510005900513F1 +:040D520083DFD0EF7C +:040D530006B7479206 +:040D5400869305116C +:040D55004BD0F40685 +:040D5600EB634705FF +:040D570076B700C6A5 +:040D58008693047802 +:040D590047018BF6CD +:040D5A0000C6F46378 +:040D5B000137C70392 +:040D5C00C683479271 +:040D5D00C58305C77E +:040D5E00E689059786 +:040D5F000137C6830F +:040D600004E68463BE +:040D6100852E479202 +:040D620000E789A37A +:040D630040DA444AE4 +:040D6400011344BA79 +:040D6500E06F0981B1 +:040D660047B2856F9C +:040D67000599B90D24 +:040D680085C105C27A +:040D690046414509B1 +:040D6A004581B331DB +:040D6B00157DB31D22 +:040D6C000FF57513F7 +:040D6D004098B555A0 +:040D6E0020076713E0 +:040D6F000585B55DE4 +:040D7000830505E210 +:040D7100BDFD85E15E +:040D72008C6347093E +:040D730067E9D6E571 +:040D74009EC78793FC +:040D750000A7C5030B +:040D76004589C19159 +:040D7700468367E563 +:040D78008793012438 +:040D7900444A14874D +:040D7A0044BA40DA5D +:040D7B0005A7C603FF +:040D7C0009810113D5 +:040D7D00AA5FD06F2A +:040D7E00A70367E977 +:040D7F0067E5A487F9 +:040D80001DC7879371 +:040D8100464546ADF0 +:040D82000167D583AD +:040D830004E59F6381 +:040D84000FF6F693DD +:040D85000A63E11903 +:040D86001121280708 +:040D870064E9C6262F +:040D8800A424C503D7 +:040D89006465C822B3 +:040D8A00F7040793D0 +:040D8B000035161306 +:040D8C00CA0697B24A +:040D8D00C783438C49 +:040D8E004751004782 +:040D8F000045A30375 +:040D900002E78733BC +:040D9100A42484937F +:040D9200F70404134B +:040D93004339971A2F +:040D940002D36363C0 +:040D95006363433120 +:040D960047AD04D38E +:040D97000CF6806373 +:040D98000866866300 +:040D99004701478146 +:040D9A000685A0F139 +:040D9B009DE3078944 +:040D9C004681F8C6CE +:040D9D004783B7458C +:040D9E00460D0047B7 +:040D9F0016C79B6375 +:040DA00045BD4750B6 +:040DA100478342182A +:040DA2009C63000747 +:040DA300C7811EB630 +:040DA400F79317FDAD +:040DA50000230FF721 +:040DA600B7E900F7B2 +:040DA70000474503B9 +:040DA8001575470571 +:040DA9000FF57513BA +:040DAA0000A76E63CD +:040DAB0000024537C6 +:040DAC003C8523035C +:040DAD0000F7173301 +:040DAE00FFF74713F1 +:040DAF00006777332F +:040DB0003CE52423D7 +:040DB1009C634735C3 +:040DB200E39900E6DB +:040DB3000005C783ED +:040DB400F79317FD9D +:040DB50096220FF77C +:040DB60000F602231E +:040DB700C703B76156 +:040DB80007850005A6 +:040DB900E7B39622E4 +:040DBA00B7FD02E798 +:040DBB00157DC519C4 +:040DBC0000A48023EC +:040DBD00D7EFE0EF9D +:040DBE0067E9B7B575 +:040DBF00A40780A362 +:040DC00000024737AF +:040DC1003C07278341 +:040DC2009BED45015F +:040DC3003CF72023B6 +:040DC40040D2444293 +:040DC500016144B2D2 +:040DC60080EFF06F5B +:040DC7000047478317 +:040DC8008163468D70 +:040DC90046910AD76E +:040DCA00F2D79EE3DB +:040DCB009782471CA8 +:040DCC00470587AAA6 +:040DCD000004C683D5 +:040DCE00656545D141 +:040DCF0096A2068E54 +:040DD000C683429004 +:040DD10005130046C0 +:040DD20042501B452B +:040DD30002B686B32B +:040DD400C23AC43E1D +:040DD500428C96B204 +:040DD600C036464598 +:040DD70023E030EFF6 +:040DD80047A24712D5 +:040DD90085BA46820F +:040DDA008536863E96 +:040DDB00C8AFE0EFCE +:040DDC000004C783C5 +:040DDD0044B765E5CD +:040DDE00078E00027A +:040DDF004503943EF6 +:040DE0004641004444 +:040DE1001C85859355 +:040DE20005410516AC +:040DE30030EF952632 +:040DE400460320C0E2 +:040DE50047850044FA +:040DE60000C797B3F8 +:040DE7003CF4A6230F +:040DE800EB094712BA +:040DE90046114682E7 +:040DEA000046C703F5 +:040DEB000EC71563B7 +:040DEC00C76D46D8B1 +:040DED000002473782 +:040DEE003C87268395 +:040DEF0024238FD555 +:040DF000A8E93CF73B +:040DF100C7814B1C4F +:040DF2009782C03AEA +:040DF300C783470269 +:040DF400471400049C +:040DF50097130785C4 +:040DF6000633003789 +:040DF700420C00E4C6 +:040DF80000D584633B +:040DF90000060223CB +:040DFA00C314972265 +:040DFB0000F480235D +:040DFC006963B7115F +:040DFD00460902F6AB +:040DFE0004C788633B +:040DFF00433D470C1D +:040E000000C74503DF +:040E010000D74603CD +:040E02000005C7839D +:040E030000E74703BA +:040E0400026691638E +:040E050000F67C6314 +:040E0600F71317FDCA +:040E070080230FF73E +:040E0800B58900E5C3 +:040E09008DE346111E +:040E0A00BD2DE4C74F +:040E0B008732F96DC4 +:040E0C00F863B7FDD3 +:040E0D00078500E76E +:040E0E000FF7F613D1 +:040E0F0000C5802377 +:040E1000FD6DB515AA +:040E1100BFDD863A81 +:040E1200453D470C07 +:040E130000C75603BB +:040E14000005D7837B +:040E150000E7570398 +:040E160000A69E6331 +:040E170000F6776307 +:040E1800971317FD18 +:040E19008341010709 +:040E1A00C211A01948 +:040E1B009023873267 +:040E1C00BBCD00E565 +:040E1D0000E7F46393 +:040E1E00B7E50785A8 +:040E1F004701FA6D20 +:040E20004683B7FD51 +:040E2100F56300462F +:040E2200078500D769 +:040E23000FF7F6933C +:040E240000D70023D0 +:040E2500460DBBC1FA +:040E2600F0C70CE322 +:040E270040D244422F +:040E2800450144B28A +:040E2900E06F016114 +:040E2A008082DD5F86 +:040E2B0085AA862EE0 +:040E2C000002153774 +:040E2D0002050513A2 +:040E2E00C65FC06F6C +:040E2F0017931111F3 +:040E3000CA2201854C +:040E3100CC06C826FD +:040E3200842A87E1A6 +:040E3300DD6384AE49 +:040E340045810007ED +:040E3500077005132A +:040E360001A337D508 +:040E3700478500A14A +:040E38000AA7E363BF +:040E390007F4741333 +:040E3A00812FD0EF45 +:040E3B004501459197 +:040E3C0067693F752E +:040E3D00A58707136B +:040E3E00F793431CC7 +:040E3F00C31CF7F7E2 +:040E4000000217375E +:040E41000EF7202365 +:040E42000184D793BD +:040E430000F102A315 +:040E44000104D7933B +:040E450000F1032392 +:040E46000084D793BA +:040E470000F103A310 +:040E48000081022300 +:040E490000910423ED +:040E4A000400071386 +:040E4B0009500793B0 +:040E4C0000E4096352 +:040E4D000480071303 +:040E4E00146347855D +:040E4F00079300E421 +:040E500015370870DA +:040E5100461900023C +:040E52000513004C38 +:040E530004A30205ED +:040E5400C0EF00F1FA +:040E55004515BF7F01 +:040E5600E22FE0EFB8 +:040E57004585842A1F +:040E5800003105134D +:040E5900F49FF0EF23 +:040E5A0000310783D9 +:040E5B000007D76352 +:040E5C00E34FE0EF91 +:040E5D0004E34785DE +:040E5E00E401FEF5B8 +:040E5F00A82367E974 +:040E60004503A4079B +:040E610040E200313A +:040E620044C24452F0 +:040E63008082017117 +:040E6400879367E524 +:040E6500479823C7C0 +:040E6600C62211315E +:040E6700C426C806CF +:040E68006B63440D67 +:040E6900C7830CB778 +:040E6A0084AA00470F +:040E6B00E3918BA1E3 +:040E6C00051305A6BF +:040E6D00F0EF05801D +:040E6E00842AF07F63 +:040E6F001537ED4DF9 +:040E700057F900022C +:040E7100020505135E +:040E72000593460599 +:040E730003A3007164 +:040E7400C0EF00F1DA +:040E75001737B77FF5 +:040E760047810002AE +:040E77000207051356 +:040E780000F486B349 +:040E79000006C68326 +:040E7A000593460591 +:040E7B00C03E007104 +:040E7C0000D103A3FB +:040E7D00B55FC0EFAE +:040E7E001737478259 +:040E7F0006930002D4 +:040E800007852000C2 +:040E8100020705134C +:040E8200FCD79CE31A +:040E8300460554FDCF +:040E84000071059361 +:040E8500009103A332 +:040E8600B31FC0EFE7 +:040E87000002173717 +:040E88000207051345 +:040E89000593460582 +:040E8A0003A300714D +:040E8B00C0EF009123 +:040E8C004585B1BF28 +:040E8D0000710513D8 +:040E8E00E75FF0EF3B +:040E8F000071478324 +:040E90008BFD47157A +:040E910004E790637F +:040E92000FA0051395 +:040E9300D2EFE0EFCB +:040E94000513458578 +:040E9500F0EF007109 +:040E96004483E57F2D +:040E9700E491007171 +:040E9800D44FE0EF64 +:040E990005E34785A1 +:040E9A0067E9FEF511 +:040E9B00A407A823DD +:040E9C004411E48198 +:040E9D004409A01153 +:040E9E00852240C2A7 +:040E9F0044A24432F3 +:040EA00080820151FA +:040EA100BFCD441568 +:040EA200879367E5E6 +:040EA300479823C782 +:040EA400C622113120 +:040EA500C426C80691 +:040EA600440DC02A0D +:040EA70000B76F63BE +:040EA8000047C783B5 +:040EA9008BA184B2E3 +:040EAA0005A6E39125 +:040EAB000510051316 +:040EAC00E0DFF0EFA4 +:040EAD00C901842AC9 +:040EAE0040C24409F1 +:040EAF004432852222 +:040EB000015144A206 +:040EB1000513808223 +:040EB200E0EF064027 +:040EB3004585CB0F97 +:040EB40000710513B1 +:040EB500DD9FF0EFDE +:040EB600007147037D +:040EB7000FF007939E +:040EB80000F71763C5 +:040EB900CC0FE0EF8B +:040EBA0002E3478583 +:040EBB004703FEF5F6 +:040EBC0067E9007171 +:040EBD00A407A823BB +:040EBE000FE00793A7 +:040EBF00FAF71EE33D +:040EC00085A64502BC +:040EC100DA9FF0EFD5 +:040EC2002020059354 +:040EC30005C28D8552 +:040EC400450181C1A2 +:040EC500D99FF0EFD2 +:040EC6007139B74D7A +:040EC700C42A65DDF7 +:040EC800859346299F +:040EC9000848C1C54F +:040ECA00DC22DE0642 +:040ECB00C0EFDA2674 +:040ECC00478DA99F06 +:040ECD0027B7C03E45 +:040ECE0014370006CF +:040ECF0004B7000262 +:040ED0008613019CE8 +:040ED1008593A80756 +:040ED2000513CC0434 +:040ED300C0EF020466 +:040ED400C0EF94DFF8 +:040ED50027B7DA9FC2 +:040ED6008613000679 +:040ED7008593A80750 +:040ED8000513CC042E +:040ED900C0EF020460 +:040EDA000513935F0A +:040EDB00462902049E +:040EDC00C0EF084C0F +:040EDD0064E59D7FAC +:040EDE000513458132 +:040EDF00AE2304003A +:040EE000F0EF220409 +:040EE1004785D3BFAF +:040EE2008493842A47 +:040EE300176323C4AA +:040EE40005931CF561 +:040EE50005131AA037 +:040EE600F0EF0480A5 +:040EE700C22AD23F0A +:040EE80014851D63ED +:040EE900080845911F +:040EEA00D05FF0EFF6 +:040EEB000121470397 +:040EEC0015634792B1 +:040EED0047031AF7A6 +:040EEE000793013134 +:040EEF001F630AA0D3 +:040EF000051318F7D7 +:040EF100E0EF3E8070 +:040EF200E0EFBB4F23 +:040EF3004785BDAFC3 +:040EF40000F5196389 +:040EF500400005B7FD +:040EF6000E90051342 +:040EF700CE1FF0EF2B +:040EF800E0EFF56DC5 +:040EF9004785BC2F3E +:040EFA000EF5056389 +:040EFB0067E944015E +:040EFC00A407A8237C +:040EFD0017FD478214 +:040EFE000FF7F79360 +:040EFF008163C03E0D +:040F0000D8151607E3 +:040F0100458147855A +:040F0200049005133F +:040F03008223C09CE9 +:040F0400F0EF008486 +:040F05004781CABF97 +:040F06004515E55157 +:040F0700B5EFE0EF73 +:040F08004585842A6D +:040F090000F10513DB +:040F0A00C85FF0EFDD +:040F0B0000F14703A7 +:040F0C000FF0079348 +:040F0D0000F717636F +:040F0E00B6CFE0EF8B +:040F0F0002E347852D +:040F1000E401FEF505 +:040F1100A82367E9C1 +:040F12004683A40767 +:040F1300071300F1CF +:040F140047810FE022 +:040F150004E69863F3 +:040F1600100845C9B1 +:040F1700C51FF0EF13 +:040F18000044C703C7 +:040F190000277693A4 +:040F1A0010068663D4 +:040F1B000261470325 +:040F1C000271478394 +:040F1D0002A14603E4 +:040F1E0007228B0D0E +:040F1F0047838F5D18 +:040F2000070A028139 +:040F210002514683B0 +:040F22008FD9839947 +:040F230002914703ED +:040F24008ABD821DE3 +:040F25008B19070617 +:040F260096BA8F5197 +:040F270016E507853F +:040F280000D797B3A4 +:040F2900002936372E +:040F2A00019C05B76A +:040F2B000002153774 +:040F2C00061317FD94 +:040F2D0085932E0674 +:040F2E000513CC05D6 +:040F2F00C49C020557 +:040F3000FDAFC0EF62 +:040F3100061345223C +:040F320045812000D5 +:040F3300DBDFF0EF21 +:040F34004581A859F2 +:040F350007A00513F9 +:040F3600BE5FF0EFBB +:040F3700F00518E3C6 +:040F380008084591CF +:040F3900BC9FF0EF7A +:040F3A0001014783E7 +:040F3B00F7934431B3 +:040F3C009EE3040725 +:040F3D004411EE0766 +:040F3E004581BDDD4F +:040F3F000E900513F8 +:040F4000BBDFF0EF34 +:040F410004100793FE +:040F420000A465633F +:040F430007934409C3 +:040F440005130E90F3 +:040F4500C23E0FA0F9 +:040F4600A62FE0EF03 +:040F4700A88FE0EFA0 +:040F4800176347855F +:040F4900451200F558 +:040F4A00F0EF4581FE +:040F4B00F57DB93F38 +:040F4C00A74FE0EFDC +:040F4D004401E11169 +:040F4E00458167E989 +:040F4F0007B00513CF +:040F5000A407A82327 +:040F5100B79FF0EF67 +:040F52004401C11184 +:040F530020000593E2 +:040F5400050005137C +:040F5500B69FF0EF64 +:040F5600E8050EE3B9 +:040F5700BD5944013B +:040F580011E3450557 +:040F590050F2EA0464 +:040F5A0054D25462B7 +:040F5B0040A005337A +:040F5C00808261210D +:040F5D0047818B112C +:040F5E00F20701E3B2 +:040F5F000281478341 +:040F600002914703B0 +:040F61008FD907A27B +:040F6200BF0147453F +:040F6300DD41011358 +:040F640020100793BF +:040F65001008CE3E64 +:040F6600301F17B76A +:040F67002291202390 +:040F6800221124230B +:040F6900228122239C +:040F6A00F0EFCC3E9A +:040F6B0084AAD71F5E +:040F6C00B4BFC0EF5F +:040F6D0004B3C4B154 +:040F6E00C0EF409000 +:040F6F004705B41F5F +:040F700036E48C6374 +:040F71003697456307 +:040F72008B6357290D +:040F73008D6336E470 +:040F740065DD3604FD +:040F7500C345859358 +:040F7600464567E5A0 +:040F77001C8785133B +:040F78003BB020EF7B +:040F7900C4814505E5 +:040F7A0005136539BD +:040F7B002083EAD510 +:040F7C0024032281A7 +:040F7D002483224166 +:040F7E000113220138 +:040F7F00808222C189 +:040F8000051365618F +:040F8100C0EF6A054E +:040F82004481AF8F68 +:040F8300141867DDFA +:040F8400009706B319 +:040F8500DF86C583BB +:040F8600A5878613A2 +:040F8700460995B2D0 +:040F880006C48063B8 +:040F89008263460D2C +:040F8A00443706C41E +:040F8B000413000F3C +:040F8C0067E52404ED +:040F8D001C87851325 +:040F8E00C48346458D +:040F8F0020EFDFC6AA +:040F9000450935D00A +:040F9100837FE0EF8B +:040F92008522084C60 +:040F9300A43FD0EFB8 +:040F9400DD5567DDE3 +:040F950006936465F6 +:040F960047521DC4DD +:040F97000006D60377 +:040F98001DC404135D +:040F990002E60E63FB +:040F9A000026D60354 +:040F9B0002C7146312 +:040F9C00A8BFC0EF3B +:040F9D000690049323 +:040F9E00859365DDF5 +:040F9F00BFA9C2859F +:040FA000001E843774 +:040FA10048040413E9 +:040FA2009437B76D5C +:040FA300041300042F +:040FA400B7453E040B +:040FA5000186D68368 +:040FA600FCD70CE385 +:040FA700BF85448D31 +:040FA8000513656167 +:040FA900C0EF6A0526 +:040FAA0065DDA58FCD +:040FAB0046456565ED +:040FAC00C6C585939E +:040FAD001B450513C8 +:040FAE002E3020EFD2 +:040FAF0065DD67E5B0 +:040FB000C7858593D9 +:040FB1008513464519 +:040FB20020EF1C8789 +:040FB30045092D10AF +:040FB400FAAFE0EFC1 +:040FB500004C553760 +:040FB6000513084CCB +:040FB700D0EFB405BE +:040FB800D5599B1F4D +:040FB900570347D2C1 +:040FBA0000630004CC +:040FBB0057030CF7D5 +:040FBC009FE300248B +:040FBD00C002F6E791 +:040FBE0065DD67E5A1 +:040FBF001C878513F3 +:040FC000859346458A +:040FC10020EFC885D0 +:040FC2004509295064 +:040FC300F6EFE0EF76 +:040FC40000245703AB +:040FC5001E6347D28E +:040FC600061308F70F +:040FC7004581200040 +:040FC800C0EF10085E +:040FC9004582EE0F60 +:040FCA00F0EF10082C +:040FCB0084AAA67FCF +:040FCC00E80515E33C +:040FCD00043747821C +:040FCE000785001083 +:040FCF006785C03E34 +:040FD0000793C23E83 +:040FD10086A22000D4 +:040FD2000087F4633D +:040FD3002000069361 +:040FD4001010479220 +:040FD5009593C436F6 +:040FD60067E9008740 +:040FD700A3C7A50304 +:040FD800C42FC0EF73 +:040FD900101846A204 +:040FDA00063384AAAC +:040FDB00166300D7C2 +:040FDC0094E318E69C +:040FDD000713E4040E +:040FDE006D631FF030 +:040FDF00101C00875B +:040FE00020000613D4 +:040FE10085338E15B1 +:040FE200458100D76E +:040FE300C0EFC43661 +:040FE40046A2E74FEB +:040FE5001008458229 +:040FE600F0EFC4362E +:040FE70046A29F7F00 +:040FE80016050B637C +:040FE90040A004B36D +:040FEA000793BD09A3 +:040FEB00C03E1400F0 +:040FEC000613B7A190 +:040FED00458120001A +:040FEE00C0EF100838 +:040FEF004401E48F46 +:040FF000100885A2BE +:040FF1009CDFF0EFA2 +:040FF20018E384AAD2 +:040FF3000405DE050E +:040FF400140007934B +:040FF500FEF416E30D +:040FF6000793645D9C +:040FF7008593A584B5 +:040FF800466D040737 +:040FF900C0EF10082D +:040FFA000793DE0F6C +:040FFB008593A584B1 +:040FFC00466905C776 +:040FFD00C0EF00C879 +:040FFE0077EDDD0F9F +:040FFF00A5578793D8 +:04100000100845810E +:0410010020F11F2398 +:04100200989FF0EFD4 +:0410030016E384AAC2 +:041004004401DA05C4 +:041005000613468107 +:041006004581200000 +:04100700C2361008D5 +:04100800DE2FC0EF28 +:0410090006134692F2 +:04100A00059320101A +:04100B008E1510002E +:04100C000036879390 +:04100D0007C20642CE +:04100E00B513824153 +:04100F0083C1001683 +:041010008732050618 +:0410110000C5F463BF +:0410120010000713B0 +:041013008F09973E6C +:041014000107159328 +:04101500E68181C12E +:04101600D03E57E190 +:0410170066C1478DDA +:0410180003138D1D14 +:0410190016FD203070 +:04101A0000A7873371 +:04101B0083410742C4 +:04101C0006B7E863C8 +:04101D00FFD58693E2 +:04101E0082C106C2C3 +:04101F000804059329 +:04102000C2361008BC +:0410210090DFF0EF7D +:0410220018E384AAA1 +:041023000593D2055A +:0410240010080A04A2 +:041025008FDFF0EF7A +:0410260010E384AAA5 +:041027004692D20516 +:041028000442040575 +:041029001FF007931A +:04102A00F6E3804128 +:04102B000613F6D7DB +:04102C0045812000DA +:04102D00C0EF1008F8 +:04102E0067DDD4CFD7 +:04102F00A587879377 +:041030000787859316 +:0410310002000613A0 +:04103200C0EF1008F3 +:041033000593CFCF83 +:0410340010080C0094 +:041035008BDFF0EF6E +:0410360000E384AAA5 +:04103700B9F1E40522 +:041038000706101087 +:04103900863E973226 +:04103A000067936355 +:04103B000785863669 +:04103C00102307C2B4 +:04103D0083C100C7A4 +:04103E004503BF8522 +:04103F00C8320007AC +:04104000C43AC636B2 +:04104100E2CFC0EF4B +:0410420046424722B9 +:04104300002346B28E +:04104400070500A7F5 +:041045004782BDA978 +:041046000086D71336 +:0410470007858C1578 +:041048004792C03ECD +:04104900C23E97BA52 +:04104A00E0041DE3BE +:04104B000713B1795D +:04104C0083E30690A4 +:04104D00B971D4E4BD +:04104E00859365DD44 +:04104F00B969C54571 +:04105000859365DD42 +:04105100B949C44590 +:04105200859365DD40 +:04105300B169C64574 +:04105400FDC10113C6 +:04105500D006CC26CF +:04105600C42ACE22B8 +:04105700C03284AE71 +:041058004782C236D3 +:041059004501E399D1 +:04105A004402A01597 +:04105B0020000793D7 +:04105C000087F463B2 +:04105D002000041358 +:04105E00451245A250 +:04105F00010416135F +:04106000F0EF8241EA +:04106100C909907FAA +:0410620040A0053372 +:041063004472508201 +:04106400011344E24E +:041065008082024142 +:041066000FF4F793F9 +:041067000084961358 +:041068004792CB9D43 +:04106900008786B3C3 +:04106A0004D79163B3 +:04106B00469267E959 +:04106C00A3C7A5036E +:04106D000084D59393 +:04106E0005C287220E +:04106F00864FC0EFF9 +:0410700047A2F5712D +:04107100C43E0785ED +:04107200008457930C +:04107300478294BE5E +:04107400C03E8F816A +:0410750067E9B779F7 +:04107600A3C7A50364 +:04107700C63285B246 +:04107800FE9FB0EF38 +:04107900DD554632C9 +:04107A00C503B7559E +:04107B00CA3600076A +:04107C00C63EC83272 +:04107D00D3CFC0EF1E +:04107E0046D247B25D +:04107F008023464242 +:04108000078500A739 +:041081000113B7554B +:0410820000C8DB01C6 +:04108300249122236F +:0410840024112623EA +:04108500248124237B +:04108600903FF0EFB8 +:04108700C0EF84AA88 +:04108800C489EDCF5B +:04108900409004B3DC +:04108A00A00D440D64 +:04108B0000CC46113E +:04108C0020EF1048F9 +:04108D0065DD768027 +:04108E0085934611EF +:04108F001048D045F0 +:0410900072C020EF1B +:04109100440DC90D34 +:04109200064004937D +:04109300EAEFC0EFD1 +:0410940006800713B8 +:0410950000974D6310 +:041096000630071306 +:041097002E974C63E1 +:04109800F340071307 +:0410990036E4816355 +:04109A008263470521 +:04109B0065DD36E4F5 +:04109C00C345859330 +:04109D005783ACFDCC +:04109E000593048131 +:04109F00461D04A145 +:0410A00002A1051391 +:0410A10002F1142321 +:0410A200712020EFAA +:0410A3000521578349 +:0410A400020108A39A +:0410A50002F1102321 +:0410A6000541578326 +:0410A70002F111231E +:0410A800C0EF55023E +:0410A9005783CCAFEE +:0410AA00DA2A0561D8 +:0410AB001023C22A22 +:0410AC00578302F173 +:0410AD001123058185 +:0410AE00550202F1F4 +:0410AF00CB0FC0EFB4 +:0410B00005A15783BC +:0410B1001023DC2A02 +:0410B200578302F16D +:0410B300112305C13F +:0410B400550202F1EE +:0410B500C98FC0EF30 +:0410B6002503DE2A06 +:0410B700C0EF240161 +:0410B8004592C8EFA6 +:0410B9000793C0AA2F +:0410BA0087131E205A +:0410BB00E763FE6584 +:0410BC0046050CE7F2 +:0410BD00C0EF00C8B8 +:0410BE004786C9AFE9 +:0410BF0000F50663CF +:0410C0000493440D44 +:0410C100B799066075 +:0410C200665D64659E +:0410C300D0C606137A +:0410C400051345C506 +:0410C50010EF1B44C9 +:0410C60056E2481096 +:0410C700665D67E516 +:0410C8001C878513E9 +:0410C900D1C6061373 +:0410CA0010EF45C519 +:0410CB00450546D0C1 +:0410CC00B4AFE0EFEE +:0410CD00470157E29E +:0410CE00C23E4501D8 +:0410CF00C43E57F2D2 +:0410D000616347927F +:0410D10047A208F733 +:0410D2001EA79E6354 +:0410D30002A14783AC +:0410D40002814683CC +:0410D500029147033A +:0410D60067E1CBCD36 +:0410D700B207879342 +:0410D80002A1061358 +:0410D900665DC0325E +:0410DA00D2860613A1 +:0410DB00051345C5EF +:0410DC0010EF1B44B2 +:0410DD0067E5425031 +:0410DE00464565DD41 +:0410DF00D805859318 +:0410E0001C878513D1 +:0410E100A42FC0EF89 +:0410E20064E5450577 +:0410E300AEEFE0EF9D +:0410E4001DC4849310 +:0410E500000216B738 +:0410E6000D06A783C9 +:0410E7000004D70327 +:0410E80083C107C2F7 +:0410E90006F707639C +:0410EA000024D70304 +:0410EB001AF700638D +:0410EC00051365097A +:0410ED00B0EF7105EA +:0410EE00BFE9D49FE3 +:0410EF000493440D15 +:0410F000B569065088 +:0410F10086B34792E9 +:0410F200079340E739 +:0410F300F463200082 +:0410F400069300D788 +:0410F5000493200040 +:0410F6009613200726 +:0410F700824101062B +:0410F8000094D593F8 +:0410F900C83A00C829 +:0410FA00F0EFC63617 +:0410FB0046B2E9EF21 +:0410FC00C5014742A1 +:0410FD0040A004B358 +:0410FE003613BD05E3 +:0410FF0085B600179B +:04110000C0EF00C874 +:041101008726B8EF96 +:0411020067DDBF25C1 +:04110300B6478793D1 +:04110400D0EFBF81E8 +:041105006769D0EF57 +:04110600A58707139F +:0411070016B7431CB8 +:041108006509000273 +:041109000027E79341 +:04110A00A023C31C3F +:04110B0005130EF6C4 +:04110C00B0EF7105CA +:04110D0065DDCCDFF1 +:04110E001B44051366 +:04110F008593464539 +:0411100020EFD345B4 +:04111100440D5580B4 +:0411120065DD67E54B +:041113008593464535 +:041114008513D40566 +:0411150020EF1C8724 +:0411160045055440F7 +:04111700A1EFE0EF75 +:0411180000D4566247 +:0411190045054581C2 +:04111A00CE9FF0EF85 +:04111B001FE384AAA0 +:04111C0064E5DC05A5 +:04111D00464565DD01 +:04111E00D5058593DB +:04111F001B448513D5 +:0411200051A020EFCB +:04112100E0EF4505B1 +:0411220057E29F4FA2 +:04112300450147013A +:0411240057F2C23E7E +:04112500C43EC626D8 +:041126006F6347921A +:0411270047A202F7E2 +:04112800F3400493F9 +:04112900DAA794E3CA +:04112A00C52FC0EF1E +:04112B0065DD47B285 +:04112C00859346451C +:04112D008513D94508 +:04112E00C0EF1B47AC +:04112F0067E590CF11 +:04113000464565DDEE +:04113100D6058593C7 +:041132001C8785137E +:041133004CE020EF7D +:04113400E0EF45059E +:04113500A0019A8FEC +:0411360086B34792A3 +:04113700079340E7F3 +:04113800F46310004C +:04113900069300D742 +:04113A0067E9100051 +:04113B00A3C7A5039E +:04113C0000D085BAA0 +:04113D00C83ACA36AC +:04113E00EABFB0EF65 +:04113F00474246D20B +:0411400084AA00D0AD +:0411410000D605B31C +:04114200000645035B +:04114300CC3ACE369E +:04114400C832CA2EB5 +:04114500A1CFC0EF87 +:0411460045D2464206 +:0411470000234762D8 +:04114800060500A6F2 +:0411490091E346F2F6 +:04114A0091E3FEC56A +:04114B003613D20481 +:04114C0085B600174D +:04114D00C83A00C8D4 +:04114E00A58FC0EFBA +:04114F0007134742F9 +:04115000BF9910072C +:041151000493440DB2 +:04115200B309067067 +:041153000493440DB0 +:04115400B9ED06806B +:04115500F9B487134F +:04115600EE63468D71 +:0411570066DD06E665 +:041158008693070A69 +:041159009736DA8665 +:04115A0087024318AD +:04115B00859365DD36 +:04115C0067E5CF452F +:04115D00851346456B +:04115E0020EF1C87DB +:04115F004505420000 +:041160008FAFE0EF7E +:04116100000F4537FF +:041162002405051348 +:04116300B73FB0EFF3 +:041164000404D76345 +:04116500048055634A +:041166006565665DF8 +:04116700D70606138E +:04116800051345C561 +:0411690010EF1B4523 +:04116A00147D1F10C1 +:04116B0065DDBD7110 +:04116C00CAC58593D8 +:04116D0065DDBF7D00 +:04116E00CBC58593D5 +:04116F0065DDBF5D1E +:04117000CD05859391 +:0411710065DDB77D04 +:04117200C985859313 +:0411730065DDB75D22 +:04117400C545859355 +:0411750065DDBF79FC +:04117600CE4585934A +:04117700D0EFBF599D +:041178002083E94F98 +:04117900240324C166 +:04117A002483248125 +:04117B00557D244139 +:04117C002501011335 +:04117D000113808258 +:04117E000828DDC19F +:04117F0022112023F6 +:0411800020812E2379 +:0411810020912C236A +:04118200D12FF0EF8A +:04118300C0EFC02ACF +:041184004502AECFA3 +:041185006465E93183 +:04118600464565DD98 +:04118700DD4585932A +:041188001C840513AB +:04118900FA3FB0EF8A +:04118A00E0EF450944 +:04118B00C222850FE8 +:04118C00041364657F +:04118D0017B71DC4AF +:04118E00A783000231 +:04118F0057030D07EE +:0411900007C200048E +:04119100046383C1AF +:04119200570304F704 +:041193001A630024B7 +:04119400479202F785 +:04119500464565DD89 +:04119600C2858593F6 +:041197001C87851319 +:0411980033A020EF71 +:0411990006800513B4 +:04119A00C0EFC02AB8 +:04119B002083A90FF5 +:04119C002403220105 +:04119D00450221C125 +:04119E002181248304 +:04119F0022410113D5 +:0411A00065098082DB +:0411A10071050513BC +:0411A200A77FB0EF84 +:0411A3004792B76D4B +:0411A400464565DD7A +:0411A5001C8785130B +:0411A600DB858593CD +:0411A7002FE020EF26 +:0411A800D0EF450936 +:0411A9000737FD9F68 +:0411AA000793FFF0B8 +:0411AB00C002200757 +:0411AC00C43E648554 +:0411AD00941347A2AE +:0411AE00462D008446 +:0411AF008425943EC1 +:0411B000006885A2AC +:0411B100BC4FF0EF50 +:0411B2000533C5013B +:0411B300BF6940A030 +:0411B400859365DDDD +:0411B5000068BD858C +:0411B600F3BFB0EFE4 +:0411B7004703E10DFC +:0411B8004685016106 +:0411B90006D71563DD +:0411BA000141468326 +:0411BB0000E699634E +:0411BC000151468314 +:0411BD0006134719B5 +:0411BE00846376E0F0 +:0411BF00849306E629 +:0411C00067091004A7 +:0411C100FAE498E3D1 +:0411C2003E80051353 +:0411C3009F3FB0EFAB +:0411C400453D4581DF +:0411C500B49FD0EF14 +:0411C600C70367E90B +:0411C70067E5A5C76C +:0411C8001A87879368 +:0411C900C50397BA09 +:0411CA004581000754 +:0411CB00832367E92A +:0411CC00D0EFA4A715 +:0411CD004792B2BFD4 +:0411CE00665D468292 +:0411CF00DC460613E1 +:0411D000851345C579 +:0411D10010EF1C8778 +:0411D20045050510BA +:0411D300FB45BF31E8 +:0411D400014147038B +:0411D500FAD715E34D +:0411D6000151470379 +:0411D7000613F34DBB +:0411D8000834058052 +:0411D900852285A640 +:0411DA009E9FF0EFF5 +:0411DB00EE051EE31C +:0411DC0007854782BA +:0411DD00B761C03EF8 +:0411DE00F5410113C3 +:0411DF00D322D5063C +:0411E0001437D126C9 +:0411E100B0EF000269 +:0411E200049399DFFA +:0411E30047C50804F0 +:0411E4002023D0BC38 +:0411E50027B70E0416 +:0411E600A62300023A +:0411E700A823000732 +:0411E800AA2300072F +:0411E900678900070B +:0411EA0071078513F1 +:0411EB00953FB0EF8D +:0411EC000793636999 +:0411ED000693A5833D +:0411EE00C3941D1079 +:0411EF004501D0B432 +:0411F0009B6FD0EF32 +:0411F10000031537AB +:0411F200D405051308 +:0411F300933FB0EF87 +:0411F4000006263794 +:0411F500019C05B79D +:0411F600A80606132E +:0411F700CC0585930B +:0411F80004040513D3 +:0411F900CB7FB0EF09 +:0411FA00871367E907 +:0411FB004314A5876D +:0411FC00F6934551D0 +:0411FD00C314F9F628 +:0411FE00B0EFD0B4CA +:0411FF0045D1905FE7 +:04120000038005134F +:041201008F0FD0EF8C +:04120200051345D1BA +:04120300D0EF039095 +:0412040045D18E6FD3 +:04120500D0EF455190 +:0412060045D18DEF52 +:0412070007100513B4 +:041208008D4FD0EF47 +:04120900051345D1B3 +:04120A00D0EF05E03C +:04120B0045D18CAF8E +:04120C0006D00513F0 +:04120D008C0FD0EF83 +:04120E00453145D150 +:04120F008B8FD0EF02 +:041210003200059310 +:04121100D0EF4505D0 +:0412120045D18AEF49 +:04121300D0EF4519BA +:0412140005938A6F45 +:041215004509320055 +:0412160089CFD0EFBD +:04121700879367E969 +:041218004394A587CF +:0412190045814601C4 +:04121A000406E6934D +:04121B00D0B4C394F4 +:04121C0004040513AE +:04121D00C8BFB0EFA7 +:04121E00B0EF4529BF +:04121F0045E1885FBE +:04122000C0EF4505D1 +:0412210045E1949F70 +:04122200C0EF4509CB +:0412230045E1941FEE +:04122400C0EF450DC5 +:04122500C0EF939FE4 +:041226006369BEDF5B +:04122700A583071381 +:04122800C43A47E19C +:041229005AF51D63F2 +:04122A00C0EF4501CB +:04122B000793961F70 +:04122C000D630FF04F +:04122D0087B75CF52E +:04122E0087931A8008 +:04122F00DABE080714 +:041230008413678933 +:041231004569A1A7C3 +:041232000D2347A1A0 +:041233001C2306F181 +:04123400C0EF068180 +:041235007593939F7B +:04123600E5930F55D8 +:04123700456900A560 +:04123800975FC0EF0D +:0412390005134585CF +:04123A00C0EF0350AE +:04123B0045A596BF70 +:04123C000220051374 +:04123D00961FC0EF49 +:04123E0005136561CE +:04123F00C0EFB8C57F +:041240004501A6FFBF +:04124100B5DFC0EF66 +:0412420005134599B2 +:04124300C0EF03D025 +:041244000593947FFB +:0412450045450440D7 +:0412460093DFC0EF83 +:04124700454945854B +:04124800935FC0EF01 +:04124900454D458149 +:04124A0092DFC0EF80 +:04124B00C0EF18C810 +:04124C0045819E7FBB +:04124D00C0EF455D4C +:04124E00C0EF91FF5D +:04124F004509FE7FD0 +:04125000BFAFC0EF7D +:041251000FF575130D +:04125200136347CD0E +:04125300646554F585 +:0412540013840413E8 +:0412550000244583A9 +:04125600C0EF45158B +:041257004783C2AF58 +:0412580005930024D6 +:04125900451103D068 +:04125A009713839DC6 +:04125B00478300279E +:04125C009BED00C442 +:04125D0006238FD9FC +:04125E00C0EF00F4E9 +:04125F000513C0AF04 +:04126000B0EF3E802D +:0412610045F5F7CF89 +:04126200C0EF451183 +:041263000593BFAF81 +:04126400051303006B +:04126500C0EF0610C0 +:041266000593BEEF3F +:0412670045250B20EE +:04126800BE4FC0EFC6 +:041269000F8005935A +:04126A00C0EF452963 +:04126B000593BDAF7B +:04126C00452D037099 +:04126D00BD0FC0EF02 +:04126E00453D458134 +:04126F00BC8FC0EF81 +:04127000051345819C +:04127100C0EF0C902E +:041272004581BBEF08 +:041273000CA00513B3 +:04127400BB4FC0EFBD +:041275000513458197 +:04127600C0EF0CB009 +:041277004581BAAF44 +:041278000CC005138E +:04127900BA0FC0EFF9 +:04127A000513458192 +:04127B00C0EF0CD0E4 +:04127C004581B96F80 +:04127D000CE0051369 +:04127E00B8CFC0EF36 +:04127F00051345818D +:04128000C0EF0CF0BF +:041281004581B82FBC +:041282000D00051343 +:04128300B78FC0EF72 +:04128400004445835A +:041285000E1005132F +:04128600B6CFC0EF30 +:04128700C0EF45155A +:041288000793F24F87 +:041289001263086084 +:04128A00059306F5CD +:04128B0005130750F0 +:04128C00C0EF0700A8 +:04128D000593F58F41 +:04128E00051309003B +:04128F00C0EF0200AA +:041290004581F4CFD1 +:04129100021005132F +:04129200F42FC0EF86 +:041293000513458179 +:04129400C0EF022085 +:04129500458DF38F01 +:04129600023005130A +:04129700F2EFC0EFC3 +:041298000513458174 +:04129900C0EF028020 +:04129A000593F24F77 +:04129B0005130B002C +:04129C00C0EF071088 +:04129D000593F18F35 +:04129E00051307002D +:04129F00C0EF070095 +:0412A00067E9F0CF3B +:0412A10081A34705D9 +:0412A20067E5A4E771 +:0412A3000D47A783C9 +:0412A4002E23676925 +:0412A5008F63A2F7BA +:0412A600B0EF3E0760 +:0412A70062E5BBBF82 +:0412A8001482851314 +:0412A900061365E1E2 +:0412AA0085930460C4 +:0412AB000565CF8581 +:0412AC00B17FB0EF6F +:0412AD0066E163652E +:0412AE00CC06859352 +:0412AF001DC3051343 +:0412B00003600613BE +:0412B100B03FB0EFAB +:0412B200071367E5D2 +:0412B3008023028012 +:0412B40047B706E74B +:0412B5008793000219 +:0412B60043B83807FA +:0412B700061376792B +:0412B8008F717FF6BD +:0412B90043B8C3B8BB +:0412BA00167D7669BE +:0412BB00C3B88F71B4 +:0412BC00762143B89C +:0412BD007713167D10 +:0412BE006713F1F7CA +:0412BF00C3B80607A3 +:0412C000458143B869 +:0412C1007713453D1D +:0412C20067138FF728 +:0412C300C3B8300775 +:0412C40064E943B8DE +:0412C50067136469DE +:0412C600C3B8001792 +:0412C7009B1D43B870 +:0412C8000087671321 +:0412C90043B8C3B8AB +:0412CA0066218F7199 +:0412CB00C3B88F51C4 +:0412CC00F2CFD0EF9E +:0412CD004503676905 +:0412CE004581A4674B +:0412CF00F20FD0EF5B +:0412D0009AC48793A2 +:0412D100A023656988 +:0412D200A22300074C +:0412D300A423000749 +:0412D400A623000746 +:0412D500A823000743 +:0412D60006130007F4 +:0412D700458102C08B +:0412D8009C05051359 +:0412D900A9FFB0EFCA +:0412DA00859365E1B2 +:0412DB00061313459E +:0412DC00051302C034 +:0412DD00B0EF9EC40C +:0412DE000793A51FAE +:0412DF0046119EC452 +:0412E00002C780A31E +:0412E1008123460D12 +:0412E200B0EF02C7A0 +:0412E3004501D89F4A +:0412E400A5DFC0EFD3 +:0412E500000217B735 +:0412E6000D07A60347 +:0412E70007B762E5FE +:0412E8008713000266 +:0412E9008FF11482EB +:0412EA00C03A66653B +:0412EB0016160713B9 +:0412EC006769C4BAB0 +:0412ED00A4670713D8 +:0412EE008713D03A58 +:0412EF00DE3A9AC485 +:0412F0000713676910 +:0412F100C83AA487CC +:0412F200071367690E +:0412F300D23AA30741 +:0412F400636567695E +:0412F500071366E194 +:0412F600DC1AA34714 +:0412F700C6A2C6368F +:0412F8009263D43AEF +:0412F90066E114078F +:0412FA00C54687134B +:0412FB00C23A44812E +:0412FC0065DD6465E3 +:0412FD00859346454A +:0412FE000513DF05F0 +:0412FF00CA3E1B4484 +:0413000059B010EFE1 +:0413010096934792E6 +:0413020065650024F9 +:04130300428C96BEC4 +:041304000513464542 +:0413050010EF1C8544 +:0413060046375850BE +:041307002683000237 +:0413080045053C0655 +:041309000046E69321 +:04130A003CD620238A +:04130B00A4FFD0EF7C +:04130C00468147D2FD +:04130D00000216378D +:04130E000D062503A0 +:04130F00177D67419E +:0413100000E575B3CC +:041311000337474215 +:04131200C30C000305 +:041313000D0626039A +:041314004613571213 +:041315007633FFF636 +:04131600C31000669A +:041317008763C9859A +:0413180067E502F58E +:041319001DC78793D2 +:04131A000014961312 +:04131B00EAAD97B2EE +:04131C00902365DDD8 +:04131D00464500A79A +:04131E00DF8585934F +:04131F001B44051353 +:0413200051B010EFC9 +:04132100D0EF4505BF +:0413220046859F5FFE +:04132300A303579237 +:0413240057A20007C5 +:04132500E39D439C65 +:04132600116367C127 +:04132700E0BD02F330 +:04132800061347B2AF +:04132900CA1A036079 +:04132A00CC078593D4 +:04132B0044ED57E254 +:04132C001DC7851341 +:04132D00913FB0EF4D +:04132E004689435257 +:04132F0047C2572238 +:041330002023460927 +:04133100CC3600674F +:041332008063439CF5 +:04133300650904C67E +:041334007105051327 +:04133500B0EFCA3E0D +:0413360047D2C28F49 +:04133700BF9946E232 +:041338000007D78350 +:0413390083E346897B +:04133A0065DDFAF57E +:04133B00859346450B +:04133C000513E005B0 +:04133D0010EF1B444E +:04133E0045054A50C7 +:04133F0097FFD0EF55 +:04134000B7694681C2 +:04134100468914F9CC +:041342000485BF550A +:04134300D1E346E9C3 +:04134400453DEE969F +:04134500AA8FD0EFAC +:041346000002473723 +:041347003C072783B5 +:0413480020239BEDD6 +:0413490064E53CF724 +:04134A00471D665D78 +:04134B0006134685BA +:04134C0045C5E106AC +:04134D00214485139F +:04134E0025E010EF97 +:04134F0065DD64658F +:0413500085934645F6 +:041351000513F20589 +:04135200B0EF228452 +:04135300450587DFE6 +:041354009D7FD0EFBA +:041355000007A537B1 +:041356001205051364 +:04135700BA2FB0EF0A +:04135800CE3E4799A5 +:04135900CA02CC02F6 +:04135A00D602C602EF +:04135B00CAA2C8A6B4 +:04135C00BD6FB0EFC2 +:04135D00000217B7BC +:04135E000D07A7834D +:04135F00674146C2DA +:041360008F7D177DE9 +:04136100C693C298D5 +:041362000737FFF753 +:041363008F7500037F +:04136400D6135692B4 +:04136500D82A0187FA +:0413660066E9C298DA +:04136700869387B230 +:04136800CA19A4C634 +:041369007613167968 +:04136A00458D0FF6A8 +:04136B0000C5F66360 +:04136C000006C603AE +:04136D0000F615630E +:04136E0020234642B0 +:04136F0080230006D1 +:0413700057A200F68A +:04137100EBF9439CB5 +:04137200C39857A223 +:041373000413646992 +:041374004783A2E425 +:041375008463000489 +:041376004782100793 +:041377000187C783A0 +:041378000E078F636A +:0413790067694782D7 +:04137A00A41747036A +:04137B000127C783FC +:04137C00F7938FD97B +:04137D0094630FF76F +:04137E00B0EF0E07B7 +:04137F0084AAB4CFB9 +:04138000B6CFB0EF45 +:0413810000A55793D9 +:0413820012C005137D +:0413830002A787B383 +:0413840097BA47329B +:041385000CF4E5631C +:041386000793476220 +:04138700E0630630E9 +:0413880046830CE7A5 +:041389004785000490 +:04138A008763470529 +:04138B0047F200F62F +:04138C00FFA787131D +:04138D0000E0373312 +:04138E0046A14782AB +:04138F000187C78388 +:04139000F79317FDBB +:04139100E9630FF706 +:0413920066DD06F618 +:04139300F406869343 +:0413940097B6078A77 +:0413950067E943942D +:04139600A5C78793CD +:0413970056F98682FB +:041398006565665DC4 +:04139900E24606130F +:04139A00051345C52D +:04139B0010EF2145E9 +:04139C0065DD128079 +:04139D0046456565F7 +:04139E00B645859338 +:04139F00228505138B +:0413A00031B010EF69 +:0413A100D0EF45053F +:0413A200A0018A1FFD +:0413A300BFC956F573 +:0413A400B7F956F14E +:0413A500B7E956FD51 +:0413A600BDD9C602E5 +:0413A700C39857A2EE +:0413A800A023579295 +:0413A900B71D000765 +:0413AA004703676925 +:0413AB003733A2C76B +:0413AC00070900E04D +:0413AD0000E78023B2 +:0413AE00477247E259 +:0413AF00F793078524 +:0413B000CC3E0FF729 +:0413B10007634799EE +:0413B200079300F7A6 +:0413B300F793001795 +:0413B400CE3E0FF723 +:0413B500A72FB0EFBF +:0413B600C62A478577 +:0413B7006465CA3E61 +:0413B800DABE109CED +:0413B90025840793ED +:0413BA00001787137E +:0413BB008713C13A99 +:0413BC00C33A002709 +:0413BD0065DDC53AEB +:0413BE00003787135A +:0413BF00DEBEDCBEF4 +:0413C0000791C73A90 +:0413C100462DC93AB2 +:0413C2008593109867 +:0413C30010C8F34516 +:0413C400CD3ECB3A15 +:0413C5000023CF3AF8 +:0413C600B0EF06017D +:0413C7004782EACFA0 +:0413C80047254685EA +:0413C9000187C7834E +:0413CA00C236DA222B +:0413CB0025840493DE +:0413CC0000E786634D +:0413CD00F793078506 +:0413CE00C23E0FF715 +:0413CF00470147C2C9 +:0413D000439045E918 +:0413D100879357E2C5 +:0413D200D6831DC7DA +:0413D3001A63000792 +:0413D40047E904D60B +:0413D50062E7E16387 +:0413D600179366DD26 +:0413D70086930027D2 +:0413D80097B6F64688 +:0413D9008782439C28 +:0413DA00E719468544 +:0413DB004683676579 +:0413DC00B6930F07AE +:0413DD00069100165F +:0413DE0000D7802391 +:0413DF004699BF3537 +:0413E000C683DF657C +:0413E100BFCD000775 +:0413E200B72D471DBF +:0413E30047036769EC +:0413E4003733A2D722 +:0413E500072100E0FC +:0413E6004699BF3134 +:0413E7004685FF71C7 +:0413E8000789BFE1D1 +:0413E9003AB703E329 +:0413EA00B7450705F7 +:0413EB0047224785C9 +:0413EC00431846A2BA +:0413ED00100747138B +:0413EE005712C29838 +:0413EF00431866C178 +:0413F000C2918EF91F +:0413F10006B7479262 +:0413F2008F750002F1 +:0413F300C703CF015C +:0413F4004605011495 +:0413F5006563468165 +:0413F600070500E601 +:0413F7000FF77693E3 +:0413F80000D488A3F2 +:0413F90047296469B3 +:0413FA000413C20214 +:0413FB008663A5C49C +:0413FC00002300E7E3 +:0413FD00478500F42C +:0413FE0047A2C23E02 +:0413FF00F713439C01 +:0414000047A2FF37C9 +:0414010067E9C3983C +:04140200A417C683E2 +:04140300A417879310 +:04140400EA81C0BEFB +:04140500C78367E949 +:04140600078AA407A6 +:0414070047228FD910 +:0414080047A2C31C18 +:0414090017B7439836 +:04140A00A023000219 +:04140B0047860EE71B +:04140C000007C7838B +:04140D004501C7814D +:04140E00DC0FE0EF20 +:04140F0047034782C6 +:04141000C78300048A +:041411008463018768 +:04141200479200E716 +:0414130047D2E7993C +:041414004782CF85B7 +:041415000127C78361 +:0414160067E9CB8532 +:04141700A457C7838C +:04141800C39DCA3E68 +:04141900879367E569 +:04141A0097BA1A87DC +:04141B000007C503FE +:04141C00CA02578227 +:04141D000007C7837A +:04141E0000A7886338 +:04141F00458157822A +:0414200000A780237E +:041421009D8FD0EFDC +:0414220047834702B3 +:0414230046830004F8 +:04142400676901876C +:04142500A5E707131D +:0414260000F69E63CB +:041427000007460371 +:04142800F963468995 +:0414290046821EC613 +:04142A000444C603AD +:04142B0005D6C68399 +:04142C001ED6026363 +:04142D0006136469D5 +:04142E000EA3A5D490 +:04142F000023A404EE +:04143000468D0007DE +:04143100F9E3C2B267 +:04143200C6032AF6CD +:0414330045850444A3 +:0414340028B610E3E3 +:04143500FFA78593F5 +:041436000FF5F59326 +:041437007463440D89 +:04143800006F00B68B +:0414390085936A70BD +:04143A00F513FFF7B0 +:04143B0045A10FF5C3 +:04143C0002A5E163C1 +:04143D00859365E14D +:04143E0095AAB54571 +:04143F000005C6835B +:04144000D6364599BE +:0414410028B78AE35B +:0414420026F5ECE3BC +:0414430081E3458577 +:04144400470228B77C +:041445000C23CCB2F6 +:04144600092300F77F +:04144700C0EF0007EB +:041448004666B64FEF +:0414490075934789C7 +:04144A001BE30D850E +:04144B00E59326F609 +:04144C00450500153D +:04144D00C0EFCCAE72 +:04144E0045E6894F97 +:04144F00C0EF45099C +:0414500045E688CF16 +:04145100C0EF450D96 +:04145200C0EF884F10 +:04145300B0EFFD6F8A +:0414540067E9D01F55 +:04145500A437C7836E +:0414560007136769A8 +:04145700C39DA5E7A5 +:0414580095B345857E +:04145900E593008592 +:04145A00F5930405FD +:04145B0045190FF52B +:04145C00C0EFCCAE63 +:04145D0045E6818F50 +:04145E00C0EF451D79 +:04145F0067E9810FA9 +:04146000A5E7871362 +:041461004403479663 +:0414620045810007B9 +:041463000007C68335 +:04146400E66347896B +:041465001593008754 +:0414660005E2006437 +:04146700971385E171 +:041468009793002630 +:041469008FD90046D1 +:04146A008DDD8FD5B0 +:04146B000FF5F593F1 +:04146C00C0EF456523 +:04146D0045418A2F3C +:04146E00852FC0EF17 +:04146F00478D57321C +:041470000F857593DC +:0414710000F7146309 +:041472000055E593A9 +:04147300C0EF454140 +:041474004789886FAD +:041475001C87FEE3EF +:041476000593479102 +:04147700F4630520F5 +:041478000593008751 +:0414790045390530BC +:04147A0086CFC0EF6A +:04147B00051365618F +:04147C00A0EF6A056E +:04147D004551F0DF06 +:04147E00812FC0EF0B +:04147F00478D57320C +:04148000036345C1FC +:04148100458100F7AA +:04148200C0EF456111 +:04148300458184AF6C +:0414840003600513E9 +:04148500840FC0EF21 +:0414860056B2478291 +:04148700A62347054C +:0414880047A2000770 +:04148900F793439CF6 +:04148A008CE3BFF739 +:04148B00472218E6F6 +:04148C00C31C4645F2 +:04148D00439847A297 +:04148E00000217B78A +:04148F000EE7A023A1 +:04149000C783478245 +:041491009713018725 +:0414920067E10027E7 +:04149300B60787937E +:04149400438C97BA34 +:04149500851347C6AE +:0414960010EF2147EB +:0414970047D67400C0 +:04149800464565DD83 +:04149900228785130E +:04149A00F145859300 +:04149B0072E010EFFC +:04149C00D0EF450543 +:04149D004792CB4F58 +:04149E0067E9CF919A +:04149F00A387C70355 +:0414A000156347A9E0 +:0414A100453D00F7CE +:0414A200D35FC0EF65 +:0414A300EBBFA0EF0C +:0414A400CC02C62A86 +:0414A500C7034782B0 +:0414A600C7830404F0 +:0414A700076305973B +:0414A80067E902F7F7 +:0414A9009EC78793C0 +:0414AA0000A7C503CF +:0414AB00460146812F +:0414AC00B0EF4581D7 +:0414AD00C503DE7F16 +:0414AE00C0EF040483 +:0414AF004702B32F0E +:0414B0000404C783E6 +:0414B10000072623E7 +:0414B20004F70CA38C +:0414B3000404C583E5 +:0414B4008A63478977 +:0414B500478204F571 +:0414B6000414C60351 +:0414B70005A7C7833B +:0414B80002C7836381 +:0414B900879367E9C5 +:0414BA00C5039EC701 +:0414BB00C19100A734 +:0414BC0057F2458915 +:0414BD000127C683BA +:0414BE00DA1FB0EF92 +:0414BF000414C783C7 +:0414C0000D234702AF +:0414C100478204F763 +:0414C2000424C50336 +:0414C30005B7C7831F +:0414C40000A78B638F +:0414C500450DC111FF +:0414C600AAFFB0EFDA +:0414C7000424C783AF +:0414C8000DA3470227 +:0414C900470204F7DB +:0414CA000444C7838C +:0414CB0005D74703F7 +:0414CC0000F70563BD +:0414CD000EA3470221 +:0414CE0067E504F7D3 +:0414CF001007C683B9 +:0414D0004703676502 +:0414D1003633101787 +:0414D20046B700D049 +:0414D300A7830002E9 +:0414D4008B853C06C2 +:0414D50000F61863A2 +:0414D6003C06A783A6 +:0414D7008B8D838DE9 +:0414D80002E78C6338 +:0414D900000247B70F +:0414DA0038078793B5 +:0414DB008B0D43B47E +:0414DC009AF9070E64 +:0414DD00C3B48ED135 +:0414DE009A9D43B4DC +:0414DF00C3B88F55AA +:0414E000C7834786F1 +:0414E100CB890007AC +:0414E200A02347C23A +:0414E300C0EF00074F +:0414E40045058E5FCD +:0414E500A64FE0EF3F +:0414E600C7834782EF +:0414E7008DE3018709 +:0414E800543734073A +:0414E900A0EF000A66 +:0414EA000413DA1FEE +:0414EB00942ACB84F0 +:0414EC00D97FA0EF15 +:0414ED0000857A6399 +:0414EE00000217B72A +:0414EF000D07A783BB +:0414F00000100737AA +:0414F100D7ED8FF9AB +:0414F200000227B716 +:0414F3004380439857 +:0414F4000007A30347 +:0414F50043DC43D4BD +:0414F6008B058331AE +:0414F70000B35313D8 +:0414F800C23A06B23C +:0414F9007FF47413F5 +:0414FA000013731355 +:0414FB00D29383D134 +:0414FC00471300C6CC +:0414FD00F79300134E +:0414FE0036930FF71B +:0414FF0004630C84F2 +:04150000369300031B +:041501004602190481 +:041502000016C69376 +:041503000126460374 +:041504007A061D63E3 +:041505000363461224 +:04150600C2027C069B +:041507007C06826379 +:0415080046854602CC +:0415090000D60923DC +:04150A00C23646910E +:04150B00429446823E +:04150C0002D41C6386 +:04150D00C6834682C9 +:04150E0097630106D8 +:04150F00468202E628 +:04151000861342D428 +:04151100E163FCE6B0 +:04151200869302C2F8 +:04151300ED6303265B +:0415140046820056B5 +:0415150086B34694BF +:04151600D61340D7D1 +:041517008EB141F65A +:0415180046218E9149 +:0415190000D65C6339 +:04151A0046094592A7 +:04151B0000414683C2 +:04151C0000C5F363B0 +:04151D00F693468972 +:04151E00C2360FF6CC +:04151F0057D2CEBE13 +:04152000464545A651 +:041521002587851382 +:04152200C2BACC96E7 +:0415230010EFC09A6B +:0415240043064B80AF +:0415250042E647163D +:04152600E51947F686 +:04152700C60366E9A8 +:041528004685A766E7 +:0415290000D61C6369 +:04152A004609459297 +:04152B0000414683B2 +:04152C0000C5F363A0 +:04152D00F693468962 +:04152E00C2360FF6BC +:04152F00460556F225 +:041530000136868377 +:0415310002D65C631F +:04153200000285B777 +:041533004515419089 +:041534008A3D826DFD +:0415350002A60463A3 +:0415360016FD4190CD +:04153700826D06E2D9 +:0415380086E18A3D81 +:0415390000C68C63F9 +:04153A004609459287 +:04153B0000414683A2 +:04153C0000C5F36390 +:04153D00F693468952 +:04153E00C2360FF6AC +:04153F00C28046829E +:0415400000645433BC +:041541000282D4331B +:041542000056A2238A +:041543008823C69C97 +:04154400C6C000E637 +:041545004789A5F13C +:04154600478DBC59B8 +:041547004791BC49C3 +:041548004795B47996 +:041549004799B469A1 +:04154A00479DB459AC +:04154B0047A1B449B7 +:04154C0047A5BCBD36 +:04154D006769BCAD61 +:04154E00A4170713C4 +:04154F0000074683C8 +:041550000002463718 +:041551000016B79336 +:0415520000F700237B +:0415530000279713C3 +:041554003C062783A7 +:041555008FD99BEDA2 +:041556003CF620231C +:04155700C7035782ED +:0415580067E9000738 +:04155900A4E783A3DD +:04155A003A069A6350 +:04155B00F06FC0EF7E +:04155C00E0EF450572 +:04155D0047A9886FA3 +:04155E0067E9BC1D60 +:04155F00A417C78383 +:041560000613FBFD76 +:0415610045813C0084 +:041562000002453707 +:04156300876FB0EFEF +:0415640045855782E0 +:041565000002443705 +:041566000007C503B2 +:04156700CC1FC0EFE6 +:041568000613665DA3 +:0415690045C1E3464F +:04156A0000024537FF +:04156B001EB000EFBF +:04156C00665D47B6BB +:04156D00BEC60613DD +:04156E009EC78693FB +:04156F00051345C15A +:0415700000EF010483 +:04157100665D1D5046 +:04157200E446061332 +:04157300051345C156 +:0415740000EF02047E +:0415750067E91C50B6 +:041576009EC7871372 +:04157700010756838F +:04157800064007938F +:04157900F733665D81 +:04157A00061302F65C +:04157B0045C1E5463B +:04157C00030405134C +:04157D0002F6D6B3E9 +:04157E0019F000EF71 +:04157F000613665D8C +:0415800045C1E60675 +:041581000404051346 +:0415820018F000EF6E +:04158300871367E97A +:0415840086939EC7E5 +:0415850047039EC7B3 +:04158600C68301D740 +:04158700665D01C6D6 +:04158800E706061359 +:04158900051345C140 +:04158A0000EF050465 +:04158B00665D16D0B3 +:04158C00E7C6061395 +:04158D00051345C13C +:04158E0000EF060460 +:04158F0067E915D023 +:041590009EC7871358 +:041591009EC78693D8 +:0415920001A7570353 +:041593000186D68374 +:041594000613665D77 +:0415950045C1E7065F +:04159600070405132E +:0415970013B000EF9E +:041598000613665D73 +:0415990045C1E8C69A +:04159A000804051329 +:04159B0012B000EF9B +:04159C00871367E961 +:04159D0086939EC7CC +:04159E0057039EC78A +:04159F00D68300E708 +:0415A000665D00C6BE +:0415A100E706061340 +:0415A200051345C127 +:0415A30000EF090448 +:0415A400665D1090E0 +:0415A500E9860613BA +:0415A600051345C123 +:0415A70000EF0A0443 +:0415A80067E90F9050 +:0415A9009EC787133F +:0415AA009EC78793BE +:0415AB000127D683BB +:0415AC000167570379 +:0415AD000613665D5E +:0415AE0045C1E70646 +:0415AF000B04051311 +:0415B0000D7000EFCB +:0415B1000613665D5A +:0415B20045C1EA46FF +:0415B3000E0405130A +:0415B4000C7000EFC8 +:0415B500676557828D +:0415B6000F04051306 +:0415B7000007C683E0 +:0415B80024874783BA +:0415B9002487071369 +:0415BA00675DE78101 +:0415BB00BE0707134D +:0415BC000613665D4F +:0415BD0045C1BE86E0 +:0415BE0009F000EF41 +:0415BF0000024437AB +:0415C0000613665D4B +:0415C10045C1EB062F +:0415C20010040513F9 +:0415C30008B000EF7D +:0415C400471D665DFC +:0415C500061346853E +:0415C60045C1EB46EA +:0415C70011040513F3 +:0415C800077000EFB9 +:0415C9003804079348 +:0415CA00671343B8A8 +:0415CB00C3B800277A +:0415CC003C04262392 +:0415CD001FF00713F1 +:0415CE00C7B8C3F8DF +:0415CF0047A2BD2D45 +:0415D000439C4722CF +:0415D1000107C793B4 +:0415D200B535C31C4C +:0415D3000114C783B5 +:0415D4004701468500 +:0415D50000F6E563D4 +:0415D600F71307857B +:0415D70067E90FF7BA +:0415D800A417C7830A +:0415D90000E488A3FF +:0415DA006465E7A9B4 +:0415DB00BEC4041373 +:0415DC004641400C38 +:0415DD00000245378C +:0415DE00222010EFC8 +:0415DF000114C70329 +:0415E000070A481C92 +:0415E100438C97BAE6 +:0415E2004437464103 +:0415E30005130002EA +:0415E40010EF0204FE +:0415E50027832080B8 +:0415E600E7933C0447 +:0415E7002023002796 +:0415E80026233CF486 +:0415E900478D3C04EA +:0415EA003CF4222388 +:0415EB003C04242375 +:0415EC0067E9B3D91F +:0415ED00A427C783E5 +:0415EE000037971318 +:0415EF00879367E592 +:0415F00097BAF707A8 +:0415F1000713676114 +:0415F200439C54477B +:0415F300DAE795E3BB +:0415F400CA2FC0EF4B +:0415F500C783B34DA8 +:0415F6004685012401 +:0415F700E563470160 +:0415F800078500F66D +:0415F9000FF7F713DE +:0415FA00C78367E953 +:0415FB008923A41785 +:0415FC00F3E100E433 +:0415FD00041364650A +:0415FE00506CBEC4AB +:0415FF0045374641E5 +:0416000010EF0002E5 +:04160100C703198082 +:04160200587C0124EB +:0416030046D9BF9D68 +:041604000164C78333 +:0416050002D71E6387 +:0416060017FDC78184 +:041607000FF7F7934F +:0416080000F48B233C +:04160900C78367E943 +:04160A00F7C1A41769 +:04160B0004136465FB +:04160C00484CBEC4C4 +:04160D0045374641D6 +:04160E0010EF0002D7 +:04160F00505C160015 +:041610000164C503A9 +:0416110065E5978272 +:041612008593464135 +:04161300BF351C853E +:04161400873E46B90E +:0416150000F6F36385 +:041616000705473944 +:0416170000E48B233D +:0416180065DDB7D104 +:041619004645656578 +:04161A00ECC5859303 +:04161B001B45051353 +:04161C0012A010EF19 +:04161D0065DD6465BE +:04161E008593464525 +:04161F000513EDC5FD +:0416200010EF1C8427 +:0416210047371180B6 +:041622002783000218 +:0416230045053C0736 +:041624000047E79301 +:041625003CF720234B +:04162600DE3FC0EFF4 +:04162700831367E5DD +:0416280017B72A07BF +:04162900A703000211 +:04162A0067C10D0780 +:04162B008F7D17FD9B +:04162C00879367E554 +:04162D0046811DC70E +:04162E00D583466DAD +:04162F000663000747 +:04163000068500B774 +:041631009AE30789A8 +:041632004782FEC627 +:0416330002C00713D7 +:041634000117878390 +:0416350002E787B38E +:04163600979A47290F +:041637000247C7831C +:0416380002F76463EE +:0416390042634715AC +:04163A00111806D7A6 +:04163B004703973E8C +:04163C005733FC47DD +:04163D008B0540D702 +:04163E00078AC7153B +:04163F0097BA11182D +:04164000FD47A78338 +:0416410000D780232B +:0416420080A367E931 +:041643004737A4077A +:0416440027830002F6 +:041645009BED3C07D6 +:041646003CF720232A +:04164700C0EF4501AA +:04164800B991E09FD5 +:041649000685665D4F +:04164A00EE8606130F +:04164B00051345C579 +:04164C0000EF1C840B +:04164D0045056640A9 +:04164E00D43FC0EFD6 +:04164F000007A537B4 +:041650001205051367 +:04165100FBAFA0EF5C +:0416520047B1B7C124 +:04165300FAF68EE332 +:04165400051365090C +:04165500A0EF71058C +:04165600B789FA8FC7 +:04165700C78367E9F5 +:041658008AE3A44736 +:041659004782C007FD +:04165A0002C00613B1 +:04165B0086836465B9 +:04165C0007930117D8 +:04165D0004132A0444 +:04165E0086332A04A1 +:04165F0097B202C676 +:04166000C783466591 +:041661001C630207FD +:04166200467D08C7F2 +:0416630017FDC78127 +:041664000FF7F61373 +:0416650002C00713A5 +:0416660002E68733DE +:0416670002C0079323 +:0416680002F687B34C +:0416690000239722A1 +:04166A0097A202C77A +:04166B000207C503AA +:04166C00C78367E9E0 +:04166D009563A77763 +:04166E0067E900F632 +:04166F00A6A7962371 +:04167000B0EF45850D +:0416710067E98D5F39 +:04167200A417C7836F +:0416730067E1EFB983 +:041674007A07A583C9 +:04167500453746416E +:0416760000EF00027F +:0416770047827C101A +:0416780002C0071392 +:041679008783668D70 +:04167A00869301173B +:04167B00665DBF26C3 +:04167C0002E787B347 +:04167D00BC0606138E +:04167E00943E45C58C +:04167F000204478397 +:041680000513646585 +:0416810087B31C848B +:04168200069302D7F2 +:04168300C6B33E802C +:0416840000EF02D79A +:041685004641584042 +:041686001C84059328 +:041687004779B3BD2F +:0416880069E34601CB +:041689000785F6F7E4 +:04168A0067E9B7A5B0 +:04168B00A427C78346 +:04168C000037971379 +:04168D00879367E5F3 +:04168E0097BAF70709 +:04168F000713676175 +:04169000B3615047AB +:04169100430142854A +:0416920065DD67E5C6 +:041693001B47851359 +:0416940085934645AF +:04169500C296EF8585 +:0416960000EFC09A07 +:041697004306741082 +:04169800479546A983 +:0416990002D306B3BF +:04169A0087364296B7 +:04169B0000D7D3633E +:04169C006465471525 +:04169D000725665D5A +:04169E00F0860613B9 +:04169F00051345C525 +:0416A000C2961C844E +:0416A10000EFC09AFC +:0416A2004737510075 +:0416A3002783000297 +:0416A40045053C07B5 +:0416A5000047E79380 +:0416A6003CF72023CA +:0416A700BDFFC0EFD4 +:0416A8001737429618 +:0416A90043060002F2 +:0416AA00228387967A +:0416AB0067410D077F +:0416AC00F2B3177D01 +:0416AD00E38500E2EF +:0416AE0000028F6344 +:0416AF00871367E551 +:0416B00046ED1DC71F +:0416B1005603478114 +:0416B2008E6300073C +:0416B300078500C2E5 +:0416B4009AE30709A5 +:0416B5006509FED7EE +:0416B60071050513A2 +:0416B700C09AC2967D +:0416B800E1EFA0EFCF +:0416B9004725BF758D +:0416BA0000E788635A +:0416BB00136347115D +:0416BC0047250003BB +:0416BD0002E7DA6303 +:0416BE00078547292C +:0416BF0002E7E7B3A4 +:0416C00002E303330B +:0416C100979A676924 +:0416C200A4F703A3E3 +:0416C3009D3FC0EF98 +:0416C40066DDC90115 +:0416C500DE868693A4 +:0416C6000613665D44 +:0416C700B539BEC6AD +:0416C800869366DDC2 +:0416C900BFCDB98652 +:0416CA009563476974 +:0416CB00431300E7DE +:0416CC00BF1900132F +:0416CD0090E347312E +:0416CE00B3F9FAE78B +:0416CF00C70367E9FD +:0416D0004792A41782 +:0416D100C6070563E0 +:0416D20047A9B43D33 +:0416D300C6EFF06FFF +:0416D400FE634695D6 +:0416D500468942F60A +:0416D60000D61863BF +:0416D700FFA7869350 +:0416D8000FF6F69380 +:0416D90042D5F5639E +:0416DA0046894616E1 +:0416DB00002344059F +:0416DC00460900D6E5 +:0416DD00D72FF06FA4 +:0416DE004605440D6C +:0416DF00D6AFF06F23 +:0416E0008D63459D34 +:0416E100458900B780 +:0416E20000B700232A +:0416E300D86FF06F5D +:0416E40000F70023E8 +:0416E500D7EFF06FDC +:0416E600B7FD458D7A +:0416E700B7ED459581 +:0416E8008DD1061684 +:0416E9000FF5F59371 +:0416EA000045E5933F +:0416EB00D86FF06F55 +:0416EC0005934539E4 +:0416ED00B0EF05B0A5 +:0416EE000513E9EF08 +:0416EF00F06F3E80DA +:0416F000E793E34F4A +:0416F100F06F40074F +:0416F2004612E68F27 +:0416F3009963C2191C +:0416F400460228067C +:0416F50000060923BF +:0416F600C2324611A5 +:0416F700840698E3EA +:0416F800466547827A +:0416F9000114851340 +:0416FA0002A785932B +:0416FB0055B000EFF7 +:0416FC004712C909BF +:0416FD0000414783DE +:0416FE004785E31128 +:0416FF000FF7F79357 +:041700004782C23E1C +:0417010002A4C40377 +:041702000437C7835E +:0417030000878D636B +:04170400B0EF4541BC +:04170500891DDF8FCC +:041706008C49040EF8 +:041707000FF47593D3 +:04170800B0EF4541B8 +:041709004782E32F01 +:04170A0002B4C583DD +:04170B000447C78345 +:04170C0000B7866339 +:04170D0003D00513ED +:04170E00E1CFB0EF88 +:04170F00C5834782C5 +:04171000C78302C4C5 +:041711008563045791 +:04171200454500B792 +:04171300E08FB0EFC4 +:04171400C5834782C0 +:04171500C40302D433 +:04171600C78302E49F +:041717009763046769 +:04171800478200B74D +:041719000477C78307 +:04171A000087896358 +:04171B00B0EF45499D +:04171C0085A2DE6F55 +:04171D00B0EF454D97 +:04171E004782DDEF32 +:04171F0002F4C50308 +:041720000487C783F0 +:0417210002A7846334 +:04172200F86347859C +:0417230067E900A7CB +:04172400A5F7C5035D +:0417250035131571F2 +:0417260047E1001582 +:0417270002F505338F +:04172800879367E15B +:04172900953EB8C76A +:04172A00EC4FB0EFE1 +:04172B00C503478229 +:04172C00C783030468 +:04172D008463049736 +:04172E00B0EF00A771 +:04172F006465839FCB +:041730001484079383 +:041731000314C503D5 +:0417320004A7C783BE +:041733001484041303 +:0417340000A7846323 +:04173500F8CFB0EF4A +:041736000324C703BE +:0417370004B447832C +:041738008163DA3AB5 +:04173900456902E715 +:04173A00D22FB0EF0B +:04173B007513575279 +:04173C001793FCF50E +:04173D00E5B30047C9 +:04173E00F59300A778 +:04173F0045690FF5F4 +:04174000D54FB0EFE2 +:041741000334C78323 +:0417420004C4470391 +:041743000344C58313 +:0417440000F7166331 +:0417450004D447037E +:0417460000B70A637B +:041747008DDD058EA1 +:041748000FF5F59311 +:041749000280051302 +:04174A00D2CFB0EF5B +:04174B000354879329 +:04174C00461D853E73 +:04174D0004E4059318 +:04174E0040F000EF78 +:04174F00879367E530 +:04175000C50128D7D0 +:04175100B0EF853E32 +:04175200C703DCEFFE +:04175300478303C401 +:0417540018630554BD +:04175500C70300F7CF +:04175600478303D4EE +:0417570009630564B9 +:0417580067E500F74A +:0417590025878793C6 +:04175A000407C503B8 +:04175B00928FB0EFCA +:04175C00849367E922 +:04175D00C703A437E3 +:04175E00066300041A +:04175F0067E5100723 +:0417600025878793BF +:0417610003E7C58352 +:0417620005744703C0 +:041763000CB71D633F +:04176400879367E51B +:04176500C70325870A +:04176600478303F7BB +:04176700046305848E +:04176800B0EF0EF7D9 +:0417690067E58ACFD7 +:04176A0025878793B5 +:04176B0003F7C703B6 +:04176C00849364DD21 +:04176D0007B7A58491 +:04176E008793070650 +:04176F0046411007D8 +:0417700009848593D0 +:04177100C0BA10C822 +:04177200A0EFD0BE56 +:041773004641FFCF1D +:041774000A848593CB +:04177500A0EF18C801 +:041776004706FF0F14 +:04177700DA3E18DC62 +:0417780010DCE31985 +:041779004585DA3E8A +:04177A00B0EF450186 +:04177B004481BA0FDC +:04177C008733109C03 +:04177D004583009709 +:04177E004509000712 +:04177F00B8EFB0EF20 +:04178000971357D292 +:0417810045110024EA +:041782004318973E33 +:041783000107559372 +:041784000FF5F593D5 +:04178500B0EFC0BA47 +:041786004706B74F0C +:04178700559345151C +:04178800F59300874E +:04178900B0EF0FF5B9 +:04178A004706B64F09 +:04178B0075934519F4 +:04178C00B0EF0FF7B4 +:04178D004585B58F4A +:04178E00B0EF45056E +:04178F004505B50F48 +:04179000B02FB0EFD7 +:04179100FD65891554 +:041792004711048572 +:04179300FAE492E3FF +:04179400B0EF4581EC +:041795004503B38FC6 +:04179600B0EF059417 +:04179700A02583AF57 +:04179800F06FC2022A +:0417990015D1DCAFDB +:04179A00F593058638 +:04179B0045050FF5FC +:04179C00B0EFDA2EA2 +:04179D0055D2B18FE1 +:04179E00B0EF45095A +:04179F00C783B10F3C +:0417A00097E30004C7 +:0417A10067E5F00701 +:0417A200258785937F +:0417A30004600613C5 +:0417A4000194051394 +:0417A500F32FA0EF8F +:0417A60067E9471296 +:0417A700A6078B23E3 +:0417A8000D634789FD +:0417A90047910CF761 +:0417AA0000F70B63D6 +:0417AB0015634785F6 +:0417AC00478304F774 +:0417AD00C3A90124A7 +:0417AE00A5BFA0EF44 +:0417AF004783A8358F +:0417B000CBA50124A0 +:0417B100071367694A +:0417B200431CA587A8 +:0417B300455945C58A +:0417B4000027E79390 +:0417B5001737C31C03 +:0417B60020230002EA +:0417B700B0EF0EF78A +:0417B8000513B76FEF +:0417B900458102B0B4 +:0417BA00B6CFB0EF07 +:0417BB008A7FC0EF72 +:0417BC000594450348 +:0417BD00FA1FA0EF80 +:0417BE00243757C2B3 +:0417BF00041300040B +:0417C000943EEB0464 +:0417C100A42FA0EFC2 +:0417C200FE856EE34F +:0417C300C46357C2E2 +:0417C400E06F0007CB +:0417C500A0EFE5FFAD +:0417C60057C2A0CF97 +:0417C700E46347325E +:0417C800E06F00E7E7 +:0417C90087BAF77F65 +:0417CA008F9957425A +:0417CB00E06FC63EC7 +:0417CC002623E43FAD +:0417CD00B0EF000475 +:0417CE0047839EBFF0 +:0417CF0065650184C7 +:0417D00097134645E0 +:0417D10067E10027A5 +:0417D200B60787933C +:0417D300438C97BAF2 +:0417D4002145051393 +:0417D500247000EF8D +:0417D600656565DD03 +:0417D700859346456B +:0417D8000513F145BF +:0417D90000EF228576 +:0417DA00450523504E +:0417DB00FBAFC0EFB1 +:0417DC009D6FA0EF6E +:0417DD00CE02C62A48 +:0417DE00BFBDCC02BD +:0417DF000124478317 +:0417E000C0EFDFA5D2 +:0417E100BF8D811F18 +:0417E200EFE3469556 +:0417E3004409BCF603 +:0417E400F06F46015B +:0417E5000113954F08 +:0417E600D6A6F7C1CB +:0417E700DA86C13E9F +:0417E800D8A267E537 +:0417E900DEBADCB6D2 +:0417EA001347A4837A +:0417EB000005DC63B6 +:0417EC0008B00793A7 +:0417ED00557DC09CCA +:0417EE00544650D637 +:0417EF00011354B6D8 +:0417F00080820841AA +:0417F10020800793BA +:0417F20000F11823C7 +:0417F300CA2AC22A12 +:0417F4004781842E77 +:0417F5008793C1997C +:0417F600C63EFFF5F7 +:0417F70018B4CC3E18 +:0417F800004C57FD4D +:0417F9001923852605 +:0417FA00C03600F104 +:0417FB0057FD2C5911 +:0417FC0000F555633C +:0417FD0008B0079396 +:0417FE00DC5DC09C52 +:0417FF00802347926A +:04180000BF5D0007C1 +:04180100A783C5C52F +:041802001141FFC5CC +:04180300C606C4222F +:04180400FFC5841385 +:041805000007D363A2 +:04180600C02A943E22 +:041807000BB000EF33 +:041808002783676962 +:041809004502A807E5 +:04180A00EB91863A9E +:04180B000004222390 +:04180C00A887202366 +:04180D0040B244227F +:04180E00006F014125 +:04180F007F6309F0FA +:04181000401400F48C +:0418110000D40733C5 +:0418120000E79663F2 +:0418130043DC4398D7 +:04181400C01897362B +:041815002023C05C70 +:04181600BFE9A886F8 +:0418170043DC873EE9 +:041818007DE3C39910 +:041819004314FEF482 +:04181A0000D70633BA +:04181B0000861F63C1 +:04181C0096B2401030 +:04181D000633C314B7 +:04181E009DE300D76F +:04181F004390FAC731 +:0418200096B243DC5D +:04182100C35CC314CD +:041822007563B775BE +:0418230047B100C405 +:04182400B74DC11CDF +:0418250006B34010B6 +:04182600966300C401 +:04182700439400D70F +:0418280096B243DC55 +:04182900C05CC014CB +:04182A00B769C34097 +:04182B001141808265 +:04182C008493C226B9 +:04182D0098F10035F9 +:04182E00C422C60604 +:04182F00473104A198 +:04183000FC6387AA24 +:0418310044B104E4D6 +:0418320004B4EB63AC +:04183300C03E853EF0 +:04183400007000EF51 +:041835002683676936 +:041836004782A80736 +:04183700A8070613E5 +:04183800E4318436DD +:0418390004136469C7 +:04183A004018A84466 +:04183B00853EEB01FA +:04183C00C03E4581E4 +:04183D0079C000EF7F +:04183E00C008478215 +:04183F0085A6853EB7 +:0418400000EFC03EB7 +:04184100577D78E077 +:041842001663478260 +:04184300473106E53E +:04184400853EC39882 +:041845007C4000EFF4 +:04184600D7E3A0291B +:041847004731FA0427 +:041848004501C398FB +:04184900442240B243 +:04184A000141449282 +:04184B00401880823F +:04184C004F638F0552 +:04184D0045AD02079C +:04184E0000E5F66358 +:04184F00943AC018EF +:04185000A029C00407 +:041851009363405805 +:04185200C218028630 +:0418530000EF853EDF +:04185400051378A060 +:04185500079300B441 +:041856009961004450 +:0418570040F507331E +:04185800FCF502E3B6 +:041859008F89943AA5 +:04185A00BF6DC01C82 +:04185B00BFF9C2D837 +:04185C00404086A2E0 +:04185D000413B7BDFC +:04185E009871003548 +:04185F00FC8502E31F +:0418600040A405B3E8 +:04186100C03E853EC2 +:04186200577D272166 +:0418630019E34782BC +:04186400BFB5FAE52D +:04186500C232111169 +:04186600C8264590BB +:04186700CA22CC06BF +:04186800E46384AE03 +:0418690082AA08C681 +:04186A0000C5D503DD +:04186B0048057713A2 +:04186C0048D0CB3D58 +:04186D004080470D63 +:04186E0002C7073373 +:04186F004609498C51 +:0418700040B407B3C6 +:041871004433C03EFE +:04187200861302C710 +:04187300963E001687 +:0418740000C47363D6 +:041875007513843231 +:04187600C63640052D +:041877008516C535D8 +:04187800C41685A26B +:04187900ECBFF0EFE1 +:04187A0046B242A28E +:04187B00CD254731FF +:04187C00488C46024C +:04187D00C42AC6367D +:04187E00BCEFA0EF2C +:04187F0000C4D603C8 +:0418800046B2432207 +:04188100B7F676132D +:0418820008066613DB +:0418830000C49623E4 +:04188400A8234782CC +:04188500C8C0006473 +:041886000733933E53 +:04188700A02340F466 +:04188800863600643C +:04188900F363C498A9 +:04188A00863600C6D8 +:04188B0040884592BA +:04188C00A0EFC032D7 +:04188D004498BAAF12 +:04188E0045014602C8 +:04188F00C4988F1159 +:04189000963A4098AC +:04189100A80DC0904E +:04189200862285160F +:041893002571C416E1 +:0418940046B242A274 +:04189500FD4D832A58 +:041896008516488CDF +:04189700F0EFC01698 +:041898004282DA7F2F +:04189900A023473110 +:04189A00D70300E28E +:04189B00557D00C4B3 +:04189C0004076713C3 +:04189D0000E49623AA +:04189E00445240E28E +:04189F00017144C2CD +:0418A000D703808268 +:0418A100011300C56A +:0418A200C122F781E7 +:0418A3000793DEA623 +:0418A400C30601F185 +:0418A500C02EC22A65 +:0418A60008077713A5 +:0418A700F41384B200 +:0418A800CB1DFF87CE +:0418A900EB0D499862 +:0418AA00040005939E +:0418AB00F0EFC6365E +:0418AC004782E01F70 +:0418AD00C38846B2F4 +:0418AE00E919CB88E1 +:0418AF0047B14712E4 +:0418B000C31C557D83 +:0418B100440A409A0B +:0418B200011354F6D4 +:0418B30080820881A6 +:0418B400071347824D +:0418B500CBD8040088 +:0418B6000200071312 +:0418B70000E40CA39A +:0418B800030007130F +:0418B90000042A23DA +:0418BA0000E40D2316 +:0418BB008726CA367C +:0418BC0002500613BD +:0418BD000007468357 +:0418BE009663C299D2 +:0418BF0006B30AC69C +:0418C00001634097E9 +:0418C10045820297C3 +:0418C200862645121F +:0418C300C636C83A23 +:0418C400E85FF0EFFA +:0418C5000A63567DDF +:0418C60048501AC5A7 +:0418C700474246B29C +:0418C800C850963638 +:0418C900000746834B +:0418CA001A06816316 +:0418CB00001704936B +:0418CC002023577D01 +:0418CD0026230004CA +:0418CE00C0580004FA +:0418CF0000042423CA +:0418D000040401A368 +:0418D10004042C23BC +:0418D2000004C583C6 +:0418D300461567E16E +:0418D40046C785136B +:0418D500401423A5F3 +:0418D6000014871360 +:0418D700F613E539E6 +:0418D800C609010636 +:0418D90002000613F0 +:0418DA0004C401A39E +:0418DB000086F6137A +:0418DC000613C60920 +:0418DD0001A302B0B1 +:0418DE00C58304C4F6 +:0418DF0006130004E8 +:0418E0008F6302A070 +:0418E100445402C5A4 +:0418E200458187268F +:0418E30042A94525AC +:0418E40000074603B0 +:0418E50000170313D2 +:0418E600FD060613E2 +:0418E70006C5776358 +:0418E800C454C98596 +:0418E9000705A0351A +:0418EA0067E1B7B14A +:0418EB0046C7861353 +:0418EC0046058D110F +:0418ED0000A6153309 +:0418EE00C0148EC9CB +:0418EF00B76984BA97 +:0418F00005934652C4 +:0418F100421000465B +:0418F2004963CA2E4E +:0418F300C4500206D5 +:0418F40000074603A0 +:0418F50002E0069374 +:0418F60006D611639E +:0418F700001746038D +:0418F80002A00693B1 +:0418F90002D61B6395 +:0418FA00070946D2C2 +:0418FB00004686130A +:0418FC00CA32429416 +:0418FD000206C163BB +:0418FE00A081C054B1 +:0418FF0040C00633AC +:041900000026E69344 +:04190100C014C450FA +:0419020086B3B7E110 +:04190300871A0256E7 +:0419040096B24585CD +:0419050056FDBFB517 +:041906000705B7C555 +:041907000004222393 +:04190800468145814E +:0419090042A9452585 +:04190A000007460389 +:04190B0000170313AB +:04190C00FD060613BB +:04190D0006C5736335 +:04190E004583F1E13B +:04190F0064E1000788 +:041910008513460DE8 +:04191100C63A474447 +:04191200473229959A +:041913008493CD01EB +:041914008D054744B2 +:041915000400069331 +:0419160000A696B3DE +:041917000705400878 +:04191800C0088D5521 +:0419190000074583FB +:04191A0046196561A4 +:04191B0047850513E4 +:04191C000017049319 +:04191D0000B40C23E3 +:04191E00C13521911D +:04191F0000000713AA +:041920004014E70D7B +:04192100F6934752A0 +:04192200CE9110064C +:04192300CA3A0711A4 +:0419240047A2485836 +:04192500C858973EC9 +:0419260086B3BD992E +:04192700871A0256C3 +:0419280096B24585A9 +:041929000721B7518A +:04192A004602B7DDDD +:04192B0066D9451222 +:04192C00869308583E +:04192D0085A2194630 +:04192E00000000971E +:04192F00000000E7CD +:0419300047A2C42ADC +:0419310095E3577D66 +:041932004782FCE705 +:04193300D703557D04 +:04193400771300C75E +:0419350017E30407A9 +:041936004848DE0738 +:041937004602B3E5CC +:0419380066D9451215 +:041939008693085831 +:04193A0085A2194623 +:04193B00BFC92215E9 +:04193C0087AE110160 +:04193D0085B6CA267B +:04193E00479484BA8C +:04193F00CC224B98D3 +:04194000842ACE0621 +:041941005363833237 +:04194200873600D70D +:0419430000E320237A +:041944000437C6831B +:041945000705C6814B +:0419460000E3202377 +:041947007713439837 +:04194800C7110207BA +:04194900000327036D +:04194A002023070946 +:04194B00439800E3DA +:04194C000197861366 +:04194D00C3358B19FA +:04194E00C683439871 +:04194F0077130437CF +:0419500036B30207A1 +:04195100E75100D08A +:0419520004378613BD +:04195300C41A85220B +:04195400C02EC23EA1 +:04195500577D9482A4 +:0419560006E5076338 +:04195700432247924E +:0419580043944582ED +:04195900000326035E +:04195A008A99431112 +:04195B00470147C831 +:04195C000066976327 +:04195D0040C5073347 +:04195E0000075363C8 +:04195F004794470161 +:0419600054634B90F1 +:041961008E9100D68D +:041962004301973670 +:0419630001A786133F +:04196400066710639F +:04196500A80D450183 +:0419660047D4070556 +:041967000003250351 +:041968005BE38E8926 +:041969004685F8D7E0 +:04196A00C81A8522F0 +:04196B00C43AC63E76 +:04196C00C02EC23295 +:04196D0056FD94820D +:04196E004612458256 +:04196F0047B2472212 +:041970001BE34342F0 +:04197100557DFCD5CF +:04197200446240F299 +:04197300610544D2F4 +:0419740086338082B4 +:04197500051300D77F +:0419760001A30300C6 +:04197700C60304A6F9 +:041978008713045776 +:04197900973E00167F +:04197A0001A3068936 +:04197B00BFA904C735 +:04197C0085224685F5 +:04197D00C43AC61A88 +:04197E00C02EC23283 +:04197F0057FD9482FA +:04198000FCF503E38C +:041981004722433284 +:041982004582461242 +:04198300B749030558 +:04198400CC2211015F +:04198500CE06CA269A +:04198600842EC02AC1 +:04198700043585138B +:041988000185C5838D +:04198900C23284B62C +:04198A000780069339 +:04198B0000B6EC6353 +:04198C000620069398 +:04198D0000B6ED6350 +:04198E001C058C6345 +:04198F000580069336 +:0419900012D58D637C +:041991000424031314 +:0419920004B4012375 +:041993008693A8058A +:04199400F693F9D5F8 +:0419950046550FF6AE +:04199600FED666E330 +:04199700068A6661F5 +:041998004A86061362 +:04199900429496B22C +:04199A0043148682EA +:04199B00042403130A +:04199C000046861368 +:04199D004298C31099 +:04199E0004E4012339 +:04199F00AA754705D9 +:0419A00023034014C9 +:0419A100F613000732 +:0419A200059308069B +:0419A300C20D00432E +:0419A4000003268393 +:0419A5006661C30CA8 +:0419A6000006D863FC +:0419A70002D0071350 +:0419A80040D006B372 +:0419A90004E401A3AE +:0419AA0048060613D2 +:0419AB00A0B947296F +:0419AC000406F61324 +:0419AD00000326838A +:0419AE00DE71C30C17 +:0419AF0086C106C225 +:0419B0004010BFD94B +:0419B10072934314D6 +:0419B200831308068D +:0419B3008663004601 +:0419B40020230002EA +:0419B50042940067F1 +:0419B6007613A801FB +:0419B70020230406DF +:0419B800DA75006775 +:0419B9000006D683CB +:0419BA000713666148 +:0419BB00061306F019 +:0419BC0087634806EF +:0419BD0047290EE5C3 +:0419BE00040401A379 +:0419BF00C40C404CC8 +:0419C0000005C863F3 +:0419C10000042303F8 +:0419C200FFB37313E9 +:0419C3000064202379 +:0419C400832AE299F7 +:0419C500832ACD990B +:0419C60002E6F5B38D +:0419C70095B2137D45 +:0419C8000005C583CE +:0419C90000B3002344 +:0419CA00D6B385B655 +:0419CB00F5E302E658 +:0419CC0046A1FEE54D +:0419CD0000D71E63BE +:0419CE008B0540182D +:0419CF004054CB11A4 +:0419D0004763481809 +:0419D100071300D721 +:0419D2000FA303005C +:0419D300137DFEE39F +:0419D4004065053332 +:0419D5004692C80866 +:0419D6008726450219 +:0419D70085A20810CD +:0419D800F0EFC41A4E +:0419D900577DD8FF5F +:0419DA001C63432225 +:0419DB00557D0CE545 +:0419DC00446240F22F +:0419DD00610544D28A +:0419DE00666180823C +:0419DF0004B402A3A7 +:0419E000480606139C +:0419E1002303400C90 +:0419E200F293000775 +:0419E300268308054A +:0419E40003110003E8 +:0419E50002028D630A +:0419E6000067202353 +:0419E7000015F713DD +:0419E800E593C701BB +:0419E900C00C020527 +:0419EA00F6B94741C2 +:0419EB00F593400C24 +:0419EC00C00CFDF539 +:0419ED004014B7915A +:0419EE000206E69374 +:0419EF000693C01487 +:0419F00066610780A5 +:0419F10004D402A375 +:0419F2004946061349 +:0419F300F293BF6547 +:0419F40020230405A3 +:0419F50083E3006721 +:0419F60006C2FC0227 +:0419F700BF7D82C16D +:0419F800BF194721AB +:0419F9004314401043 +:0419FA007293484C50 +:0419FB008313080644 +:0419FC0087630046B7 +:0419FD0020230002A1 +:0419FE0042980067A4 +:0419FF00A809C30C64 +:041A00000067202338 +:041A0100040676134E +:041A0200DA6D4298BF +:041A030000B71023F5 +:041A0400000428238F +:041A0500B781832AF8 +:041A060045814314BF +:041A070000468613FC +:041A0800A303C31061 +:041A09004050000643 +:041A0A00C41A851A5B +:041A0B004322284109 +:041A0C000533C501D8 +:041A0D00C048406528 +:041A0E00C81840585C +:041A0F00040401A327 +:041A10004814BF199E +:041A110045024592B3 +:041A12009482861A1A +:041A130000E3577D18 +:041A14004018F2E59F +:041A1500EB0D8B0941 +:041A160044484742B7 +:041A1700F0E55AE3B9 +:041A1800B739853A1B +:041A190045024592AB +:041A1A00C63A4685FD +:041A1B009482C432BB +:041A1C000EE356FD82 +:041A1D004732EED589 +:041A1E000705462250 +:041A1F0045C2445424 +:041A200041E38E8D83 +:041A2100BFC9FED764 +:041A2200061347015F +:041A2300B7FD019476 +:041A2400C222115178 +:041A2500842AC02629 +:041A2600852E64E9BC +:041A2700AE23C40620 +:041A28002A15A604D1 +:041A2900166357FDEC +:041A2A00A78300F599 +:041A2B00C391A7C4F8 +:041A2C0040A2C01CF8 +:041A2D004482441299 +:041A2E008082013180 +:041A2F000FF5F59327 +:041A30001463962A7B +:041A3100450100C5A6 +:041A320047838082E4 +:041A33008DE300053A +:041A34000505FEB7EF +:041A35008082B7FDF7 +:041A3600E581808244 +:041A3700F06F85B215 +:041A38001131FD0F5C +:041A3900C622C806F3 +:041A3A00EA11C426C3 +:041A3B00F18FF0EF48 +:041A3C0040C24781DC +:041A3D0044A2443249 +:041A3E000151853E8F +:041A3F00842E8082EF +:041A400084AAC03282 +:041A41004602203DFC +:041A420074E387A220 +:041A430085B2FEC5A5 +:041A4400C2328526FF +:041A4500F9AFF0EF16 +:041A4600DD6187AA2D +:041A470085A246121C +:041A480090EFC02A31 +:041A490085A2CA5F49 +:041A4A00F0EF85260E +:041A4B004782EDAF32 +:041A4C00A783B7C9EC +:041A4D008513FFC539 +:041A4E00D563FFC796 +:041A4F0095AA00074D +:041A5000953E419CE2 +:041A51004701808247 +:041A520000E6146333 +:041A53008082450147 +:041A540000E507B3EF +:041A550086B3070548 +:041A5600C78300E55D +:041A5700C68300073B +:041A580083E3FFF62F +:041A59008533FED7FC +:041A5A00808240D76F +:041A5B00167DC60D21 +:041A5C0007B3470184 +:041A5D0086B300E567 +:041A5E00C78300E555 +:041A5F00C683000733 +:041A60009463000685 +:041A6100156300D732 +:041A6200853300C701 +:041A6300808240D766 +:041A6400F3E507059A +:041A65004501BFDD9B +:041A660087AA808249 +:041A6700C703CA09DE +:041A680005850005EB +:041A69008FA30785BB +:041A6A00167DFEE700 +:041A6B00963EFB6543 +:041A6C0000C79363B9 +:041A6D0007858082E7 +:041A6E00FE078FA33D +:041A6F0095AABFD5A0 +:041A7000856387AA59 +:041A7100C70300B7F0 +:041A7200E701000781 +:041A730040A78533D0 +:041A740007858082E0 +:041A750063E9B7FD6D +:041A7600A883A78317 +:041A7700C222115125 +:041A7800842AC406F2 +:041A7900A883839328 +:041A7A004501E79D9E +:041A7B00460145815A +:041A7C004701468157 +:041A7D000D60029363 +:041A7E0000000073F1 +:041A7F001A6357FD92 +:041A8000283500F510 +:041A8100C11C47B18C +:041A820040A2557DAC +:041A830001314412D7 +:041A8400A023808299 +:041A8500A30300A314 +:041A86004581000393 +:041A870005334601DC +:041A8800468100642F +:041A89004781470149 +:041A8A000D60029356 +:041A8B0000000073E4 +:041A8C0018E3941AAD +:041A8D00A023FC8511 +:041A8E00851A00A312 +:041A8F0067E5B7F957 +:041A90001347A50350 +:041A9100000080824F :041A92000000000050 :041A9300000000004F :041A9400000000004E @@ -7016,10 +7016,10 @@ :041B67006470752011 :041B680064657461DB :041B69000000000078 -:041B6A000001456EC3 -:041B6B00000145B080 -:041B6C00000145B877 -:041B6D00000145C06E +:041B6A000001456CC5 +:041B6B00000145AE82 +:041B6C00000145B679 +:041B6D00000145BE70 :041B6E0064616F4CF3 :041B6F002E676E6906 :041B700000002E2E15 @@ -7086,8 +7086,8 @@ :041BAD00252E752547 :041BAE006175322EFD :041BAF004F20402063 -:041BB000202074631A -:041BB1003032203876 +:041BB0003120746309 +:041BB1003032203975 :041BB20000003332CA :041BB300656E694CA6 :041BB400746C756D6B @@ -7118,42 +7118,42 @@ :041BCD00073F3F018E :041BCE0003030F0FEF :041BCF00000103010D -:041BD00000014EAA18 -:041BD10000014F6A56 -:041BD20000014F6A55 -:041BD30000014F803E -:041BD40000014F803D -:041BD50000014F8A32 -:041BD60000014F8E2D -:041BD70000014F9C1E -:041BD80000014F9C1D -:041BD90000014FAE0A -:041BDA000001552091 -:041BDB000001552888 -:041BDC000001551897 -:041BDD00000155248A -:041BDE000001552C81 -:041BDF000001551C90 -:041BE0000001557833 -:041BE100000155307A -:041BE2000001553475 -:041BE3000001553870 -:041BE400000155782F -:041BE500000155782E -:041BE600000155782D -:041BE700000155782C -:041BE800000155782B -:041BE90000015B3E5E -:041BEA000001557C25 -:041BEB00000157405E -:041BEC000001574E4F -:041BED00000157D8C4 -:041BEE00000158108A -:041BEF000001581089 -:041BF0000001586434 -:041BF1000001595E38 -:041BF2000001595E37 -:041BF30000015A464D +:041BD00000014EA81A +:041BD10000014F6858 +:041BD20000014F6857 +:041BD30000014F7E40 +:041BD40000014F7E3F +:041BD50000014F8834 +:041BD60000014F8C2F +:041BD70000014F9A20 +:041BD80000014F9A1F +:041BD90000014FAC0C +:041BDA000001551E93 +:041BDB00000155268A +:041BDC000001551699 +:041BDD00000155228C +:041BDE000001552A83 +:041BDF000001551A92 +:041BE0000001557635 +:041BE1000001552E7C +:041BE2000001553277 +:041BE3000001553672 +:041BE4000001557631 +:041BE5000001557630 +:041BE600000155762F +:041BE700000155762E +:041BE800000155762D +:041BE90000015B3C60 +:041BEA000001557A27 +:041BEB000001573E60 +:041BEC000001574C51 +:041BED00000157D6C6 +:041BEE000001580E8C +:041BEF000001580E8B +:041BF0000001586236 +:041BF1000001595C3A +:041BF2000001595C39 +:041BF30000015A444F :041BF4006E692048AE :041BF500767265742B :041BF60000006C611E @@ -8488,28 +8488,28 @@ :042127006261393880 :042128006665646321 :0421290000000000B2 -:04212A000001666CDE -:04212B0000016682C7 -:04212C000001664602 -:04212D000001664601 -:04212E000001664600 -:04212F0000016646FF -:0421300000016682C2 -:0421310000016646FD -:0421320000016646FC -:0421330000016646FB -:0421340000016646FA -:04213500000167E658 -:04213600000166C47A -:04213700000167B884 -:0421380000016646F6 -:0421390000016646F5 -:04213A000001681A1E -:04213B0000016646F3 -:04213C00000166C474 -:04213D0000016646F1 -:04213E0000016646F0 -:04213F00000167C074 +:04212A000001666AE0 +:04212B0000016680C9 +:04212C000001664404 +:04212D000001664403 +:04212E000001664402 +:04212F000001664401 +:0421300000016680C4 +:0421310000016644FF +:0421320000016644FE +:0421330000016644FD +:0421340000016644FC +:04213500000167E45A +:04213600000166C27C +:04213700000167B686 +:0421380000016644F8 +:0421390000016644F7 +:04213A000001681820 +:04213B0000016644F5 +:04213C00000166C276 +:04213D0000016644F3 +:04213E0000016644F2 +:04213F00000167BE76 :04214000C896554B9D :042141000000000B8F :042142000001870011 @@ -9065,17 +9065,17 @@ :042368000000000071 :04236900000175AC4E :04236A00000000046B -:04236B00000145F830 +:04236B00000145F632 :04236C00000000006D :04236D00000000006C :04236E00000175C035 :04236F000000000466 -:0423700000013D8E9D +:0423700000013D8C9F :042371000000000068 :042372000000000067 :04237300000175D41C :042374000000000461 -:042375000001420819 +:04237500000142061B :042376000000000063 :042377000000000062 :04237800000177D019 @@ -9269,7 +9269,7 @@ :0424340000000000A4 :04243500000190D43E :04243600000190D43D -:0424370000016A40F6 +:0424370000016A3EF8 :04243800000172A08D :04243900000172B07C :04243A000001719C90 diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 5b38af3..0e165a9 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -570,12 +570,14 @@ void program_mode() cm.id = retval; vm_sel = cm.id; + pll_h_total = (vm_conf.h_skip+1) * vmode_in.timings.h_total + (((vm_conf.h_skip+1) * vmode_in.timings.h_total_adj * 5 + 50) / 100); + // Double TVP7002 PLL sampling rate when possible to minimize jitter while (1) { - pll_h_total = (vm_conf.h_skip+1) * vmode_in.timings.h_total + (((vm_conf.h_skip+1) * vmode_in.timings.h_total_adj * 5 + 50) / 100); pclk_i_hz = h_hz * pll_h_total; if ((pclk_i_hz < 25000000UL) && ((vm_conf.si_pclk_mult % 2) == 0)) { + pll_h_total *= 2; vm_conf.h_skip = 2*(vm_conf.h_skip+1)-1; vm_conf.si_pclk_mult /= 2; } else {