From 8e7236dc00ae98cdcfd2b3dd98fb396b4168002d Mon Sep 17 00:00:00 2001 From: marqs Date: Thu, 10 Oct 2019 01:00:48 +0300 Subject: [PATCH] timing optimizations --- ip/osd_generator/osd_generator_top.sv | 27 +- ossc.qsf | 2 +- .../mem_init/sys_onchip_memory2_0.hex | 12710 ++++++++-------- sys.sopcinfo | 4 +- 4 files changed, 6381 insertions(+), 6362 deletions(-) diff --git a/ip/osd_generator/osd_generator_top.sv b/ip/osd_generator/osd_generator_top.sv index 4b2f6fd..838d682 100644 --- a/ip/osd_generator/osd_generator_top.sv +++ b/ip/osd_generator/osd_generator_top.sv @@ -50,7 +50,7 @@ reg [31:0] osd_config; reg [10:0] xpos_osd_area_scaled, xpos_text_scaled; reg [10:0] ypos_osd_area_scaled, ypos_text_scaled; reg [7:0] x_ptr[2:5], y_ptr[2:5] /* synthesis ramstyle = "logic" */; -reg osd_act_pp[2:5],osd_text_act_pp[2:5]; +reg osd_text_act_pp[2:5], osd_act_pp[3:5]; reg [14:0] to_ctr, to_ctr_ms; wire render_enable = osd_config[0]; @@ -97,19 +97,21 @@ char_rom char_rom_inst ( ); // Pipeline structure -// | 1 | 2 | 3 | 4 | 5 | 6 | -// |-------------|------------|----------|---------|---------|------------| -// | xpos_scaled | x_ptr | x_ptr | x_ptr | x_ptr | | -// | ypos_scaled | y_ptr | y_ptr | y_ptr | y_ptr | | -// | | osd_act | osd_act | osd_act | osd_act | osd_enable | -// | | char_idx | char_idx | CBUF | CBUF | osd_color | +// | 0 | 1 | 2 | 3 | 4 | 5 | +// |----------|----------|---------|---------|---------|--------| +// > POS_TEXT | POS_AREA | | | | | +// > | PTR | PTR | PTR | PTR | | +// > | ENABLE | ENABLE | ENABLE | ENABLE | ENABLE | +// > | INDEX | INDEX | | | | +// > | | | CHARROM | CHARROM | COLOR | integer idx, pp_idx; always @(posedge vclk) begin - xpos_osd_area_scaled <= xpos_scaled_w + 3'h4; - ypos_osd_area_scaled <= ypos_scaled_w + 3'h4; xpos_text_scaled <= xpos_scaled_w; ypos_text_scaled <= ypos_scaled_w; + xpos_osd_area_scaled <= xpos_text_scaled + 3'h4; + ypos_osd_area_scaled <= ypos_text_scaled + 3'h4; + x_ptr[2] <= xpos_text_scaled[7:0]; y_ptr[2] <= ypos_text_scaled[7:0]; for(pp_idx = 3; pp_idx <= 5; pp_idx = pp_idx+1) begin @@ -117,13 +119,16 @@ always @(posedge vclk) begin y_ptr[pp_idx] <= y_ptr[pp_idx-1]; end - osd_act_pp[2] <= render_enable & (menu_active || (to_ctr_ms > 0)) & ((xpos_osd_area_scaled < 8*(CHAR_COLS+1)) && (ypos_osd_area_scaled < 8*(CHAR_ROWS+1))); osd_text_act_pp[2] <= render_enable & (menu_active || (to_ctr_ms > 0)) & ((xpos_text_scaled < 8*CHAR_COLS) && (ypos_text_scaled < 8*CHAR_ROWS)); for(pp_idx = 3; pp_idx <= 5; pp_idx = pp_idx+1) begin - osd_act_pp[pp_idx] <= osd_act_pp[pp_idx-1]; osd_text_act_pp[pp_idx] <= osd_text_act_pp[pp_idx-1]; end + osd_act_pp[3] <= render_enable & (menu_active || (to_ctr_ms > 0)) & ((xpos_osd_area_scaled < 8*(CHAR_COLS+1)) && (ypos_osd_area_scaled < 8*(CHAR_ROWS+1))); + for(pp_idx = 4; pp_idx <= 5; pp_idx = pp_idx+1) begin + osd_act_pp[pp_idx] <= osd_act_pp[pp_idx-1]; + end + osd_enable <= osd_act_pp[5]; osd_color = osd_text_act_pp[5] ? char_data[y_ptr[5]][x_ptr[5]] : 1'b0; end diff --git a/ossc.qsf b/ossc.qsf index 533bb81..046aad7 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -218,7 +218,7 @@ set_global_assignment -name ENABLE_SIGNALTAP OFF set_global_assignment -name USE_SIGNALTAP_FILE output_files/ossc_la.stp set_global_assignment -name FITTER_EFFORT "AUTO FIT" -set_global_assignment -name SEED 4 +set_global_assignment -name SEED 1 diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 56ce1f8..4476076 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -45,9 +45,9 @@ :04002C000000911728 :04002D00F5010113C5 :04002E000000871730 -:04002F004607071366 +:04002F0049870713E3 :04003000000097979E -:04003100A387879387 +:04003100A707879303 :0400320000F7576319 :04003300000720237F :04003400DDE30711F0 @@ -56,41 +56,41 @@ :04003700C4064501B5 :04003800200520057A :04003900A60367E1D2 -:04003A0067E15187A2 -:04003B0051C7A58381 +:04003A0067E155071E +:04003B005547A583FD :04003C0040A267E196 -:04003D005207A503BE +:04003D005587A5033B :04003E00206F0131FD -:04003F00A3A161A078 +:04003F00A3A163C056 :0400400067E1808272 :04004100000207377B -:0400420052E7A423BA +:0400420056E7A023BA :0400430007B7656135 :040044006761019C53 :04004500CC078793CA -:040046009805051301 -:0400470052F7222327 +:040046009B8505137E +:0400470054F72E2319 :040048006761AE49F5 -:0400490052472703F0 +:0400490055C727036D :04004A00A78367E140 -:04004B00557D528706 +:04004B00557D560782 :04004C004721CB116C :04004D006741C3D86C :04004E00C798177DBB :04004F004711C7D8B6 :040050004501C3D8CB :0400510067E1808261 -:040052005247A783E7 +:0400520055C7A78364 :04005300CF91557D77 :04005400A70367E1B6 -:040055002823528783 +:0400550028235607FF :040056004B1C000738 :0400570007C24B4849 :0400580083C1054219 :0400590045138D5D61 :04005A008082FFF5AC :04005B00A50367E1B1 -:04005C008082524705 +:04005C00808255C782 :04005D002703C93973 :04005E0011510C052B :04005F0000859793EE @@ -174,7 +174,7 @@ :0400AD00953E8626D0 :0400AE00CC3ACE1A60 :0400AF00C836CA166F -:0400B000500050EFBD +:0400B000522050EF9B :0400B10007B3101071 :0400B200C03E0096B6 :0400B3008793678543 @@ -273,7 +273,7 @@ :04011000C222853A48 :040111008436C40666 :0401120050EFC026C4 -:04011300872A3760A0 +:04011300872A39807E :0401140025B76605A0 :04011500652100025E :040116000613468105 @@ -308,7 +308,7 @@ :04013300E963FEB7C7 :0401340066D902F690 :040135008693078A1C -:0401360097B63F86B3 +:0401360097B641C671 :040137008782439CDC :040138002000079309 :040139000D05268307 @@ -341,7 +341,7 @@ :04015400D918DD5C7D :0401550002052A2352 :04015600C134DD10C3 -:0401570044858593C3 +:04015700480585933F :0401580040A222019E :04015900853E478117 :04015A00808201316D @@ -408,7 +408,7 @@ :04019700BFD5078544 :04019800451CC11928 :0401990067E1EF919A -:04019A004447A783AC +:04019A0047C7A78329 :04019B001151C395A6 :04019C009782C4067C :04019D0047D940A25C @@ -419,7 +419,7 @@ :0401A200C188C3C885 :0401A3008082450110 :0401A4000513656575 -:0401A50047D9AF4542 +:0401A50047D9B2C5BF :0401A6005529C11CFA :0401A70067338082B8 :0401A80053FD00B54E @@ -601,7 +601,7 @@ :04025800BF59013158 :04025900C22211515B :04025A0004136461C4 -:04025B00458353C4C0 +:04025B00458357443C :04025C004515002420 :04025D003749C40653 :04025E0000244783AE @@ -677,9 +677,9 @@ :0402A400359D0C5028 :0402A50064E14702C7 :0402A6008693479262 -:0402A700C69853C4DE +:0402A700C69857445A :0402A80084934705EF -:0402A900440953C4ED +:0402A9004409574469 :0402AA008363462202 :0402AB00442900E7FB :0402AC00822347055D @@ -859,12 +859,12 @@ :04035A008432C036F3 :04035B003669CA062F :04035C00676146820D -:04035D0053C7061369 +:04035D0057470613E5 :04035E0097938A8562 :04035F0046830016BB :040360009AF500C644 :0403610007938EDD93 -:04036200062353C754 +:0403620006235747D0 :04036300C03E00D6C2 :04036400C2998A8927 :040365003F494505C2 @@ -905,11 +905,11 @@ :0403880047C104F471 :040389009F6365E128 :04038A00460D14F414 -:04038B00450585930C +:04038B004885859389 :04038C00073005131E :04038D0065E13CC921 :04038E0085934649C4 -:04038F00A835A845A0 +:04038F00A835ABC51D :040390000405E593E8 :04039100E593BFA58C :04039200BF8D08050E @@ -920,11 +920,11 @@ :040397007413FA04DD :04039800B7750FF432 :04039900460D65E1C7 -:04039A0045458593BD +:04039A0048C585933A :04039B00073005130F :04039C0065E13C5982 :04039D0085934649B5 -:04039E000513A98515 +:04039E000513AD0591 :04039F00F0EF076014 :0403A0004489A89F45 :0403A1000720051319 @@ -986,17 +986,17 @@ :0403D9000161450574 :0403DA0065E18082D7 :0403DB008593460DB3 -:0403DC00051345457B +:0403DC00051348C5F8 :0403DD00F0EF073006 :0403DE0065E1991F1D :0403DF008593464973 -:0403E000BDE5A705CB +:0403E000BDE5AA8548 :0403E1008593460DAD -:0403E20005134505B5 +:0403E2000513488532 :0403E300F0EF073000 :0403E40065E1979F99 :0403E500859346496D -:0403E600B5C5A5C52F +:0403E600B5C5A945AB :0403E7004481440108 :0403E80045E1B5D561 :0403E90006200513D2 @@ -1021,8 +1021,8 @@ :0403FC000131059036 :0403FD00905FF06FAE :0403FE00879367E199 -:0403FF006761980793 -:0404000054F7262364 +:0403FF0067619B8710 +:0404000058F7222364 :040401000C87A703BA :04040200F38005136B :04040300A703CB017F @@ -1031,7 +1031,7 @@ :04040600450100F7B5 :0404070067E18082A7 :0404080085AA86AE8D -:0404090054C7A5032C +:040409005847A503A8 :04040A00C4061151C2 :04040B00BF0FF0EF40 :04040C000513C119FA @@ -1043,7 +1043,7 @@ :0404120086AA0FF4B3 :040413000622872E08 :04041400C38564E157 -:0404150054C4A50323 +:040415005844A5039F :04041600F0047593E6 :04041700F0EF05A25B :04041800C1199D8FDA @@ -1051,7 +1051,7 @@ :04041A00444240D246 :04041B00016144B285 :04041C00C22A8082EE -:04041D0054C4A5031B +:04041D005844A50397 :04041E0085B2C42EB1 :04041F00F0EFC03208 :04042000460296CF2B @@ -1097,19 +1097,19 @@ :040448000014B613D3 :04044900853685BAB5 :04044A0030EFC23697 -:04044B00872A264096 +:04044B00872A286074 :04044C001004849381 :04044D00B77D46929F :04044E00C422114172 :04044F00C02A6461FA -:040450005504051337 +:0404500058840513B4 :0404510040EFC606AC -:04045200458239C0E6 +:0404520045823BE0C4 :040453000713E90999 :0404540046812000BD :040455000513460144 -:0404560040EF55041A -:0404570040B24D0062 +:0404560040EF588497 +:0404570040B24F2040 :0404580001414422F8 :04045900112180826B :04045A00C626C822C8 @@ -1124,9 +1124,9 @@ :040463000103171367 :04046400834167E188 :040465008622468124 -:04046600550785139E +:04046600588785131B :04046700C21AC41ED3 -:0404680048A040EF79 +:040468004AC040EF57 :0404690043A2431255 :04046A000533C9018C :04046B0040D240A09B @@ -1141,40 +1141,40 @@ :0404740084B393BA00 :04047500B7454064E3 :04047600A78367E110 -:040477001141458763 +:0404770011414907DF :040478006461C422D5 -:04047900568405930D +:040479005A04059389 :04047A00851346415F :04047B00C60600476A :04047C00C03EC22696 -:04047D0073E040EFF9 +:04047D00760040EFD6 :04047E0064E147826C -:04047F005A04859303 +:04047F005D84859380 :040480008513464159 :0404810040EF014700 -:04048200051372C02C -:040483004422568435 +:04048200051374E00A +:0404830044225A04B1 :04048400859340B26A -:0404850044925A043F +:0404850044925D84BC :04048600306F014191 -:0404870067E13DE00C -:040488004587A7837A +:0404870067E14000E9 +:040488004907A783F6 :04048900C422114137 :04048A000593646111 -:04048B00464157C4CB +:04048B0046415B4447 :04048C00004785138D :04048D00C226C606B7 :04048E0040EFC03E3D -:04048F0047826F80B1 +:04048F00478271A08F :04049000859364E10B -:0404910046416184FB +:040491004641650477 :040492000147851386 -:040493006E6040EF68 -:0404940057C4051331 +:04049300708040EF46 +:040494005B440513AD :0404950040B244220B -:040496006184859365 +:0404960065048593E1 :040497000141449249 -:040498003980306F08 +:040498003BA0306FE6 :04049900C406115133 :04049A00842AC222CC :04049B00949FF0EF4B @@ -1182,11 +1182,11 @@ :04049D00A31FF0EFBA :04049E000563478922 :04049F00646102F49E -:0404A00063040413DA +:0404A0006684041357 :0404A100460367E1C6 :0404A2004583037417 :0404A300A503036446 -:0404A400F0EF560718 +:0404A400F0EF598795 :0404A5004503F86FA4 :0404A6004412036495 :0404A700013140A23D @@ -1201,9 +1201,9 @@ :0404B000450145813C :0404B100909FF0EF39 :0404B20007936461E7 -:0404B300C5035B44DE +:0404B300C5035EC45B :0404B4004785010770 -:0404B5005B4404138D +:0404B5005EC404130A :0404B60000A035333A :0404B70046010505F0 :0404B80000F4936356 @@ -1213,7 +1213,7 @@ :0404BC00A6BFF0EFF8 :0404BD00896347897F :0404BE00636102F480 -:0404BF0063030313BD +:0404BF00668303133A :0404C00000F447837A :0404C10002434703A8 :0404C20001144503D9 @@ -1221,7 +1221,7 @@ :0404C4004601468126 :0404C500F0EF0586C9 :0404C60067E1CA6FB1 -:0404C7006307831331 +:0404C70066878313AE :0404C8000243478321 :0404C90004F4012313 :0404CA003F2D852617 @@ -1231,48 +1231,48 @@ :0404CE0011519F3FEA :0404CF00C222C4067B :0404D00067E1E559A2 -:0404D1005B4787936B +:0404D1005EC78793E8 :0404D200043743D4D4 :0404D3000413019C71 :0404D4005433CC04CD :0404D500868302D444 :0404D600467100A7C4 :0404D700879367E1BF -:0404D80086B36E4732 +:0404D80086B371C7AF :0404D90097B602C60A :0404DA0000E7D783DD :0404DB00043346A1FF :0404DC0067E102F4DE -:0404DD0058F7C78382 +:0404DD005C77C783FE :0404DE0002D78D6351 :0404DF00896346C126 :0404E000471100D7E9 :0404E10004E7916338 -:0404E20008B030EF3F +:0404E2000AD030EF1D :0404E300A8294505FA :0404E40001C9C7B7CC :0404E50037F78793CB :0404E600E363872A1B :0404E700473D008706 :0404E80030EF853A32 -:0404E900450D0710A6 +:0404E900450D093084 :0404EA0040A24412D6 :0404EB00306F01313C -:0404EC0030EF42C0EB -:0404ED00B537061009 +:0404EC0030EF44E0C9 +:0404ED00B5370830E7 :0404EE00051304C42A :0404EF0035333FF56D :0404F0000509008575 :0404F10030EFB7D55C -:0404F200450104D0EC +:0404F200450106F0CA :0404F30067E1BFF10D -:0404F4006307871300 +:0404F400668787137D :0404F50002E7468351 :0404F600841347091B -:0404F700453D630715 +:0404F700453D668792 :0404F80000E6836334 :0404F90030EF45019A -:0404FA00478302D062 +:0404FA00478304F040 :0404FB00470902E4C7 :0404FC007BE3450D4C :0404FD004515FAF7B0 @@ -1280,37 +1280,37 @@ :0404FF00B76D0FF5D1 :04050000F8634785D0 :0405010067E100A707 -:0405020058F7C503DE +:040502005C77C5035A :040503003513156136 :0405040047E10015B6 :0405050002F50533C3 :04050600879367DD93 -:04050700953E4B874B -:040508006FA0306F41 +:04050700953E4F07C7 +:0405080071C0306F1F :0405090026036761FD -:04050A00110146474E +:04050A00110149C7CB :04050B00CE0667E1D0 :04050C00CA26CC220D :04050D006A0787935F :04050E0000364683EA :04050F00E299829D4E :04051000FBFD17FDDB -:04051100243030EF73 +:04051100265030EF51 :04051200869367E184 -:04051300C5835B47FA +:04051300C5835EC777 :04051400C23E00B62D -:040515005B47849329 +:040515005EC78493A6 :0405160082AA67E16D -:0405170046478713B9 +:0405170049C7871336 :040518004314C59132 :040519000026C7836E :04051A000037F5931E :04051B000370051351 :04051C00C62EC81609 -:04051D003E4030EF3D +:04051D00406030EF1B :04051E000513C02AD7 :04051F0030EF038036 -:0405200043023DA0B5 +:0405200043023FC093 :0405210016936605C2 :040522000613008537 :040523008EF1F0065F @@ -1320,11 +1320,11 @@ :040527000390051325 :0405280084A3C036B2 :0405290030EF00C4EB -:04052A00C42A3B2084 +:04052A00C42A3D4062 :04052B0003A0051311 -:04052C003A8030EFF2 +:04052C003CA030EFD0 :04052D00871367E1E8 -:04052E0043104647E9 +:04052E00431049C766 :04052F00468245B209 :040530000026470357 :04053100531342C25C @@ -1349,8 +1349,8 @@ :04054400C303478521 :04054500666100B437 :0405460006136761D0 -:04054700041352C681 -:040548001D636307C5 +:0405470004135646FD +:040548001D63668742 :0405490082632403A2 :04054A008063460282 :04054B0005834605D9 @@ -1366,7 +1366,7 @@ :04055500633300A369 :04055600471200E365 :04055700260365E131 -:0405580005135B47E5 +:0405580005135EC762 :040559006D630016B8 :04055A00851300D530 :04055B0069630016BA @@ -1374,7 +1374,7 @@ :04055D0000661663BB :04055E000084C6034C :04055F0040F60A63F5 -:0405600060058A2385 +:040560006405862385 :040561000194458339 :040562000374C60355 :040563000AC59063D2 @@ -1428,7 +1428,7 @@ :0405930000A4860337 :04059400063345F1F4 :0405950065E102B664 -:040596006E45859396 +:0405960071C5859313 :040597004A10962E42 :0405980020D00593D7 :04059900761382153E @@ -1445,7 +1445,7 @@ :0405A40045F100A479 :0405A50002B6063361 :0405A600859365E1F3 -:0405A700962E6E45D9 +:0405A700962E71C556 :0405A80005934A105D :0405A90082151C108B :0405AA007FF676134F @@ -1456,11 +1456,11 @@ :0405AF00771346096F :0405B000C03A0FF648 :0405B1004603676135 -:0405B200CE4162A72D +:0405B200CE416627A9 :0405B30000A483839A :0405B40062E1467149 :0405B50002C383B347 -:0405B6006E428613F8 +:0405B60071C2861375 :0405B7000124C583D3 :0405B800C0834515A2 :0405B90093B20454A1 @@ -1482,14 +1482,14 @@ :0405C9008A05820518 :0405CA00C436C61A53 :0405CB0030EFC83E07 -:0405CC0086032E2054 +:0405CC008603304032 :0405CD0045F100A450 :0405CE00063367E1A8 :0405CF00829302B65B -:0405D000C5836E472A +:0405D000C58371C7A7 :0405D10092B20124BD :0405D2000162C503FA -:0405D30056A030EF0F +:0405D30058C030EFED :0405D40089A34702AE :0405D50046A200A496 :0405D60047C24332A3 @@ -1497,7 +1497,7 @@ :0405D8004712C03ACC :0405D9000064A223F5 :0405DA0000F4842382 -:0405DB005AD72A239E +:0405DB005ED726239E :0405DC000585A03DB4 :0405DD0000B6002341 :0405DE00B3F1C002B3 @@ -1510,7 +1510,7 @@ :0405E500000485A3E6 :0405E60096E3C03A9E :0405E70067E1DA05E9 -:0405E8006307C603DC +:0405E8006687C60359 :0405E90001E4C683E0 :0405EA000CD61A63AE :0405EB0000144603AF @@ -1527,14 +1527,14 @@ :0405F6000AD61263AC :0405F700656165E1F4 :0405F800859346158C -:0405F90005135DA5E4 -:0405FA0030EF6385F6 -:0405FB00E5597B1033 +:0405F9000513612560 +:0405FA0030EF670572 +:0405FB00E5597D3011 :0405FC00656165E1EF :0405FD008593461983 -:0405FE0005135DF58F -:0405FF0030EF63D5A1 -:04060000ED2D79D093 +:0405FE00051361750B +:0405FF0030EF67551D +:04060000ED2D7BF071 :040601000044460368 :040602000224C68385 :0406030006D618639C @@ -1570,22 +1570,22 @@ :0406210002A44503E7 :040622000484C68303 :0406230000A6846346 -:0406240045E030EF8E +:04062400480030EF6B :0406250002B44503D3 :040626000494C683EF :0406270000A6846342 -:040628000F6030EF40 +:04062800118030EF1E :0406290002C44503BF :04062A0004A4C683DB :04062B0000A684633E -:04062C000F0030EF9C +:04062C00112030EF7A :04062D0002F445038B :04062E0004D4C683A7 :04062F0003044583F8 :0406300000A6966327 :0406310004E4C68394 :0406320000B6846327 -:040633000AC030EFDA +:040633000CE030EFB8 :0406340002944503E4 :040635000474C68300 :0406360000A6846333 @@ -1597,15 +1597,15 @@ :04063C0002D445039C :04063D0004B4C683B8 :04063E0000A684632B -:04063F0033A030EFC5 +:04063F0035C030EFA3 :0406400065E166E129 -:0406410066A6851311 +:040641006A2685138D :040642008593461D39 -:0406430030EF60C56F -:0406440066E168D033 +:0406430030EF6445EB +:0406440066E16AF011 :040645008513C5094B -:0406460030EF66A685 -:04064700460308E07E +:0406460030EF6A2601 +:0406470046030B005B :04064800C6830364FE :0406490018630544E9 :04064A00460300D68D @@ -1614,31 +1614,31 @@ :04064D00450300D68B :04064E00F0EF023493 :04064F0066E192BF0F -:0406500062B6C68345 +:040650006636C683C1 :040651004503CE810E :04065200C703038453 :0406530007630564D0 :04065400155100A795 :040655008561056254 -:04065600355020EF0C +:04065600377020EFEA :04065700859367E13F -:04065800851363079C +:040658008513668719 :04065900061301E49F :04065A0030EF042059 -:04065B0040F2657094 +:04065B0040F2679072 :04065C0067E14462AC -:04065D006207852388 +:04065D006607812388 :04065E0044D245023B :04065F00808261052F :040660000023137DE3 :04066100C00200666D :040662000023BD09AB :04066300BFE50006E9 -:040664006145C60323 +:0406640064C5C603A0 :0406650007E345055D :040666000605BEA621 :040667000FF6761301 -:0406680060C58A23BC +:0406680064C58623BC :04066900BEA610E336 :04066A004589470275 :04066B000001460341 @@ -1648,15 +1648,15 @@ :04066F000113B6E1DC :0406700067E1FC81C1 :04067100D826DA228B -:040672005B47871348 +:040672005EC78713C5 :0406730000A7048355 :040674006561467105 :0406750002C4863302 -:040676006E45069334 +:0406760071C50693B1 :0406770001F74583BF :040678000127428391 -:040679005B478793C1 -:04067A006E450313B3 +:040679005EC787933E +:04067A0071C5031330 :04067B00D60396B25A :04067C00C40300C6ED :04067D00CC32014634 @@ -1774,7 +1774,7 @@ :0406ED0066A12EE5EF :0406EE0098634719AD :0406EF0066E130D5BB -:0406F00058F6C603EF +:0406F0005C76C6036B :0406F100156346A1A6 :0406F200040600D624 :0406F30080410442FC @@ -1872,7 +1872,7 @@ :04074F0057A28CDD44 :0407500007FE8CE92B :0407510067E18CDDF3 -:040752004647A783EC +:0407520049C7A78369 :0407530000C7C58393 :0407540000D7862321 :040755000083569334 @@ -1974,13 +1974,13 @@ :0407B5001111B1ED80 :0407B600CA2267E10B :0407B700646147052D -:0407B80060E78A2349 -:0407B9005B44079303 +:0407B80064E7862349 +:0407B9005EC4079380 :0407BA000047A283CF :0407BB00CC06C8267A -:0407BC005B44049303 +:0407BC005EC4049380 :0407BD001C028D632A -:0407BE005B4427036E +:0407BE005EC42703EB :0407BF001C070963A7 :0407C000A0EEC7B729 :0407C100B007879363 @@ -1993,64 +1993,64 @@ :0407C8000257D2B34F :0407C90003B0051361 :0407CA00C21EC6166F -:0407CB0012D020EF39 +:0407CB0014F020EF17 :0407CC000513C42A23 :0407CD0020EF03C056 -:0407CE00C78312309B +:0407CE00C783145079 :0407CF00C60301C498 :0407D00043920084CC :0407D1000027971353 :0407D200879367D9C9 -:0407D30097BA480782 +:0407D30097BA4A4740 :0407D4002703439420 -:0407D50007935B44E7 +:0407D50007935EC464 :0407D60042B2070024 :0407D7000793E21989 :0407D80066370690EA :0407D9006561000155 -:0407DA0055C60613E7 +:0407DA005946061363 :0407DB00051345C5F8 -:0407DC00C21657C525 +:0407DC00C2165B45A1 :0407DD0030EFC61E15 -:0407DE004292123001 +:0407DE0042921450DF :0407DF00079343B287 :0407E0000693064036 :0407E10065613E8090 :0407E20002F2D73315 :0407E300051345C5F0 -:0407E400F633618502 +:0407E400F63365057E :0407E500C23A02D33F :0407E60002F2F2B376 :0407E700472987BA5D :0407E80002E657339B :0407E900000166376E :0407EA000613C0161C -:0407EB00D6B35646E5 +:0407EB00D6B359C662 :0407EC0030EF02D315 -:0407ED0067E10E7042 -:0407EE006C37C7831A +:0407ED0067E1109020 +:0407EE006FB7C78397 :0407EF0067E1EF814E -:0407F0004587A7038F +:0407F0004907A7030B :0407F1000007478333 :0407F2000027E79362 :0407F30000F70023E8 :0407F400A4EFF0EF8F :0407F500468367616F -:0407F60046126297AE +:0407F600461266172A :0407F7000084C58332 -:0407F8005B44250336 -:0407F9006E7020EF0F +:0407F8005EC42503B3 +:0407F900709020EFED :0407FA00676157FDDF :0407FB000EF508638C :0407FC0000A48523AD :0407FD0000A487834A :0407FE00467166E1F9 -:0407FF006CF68123F0 +:0407FF006EF68D23E2 :0408000002C787B3F1 :040801000613646115 -:04080200C6836E44F7 +:04080200C68371C474 :040803004703012482 -:04080400C2836297B2 +:04080400C28366172E :0408050097B204544E :0408060046154B8CBC :0408070085B389FD2F @@ -2065,10 +2065,10 @@ :040810009B2381C1E4 :04081100C50300B467 :0408120006930177D1 -:04081300C2366E4437 +:04081300C23671C4B4 :040814008D79897DD4 -:0408150007A367616D -:04081600472258A776 +:0408150003A3676171 +:0408160047225CA772 :04081700771346818C :0408180007330FF79C :04081900573302B798 @@ -2077,7 +2077,7 @@ :04081C004F940002F3 :04081D008A858285C1 :04081E000FF7771346 -:04081F004E7020EF08 +:04081F00509020EFE6 :0408200004C4C50344 :04082100AB6FF0EFDA :040822000474C50392 @@ -2088,7 +2088,7 @@ :04082700471202E78B :04082800C50397BAB3 :0408290020EF016754 -:04082A00C78341102F +:04082A00C78343300D :04082B0089A300C4D9 :04082C00470D00A4D0 :04082D0002F7686303 @@ -2106,8 +2106,8 @@ :04083900440547111A :04083A00FCE79EE356 :04083B002683676148 -:04083C000613460752 -:04083D00C7034607A0 +:04083C0006134987CF +:04083D00C70349871D :04083E00831D0036E0 :04083F00C703FF6D7F :04084000B793000664 @@ -2120,8 +2120,8 @@ :040847000513C43A97 :040848001D630047E5 :0408490065D910F469 -:04084A0053458593FA -:04084B00694030EFE1 +:04084A0055858593B8 +:04084B006B6030EFBF :04084C004783472275 :04084D00E793000726 :04084E00002300275C @@ -2137,7 +2137,7 @@ :04085800C7932BD740 :0408590086BE001740 :04085A0006136661BA -:04085B00420C458680 +:04085B00420C4906FC :04085C00078E8B8DEB :04085D000015C703B8 :04085E009B1D069642 @@ -2167,11 +2167,11 @@ :04087600C70302E7CB :04087700070500E48D :0408780002E787B359 -:040879002023676170 -:04087A001A6356F7B0 +:040879002C23676164 +:04087A001A6358F7AE :04087B00838506D695 -:04087C0056F72023E8 -:04087D005607268371 +:04087C0058F72C23DA +:04087D0059872683EE :04087E000511073722 :04087F00F407071360 :040880006B634785DA @@ -2190,7 +2190,7 @@ :04088D000171852E42 :04088E00874FF06F31 :04088F00859365D90F -:04089000B5ED5485E9 +:04089000B5ED56C5A7 :0408910000D4C78345 :0408920000E4C703B4 :0408930017F546818E @@ -2215,45 +2215,45 @@ :0408A60080820171DA :0408A700C026115105 :0408A800C50364E13F -:0408A900458155E44C +:0408A90045815964C8 :0408AA00C406C2229C -:0408AB004F5010EFAB +:0408AB00517010EF89 :0408AC00E121842A98 -:0408AD0055E4C683C5 +:0408AD005964C68341 :0408AE00472967E18E -:0408AF005AD788A3E9 +:0408AF005ED784A3E9 :0408B000C78367E1B2 -:0408B10085636717DD +:0408B10085636A975A :0408B200676100E793 -:0408B30056F702A34F +:0408B30058F70EA341 :0408B400C70367E12E -:0408B50047815B27F5 +:0408B50047815EA772 :0408B60067E1C7012E -:0408B7005657C78346 +:0408B70059D7C783C3 :0408B800071367615A -:0408B90097BA59474A +:0408B90097BA5CC7C7 :0408BA008023453D15 :0408BB0010EF00D763 -:0408BC0085223390CE +:0408BC00852235B0AC :0408BD00441240A2FF :0408BE00013144823E :0408BF0011518082D1 :0408C00064E1C02609 -:0408C10055E4C50332 +:0408C1005964C503AE :0408C200C406C22284 -:0408C30031B010EF51 +:0408C30033D010EF2F :0408C400E51D842A80 -:0408C50055E4C683AD -:0408C60088A367E1BB -:0408C70067E15AD7B4 -:0408C8005B27C703E0 +:0408C5005964C68329 +:0408C60084A367E1BF +:0408C70067E15ED7B0 +:0408C8005EA7C7035D :0408C900C70147819B :0408CA00C78367E198 -:0408CB0067615D07FD -:0408CC00594707136E +:0408CB00676160877A +:0408CC005CC70713EB :0408CD00453D97BA54 :0408CE0000D78023AC -:0408CF002EB010EF48 +:0408CF0030D010EF26 :0408D00040A285229B :0408D1004482441207 :0408D20080820131EE @@ -2262,7 +2262,7 @@ :0408D50000021437D2 :0408D600202347C5CF :0408D70067E10EF4D3 -:0408D8004647A78365 +:0408D80049C7A783E2 :0408D9000E042023C6 :0408DA00C7036509E2 :0408DB00862300C7A9 @@ -2284,5522 +2284,5522 @@ :0408EB00D0EF000743 :0408EC000713D51FFA :0408ED0067E11D1092 -:0408EE0058E798230C -:0408EF0000031537B6 -:0408F0001D1007933D -:0408F1000EF42023BE -:0408F200D405051311 -:0408F300D33FD0EF30 -:0408F400000626379D -:0408F500019C05B7A6 -:0408F60004040513DE -:0408F700A806061336 -:0408F800CC05859313 -:0408F90098EFE0EFA5 -:0408FA00170020EFD4 -:0408FB003AA020EF10 -:0408FC0007635479C1 -:0408FD00450112059A -:0408FE00460020EFA1 -:0408FF000FF007935C -:040900000F635475B8 -:0409010020EF10F5DE -:04090200450905900E -:04090300CDCFE0EF85 -:04090400547147CD16 -:0409050010F5166370 -:04090600D4CFE0EF7B -:040907000B2020EFB2 -:0409080067E1C509D5 -:0409090085A3470576 -:04090A00E0EF62E7D1 -:04090B00842ABCFF7F -:04090C000E051E6353 -:04090D0003F000EF04 -:04090E00851364E108 -:04090F0065D95B4407 -:0409100004200613A6 -:040911006045859325 -:0409120030EF057944 -:0409130065D937600B -:040914000613656100 -:040915008593036063 -:040916000513794507 -:0409170030EF674511 -:0409180045813620BF -:0409190010EF453D59 -:04091A0067E133B0AE -:04091B005B17C5039E -:04091C0084934581FA -:04091D0010EF5B4438 -:04091E0067E132B0AB -:04091F0045C7C7837E -:0409200066E16661C5 -:0409210058F606A3DB -:04092200C78367E13F -:0409230089A358E765 -:0409240067E15AF637 -:0409250045878793E8 -:04092600C703438C34 -:040927009B1D0015FF -:0409280000E580A3C3 -:04092900C703438C31 -:04092A00771300152A -:04092B0080A3F9F7B5 -:04092C00438C00E513 -:04092D000005C703F7 -:04092E0067138B7D43 -:04092F008023060714 -:04093000438C00E50F -:040931000015C703E3 -:0409320067139B614B -:0409330080A3003766 -:04093400438C00E50B -:0409350058D6470346 -:04093600001776131D -:040937000005C703ED -:040938008F519B79C7 -:0409390000E5802332 -:04093A00C78343909C -:04093B008B8D5B360F -:04093C0000379713D6 -:04093D0000064783E6 -:04093E008FD99B9D15 -:04093F0000F600239B -:04094000000217B7E3 -:040941000D07A78374 -:0409420000E7971320 -:040943000007446302 -:0409440079E000EF67 -:040945004501478998 -:0409460000F488A38E -:04094700D91FE0EFE5 -:0409480040A2852222 -:04094900448244128E -:04094A008082013175 -:04094B00BFCD547D4B -:04094C00C78367E115 -:04094D00011355C776 -:04094E00CE22FDC1F7 -:04094F00F79307858E -:0409500007B20FF7E4 -:0409510083C107C295 -:040952006461C83ED6 -:040953005904578369 -:04095400D006474240 -:040955008FD9CC2644 -:040956008FD96721AD -:0409570058F4182315 -:04095800000217374B -:04095900C402C20210 -:04095A0000016637FB -:04095B00202364E110 -:04095C0006130EF779 -:04095D0045C54AC67C -:04095E005A0485139F -:04095F0031C030EF84 -:04096000C59FE0EF60 -:04096100177D674156 -:04096200CA3A4785C1 -:040963000002173740 -:040964000D072683D2 -:040965008EF1465277 -:04096600E7F9C636B1 -:0409670066E1C6F18E -:0409680067468693C5 -:040969000166D6034A -:04096A00578345B2B8 -:04096B0014635904B4 -:04096C0076F512B654 -:04096D008FF516FDEF -:04096E0058F41823FE -:04096F000EF720233C -:040970005A04802382 -:04097100C15FE0EF93 -:04097200000625371F -:04097300A8050513BB -:04097400B2FFD0EF0F -:040975005904578347 -:0409760066914742FD -:040977003E800513A6 -:040978008FD58FD9AF -:0409790058F41823F3 -:04097A000002173729 -:04097B000EF7202330 -:04097C00160030EF42 -:04097D00186030EFDF -:04097E0066E1478562 -:04097F0002F51C63FE -:040980004646A6033E -:040981000086478322 -:040982000096470391 -:040983008F5D07225B -:0409840000A64783FF -:040985008F5D07C2B9 -:0409860000B64783ED -:040987008FD907E21B -:0409880001079713B9 -:04098900C23A8341AA -:04098A000047971378 -:04098B00C43A835196 -:04098C00FC07D2E3AF -:04098D0015E030EF52 -:04098E00EF95479208 -:04098F0000016637C6 -:040990004B86061379 -:04099100851345C5C0 -:0409920030EF5A04E4 -:04099300E0EF24E08D -:040994005703B8BF8E -:0409950077F1590499 -:040996008FF917FDC1 -:0409970058F41823D5 -:04099800000217370B -:040999000EF7202312 -:04099A0005136509D3 -:04099B00D0EF710523 -:04099C0047B2A91F96 -:04099D004792BF219D -:04099E0097634752C2 -:04099F00663700E7D0 -:0409A0000613000139 -:0409A100BF7D4C8644 -:0409A20045A2471211 -:0409A300079366054B -:0409A400167D064076 -:0409A50002F756B34C -:0409A60002F77733AA -:0409A70000C59C6388 +:0408EE005CE794230C +:0408EF001D1007933E +:0408F0000EF42023BF +:0408F100A48367E194 +:0408F20065D94987F4 +:0408F3008593465152 +:0408F400851358050B +:0408F50030EF00449C +:0408F600C78340C0B4 +:0408F70015370004AD +:0408F80005130003E1 +:0408F900E793D405A8 +:0408FA008023002730 +:0408FB00D0EF00F446 +:0408FC002637D11FAB +:0408FD0005B7000635 +:0408FE000513019C41 +:0408FF0006130404D4 +:040900008593A8062D +:04090100E0EFCC0552 +:0409020020EF96CF7D +:0409030020EF1700CA +:0409040054793AA048 +:04090500120507636D +:0409060020EF450198 +:04090700079346000C +:0409080054750FF023 +:0409090010F50F6373 +:04090A00059020EF45 +:04090B00E0EF4509CB +:04090C0047CDCBAF59 +:04090D0016635471A8 +:04090E00E0EF10F511 +:04090F0020EFD2AF54 +:04091000C5090B20EA +:04091100470567E14E +:0409120066E781A370 +:04091300BADFE0EF78 +:040914001E63842AB0 +:0409150000EF0E05DC +:0409160064E103F0A5 +:040917005EC4851322 +:04091800061365D984 +:04091900859304209E +:04091A00057963C533 +:04091B00376030EF22 +:04091C00656165D9D3 +:04091D00036006135A +:04091E007CC585937C +:04091F006AC505138D +:04092000362030EF5E +:04092100453D45818A +:0409220033B010EFEF +:04092300C50367E1C0 +:0409240045815E9714 +:040925005EC4849395 +:0409260032B010EFEC +:04092700C78367E13A +:040928006661494774 +:0409290002A366E1DE +:04092A0067E15CF62F +:04092B005C67C783BB +:04092C005EF685A34B +:04092D00879367E164 +:04092E00438C4907A6 +:04092F000015C703E5 +:0409300080A39B1DE8 +:04093100438C00E50E +:040932000015C703E2 +:04093300F9F7771346 +:0409340000E580A3B7 +:04093500C703438C25 +:040936008B7D0005B0 +:040937000607671335 +:0409380000E5802333 +:04093900C703438C21 +:04093A009B610015A8 +:04093B000037671307 +:04093C0000E580A3AF +:04093D004703438C9D +:04093E0076135C567A +:04093F00C7030017D3 +:040940009B7900059A +:0409410080238F512F +:04094200439000E5F9 +:040943005EB6C78352 +:0409440097138B8DED +:0409450047830037AD +:040946009B9D00066F +:0409470000238FD921 +:0409480017B700F6E7 +:04094900A78300027E +:04094A0097130D07EB +:04094B00446300E71A +:04094C0000EF0007B1 +:04094D00478979E07D +:04094E0088A3450134 +:04094F00E0EF00F4E1 +:040950008522D6FF27 +:04095100441240A26A +:0409520001314482A9 +:04095300547D8082CD +:0409540067E1BFCDCB +:040955005947C783B4 +:04095600FDC10113CB +:040957000785CE2220 +:040958000FF7F7930B +:0409590007C207B218 +:04095A00C83E83C14F +:04095B0057836461F9 +:04095C0047425C842E +:04095D00CC26D006CE +:04095E0067218FD9A5 +:04095F0014238FD9F5 +:0409600017375CF4F5 +:04096100C2020002CC +:040962006637C4022E +:0409630064E100014A +:040964000EF7202347 +:040965004D06061322 +:04096600851345C5EB +:0409670030EF5D848C +:04096800E0EF31C0CB +:040969006741C37FA0 +:04096A004785177D29 +:04096B001737CA3A36 +:04096C0026830002DC +:04096D0046520D07DA +:04096E00C6368EF10A +:04096F00C6F1E7F9ED +:04097000869366E123 +:04097100D6036AC679 +:0409720045B2016623 +:040973005C845783C6 +:0409740012B6146340 +:0409750016FD76F500 +:0409760014238FF5C2 +:0409770020235CF4E9 +:040978008C230EF7C7 +:04097900E0EF5C044B +:04097A002537BF3F1F +:04097B00051300065A +:04097C00D0EFA8050B +:04097D005783B0DF0D +:04097E0047425C840C +:04097F000513669165 +:040980008FD93E804D +:0409810014238FD5D7 +:0409820017375CF4D3 +:04098300202300022B +:0409840030EF0EF74B +:0409850030EF160039 +:040986004785186029 +:040987001C6366E1A6 +:04098800A60302F5CB +:04098900478349C691 +:04098A004703008699 +:04098B0007220096A9 +:04098C0047838F5DB1 +:04098D0007C200A6F7 +:04098E0047838F5DAF +:04098F0007E200B6C5 +:0409900097138FD951 +:040991008341010796 +:040992009713C23ABB +:040993008351004745 +:04099400D2E3C43AAC +:0409950030EFFC073C +:04099600479215E08F +:040997006637EF953B +:040998000613000141 +:0409990045C54DC63D +:04099A005D848513E0 +:04099B0024E030EF35 +:04099C00B69FE0EF33 +:04099D005C8457031C +:04099E0017FD77F1D9 +:04099F0014238FF995 +:0409A00017375CF4B5 +:0409A100202300020D +:0409A20065090EF7DE +:0409A30071050513C2 +:0409A400A6FFD0EFEB +:0409A500BF2147B275 +:0409A60047524792DB +:0409A70000E797636B :0409A80000016637AD -:0409A9004D060613DE -:0409AA00851345C5A7 -:0409AB0030EF5A04CB -:0409AC00BF711EA059 -:0409AD0045C54622D4 -:0409AE005A0485134F -:0409AF0002F67633A3 -:0409B0004622C032E9 -:0409B10002F657B340 -:0409B20000016637A3 -:0409B3004DC6061314 -:0409B4001C8030EF84 -:0409B500D683BFAD79 -:0409B600463201863E -:0409B700F6C69BE302 -:0409B80083D107D20E -:0409B90058F41823B3 -:0409BA0044725082B1 -:0409BB000EF72023F0 -:0409BC00450144E2CB -:0409BD0002410113DF -:0409BE0011518082D1 -:0409BF00F0EFC4068B -:0409C00020EFFD8F98 -:0409C10067E12400C6 -:0409C200C50340A287 -:0409C3000131653762 -:0409C400B9DFE06F48 -:0409C500FCC101135D -:0409C600D622D80657 -:0409C700D0EFD42673 -:0409C800842AA4FFDA -:0409C900C29FF0EFEA -:0409CA0007936761C7 -:0409CB0086AA45872C -:0409CC006561C03E63 -:0409CD00CD6364E1B1 -:0409CE006637120670 -:0409CF00468100015C -:0409D00005500713B4 -:0409D1004F060613B4 -:0409D200051345C5FF -:0409D30030EF57C5E5 -:0409D40065B714A04F -:0409D5004645000192 -:0409D60052058593AE -:0409D700618485139F -:0409D800060030EFF6 -:0409D90080294782A8 -:0409DA004783439874 -:0409DB00E793000797 -:0409DC0000230027CD -:0409DD00E0EF00F750 -:0409DE00A537AA9FF0 -:0409DF0005130007F5 -:0409E000D0EF12053D -:0409E100D0EF97DFDD -:0409E200079399DFFF -:0409E30007B312C084 -:0409E400646102F454 -:0409E500C602C8027C -:0409E600C202C40283 -:0409E7005B44041356 -:0409E8004799CE3E1F -:0409E90017B7CA3E34 -:0409EA00A7830002DD -:0409EB0066610D072D -:0409EC009713656197 -:0409ED00834101073A -:0409EE00FFF7C693B6 -:0409EF006AE62A2367 -:0409F000073783E161 -:0409F1008F750003FB -:0409F2000FF7F59373 -:0409F300AC2366E1EA -:0409F4000E236AE67E -:0409F50085326AB528 -:0409F60066E18636FA -:0409F7008793CF8192 -:0409F800F793FFE58D -:0409F900430D0FF7A4 -:0409FA0000F376632D -:0409FB006B06C7833D -:0409FC0000B7946349 -:0409FD006A052A233A -:0409FE00882367E102 -:0409FF00A6836AB6AB -:040A0000A6236AC7F8 -:040A0100E2D56AE7E9 -:040A0200478367615E -:040A030064E162C781 -:040A04004783CBF168 -:040A0500C7F901C468 -:040A0600478366E1DB -:040A0700C68300B4EE -:040A08008FD56C36E4 -:040A0900D0EFE3E166 -:040A0A0047F2921FFE -:040A0B000AF56C6319 -:040A0C0007934742C3 -:040A0D00E763063065 -:040A0E0067610AE72B -:040A0F0062C74683F1 -:040A100047054785CA -:040A110000F6876301 -:040A1200871347D22D -:040A13003733FFA7CF -:040A1400478300E034 -:040A150046A101C431 -:040A1600F79317FD3E -:040A1700E1630FF791 -:040A180066D906F69F -:040A19008693078A2F -:040A1A0097B642C683 -:040A1B008782439CEF -:040A1C000001663738 -:040A1D005046061326 -:040A1E00051345C5B2 -:040A1F0030EF57C598 -:040A200075B701A005 -:040A21004645000145 -:040A2200AC85859387 -:040A23006184851352 -:040A24000A2030EF85 -:040A25004398478229 -:040A260000074783FB -:040A27000027E7932A -:040A280000F70023B0 -:040A290097BFE0EFA4 -:040A2A002C23A001D8 -:040A2B00BFA96A06EF -:040A2C00C78367E134 -:040A2D0037B359275B -:040A2E00078900F044 -:040A2F0056F482A354 -:040A3000475247C220 -:040A3100F7930785AB -:040A3200C83E0FF7B4 -:040A33000763479975 -:040A3400079300F72D -:040A3500F79300171C -:040A3600CA3E0FF7AE -:040A3700847FD0EFF9 -:040A3800C63E4785EA -:040A390067E123212D -:040A3A006C37C783CB -:040A3B00C781CC2A79 -:040A3C0010EF450171 -:040A3D00C78326C085 -:040A3E0047035654C0 -:040A3F00046301C487 -:040A4000476200F712 -:040A41004732E71140 -:040A42004703CB1586 -:040A4300C71D00B417 -:040A4400470367619C -:040A4500C63A5B272B -:040A46006761C3150C -:040A470059470713F1 -:040A4800C50397BA91 -:040A490067E100075A -:040A4A005B17C7036C -:040A4B000763C60275 -:040A4C00458100A739 -:040A4D005AA788A379 -:040A4E00668010EFBF -:040A4F005654C783AF -:040A500001C4470393 -:040A5100849364E145 -:040A52001C636304BA -:040A5300469200F7D0 -:040A54007C6347096F -:040A5500C68316D767 -:040A5600470303341B -:040A57008663051499 -:040A5800473D16E61A -:040A590004A363612E -:040A5A00470D62E3FF -:040A5B0006F77E63B9 -:040A5C000334C70395 -:040A5D008713CB012F -:040A5E007713FFA764 -:040A5F0046850FF7C2 -:040A600006E6F4634F -:040A6100756347155D -:040A6200460506F748 -:040A63004689450972 -:040A6400FFF7859380 -:040A65000FF5F7137F -:040A6600EF6342A157 -:040A670062D904E26A -:040A68008293070A64 -:040A69009716450295 -:040A6A0087024318A4 -:040A6B0017E34785C1 -:040A6C0067E1F00747 -:040A6D004577C7837F -:040A6E000017B79323 -:040A6F00BDFD079131 -:040A70000DE34799B2 -:040A7100C783EE0742 -:040A7200BDCD56544C -:040A7300B5FD479DE9 -:040A7400C78367E1EC -:040A750037B35647F6 -:040A760007A100F0E4 -:040A77004799B5C521 -:040A7800EC071EE386 -:040A7900BDD9478517 -:040A7A004505460DDB -:040A7B00B74D4681AC -:040A7C0045014609E1 -:040A7D00C402BFE50B -:040A7E000FF5F593E8 -:040A7F00C202472147 -:040A800000B76963EF -:040A81000713675997 -:040A8200972E47471D -:040A8300000747031E -:040A84000E23C23A41 -:040A8500478300F4AF -:040A860005A304C4FC -:040A8700470500041B -:040A88007663458DBF -:040A8900459500F798 -:040A8A00F5938D9DB6 -:040A8B00D2320FF55F -:040A8C0010EFD03661 -:040A8D0010EF5DF019 -:040A8E00E0EF6AF03B -:040A8F0067E197AFD5 -:040A900062B7C783FF -:040A91005612568221 -:040A92008532C78959 -:040A930023B010EF8D -:040A9400462256821E -:040A950085364592CB -:040A9600392020EFF4 -:040A970001C44783CC -:040A98004645656109 -:040A99000027971388 -:040A9A00879367D9FE -:040A9B0097BA4807B7 -:040A9C000513438C6F -:040A9D00222357C5F4 -:040A9E0020EF000441 -:040A9F0065B76B903C -:040AA000656100018B -:040AA10085934645AE -:040AA20005135145A2 -:040AA30020EF61855A -:040AA40067E16A504C -:040AA5006C37C78360 -:040AA6004782EB99FF -:040AA70047834398A6 -:040AA800E7930007C9 -:040AA90000230027FF -:040AAA00E0EF00F782 -:040AAB0047E2F74FD8 -:040AAC0067E1CF89A6 -:040AAD0055D7C7034F -:040AAE00156347A9DC -:040AAF00453D00F7CA -:040AB000366010EFAD -:040AB100E5EFD0EFAE -:040AB200C703C802AC -:040AB300478302343F -:040AB40005630414BE -:040AB500450302F7FC -:040AB600478101145F -:040AB700468147012C -:040AB800458146012D -:040AB900CD9FD0EF0E -:040ABA000234C5033A -:040ABB00FC0FE0EF5D -:040ABC000234C783B6 -:040ABD0000042223EC -:040ABE0004F400A399 -:040ABF000234C583B5 -:040AC0008663478979 -:040AC100C70302F570 -:040AC2004783024420 -:040AC3008063042424 -:040AC400450302E7FD -:040AC500C1910114C6 -:040AC6004783458994 -:040AC700468100F470 -:040AC800D0EF460124 -:040AC900C783C9BF57 -:040ACA0001230244BE -:040ACB00C78304F4E5 -:040ACC0047030334A5 -:040ACD0004630514A5 -:040ACE0008A300F782 -:040ACF00676104F463 -:040AD000468367E111 -:040AD100676158E71A -:040AD20045C7C783CA -:040AD30058D7458328 -:040AD400966366615E -:040AD500458300F560 -:040AD6008D635B369B -:040AD70006A302D59B -:040AD800470258F782 -:040AD9005AD609A33D -:040ADA00F7134314B7 -:040ADB00C7830017B6 -:040ADC009BF900067C -:040ADD0080238FD90A -:040ADE00478200F655 -:040ADF004783439472 -:040AE0008B8D5B3669 -:040AE1000037971330 -:040AE2000006C783C0 -:040AE3008FD99B9D6F -:040AE40000F6802375 -:040AE50001C447837E -:040AE6004512C3B53D -:040AE700889FE0EF15 -:040AE80002634789D5 -:040AE90047910CF530 -:040AEA0002F50A63A4 -:040AEB0017634785C1 -:040AEC00478304F543 -:040AED00C3B900B4D5 -:040AEE00E07FE0EFD6 -:040AEF004709A08192 -:040AF000BD1DC43A2A -:040AF100BFED470D01 -:040AF2000334C703FF -:040AF300C41642855E -:040AF400E20714E31E -:040AF50004A34741CE -:040AF600BD3962E3C1 -:040AF70000B447837D -:040AF8006761C39DD2 -:040AF90059075783BF -:040AFA000027E79357 -:040AFB0058F718236D -:040AFC0000021737A6 -:040AFD000EF72023AD -:040AFE00B03FF0EF26 -:040AFF0012C0051309 -:040B0000CFEFD0EF74 -:040B01002223B64DA8 -:040B020010EF0004EC -:040B030047834DB027 -:040B0400656101C462 -:040B050097134645B7 -:040B060067D9002784 -:040B07004807879381 -:040B0800438C97BAC9 -:040B090057C50513B4 -:040B0A0050B020EFD8 -:040B0B00000165B7C9 -:040B0C004645656194 -:040B0D005145859336 -:040B0E0061850513E5 -:040B0F004F7020EF14 -:040B1000C78367E14F -:040B1100EB996C37B9 -:040B1200439847823B -:040B1300000747830D -:040B14000027E7933C -:040B150000F70023C2 -:040B1600DC6FE0EFC1 -:040B1700CC6FD0EFE0 -:040B1800C802CA0243 -:040B19004783BF61EE -:040B1A00DBC900B47F -:040B1B00A6AFF0EFA2 -:040B1C0065D9B7716F -:040B1D0011516561AC -:040B1E000420061396 -:040B1F006045859315 -:040B20006305051351 -:040B210020EFC406F7 -:040B220065DD33B0AA -:040B230006136561EF -:040B2400859340C0B5 -:040B2500051351055E -:040B260020EF6E4509 -:040B270040A2327046 -:040B2800470567E135 -:040B290062E78523D7 -:040B2A00013145014F -:040B2B006759808204 -:040B2C000793111109 -:040B2D00C8267287DD -:040B2E00CA22CC0605 -:040B2F004481C0023B -:040B30006461C43EFA -:040B3100000165B7A3 -:040B3200859346451C -:040B330005137CC565 -:040B340020EF5684D4 -:040B350047A246107D -:040B360000249713ED -:040B3700973E65611F -:040B38004645430CDF -:040B39005A05051341 -:040B3A0044B020EFB4 -:040B3B00CECFE0EF4A -:040B3C00C22246810A -:040B3D000002173764 -:040B3E000D072583F7 -:040B3F0017FD67C176 -:040B400000F5F63393 -:040B41002A2364619E -:040B420027036AC457 -:040B430005370D075E -:040B440067E1000362 -:040B4500FFF747135C -:040B4600AC238F69E4 -:040B4700C63E6AE755 -:040B48004782CA1501 -:040B490002F6086345 -:040B4A009513676137 -:040B4B000713001478 -:040B4C00972A674736 -:040B4D004792EABD24 -:040B4E0000B71023B9 -:040B4F00000165B785 -:040B500085934645FE -:040B510085137D4546 -:040B520020EF5687B3 -:040B5300E0EF3E9001 -:040B54004685C8AF5B -:040B550047B26761DB -:040B56006AC7260341 -:040B57006B87A30302 -:040B5800E60587BA6D -:040B5900126367417B -:040B5A00E0BD02E315 -:040B5B00656165D992 -:040B5C000360061319 -:040B5D0079458593BE -:040B5E0067450513CF -:040B5F0020EFC01AA9 -:040B600043022430F8 -:040B610044ED468990 -:040B6200270367E11D -:040B6300A6236B4416 -:040B6400C6366A67C0 -:040B65004709C03A42 -:040B660002E68F63B1 -:040B67000513650904 -:040B6800D0EF710554 -:040B690046B2B5CF0C -:040B6A005703B7B1C5 -:040B6B0046890007B0 -:040B6C00FAE602E3C0 -:040B6D0065B747928F -:040B6E0046450001F7 -:040B6F007DC5859328 -:040B7000568785130C -:040B710036F020EF4B -:040B7200C10FE0EFE0 -:040B7300B7594681A7 -:040B7400468914F9A1 -:040B75000485BF5DD7 -:040B760054E3476994 -:040B77004452EE975F -:040B780044C240E251 -:040B79000171453D84 -:040B7A0003E0106F15 -:040B7B00FBC10113A6 -:040B7C0001310793A9 -:040B7D0067E1CE3E20 -:040B7E00649787935E -:040B7F0067E1D03E1C -:040B800064A787934C -:040B810067E1D23E18 -:040B820064B787933A -:040B830067E1D43E14 -:040B840064C7879328 -:040B8500D83ED63E42 +:0409A9004EC606131D +:0409AA004712BF7DB4 +:0409AB00660545A2F6 +:0409AC000640079367 +:0409AD0056B3167DAA +:0409AE00773302F7A2 +:0409AF009C6302F74C +:0409B000663700C5E1 +:0409B1000613000128 +:0409B20045C54F46A2 +:0409B3005D848513C7 +:0409B4001EA030EF62 +:0409B5004622BF71A6 +:0409B600851345C59B +:0409B70076335D84B2 +:0409B800C03202F651 +:0409B90057B34622C8 +:0409BA00663702F6A4 +:0409BB00061300011E +:0409BC0030EF5006C2 +:0409BD00BFAD1C802E +:0409BE000186D68355 +:0409BF009BE346323E +:0409C00007D2F6C69E +:0409C100142383D1A7 +:0409C20050825CF40F +:0409C3002023447237 +:0409C40044E20EF704 +:0409C50001134501D4 +:0409C60080820241E8 +:0409C700C406115100 +:0409C800FB6FF0EFE2 +:0409C900240020EFF7 +:0409CA0040A267E1FF +:0409CB0068B7C50341 +:0409CC00E06F0131A6 +:0409CD000113B7BF9C +:0409CE00D806FCC18A +:0409CF00D426D62232 +:0409D000A2DFD0EFE3 +:0409D100F0EF842A95 +:0409D2006761C07F1A +:0409D3004907079336 +:0409D400C03E86AAF1 +:0409D50064E1656113 +:0409D6001206CD63D5 +:0409D700000166377E +:0409D800071346813A +:0409D90006130550AC +:0409DA0045C5514678 +:0409DB005B45051360 +:0409DC0014A030EF44 +:0409DD00000165B7F9 +:0409DE008593464572 +:0409DF0085135445E3 +:0409E00030EF65048B +:0409E1004782060043 +:0409E200439880298D +:0409E300000747833F +:0409E4000027E7936E +:0409E50000F70023F4 +:0409E600A87FE0EF17 +:0409E7000007A53729 +:0409E80012050513DC +:0409E90095BFD0EFF7 +:0409EA0097BFD0EFF4 +:0409EB0012C007939C +:0409EC0002F407B357 +:0409ED00C802646177 +:0409EE00C402C60277 +:0409EF000413C20229 +:0409F000CE3E5EC4D5 +:0409F100CA3E47991A +:0409F200000217B731 +:0409F3000D07A783C2 +:0409F4006561666172 +:0409F500010797134C +:0409F600C6938341E0 +:0409F7002623FFF7BD +:0409F80083E16EE643 +:0409F90000030737B9 +:0409FA00F5938F756D +:0409FB0066E10FF7AB +:0409FC006EE6A823D8 +:0409FD006EB50A23A6 +:0409FE008636853282 +:0409FF00CF8166E15D +:040A0000FFE58793F4 +:040A01000FF7F79361 +:040A02007663430DC7 +:040A0300C78300F3B2 +:040A040094636E8603 +:040A0500262300B7ED +:040A060067E16E0531 +:040A07006EB6842320 +:040A08006E47A6830C +:040A09006EE7A223CF +:040A0A006761E2D569 +:040A0B006647478370 +:040A0C00CBF164E1E5 +:040A0D0001C4478356 +:040A0E0066E1C7F9DD +:040A0F0000B4478365 +:040A10006FB6C68374 +:040A1100E3E18FD5B9 +:040A12008FFFD0EF93 +:040A13006C6347F2D7 +:040A140047420AF556 +:040A1500063007930D +:040A16000AE7E763A1 +:040A1700468367614A +:040A18004785664761 +:040A190087634705A3 +:040A1A0047D200F6C9 +:040A1B00FFA7871397 +:040A1C0000E037338C +:040A1D0001C4478346 +:040A1E0017FD46A1D9 +:040A1F000FF7F79343 +:040A200006F6E16392 +:040A2100078A66D901 +:040A2200450686936C +:040A2300439C97B6A3 +:040A24006637878228 +:040A250006130001B3 +:040A260045C55286EA +:040A27005B45051313 +:040A280001A030EF0A +:040A2900000175B79C +:040A2A008593464525 +:040A2B008513B0057A +:040A2C0030EF65043E +:040A2D0047820A20D2 +:040A2E00478343981F +:040A2F00E793000742 +:040A30000023002778 +:040A3100E0EF00F7FB +:040A3200A001959FEB +:040A33006E06282300 +:040A340067E1BFA90E +:040A35005CA7C78370 +:040A360000F037B3E2 +:040A37008EA30789FA +:040A380047C258F465 +:040A39000785475294 +:040A3A000FF7F79328 +:040A3B004799C83ED1 +:040A3C0000F7076355 +:040A3D000017079304 +:040A3E000FF7F79324 +:040A3F00D0EFCA3EEC +:040A40004785825F05 +:040A41002321C63E69 +:040A4200C78367E11E +:040A4300CC2A6FB793 +:040A44004501C78120 +:040A450026C010EFC8 +:040A460059D4C78335 +:040A470001C447039C +:040A480000F704634C +:040A4900E711476208 +:040A4A00CB1547324F +:040A4B0000B44703A9 +:040A4C006761C71DFA +:040A4D005EA7470356 +:040A4E00C315C63ACC +:040A4F0007136761C1 +:040A500097BA5CC72E +:040A51000007C503D2 +:040A5200C70367E18E +:040A5300C6025E97E2 +:040A540000A707638D +:040A550084A34581B0 +:040A560010EF5EA798 +:040A5700C78366806B +:040A5800470359D423 +:040A590064E101C48F +:040A5A006684849397 +:040A5B0000F71C6321 +:040A5C00470946926E +:040A5D0016D77C63C9 +:040A5E000334C68314 +:040A5F000514470330 +:040A600016E68663AD +:040A61006361473D49 +:040A620066E300A3A4 +:040A63007E63470D5A +:040A6400C70306F7C7 +:040A6500CB0103348A +:040A6600FFA787134C +:040A67000FF77713FB +:040A6800F463468568 +:040A6900471506E641 +:040A6A0006F77563B3 +:040A6B0045094605EE +:040A6C00859346899F +:040A6D00F713FFF785 +:040A6E0042A10FF59D +:040A6F0004E2EF634B +:040A7000070A62D936 +:040A710047428293E3 +:040A72004318971678 +:040A7300478587022A +:040A7400F00717E38D +:040A7500C78367E1EB +:040A7600B79348F7F3 +:040A770007910017CC +:040A78004799BDFDE0 +:040A7900EE070DE394 +:040A7A0059D4C78301 +:040A7B00479DBDCD09 +:040A7C0067E1B5FD7C +:040A7D0059C7C7830B +:040A7E0000F037B39A +:040A7F00B5C507A151 +:040A80001EE3479991 +:040A81004785EC07B2 +:040A8200460DBDD987 +:040A8300468145055E +:040A84004609B74D1B +:040A8500BFE5450183 +:040A8600F593C4021E +:040A870047210FF5FF +:040A88006963C202DA +:040A8900675900B7F2 +:040A8A00498707137E +:040A8B004703972E58 +:040A8C00C23A000763 +:040A8D0000F40E2340 +:040A8E0004C44783D2 +:040A8F00000405A3B7 +:040A9000458D470544 +:040A910000F7766391 +:040A92008D9D45955C +:040A93000FF5F593D3 +:040A9400D036D23254 +:040A95005DF010EF11 +:040A96006AF010EF03 +:040A9700958FE0EF68 +:040A9800C78367E1C8 +:040A990056826637E4 +:040A9A00C7895612A0 +:040A9B0010EF8532A1 +:040A9C00568223B0AB +:040A9D004592462216 +:040A9E0020EF85368A +:040A9F004783392030 +:040AA000656101C4C7 +:040AA100971346451C +:040AA20067D90027E9 +:040AA3004A478793A4 +:040AA400438C97BA2E +:040AA5005B45051395 +:040AA6000004222303 +:040AA7006B9020EF41 +:040AA800000165B72D +:040AA90046456561F8 +:040AAA005385859358 +:040AAB0065050513C5 +:040AAC006A5020EF7D +:040AAD00C78367E1B3 +:040AAE00EB996FB79A +:040AAF00439847829F +:040AB0000007478371 +:040AB1000027E793A0 +:040AB20000F7002326 +:040AB300F52FE0EF4C +:040AB400CF8947E2BD +:040AB500C70367E12B +:040AB60047A959579C +:040AB70000F71563CC +:040AB80010EF453DB9 +:040AB900D0EF3660E4 +:040ABA00C802E3CFBC +:040ABB000234C70337 +:040ABC000414478354 +:040ABD0002F70563D4 +:040ABE0001144503D7 +:040ABF004701478123 +:040AC0004601468124 +:040AC100D0EF4581AC +:040AC200C503CB7F1E +:040AC300E0EF02342A +:040AC400C783F9EFFC +:040AC50022230234B2 +:040AC60000A3000485 +:040AC700C58304F4EB +:040AC8004789023424 +:040AC90002F5866349 +:040ACA000244C70318 +:040ACB000424478335 +:040ACC0002E780635A +:040ACD0001144503C8 +:040ACE004589C19104 +:040ACF0000F4478365 +:040AD0004601468114 +:040AD100C79FD0EFFC +:040AD2000244C78390 +:040AD30004F4012303 +:040AD4000334C7839D +:040AD50005144703BA +:040AD60000F70463BE +:040AD70004F408A378 +:040AD80067E167610A +:040AD9005C6746838D +:040ADA00C783676106 +:040ADB0045834947BF +:040ADC0066615C579C +:040ADD0000F5966327 +:040ADE005EB6458338 +:040ADF0002D58D634C +:040AE0005CF702A31A +:040AE10005A3470220 +:040AE20043145ED685 +:040AE3000017F713EE +:040AE4000006C783BE +:040AE5008FD99BF911 +:040AE60000F6802373 +:040AE700439447826B +:040AE8005EB647832C +:040AE90097138B8D47 +:040AEA00C783003787 +:040AEB009B9D0006C9 +:040AEC0080238FD9FB +:040AED00478300F645 +:040AEE00C3B501C4C7 +:040AEF00E0EF4512DD +:040AF0004789867F2D +:040AF1000CF502639B +:040AF2000A634791BB +:040AF300478502F53C +:040AF40004F517638B +:040AF50000B447837F +:040AF600E0EFC3B9B1 +:040AF700A081DE5F9D +:040AF800C43A4709AC +:040AF900470DBD1DCB +:040AFA00C703BFED82 +:040AFB0042850334F9 +:040AFC0014E3C41625 +:040AFD004741E20784 +:040AFE0066E300A308 +:040AFF004783BD3933 +:040B0000C39D00B4DD +:040B0100578367614E +:040B0200E7935C8792 +:040B03001423002790 +:040B040017375CF74C +:040B050020230002A7 +:040B0600F0EF0EF707 +:040B07000513B03FE3 +:040B0800D0EF12C058 +:040B0900B64DCDCF49 +:040B0A00000422239E +:040B0B004DB010EFEA +:040B0C0001C4478356 +:040B0D004645656193 +:040B0E000027971312 +:040B0F00879367D988 +:040B100097BA4A47FF +:040B11000513438CF9 +:040B120020EF5B4530 +:040B130065B750B0C2 +:040B14006561000116 +:040B15008593464539 +:040B160005135385EB +:040B170020EF650561 +:040B180067E14F70D2 +:040B19006FB7C78368 +:040B1A004782EB998A +:040B1B004783439831 +:040B1C00E793000754 +:040B1D00002300278A +:040B1E00E0EF00F70D +:040B1F00D0EFDA4FEA +:040B2000CA02CA4FEC +:040B2100BF61C802E6 +:040B220000B4478351 +:040B2300F0EFDBC94B +:040B2400B771A48F72 +:040B2500656165D9C8 +:040B26000613115150 +:040B2700859304208E +:040B2800051363C589 +:040B2900C406668513 +:040B2A0033B020EFD5 +:040B2B00656165DDBE +:040B2C0040C00613AC +:040B2D0054858593D3 +:040B2E0071C5051375 +:040B2F00327020EF11 +:040B300067E140A297 +:040B310081234705D0 +:040B3200450166E72C +:040B3300808201318A +:040B340011116759DB +:040B350076070793A5 +:040B3600CC06C826FB +:040B3700C002CA220C +:040B3800C43E4481F2 +:040B390075B76461C7 +:040B3A00464500012B +:040B3B0080458593D9 +:040B3C005A0405133F +:040B3D00461020EF4F +:040B3E00971347A220 +:040B3F0065610024C8 +:040B4000430C973E8D +:040B4100051346450D +:040B420020EF5D85BE +:040B4300E0EF44B0EB +:040B44004681CCAF6B +:040B45001737C2227A +:040B46002583000201 +:040B470067C10D076E +:040B4800F63317FD6C +:040B4900646100F5EE +:040B4A006EC426232C +:040B4B000D07270368 +:040B4C000003053766 +:040B4D00471367E102 +:040B4E008F69FFF7B5 +:040B4F006EE7A82382 +:040B5000CA15C63EBE +:040B5100086347826C +:040B5200676102F6DF +:040B530000149513E2 +:040B54006AC7071352 +:040B5500EABD972A34 +:040B5600102347928F +:040B570075B700B7B7 +:040B5800464500010D +:040B590080C585933B +:040B5A005A0785139E +:040B5B003E9020EFB9 +:040B5C00C68FE0EF71 +:040B5D006761468501 +:040B5E00260347B271 +:040B5F00A3036E4737 +:040B600087BA6F07DA +:040B61006741E605FD +:040B620002E3126335 +:040B630065D9E0BDB3 +:040B640006136561AE +:040B65008593036011 +:040B660005137CC532 +:040B6700C01A6AC581 +:040B6800243020EF26 +:040B69004689430274 +:040B6A0067E144ED0E +:040B6B006EC427032A +:040B6C006E67A223EB +:040B6D00C03AC6368E +:040B6E008F63470941 +:040B6F00650902E62C +:040B700071050513F3 +:040B7100B3AFD0EF5F +:040B7200B7B146B21F +:040B7300000757031D +:040B740002E34689C9 +:040B75004792FAE6C3 +:040B7600000175B74E +:040B770085934645D7 +:040B7800851381451B +:040B790020EF5A0708 +:040B7A00E0EF36F082 +:040B7B004681BEEF02 +:040B7C0014F9B75958 +:040B7D00BF5D468989 +:040B7E00476904853A +:040B7F00EE9754E3B6 +:040B800040E24452B9 +:040B8100453D44C2E8 +:040B8200106F01717E +:040B8300011303E077 +:040B84000793FBC117 +:040B8500CE3E01312E :040B8600879367E109 -:040B8700DA3E64D717 -:040B88000F0327B779 -:040B8900F017879347 -:040B8A000793CA3EC5 -:040B8B006361303042 -:040B8C0000F11C2335 -:040B8D000D23478D60 -:040B8E00079300F1D8 -:040B8F00C7835B437A -:040B9000DE2201C799 -:040B9100DC26C08618 -:040B9200000109A3B2 -:040B930003134725DC -:040B940044055B4376 -:040B950000E786638C -:040B960000178413AD -:040B97000FF47413D0 -:040B9800676167E149 -:040B99006B47A603FD -:040B9A00674707138F -:040B9B0045E9478160 -:040B9C000007568375 -:040B9D0000D61C63FF -:040B9E0062634769DE -:040B9F00675910F78B -:040BA0000713078AA6 -:040BA10097BA648714 -:040BA2008782439C67 -:040BA3008C6307094F -:040BA400078550B7BA -:040BA5004785BFF1D0 -:040BA600D70366E12A -:040BA7004713590691 -:040BA8009823100777 -:040BA900676158E642 -:040BAA006B8727032B -:040BAB0000F71693A6 -:040BAC000006D36309 -:040BAD00169387A272 -:040BAE00DE6300E71B -:040BAF006761000674 -:040BB000630746830E -:040BB100460145852F -:040BB20000D5E56322 -:040BB300F6130685AA -:040BB40008230FF60D -:040BB500472962C7A3 -:040BB600866345010C -:040BB700676100E78B -:040BB80056F702A347 -:040BB90067E14505A6 -:040BBA005907D703FD -:040BBB00C68366E1A6 -:040BBC009B4D6C36AB -:040BBD008341074227 -:040BBE0058E7982339 -:040BBF0066E1EA8180 -:040BC00059E6C683A9 -:040BC1008F55068ABC -:040BC20058E7982335 -:040BC3005907D703F4 -:040BC40054724086A1 -:040BC500000217B75C -:040BC6000EE7A02373 -:040BC700011354E2E0 -:040BC80080820441E2 -:040BC900BF8D47890C -:040BCA00B7BD478DDF -:040BCB00B7AD4791EA -:040BCC00B79D4795F5 -:040BCD00B78D479900 -:040BCE00BFB9479DC7 -:040BCF00BFA947A1D2 -:040BD000BF9947A5DD -:040BD100C78366E18F -:040BD20067616C36B5 -:040BD3004587260329 -:040BD4000017B793BC -:040BD5006CF681A396 -:040BD60000064703CB -:040BD7009B6D078A81 -:040BD80000238FD98E -:040BD90067E100F6DA -:040BDA005B17C703DB -:040BDB008F2367E11C -:040BDC00C78354E790 -:040BDD00C7916C361A -:040BDE0000EF4505DA -:040BDF0047A93E5094 -:040BE000E0EFBF2162 -:040BE100BFE5A9CFF4 -:040BE200A70367E11D -:040BE30066374647E4 -:040BE4006561000146 -:040BE500000744833E -:040BE600001747832A -:040BE7006C4606133F -:040BE80007A245C556 -:040BE90044838FC5ED -:040BEA0005130027C8 -:040BEB0004C2568565 -:040BEC0044838FC5EA -:040BED0004E20037E7 -:040BEE00D6938CDD31 -:040BEF00F79300B4C4 -:040BF000F393001665 -:040BF100C43E7FF48B -:040BF2004683C61E52 -:040BF30042830047F2 -:040BF40002A2005702 -:040BF50000D2E6B391 -:040BF60000674283CF -:040BF7000077470339 -:040BF800E2B302C2A0 -:040BF900068300D29D -:040BFA00477100A39C -:040BFB0086B302B209 -:040BFC00676102E645 -:040BFD006E47071325 -:040BFE0000C2D293CC -:040BFF009736C2164D -:040C0000C68366E160 -:040C010020EF5B166F -:040C020067E109306D -:040C03005B478313B5 -:040C040000B34703EF -:040C050043B2C7210E -:040C0600071347A2E7 -:040C0700869306903A -:040C080096B300138C -:040C090096BE00F69D -:040C0A000144979377 -:040C0B00C4634292EA -:040C0C0007130007C3 -:040C0D0007B707001E -:040C0E008CFD000356 -:040C0F0002A00793A5 -:040C10000793E099CD -:040C11006637020040 -:040C12006561000117 -:040C13000613C016EE -:040C140045C56D065F -:040C15005A05051364 -:040C1600041020EFB7 -:040C1700A70367E1E7 -:040C18004783458742 -:040C1900E793000756 -:040C1A00002300476C -:040C1B00E0EF00F70F -:040C1C00B73196AFA7 -:040C1D005783676131 -:040C1E00C793590718 -:040C1F00182301078E -:040C2000BDF558F7CF -:040C2100C70367E1BD -:040C22004605630719 -:040C2300656346813E -:040C2400070500E6DA -:040C25000FF77693BC -:040C260062D78823E6 -:040C270067E1B5CDFF -:040C280063078713C4 -:040C29000017470366 -:040C2A008793460561 -:040C2B004681630794 +:040B8700D03E6817DD +:040B8800879367E107 +:040B8900D23E6827C9 +:040B8A00879367E105 +:040B8B00D43E6837B5 +:040B8C00879367E103 +:040B8D00D63E6847A1 +:040B8E0067E1D83E05 +:040B8F006857879389 +:040B900027B7DA3E6B +:040B910087930F0334 +:040B9200CA3EF01750 +:040B93003030079364 +:040B94001C2363615A +:040B9500478D00F197 +:040B960000F10D233A +:040B97005EC307939F +:040B980001C7C78347 +:040B9900C086DE2212 +:040B9A0009A3DC26A9 +:040B9B0047250001E9 +:040B9C005EC303131E +:040B9D008663440522 +:040B9E00841300E7D5 +:040B9F0074130017B4 +:040BA00067E10FF406 +:040BA100A6036761DF +:040BA20007136EC700 +:040BA30047816AC755 +:040BA400568345E946 +:040BA5001C630007C6 +:040BA600476900D6C5 +:040BA70010F762637E +:040BA800078A6759F8 +:040BA90068070713BF +:040BAA00439C97BA17 +:040BAB00070987822D +:040BAC0050B78C634F +:040BAD00BFF1078508 +:040BAE0066E1478530 +:040BAF005C86D70386 +:040BB00010074713D0 +:040BB1005CE6942347 +:040BB200270367614D +:040BB30016936F071F +:040BB400D36300F710 +:040BB50087A200060D +:040BB60000E71693AB +:040BB7000006DE63F3 +:040BB80046836761A8 +:040BB9004585668781 +:040BBA00E5634601A8 +:040BBB00068500D5D6 +:040BBC000FF6F61327 +:040BBD0066C70423E0 +:040BBE00450147297D +:040BBF0000E7866362 +:040BC0000EA36761B8 +:040BC100450558F797 +:040BC200D70367E10D +:040BC30066E15C8704 +:040BC4006FB6C683BF +:040BC50007429B4DFB +:040BC60094238341B0 +:040BC700EA815CE77C +:040BC800C68366E199 +:040BC900068A5D66D5 +:040BCA0094238F558C +:040BCB00D7035CE709 +:040BCC0040865C877C +:040BCD0017B7547290 +:040BCE00A02300025E +:040BCF0054E20EE7F7 +:040BD00004410113C8 +:040BD100478980824E +:040BD200478DBF8DFF +:040BD3004791B7BDD2 +:040BD4004795B7ADDD +:040BD5004799B79DE8 +:040BD600479DB78DF3 +:040BD70047A1BFB9BA +:040BD80047A5BFA9C5 +:040BD90066E1BF9979 +:040BDA006FB6C783A8 +:040BDB002603676125 +:040BDC00B79349077B +:040BDD008DA30017CD +:040BDE0047036EF665 +:040BDF00078A00067B +:040BE0008FD99B6DA1 +:040BE10000F60023F7 +:040BE200C70367E1FD +:040BE30067E15E97D1 +:040BE40058E78B2320 +:040BE5006FB6C7839D +:040BE6004505C79169 +:040BE7003E5000EF8D +:040BE800BF2147A939 +:040BE900A7AFE0EFE3 +:040BEA0067E1BFE51B +:040BEB0049C7A7034C +:040BEC000001663767 +:040BED004483656177 +:040BEE004783000732 +:040BEF0006130017D2 +:040BF00045C56FC6C2 +:040BF1008FC507A203 +:040BF2000027448311 +:040BF3005A05051387 +:040BF4008FC504C2E3 +:040BF50000374483FE +:040BF6008CDD04E2AC +:040BF70000B4D693DD +:040BF8000016F79359 +:040BF9007FF4F393FF +:040BFA00C61EC43E11 +:040BFB0000474683E6 +:040BFC0000574283D9 +:040BFD00E6B302A2B7 +:040BFE00428300D25C +:040BFF004703006741 +:040C000002C20077B5 +:040C010000D2E2B388 +:040C020000A30683C2 +:040C030002B2477181 +:040C040002E686B3CB +:040C05000713676109 +:040C0600D29371C74D +:040C0700C21600C24F +:040C080066E19736D4 +:040C09005E96C683AA +:040C0A00093020EF9E +:040C0B00831367E107 +:040C0C0047035EC775 +:040C0D00C72100B348 +:040C0E0047A243B204 +:040C0F000690071331 +:040C100000138693B4 +:040C110000F696B3A0 +:040C1200979396BE60 +:040C130042920144C4 +:040C14000007C463AE +:040C150007000713BA +:040C1600000307B719 +:040C170007938CFDB6 +:040C1800E09902A0BD +:040C1900020007933B +:040C1A000001663738 +:040C1B00C016656139 +:040C1C0070860613C5 +:040C1D00051345C5B1 +:040C1E0020EF5D85E1 +:040C1F0067E1041075 +:040C20004907A703D6 +:040C210000074783FE +:040C22000047E7930D +:040C230000F70023B3 +:040C2400948FE0EFDA +:040C25006761B7311B +:040C26005C8757830D +:040C27000107C79367 +:040C28005CF714233E +:040C290067E1BDF5CD +:040C2A006687C7030F +:040C2B0046814605B3 :040C2C0000E6656316 :040C2D0076930705AE -:040C2E0080A30FF799 -:040C2F00B5C100D774 -:040C3000079367615E -:040C3100C78363070B -:040C3200071300673D -:040C3300C78163070B -:040C3400F79317FD1E -:040C350003230FF78F -:040C3600B55500F7B9 -:040C370007136761D7 -:040C38004783630784 -:040C390046B9006751 -:040C3A0000F6F3636A -:040C3B00078547B929 -:040C3C0065B7B7DD04 -:040C3D0065610001EC -:040C3E00859346450F -:040C3F0005136E0526 -:040C400020EF5685C6 -:040C410062E1031059 -:040C4200000165B791 -:040C43005A028513B9 -:040C44008593464509 -:040C450020EF6F0528 -:040C460064E101D094 -:040C47004584A683B7 -:040C48000006C78358 -:040C49000047E793E6 -:040C4A0000F680230D -:040C4B008ACFE0EF7D -:040C4C0067E1676194 -:040C4D00071362E146 -:040C4E0083136E4757 -:040C4F0017B75B4731 -:040C5000A6030002F5 -:040C510067E10D0743 -:040C520067478793D6 -:040C53008241064292 -:040C540045ED4681A3 -:040C55000007D503BC -:040C560000C506636C -:040C5700078906857E -:040C5800FEB69AE367 -:040C590000A307836A -:040C5A0087B34671A5 -:040C5B00461502C771 -:040C5C004BDC97BA1C -:040C5D00646383F554 -:040C5E00461102F643 -:040C5F0006D6416311 -:040C6000973E18386B -:040C6100FDC7470381 -:040C620040D75733ED -:040C6300C70D8B0529 -:040C64001838078AAB -:040C6500A78397BA10 -:040C66008023FE47A2 -:040C6700A70300D708 -:040C680047834584F5 -:040C69009BED0007F8 -:040C6A0000F700236C -:040C6B00872FE0EF00 -:040C6C0081A367E118 -:040C6D00B3E16C077C -:040C6E0000016637E4 -:040C6F0006130685DD -:040C700045C56FC641 -:040C71005A0285138B -:040C72006D0020EF02 -:040C730080CFE0EF5F -:040C74000007A53799 -:040C7500120505134C -:040C7600F27FC0EF5A -:040C770047B1B7C901 -:040C7800FAF68FE316 -:040C790005136509F1 -:040C7A00C0EF710551 -:040C7B0067E1F15FDD -:040C7C006E47871325 -:040C7D00831367E195 -:040C7E0062E15B478D -:040C7F000683B789A8 -:040C8000467100A316 -:040C8100863367E16E -:040C8200871302C60C -:040C830045816E47F2 -:040C84006E4787939D -:040C85004703973258 -:040C86004679016743 -:040C870000E66563BB -:040C88007593070554 -:040C890046710FF7AA +:040C2E0084230FF715 +:040C2F00B5CD66D702 +:040C3000871367E1DE +:040C31004703668788 +:040C3200460500175C +:040C330066878793B6 +:040C3400656346812D +:040C3500070500E6C9 +:040C36000FF77693AB +:040C370000D780A3BF +:040C38006761B5C17A +:040C39006687079330 +:040C3A000067C78305 +:040C3B0066870713AE +:040C3C0017FDC78158 +:040C3D000FF7F79323 +:040C3E0000F7032395 +:040C3F006761B555DF +:040C400066870713A9 +:040C4100006747837E +:040C4200F36346B959 +:040C430047B900F6B7 +:040C4400B7DD07858C +:040C4500000165B78E +:040C46004645656159 +:040C4700718585939B +:040C48005A05051331 +:040C4900031020EF85 +:040C4A0065B762E147 +:040C4B00851300010C +:040C4C0046455D823A +:040C4D007285859394 +:040C4E0001D020EFC2 +:040C4F00A68364E133 +:040C5000C783490409 +:040C5100E79300061F +:040C520080230047B4 +:040C5300E0EF00F6D8 +:040C5400676188AF9D +:040C550062E167E110 +:040C560071C7071348 +:040C57005EC78313DE +:040C5800000217B7C8 +:040C59000D07A603DA +:040C5A00879367E134 +:040C5B0006426AC71C +:040C5C00468182410A +:040C5D00D50345ED89 +:040C5E000663000722 +:040C5F00068500C541 +:040C60009AE3078983 +:040C61000783FEB651 +:040C6200467100A334 +:040C630002C787B38A +:040C640097BA4615E0 +:040C650083F54BDCEC +:040C660002F66463CB +:040C6700416346118E +:040C6800183806D65C +:040C69004703973E68 +:040C6A005733FDC738 +:040C6B008B0540D7DE +:040C6C00078AC70D1F +:040C6D0097BA1838E2 +:040C6E00FE47A78313 +:040C6F0000D7802307 +:040C70004904A70389 +:040C710000074783AE +:040C720000239BEDD3 +:040C7300E0EF00F7B7 +:040C740067E1850FA0 +:040C75006E078DA3D6 +:040C76006637B3E149 +:040C770006850001ED +:040C780073460613A6 +:040C7900851345C5D5 +:040C7A0020EF5D8288 +:040C7B00D0EF6D0049 +:040C7C00A537FEBFDB +:040C7D000513000754 +:040C7E00C0EF1205AC +:040C7F00B7C9F05FA2 +:040C80008FE347B106 +:040C81006509FAF611 +:040C820071050513E0 +:040C8300EF3FC0EF90 +:040C8400871367E18A +:040C850067E171C7EB +:040C86005EC78313AF +:040C8700B78962E1E6 +:040C880000A306833C +:040C890067E1467168 :040C8A0002C68633E5 -:040C8B0097B2676154 -:040C8C0000B78B23FF -:040C8D0005234605F0 -:040C8E00676162C771 -:040C8F006CC74703E4 -:040C9000D2E69FE326 -:040C91000167C7032D -:040C9200902367E163 -:040C9300BB056CE74A -:040C940000A3068330 -:040C950067E146715C -:040C960002C68633D9 -:040C97006E4787130A -:040C9800879345FDFC -:040C990097326E47D9 -:040C9A0001674703A4 -:040C9B00177DDF4D95 -:040C9C004785BF4D7C -:040C9D00C23E44818E -:040C9E0067B765616E -:040C9F0046450001C5 -:040CA00070C7859301 -:040CA100568505135C -:040CA2006AA020EF35 -:040CA30086B346A925 -:040CA400461502D41B -:040CA50087364792B5 -:040CA60000D65363BE -:040CA70062E14715AA -:040CA80000016637AA -:040CA9005A02851353 -:040CAA000613072501 -:040CAB0045C571C604 -:040CAC0020EFC63E31 -:040CAD0066E15E603E -:040CAE004586A603CE -:040CAF0000064703F1 -:040CB000004767137F -:040CB10000E6002336 -:040CB200F11FD0EF6F -:040CB30047B266E1FD -:040CB40062E1C23601 -:040CB500C41666C13A -:040CB600173716FDD9 -:040CB700270300020D -:040CB8008F750D0720 -:040CB900CF11EF99CF -:040CBA00861367E155 -:040CBB0045ED674755 -:040CBC005503478114 -:040CBD0002630006C8 -:040CBE00078502E5BF -:040CBF009AE30609A5 -:040CC0006509FEB70D -:040CC10071050513A1 -:040CC200C0EFC63A7F -:040CC3004732DF5F76 -:040CC400674187BA43 -:040CC500FFF706939C -:040CC6004625B7C93F -:040CC70000C7876378 -:040CC800E091461160 -:040CC900DE6346257B -:040CCA00472904C7EB -:040CCB00E7B30785FF -:040CCC00833302E785 -:040CCD00676102E475 -:040CCE000F23979ABF -:040CCF00E0EF54F707 -:040CD000C91DF5FF46 -:040CD100000166B701 -:040CD2006BC68693D4 -:040CD300763747A287 -:040CD4000613000102 -:040CD50045C5AC065F -:040CD6005A07851321 -:040CD70053C020EFF7 -:040CD800E79FD0EFD3 -:040CD9000007A53734 -:040CDA0012050513E7 -:040CDB00D93FC0EF4E -:040CDC00A703479291 -:040CDD00B53545875D -:040CDE00000166B7F4 -:040CDF006B46869347 -:040CE0004669B7F1B9 -:040CE10000C796634F -:040CE2000014C493A3 -:040CE300B5E587BA32 -:040CE40098E346311A -:040CE500BFE9F6C7A6 -:040CE600C78367E178 -:040CE70090E36C37F3 -:040CE80087A2BE071A -:040CE90047A9BCD586 -:040CEA000113BCFD39 -:040CEB001828DBC129 -:040CEC00241120238C -:040CED0022812E230F -:040CEE0022912C2300 -:040CEF00D7DFD0EF8C -:040CF00020EFC22A05 -:040CF1004792356091 -:040CF200EBC5440DFD -:040CF300182C461162 -:040CF40020EF0828BD -:040CF50075B7560079 -:040CF60046110001A2 -:040CF70097858593C5 -:040CF80020EF0828B9 -:040CF90007935240CB -:040CFA00E941064086 -:040CFB0003C1578357 -:040CFC0003E1059378 -:040CFD000513462174 -:040CFE001E2301E1CF -:040CFF0020EF00F1F1 -:040D00005783534082 -:040D010002A30461E4 -:040D02001A230201AD -:040D0300578300F121 -:040D04001B23048128 -:040D0500455200F162 -:040D0600753000EF55 -:040D070004A1578369 -:040D08001A23D42AAC -:040D0900578300F11B -:040D0A001B2304C1E2 -:040D0B00455200F15C -:040D0C0073B000EFD1 -:040D0D0004E1578323 -:040D0E001A23D62AA4 -:040D0F00578300F115 -:040D10001B2305019B -:040D1100455200F156 -:040D1200723000EF4C -:040D13002503D82AB2 -:040D1400CA2A234183 -:040D1500717000EF0A -:040D1600DA2A55A2DE -:040D17001E20079300 -:040D1800FE658713DA -:040D190014E7E06398 -:040D1A00182846054A -:040D1B00723000EF43 -:040D1C000B6357D23C -:040D1D00079306F53D -:040D1E00C23E06606B -:040D1F0029C020EFD8 -:040D200047054792AA -:040D21002AE78963D1 -:040D220026F7476306 -:040D2300F35007136F -:040D24002AE78863CF -:040D250024F7446308 -:040D2600F34007137C -:040D27002AE78763CD -:040D2800000175B79A -:040D29008C458593DD -:040D2A0067E1C23E7D -:040D2B005A078513CB -:040D2C0020EF464529 -:040D2D00D0EF4800BB -:040D2E004537D23F34 -:040D2F000513000F99 -:040D3000C0EF2405E7 -:040D31004792C3DF43 -:040D32002A07DA634F -:040D33002A80586357 -:040D3400000176370D -:040D350006136561DB -:040D360045C59E46CB -:040D370056850513C5 -:040D38003B8020EFED -:040D3900A2B9147DCA -:040D3A007637646143 -:040D3B00061300019A -:040D3C0045C598060B -:040D3D0056840513C0 -:040D3E003A0020EF68 -:040D3F0067E156B260 -:040D40000001763701 -:040D41005A078513B5 -:040D420099060613F5 -:040D430020EF45C593 -:040D4400D0EF38A014 -:040D450057C2CC7F46 -:040D4600430154B25F -:040D47004501C23E62 -:040D48006463C422FA -:040D49004792089332 -:040D4A001AA79263EF -:040D4B0001E14783F8 -:040D4C0001C1468318 -:040D4D0001D1470386 -:040D4E0077B7CFD5CF -:040D4F008793000185 -:040D500045228907A8 -:040D510001E10613A3 -:040D52007637C032FE -:040D53000613000182 -:040D540045C599C632 -:040D550056850513A7 -:040D5600340020EF56 -:040D570075B767E124 -:040D5800464500010B -:040D59009F4585939A -:040D5A005A0785139C -:040D5B00254020EF20 -:040D5C00D0EF64412F -:040D5D00147DC67FBC -:040D5E00000214B7C4 -:040D5F000D04A78355 -:040D600056836761EE -:040D61008FE1674770 -:040D620006F68B63A3 -:040D630067470713C4 -:040D6400002757030A -:040D650014F700631C -:040D66000513650903 -:040D6700C0EF710563 -:040D6800BFD9B61F1A -:040D69000650079396 -:040D6A0082B3BDC9CA -:040D6B000793406446 -:040D6C00F46320000C -:040D6D000293005796 -:040D6E0067E1200019 -:040D6F002003041346 -:040D700001029713D2 -:040D7100550785138A -:040D720046818341F2 -:040D7300009456137F -:040D7400C81A182C55 -:040D750020EFC6168F -:040D760087AA054003 -:040D77000E051663EC -:040D780042B24342FE -:040D790036131828ED -:040D7A008596001347 -:040D7B005A3000EFFB -:040D7C00BF0583220A -:040D7D00000177B743 -:040D7E00AC87879324 -:040D7F0010EFB79921 -:040D800067612E6019 -:040D81005907578334 -:040D820005136509E7 -:040D8300E79371057C -:040D84001823002709 -:040D8500A02358F758 -:040D8600C0EF0EF4B8 -:040D870075B7AE5F2F -:040D880065610001A0 -:040D890085934645C3 -:040D8A0005139A852E -:040D8B0020EF56857A -:040D8C00440D3040A2 -:040D8D0074B767E1EF -:040D8E0085130001C8 -:040D8F0046455A0774 -:040D90009B44859368 -:040D91002EE020EF41 -:040D9200B91FD0EFC6 -:040D93001834563288 -:040D9400450545814B -:040D9500B13FD0EFAB -:040D960010E387AA35 -:040D97006761E205A9 -:040D9800000175B72A -:040D99005687051361 -:040D9A0085934645B2 -:040D9B0020EF9C4564 -:040D9C0085932C40CF -:040D9D0064E19B442E -:040D9E00851346452E -:040D9F0020EF5A04E3 -:040DA000D0EF2B4025 -:040DA1005642B57F82 -:040DA200183455B2FA -:040DA300D0EF450147 -:040DA40087AAA47FF7 -:040DA500DE0513E371 -:040DA600080020EF32 -:040DA70075B7676154 -:040DA80046450001BB -:040DA900A085859309 -:040DAA005687051350 -:040DAB00114020EFE4 -:040DAC00000175B716 -:040DAD00859346459F -:040DAE0085139D45C7 -:040DAF0020EF5A04D3 -:040DB000D0EF274019 -:040DB100A001B17F6D -:040DB200BB45440DEC -:040DB3000793440D51 -:040DB400B3650670AD -:040DB5000793440D4F -:040DB600B3450680BB -:040DB700F3600713CB -:040DB80006E78A635D -:040DB900F3700713B9 -:040DBA00DAE79CE3F5 -:040DBB00000175B707 -:040DBC009245859344 -:040DBD000713BB5508 -:040DBE0082630660E6 -:040DBF004F6306E791 -:040DC000071300F71E -:040DC1008163064004 -:040DC200071306E726 -:040DC30099E306505A -:040DC40075B7D8E740 -:040DC5008593000111 -:040DC600B3798DC5AB -:040DC7000670071398 -:040DC80004E7896350 -:040DC9000680071386 -:040DCA00D6E79CE3E9 -:040DCB00000175B7F7 -:040DCC009105859375 -:040DCD0075B7BB95A6 -:040DCE008593000108 -:040DCF00B3AD8CC56F -:040DD000000175B7F2 -:040DD100944585932D -:040DD20075B7B385B9 -:040DD3008593000103 -:040DD400BB999545ED -:040DD500000175B7ED -:040DD6009345859329 -:040DD70075B7B3B188 -:040DD80085930001FE -:040DD900B3898EC587 -:040DDA00000175B7E8 -:040DDB0096858593E1 -:040DDC0075B7BB2507 -:040DDD0085930001F9 -:040DDE00B33D8FC5CD -:040DDF002401208348 -:040DE00023C1240304 -:040DE10023812483C3 -:040DE2000113557D27 -:040DE30080822441A5 -:040DE400C70367E1F9 -:040DE50046F16C2740 -:040DE600862367E118 -:040DE70007336CE77B -:040DE80067E102D7E6 -:040DE9006E47879337 -:040DEA00D68397BA5B -:040DEB00676100E755 -:040DEC006CD7152388 -:040DED0066E14B98D8 -:040DEE0098238B7D3E -:040DEF00C6836CE665 -:040DF00067610147EF -:040DF1006CD719237F -:040DF2000127C6838C -:040DF3001F236761F2 -:040DF40047986AD7DB -:040DF500835566E1DB -:040DF6006CE69423F0 -:040DF7000157C68357 -:040DF80012236761FA -:040DF900C6836CD76A -:040DFA0067610137F5 -:040DFB006CD7172377 -:040DFC0000C7D683D3 -:040DFD0013236761F4 -:040DFE00C7036CD7E4 -:040DFF0067E1016740 -:040E00006CE79023E8 -:040E010067E18082A3 -:040E02006CC7C58371 -:040E0300D68367E14A -:040E040067E16CA78F -:040E05006D07D28320 -:040E0600D78367E146 -:040E070011116D2731 -:040E0800C03ECA26F8 -:040E0900C78367E153 -:040E0A00CC226BE7A4 -:040E0B00C23E67611B -:040E0C00D78367E140 -:040E0D0007136C87D4 -:040E0E00C43E6E4729 -:040E0F00D78367E13D -:040E1000C63E6C4727 -:040E1100C78367E14B -:040E1200C83E6CE783 -:040E1300D48367E13C -:040E140067E16C67BF -:040E15006C07D30390 -:040E1600879367E176 -:040E1700C6035B476C -:040E1800C24100B71C -:040E190000A78603A5 -:040E1A0006B61D6398 -:040E1B0007B347F1E1 -:040E1C0097BA02F689 -:040E1D0000E7D38394 -:040E1E0006D3916303 -:040E1F000107A383A1 -:040E20000FF2F413C6 -:040E210001F3F39353 -:040E22000483996349 -:040E23000147C3833D -:040E2400946344028D -:040E2500C3830483FC -:040E2600441201274A -:040E270002839F6340 -:040E28000087A38319 -:040E2900D3934422F9 -:040E2A009863015375 -:040E2B00C3830283F8 -:040E2C0044320157F4 -:040E2D000283936346 -:040E2E000137C38342 -:040E2F009E63444238 -:040E3000D7830083E1 -:040E31009A6300C7F9 -:040E320047F10097ED -:040E330002F606338A -:040E34004783963A20 -:040E35008663016669 -:040E360067E1006709 -:040E370085234605C4 -:040E380047F162C755 -:040E390002F587B384 -:040E3A0001F2F2933C -:040E3B00D60397BA89 -:040E3C0097230107F0 -:040E3D009A0100D73F -:040E3E00005662B345 -:040E3F00005798239D -:040E40008A234602B9 -:040E4100461200C78E -:040E420000C7892339 -:040E4300129346229E -:040E4400D60300567B -:040E45008A7D00A7FB -:040E460000566633B9 -:040E470000C7952328 -:040E48008AA3463201 -:040E4900464200C756 -:040E4A000097962354 -:040E4B0000678B238E -:040E4C0000C789A3AF -:040E4D0006136661C1 -:040E4E0067E16C06E6 -:040E4F0002C516635F -:040E5000465006936F -:040E510002D3033392 -:040E520006400693BD -:040E530000017637ED -:040E540045860613B6 -:040E550002D346B3CB -:040E560044D24462DC -:040E5700851345C5F5 -:040E580001715A07C3 -:040E59007350106F53 -:040E5A0006136661B4 -:040E5B0007636CA617 -:040E5C00666100C506 -:040E5D006D06061305 -:040E5E0002C517634F -:040E5F0085B34671A0 -:040E6000461502C56C -:040E610044D24462D1 -:040E62005A07851393 -:040E63004B18972E63 -:040E64008B7D45C578 -:040E650002C7073386 -:040E660000017637DA -:040E67004606061322 -:040E6800106F017195 -:040E690076376F70F9 -:040E6A0056830001AA -:040E6B000613000565 -:040E6C00B75D4486A4 -:040E6D0027100693B1 -:040E6E0002D506B3F0 -:040E6F00064007939F -:040E700000017637D0 -:040E7100061365619E -:040E720045C5AC4680 -:040E73005A05051304 -:040E740002F6C6B309 -:040E75006C50106F3E -:040E7600FDDFF06F3D -:040E7700763786AA9A -:040E780065610001AF -:040E790044460613D2 -:040E7A00051345C552 -:040E7B00106F5A0595 -:040E7C0086AA6AB028 -:040E7D0000017637C3 -:040E7E000613656191 -:040E7F0045C5A706B8 -:040E80005A050513F7 -:040E81006950106F35 -:040E8200000F46B760 -:040E83002406869328 -:040E840002D505335B -:040E8500879367895F -:040E86000713964771 -:040E870036B73E80BC -:040E880086930063EA -:040E89007637EA06C8 -:040E8A00061300014A -:040E8B0045C5A6466D -:040E8C0002F557B361 -:040E8D0002E7F7B3CE -:040E8E0056B34729E7 -:040E8F00656102D5C2 -:040E90005A050513E7 -:040E910002E7D7336A -:040E92006510106F68 -:040E9300467006930C -:040E940002D506B3CA -:040E95000640079379 -:040E960000017637AA -:040E97000613656178 -:040E980045C543C643 -:040E99005A050513DE -:040E9A0002F6C6B3E3 -:040E9B0062D0106FA2 -:040E9C002710069382 -:040E9D00053305050F -:040E9E00069302D5E0 -:040E9F00763706405C -:040EA0000613000134 -:040EA10045C5AC4651 -:040EA20002D546B37C -:040EA300051365616D -:040EA400106F5A056C -:040EA50086AA607049 -:040EA600000176379A -:040EA7000613656168 -:040EA80045C5A9C6CD -:040EA9005A050513CE -:040EAA005F10106F56 -:040EAB000185169314 -:040EAC00763786E12E -:040EAD00656100017A -:040EAE00061316D140 -:040EAF0045C5A5C6CA -:040EB0005A050513C7 -:040EB1005D50106F11 -:040EB200053345F1CE -:040EB30065E102B53E -:040EB4006E4585936F -:040EB50095AA46456F -:040EB600051365615A -:040EB700106F5A0559 -:040EB80017936550D7 -:040EB900655D00254E -:040EBA00A90505136E -:040EBB00410C953E13 -:040EBC0046456561E1 -:040EBD005A050513BA -:040EBE0063B0106F9E -:040EBF000F6347A9CD -:040EC000179300F58F -:040EC1006561002542 -:040EC200AB850513E4 -:040EC300410C953E0B -:040EC40046456561D9 -:040EC5005A050513B2 -:040EC60061B0106F98 -:040EC700000175B7FA -:040EC800A7C58593A2 -:040EC9001141B7F527 -:040ECA00C60645858E -:040ECB00298DC02A83 -:040ECC004783676190 -:040ECD0046826D47A5 -:040ECE006D47071352 -:040ECF007737E78901 -:040ED0000713000103 -:040ED10040B2AB4739 -:040ED200000176376E -:040ED300061365613C -:040ED40045C5ABC69F -:040ED5005A050513A2 -:040ED600106F014157 -:040ED70067E153F08C -:040ED8006B47A703BA -:040ED900879367E1B3 -:040EDA0046AD674773 -:040EDB00D583464530 -:040EDC0092630167B5 -:040EDD00F69306E59D -:040EDE00E1190FF611 -:040EDF002A07046377 -:040EE000C4261131E2 -:040EE100C28364E183 -:040EE200C62252D4FE -:040EE30007936461AC -:040EE400959331C4ED -:040EE50097AE003292 -:040EE600A303C80694 -:040EE700C7830007B6 -:040EE8004651004728 -:040EE900FF56851318 -:040EEA0002C7873381 -:040EEB000043260397 -:040EEC000FF5751376 -:040EED008493439512 -:040EEE00041352D4C3 -:040EEF00963A31C43A -:040EF000004647036E -:040EF10002A3E8630D -:040EF200050A63DDAD -:040EF300A1C3839381 -:040EF4004108951EFE -:040EF50006858502E7 -:040EF6009AE30789EB -:040EF7004681F8C672 -:040EF800E399BF6952 -:040EF9000003478328 -:040EFA00F79317FD56 -:040EFB0095A20FF7B6 -:040EFC0000F5822358 -:040EFD0046814701E2 -:040EFE004703A8916D -:040EFF000785000360 -:040F0000E7B395A21C -:040F0100B7ED02E75F -:040F020000028763FF -:040F0300FFF28793DF -:040F040000F4802352 -:040F050067E1B7C524 -:040F06006C0781A350 -:040F0700A70367E1F4 -:040F0800478345874F -:040F09009BED000755 -:040F0A0000F70023C9 -:040F0B0040C244326A -:040F0C00015144A2A9 -:040F0D00DEAFD06F14 -:040F0E000E63478D9A -:040F0F00479104F70B -:040F1000FAF71AE3EF -:040F11009782461C61 -:040F12004685872A5F -:040F13000004C7838C -:040F14004645656188 -:040F1500943E078E71 -:040F160000444783C9 -:040F170044514004FD -:040F18000287843395 -:040F1900051340DCA0 -:040F1A00C23A5685FC -:040F1B0097A2C036A3 -:040F1C0010EF438C03 -:040F1D0040DC4C1058 -:040F1E0097A24591C0 -:040F1F000047C603BE -:040F200014C5E363AE -:040F2100060A65DD7A -:040F2200A3458593CB -:040F23004210962EB4 -:040F240047124682A8 -:040F25004A1C8602DA -:040F26009782C3915A -:040F27000004C78378 -:040F28008593435119 -:040F2900078E001718 -:040F2A0000F4073395 -:040F2B004703431421 -:040F2C0042D0004768 -:040F2D00026707331D -:040F2E000035969361 -:040F2F0000D40533B2 -:040F30000005230392 -:040F31004718973294 -:040F320000E3046371 -:040F33000005022390 -:040F3400C78397A236 -:040F350047510047D9 -:040F360087B396A245 -:040F3700802302E72A -:040F380097B200B4B8 -:040F3900C29C479C73 -:040F3A004791B731F3 -:040F3B00F0E7E4E314 -:040F3C00879367DD53 -:040F3D00070AA48774 -:040F3E00431C973E7B -:040F3F00460C878253 -:040F40004503433DE5 -:040F4100470300C69C -:040F4200C78300D68B -:040F4300460300055C -:040F44009D6300E6C3 -:040F45007863006667 -:040F460017FD00F79C -:040F47000FF7F61397 -:040F480000C580233D -:040F4900FD6DBDC1BC -:040F4A00BFDD863A47 -:040F4B0000C7F86380 -:040F4C00F71307850B -:040F4D0080230FF7F7 -:040F4E00BD6D00E590 -:040F4F008732FD6D7B -:040F50004608BFDDB3 -:040F510000C65583FE -:040F520000E657035B -:040F53005783463D3D -:040F54009E63000593 -:040F5500F76300C678 -:040F560017FD00F58E -:040F570001079713E4 -:040F5800A019834118 -:040F5900872EC1918D -:040F5A0000E510237B -:040F5B00F463B56125 -:040F5C00078500E71E -:040F5D00F9EDB7E50E -:040F5E00B7FD470193 -:040F5F0045BD4650F6 -:040F60004783421869 -:040F61009963000789 -:040F6200C78100B68D -:040F6300F79317FDEC -:040F640000230FF760 -:040F6500BDB900F71B -:040F66000046468378 -:040F670000D7F56357 -:040F6800F693078570 -:040F690000230FF75B -:040F6A00B5A900D74E -:040F6B004B9C4798BC -:040F6C004703656171 -:040F6D0046450007EE -:040F6E005A05051308 -:040F6F0097BA070A1C -:040F700010EF438CAF -:040F710044323710BF -:040F720044A240C293 -:040F7300D06F0151E9 -:040F74004798C0AF2B -:040F750045034B9C49 -:040F76009782000757 -:040F77004B98B7EDEF -:040F7800970247880D -:040F790067E1B7CDA8 -:040F7A005A0780236F -:040F7B00C695BFE96F -:040F7C0066B7C3058C -:040F7D008693000156 -:040F7E0076376BC691 -:040F7F0065610001A7 -:040F8000AC060613A2 -:040F8100051345C54A -:040F820010EF5A050D -:040F8300BF6528F02E -:040F8400000166B74B -:040F85006B4686939E -:040F860047DCB7CDC0 -:040F87004398D7E9CB -:040F8800BF55479C6E -:040F890047BD80825E -:040F8A0016A7EA6359 -:040F8B00445257B7BE -:040F8C00EF4101131D -:040F8D0035578793BA -:040F8E0057B7C03E53 -:040F8F008793004103 -:040F9000C23E441702 -:040F910000F537939D -:040F920000F1052342 -:040F93008793679544 -:040F940022235007BD -:040F95002423108180 -:040F9600041310111F -:040F9700202301050D -:040F98004739109134 -:040F990000F114232C -:040F9A007E6304224C -:040F9B0065E10AA75B -:040F9C0004A0079313 -:040F9D0085934629C9 -:040F9E000513594599 -:040F9F0005A300D1D5 -:040FA000062300F133 -:040FA10010EF00014C -:040FA20067E113B040 -:040FA3005657C78353 -:040FA400061365E1EA -:040FA5000C230360B6 -:040FA60067E100F10E -:040FA70055D7C783D0 -:040FA8006745859381 -:040FA9000211051319 -:040FAA0000F10CA3A3 +:040C8B0071C7871393 +:040C8C008793458184 +:040C8D00973271C762 +:040C8E0001674703B0 +:040C8F0065634679DA +:040C9000070500E66E +:040C91000FF7759351 +:040C920086334671EE +:040C9300676102C6CD +:040C94008B2397B265 +:040C9500460500B759 +:040C960066C7012309 +:040C97004703676147 +:040C98009FE370471F +:040C9900C703D2E6D5 +:040C9A0067E10167A6 +:040C9B006EE79C2341 +:040C9C000683BB050B +:040C9D00467100A3F9 +:040C9E00863367E151 +:040C9F00871302C6EF +:040CA00045FD71C7D6 +:040CA10071C78793FD +:040CA200470397323B +:040CA300DF4D0167B9 +:040CA400BF4D177DAC +:040CA50044814785BA +:040CA6006561C23E84 +:040CA700000167B72A +:040CA80085934645A5 +:040CA9000513744774 +:040CAA0020EF5A05D8 +:040CAB0046A96AA04C +:040CAC0002D486B335 +:040CAD00479246150F +:040CAE0053638736CF +:040CAF00471500D60F +:040CB000663762E160 +:040CB10085130001A6 +:040CB20007255D8233 +:040CB3007546061369 +:040CB400C63E45C52E +:040CB5005E6020EF6E +:040CB600A60366E14A +:040CB70047034906A0 +:040CB80067130006B8 +:040CB90000230047CD +:040CBA00D0EF00E691 +:040CBB0066E1EEFF01 +:040CBC00C23647B243 +:040CBD0066C162E1C9 +:040CBE0016FDC41645 +:040CBF0000021737E1 +:040CC0000D072703F2 +:040CC100EF998F75A3 +:040CC20067E1CF1106 +:040CC3006AC7861363 +:040CC400478145ED32 +:040CC50000065503CD +:040CC60002E50263DE +:040CC700060907858E +:040CC800FEB79AE3F6 +:040CC90005136509A1 +:040CCA00C63A7105B0 +:040CCB00DD3FC0EF5A +:040CCC0087BA47326A +:040CCD0006936741E2 +:040CCE00B7C9FFF7AC +:040CCF0087634625CC +:040CD000461100C702 +:040CD1004625E09143 +:040CD20004C7DE6312 +:040CD3000785472921 +:040CD40002E7E7B399 +:040CD50002E483337F +:040CD600979A676121 +:040CD70058F70B239C +:040CD800F3DFE0EF77 +:040CD90066B7C91D14 +:040CDA0086930001FC +:040CDB0047A26F4677 +:040CDC000001763766 +:040CDD00AF860613C5 +:040CDE00851345C570 +:040CDF0020EF5D871E +:040CE000D0EF53C03E +:040CE100A537E57FCF +:040CE20005130007EF +:040CE300C0EF120547 +:040CE4004792D71F3D +:040CE5004907A70311 +:040CE60066B7B53503 +:040CE70086930001EF +:040CE800B7F16EC62C +:040CE900966346695F +:040CEA00C49300C7E8 +:040CEB0087BA0014B0 +:040CEC004631B5E5F3 +:040CED00F6C798E3CB +:040CEE0067E1BFE912 +:040CEF006FB7C78391 +:040CF000BE0790E3C8 +:040CF100BCD587A245 +:040CF200BCFD47A955 +:040CF300DBC101134D +:040CF4002023182879 +:040CF5002E23241175 +:040CF6002C23228108 +:040CF700D0EF229187 +:040CF800C22AD5BF78 +:040CF900356020EF53 +:040CFA00440D4792CC +:040CFB004611EBC5EE +:040CFC000828182C80 +:040CFD00560020EF8E +:040CFE00000175B7C5 +:040CFF008593461182 +:040D000008289B051F +:040D0100524020EF4D +:040D0200064007930D +:040D03005783E941E8 +:040D0400059303C18F +:040D0500462103E19F +:040D060001E10513EF +:040D070000F11E23B6 +:040D0800534020EF45 +:040D090004615783A7 +:040D0A00020102A33D +:040D0B0000F11A23B6 +:040D0C000481578384 +:040D0D0000F11B23B3 +:040D0E0000EF45525B +:040D0F005783753061 +:040D1000D42A04A13C +:040D110000F11A23B0 +:040D120004C157833E +:040D130000F11B23AD +:040D140000EF455255 +:040D1500578373B0DD +:040D1600D62A04E1F4 +:040D170000F11A23AA +:040D180005015783F7 +:040D190000F11B23A7 +:040D1A0000EF45524F +:040D1B00D82A723030 +:040D1C002341250347 +:040D1D0000EFCA2AEF +:040D1E0055A27170F9 +:040D1F000793DA2A32 +:040D200087131E20F7 +:040D2100E063FE6528 +:040D2200460514E787 +:040D230000EF18289D +:040D240057D2723000 +:040D250006F50B6361 +:040D260006600793C9 +:040D270020EFC23EB9 +:040D2800479229C005 +:040D2900896347058E +:040D2A0047632AE70A +:040D2B00071326F78D +:040D2C008863F35095 +:040D2D0044632AE70A +:040D2E00071324F78C +:040D2F008763F340A3 +:040D300075B72AE782 +:040D310085930001A5 +:040D3200C23E8FC569 +:040D3300851367E1DC +:040D340046455D874C +:040D3500480020EF63 +:040D3600D01FD0EF0B +:040D3700000F45372D +:040D38002405051376 +:040D3900C1BFC0EF87 +:040D3A00DA6347929F +:040D3B0058632A07C8 +:040D3C0076372A805C +:040D3D0065610001EB +:040D3E00A1C6061331 +:040D3F00051345C58E +:040D400020EF5A0541 +:040D4100147D3B8062 +:040D42006461A2B98D +:040D430000017637FE +:040D44009B86061371 +:040D4500051345C588 +:040D460020EF5A043C +:040D470056B23A0066 +:040D4800763767E1B2 +:040D4900851300010D +:040D4A0006135D87A8 +:040D4B0045C59C8678 +:040D4C0038A020EFBC +:040D4D00CA5FD0EFBA +:040D4E0054B257C282 +:040D4F00C23E43015C +:040D5000C422450173 +:040D5100089364633C +:040D520092634792CF +:040D530047831AA711 +:040D5400468301E1F0 +:040D5500470301C18E +:040D5600CFD501D123 +:040D5700000177B769 +:040D58008C8787936A +:040D59000613452216 +:040D5A00C03201E1C1 +:040D5B0000017637E6 +:040D5C009D46061397 +:040D5D00051345C570 +:040D5E0020EF5A0523 +:040D5F0067E1340014 +:040D6000000175B762 +:040D610085934645EB +:040D62008513A2C58E +:040D630020EF5D8799 +:040D64006441254081 +:040D6500C45FD0EFA8 +:040D660014B7147D2D +:040D6700A78300025C +:040D680067610D04AE +:040D69006AC756837C +:040D6A008B638FE127 +:040D6B00071306F66E +:040D6C0057036AC7F8 +:040D6D0000630027F8 +:040D6E00650914F708 +:040D6F0071050513F2 +:040D7000B3FFC0EF1E +:040D71000793BFD94C +:040D7200BDC90650A1 +:040D7300406482B3A3 +:040D740020000793C1 +:040D75000057F463CC +:040D760020000293C4 +:040D7700041367E119 +:040D780097132003AA +:040D790085130102DB +:040D7A0083415887D2 +:040D7B005613468144 +:040D7C00182C00949B +:040D7D00C616C81AB4 +:040D7E00054020EF1D +:040D7F00166387AAC6 +:040D800043420E05D7 +:040D8100182842B23A +:040D82000013361311 +:040D830000EF859662 +:040D840083225A303C +:040D850077B7BF0578 +:040D8600879300014E +:040D8700B799B00761 +:040D88002E6010EFDA +:040D890057836761C4 +:040D8A0065095C8714 +:040D8B0071050513D6 +:040D8C000027E793C2 +:040D8D005CF71423D8 +:040D8E000EF4A0239C +:040D8F00AC3FC0EFC6 +:040D9000000175B732 +:040D9100464565610D +:040D92009E058593A2 +:040D93005A050513E5 +:040D9400304020EFDC +:040D950067E1440DC1 +:040D9600000174B72D +:040D97005D878513DC +:040D980085934645B4 +:040D990020EF9EC4E5 +:040D9A00D0EF2EE088 +:040D9B005632B6FF17 +:040D9C004581183441 +:040D9D00D0EF450549 +:040D9E0087AAAF1F52 +:040D9F00E20510E376 +:040DA00075B767615B +:040DA1000513000135 +:040DA20046455A0761 +:040DA3009FC58593D0 +:040DA4002C4020EFD0 +:040DA5009EC48593D0 +:040DA600464564E179 +:040DA7005D848513CF +:040DA8002B4020EFCD +:040DA900B35FD0EF75 +:040DAA0055B25642A6 +:040DAB0045011834B2 +:040DAC00A25FD0EF83 +:040DAD0013E387AA1B +:040DAE0020EFDE054F +:040DAF006761080070 +:040DB000000175B712 +:040DB100859346459B +:040DB2000513A4057C +:040DB30020EF5A07CC +:040DB40075B71140BE +:040DB50046450001AE +:040DB600A0C58593BC +:040DB7005D848513BF +:040DB800274020EFC1 +:040DB900AF5FD0EF69 +:040DBA00440DA00143 +:040DBB00440DBB45E3 +:040DBC000670079323 +:040DBD00440DB365C9 +:040DBE000680079311 +:040DBF000713B3451E +:040DC0008A63F360EF +:040DC100071306E727 +:040DC2009CE3F3704B +:040DC30075B7DAE73F +:040DC4008593000112 +:040DC500BB5595C5C0 +:040DC60006600713A9 +:040DC70006E7826356 +:040DC80000F74F637E +:040DC90006400713C6 +:040DCA0006E7816354 +:040DCB0006500713B4 +:040DCC00D8E799E3E8 +:040DCD00000175B7F5 +:040DCE009145859333 +:040DCF000713B379DA +:040DD00089630670BD +:040DD100071304E719 +:040DD2009CE3068018 +:040DD30075B7D6E733 +:040DD4008593000102 +:040DD500BB959485B1 +:040DD600000175B7EC +:040DD700904585932B +:040DD80075B7B3AD8B +:040DD90085930001FD +:040DDA00B38597C581 +:040DDB00000175B7E7 +:040DDC0098C585939E +:040DDD0075B7BB9992 +:040DDE0085930001F8 +:040DDF00B3B196C551 +:040DE000000175B7E2 +:040DE100924585931F +:040DE20075B7B389A5 +:040DE30085930001F3 +:040DE400BB259A058C +:040DE500000175B7DD +:040DE6009345859319 +:040DE7002083B33D75 +:040DE80024032401BB +:040DE900248323C17B +:040DEA00557D23818F +:040DEB00244101138B +:040DEC0067E18082B9 +:040DED006FA7C70322 +:040DEE0067E146F182 +:040DEF0070E7822304 +:040DF00002D70733EC +:040DF100879367E19C +:040DF20097BA71C774 +:040DF30000E7D683BC +:040DF40011236761FF +:040DF5004B9870D7D0 +:040DF6008B7D66E1AA +:040DF70070E69423EB +:040DF8000147C68366 +:040DF90015236761F6 +:040DFA00C68370D765 +:040DFB006761012704 +:040DFC006ED71B2370 +:040DFD0066E14798CC +:040DFE009023835566 +:040DFF00C68370E651 +:040E000067610157CE +:040E01006ED71E2367 +:040E02000137C6836B +:040E030013236761ED +:040E0400D68370D74A +:040E0500676100C75A +:040E06006ED71F2361 +:040E07000167C703B5 +:040E08009C2367E1DF +:040E090080826EE78E +:040E0A00C58367E154 +:040E0B0067E17047E4 +:040E0C007027D683F2 +:040E0D00D28367E144 +:040E0E0067E17087A1 +:040E0F0070A7D7836E +:040E1000CA261111CC +:040E110067E1C03E97 +:040E12006F67C783BC +:040E13006761CC2225 +:040E140067E1C23E92 +:040E15007007D78308 +:040E160071C7071386 +:040E170067E1C43E8D +:040E18006FC7D78346 +:040E190067E1C63E89 +:040E1A007067C783B3 +:040E1B0067E1C83E85 +:040E1C006FE7D48325 +:040E1D00D30367E1B3 +:040E1E0067E16F8792 +:040E1F005EC7879390 +:040E200000B7C6034E +:040E21008603C24141 +:040E22001D6300A7A5 +:040E230047F106B6D7 +:040E240002F607B318 +:040E2500D38397BA22 +:040E2600916300E7ED +:040E2700A38306D3C8 +:040E2800F4130107B7 +:040E2900F3930FF23E +:040E2A00996301F3D4 +:040E2B00C3830483F6 +:040E2C004402014734 +:040E2D000483946343 +:040E2E000127C38352 +:040E2F009F63441267 +:040E3000A383028313 +:040E310044220087D0 +:040E32000153D39302 +:040E3300028398633B +:040E34000157C3831C +:040E3500936344324D +:040E3600C3830283ED +:040E370044420137F9 +:040E380000839E6332 +:040E390000C7D78394 +:040E3A0000979A6320 +:040E3B00063347F142 +:040E3C00963A02F6EA +:040E3D000166478380 +:040E3E000067866360 +:040E3F00460567E11C +:040E400066C78123DD +:040E410087B347F13B +:040E4200F29302F530 +:040E430097BA01F267 +:040E44000107D603C9 +:040E450000D7972318 +:040E460062B39A01F8 +:040E47009823005696 +:040E48004602005707 +:040E490000C78A2331 +:040E4A0089234612A0 +:040E4B00462200C774 +:040E4C0000561293A7 +:040E4D0000A7D60321 +:040E4E0066338A7D00 +:040E4F009523005691 +:040E5000463200C75F +:040E510000C78AA3A9 +:040E5200962346425B +:040E53008B23009756 +:040E540089A3006707 +:040E5500666100C70B +:040E56006F8606138A +:040E5700166367E1D6 +:040E5800069302C536 +:040E590003334650C9 +:040E5A00069302D326 +:040E5B0076370640A0 +:040E5C000613000178 +:040E5D0046B3490649 +:040E5E00446202D315 +:040E5F0045C544D26F +:040E60005D87851312 +:040E6100106F01719C +:040E62006661735002 +:040E630070260613DC +:040E640000C507635B +:040E650006136661A9 +:040E66001763708618 +:040E6700467102C509 +:040E680002C585B387 +:040E69004462461584 +:040E6A00851344D2D6 +:040E6B00972E5D87DA +:040E6C0045C54B1815 +:040E6D0007338B7D3F +:040E6E00763702C70A +:040E6F000613000165 +:040E7000017149863D +:040E71006F70106F1F +:040E720000017637CE +:040E7300000556839D +:040E74004806061313 +:040E75000693B75DCC +:040E760006B3271088 +:040E7700079302D506 +:040E78007637064083 +:040E790065610001AE +:040E7A00AFC60613E6 +:040E7B00051345C551 +:040E7C00C6B35D8517 +:040E7D00106F02F6FA +:040E7E00F06F6C5055 +:040E7F0086AAFDDF63 +:040E800000017637C0 +:040E8100061365618E +:040E820045C547C655 +:040E83005D85051371 +:040E84006AB0106FD1 +:040E8500763786AA8C +:040E860065610001A1 +:040E8700AA8606131E +:040E8800051345C544 +:040E8900106F5D8504 +:040E8A0046B76950AE +:040E8B008693000F3B +:040E8C000533240600 +:040E8D00678902D59A +:040E8E009647879369 +:040E8F003E80071387 +:040E9000006336B70E +:040E9100EA06869354 +:040E920000017637AE +:040E9300A9C60613D3 +:040E940057B345C546 +:040E9500F7B302F5B8 +:040E9600472902E7FF +:040E970002D556B377 +:040E98000513656178 +:040E9900D7335D8569 +:040E9A00106F02E7EC +:040E9B000693651045 +:040E9C0006B34670E3 +:040E9D00079302D5E0 +:040E9E00763706405D +:040E9F006561000188 +:040EA00047460613A8 +:040EA100051345C52B +:040EA200C6B35D85F1 +:040EA300106F02F6D4 +:040EA400069362D07F +:040EA5000505271008 +:040EA60002D5053339 +:040EA7000640069368 +:040EA8000001763798 +:040EA900AFC60613B7 +:040EAA0046B345C541 +:040EAB00656102D5A6 +:040EAC005D85051348 +:040EAD006070106FF2 +:040EAE00763786AA63 +:040EAF006561000178 +:040EB000AD46061332 +:040EB100051345C51B +:040EB200106F5D85DB +:040EB30016935F1023 +:040EB40086E101854D +:040EB500000176378B +:040EB60016D165618B +:040EB700A94606132F +:040EB800051345C514 +:040EB900106F5D85D4 +:040EBA0045F15D5051 +:040EBB0002B5053344 +:040EBC00859365E1D4 +:040EBD00464571C570 +:040EBE00656195AA2B +:040EBF005D85051335 +:040EC0006550106FFA +:040EC100002517935E +:040EC2000513655D52 +:040EC300953EAC8527 +:040EC4006561410C17 +:040EC5000513464586 +:040EC600106F5D85C7 +:040EC70047A963B024 +:040EC80000F50F63BF +:040EC9000025179356 +:040ECA000513656146 +:040ECB00953EAF059C +:040ECC006561410C0F +:040ECD00051346457E +:040ECE00106F5D85BF +:040ECF0075B761B0E2 +:040ED0008593000105 +:040ED100B7F5AB4581 +:040ED2004585114100 +:040ED300C02AC60665 +:040ED4006761298D9C +:040ED50070C7478318 +:040ED6000713468236 +:040ED700E78970C770 +:040ED8000001773767 +:040ED900AEC7071386 +:040EDA00763740B275 +:040EDB00656100014C +:040EDC00AF46061304 +:040EDD00051345C5EF +:040EDE0001415D85EC +:040EDF0053F0106F4D +:040EE000A70367E11C +:040EE10067E16EC790 +:040EE2006AC78793C1 +:040EE300464546AD8D +:040EE4000167D5834A +:040EE50006E5926329 +:040EE6000FF6F6937A +:040EE7000463E119A6 +:040EE80011312A0793 +:040EE90064E1C426D6 +:040EEA005654C28315 +:040EEB006461C62256 +:040EEC0035440793EF +:040EED0000329593A7 +:040EEE00C80697AEED +:040EEF000007A30352 +:040EF0000047C7836D +:040EF10085134651CE +:040EF2008733FF56ED +:040EF300260302C709 +:040EF400751300432F +:040EF50043950FF51D +:040EF6005654849337 +:040EF7003544041367 +:040EF8004703963ADC +:040EF900E863004664 +:040EFA0063DD02A30F +:040EFB008393050ACE +:040EFC00951EA54357 +:040EFD008502410821 +:040EFE0007890685D5 +:040EFF00F8C69AE3B4 +:040F0000BF694681FE +:040F01004783E399A6 +:040F020017FD0003D4 +:040F03000FF7F7935A +:040F0400822395A20D +:040F0500470100F5AB +:040F0600A8914681E7 +:040F07000003470399 +:040F080095A2078522 +:040F090002E7E7B361 +:040F0A008763B7ED55 +:040F0B0087930002C6 +:040F0C008023FFF24D +:040F0D00B7C500F470 +:040F0E008DA367E167 +:040F0F0067E16E0721 +:040F10004907A703E3 +:040F1100000747830B +:040F120000239BED30 +:040F1300443200F76D +:040F140044A240C2F1 +:040F1500D06F015147 +:040F1600478DDC8F98 +:040F170004F70E636A +:040F18001AE3479100 +:040F1900461CFAF781 +:040F1A00872A978209 +:040F1B00C7834685BD +:040F1C006561000407 +:040F1D00078E4645B0 +:040F1E004783943E33 +:040F1F004004004446 +:040F20008433445181 +:040F210040DC028727 +:040F22005A05051354 +:040F2300C036C23AD8 +:040F2400438C97A2C1 +:040F25004C1010EF6D +:040F2600459140DCD5 +:040F2700C60397A2C4 +:040F2800E363004738 +:040F290065DD14C5A9 +:040F2A008593060A9B +:040F2B00962EA6C593 +:040F2C0046824210A7 +:040F2D0086024712DF +:040F2E00C3914A1C05 +:040F2F00C78397825B +:040F30004351000425 +:040F3100001785938D +:040F32000733078EEC +:040F3300431400F46F +:040F34000047470328 +:040F3500073342D06C +:040F36009693026725 +:040F37000533003549 +:040F3800230300D4BB +:040F390097320005E6 +:040F3A0004634718ED +:040F3B00022300E3AA +:040F3C0097A2000573 +:040F3D000047C7831F +:040F3E0096A24751DF +:040F3F0002E787B38B +:040F400000B4802356 +:040F4100479C97B280 +:040F4200B731C29C65 +:040F4300E4E347910B +:040F440067DDF0E78E +:040F4500A8078793DF +:040F4600973E070AC1 +:040F47008782431C3E +:040F4800433D460CD3 +:040F490000C6450396 +:040F4A0000D6470383 +:040F4B000005C78353 +:040F4C0000E6460372 +:040F4D0000669D633A +:040F4E0000F77863CD +:040F4F00F61317FD81 +:040F500080230FF7F4 +:040F5100BDC100C559 +:040F5200863AFD6D71 +:040F5300F863BFDDA3 +:040F5400078500C746 +:040F55000FF7F71388 +:040F560000E580230F +:040F5700FD6DBD6D02 +:040F5800BFDD873240 +:040F5900558346086E +:040F5A00570300C673 +:040F5B00463D00E629 +:040F5C0000055783B2 +:040F5D0000C69E63C9 +:040F5E0000F5F76340 +:040F5F00971317FDD0 +:040F600083410107C1 +:040F6100C191A01981 +:040F62001023872EA3 +:040F6300B56100E58F +:040F640000E7F4634B +:040F6500B7E5078560 +:040F66004701F9ED59 +:040F67004650B7FD3C +:040F6800421845BD29 +:040F690000074783B3 +:040F6A0000B69963D1 +:040F6B0017FDC78126 +:040F6C000FF7F793F1 +:040F6D0000F7002366 +:040F6E004683BDB940 +:040F6F00F5630046E0 +:040F7000078500D71A +:040F71000FF7F693ED +:040F720000D7002381 +:040F73004798B5A93D +:040F740065614B9CCC +:040F75000007470327 +:040F760005134645D4 +:040F7700070A5D8583 +:040F7800438C97BA55 +:040F7900371010EF2E +:040F7A0040C24432FB +:040F7B00015144A23A +:040F7C00BE8FD06FE5 +:040F7D004B9C4798AA +:040F7E000007450320 +:040F7F00B7ED9782B1 +:040F800047884B98BB +:040F8100B7CD97024F +:040F82008C2367E174 +:040F8300BFE95C075F +:040F8400C305C69546 +:040F8500000166B74A +:040F86006F46869399 +:040F870000017637B8 +:040F88000613656186 +:040F890045C5AF8625 +:040F8A005D85051369 +:040F8B0028F010EF4B +:040F8C0066B7BF6520 +:040F8D008693000146 +:040F8E00B7CD6EC6A7 +:040F8F00D7E947DC7B +:040F9000479C43989F +:040F91008082BF5546 +:040F9200EA6347BD0A +:040F930057B716A78F +:040F940001134452AF +:040F95008793EF410E +:040F9600C03E3557CD +:040F9700004157B707 +:040F980044178793E0 +:040F99003793C23E8A +:040F9A00052300F536 +:040F9B00679500F165 +:040F9C0050078793E0 +:040F9D00108122237A +:040F9E0010112423E7 +:040F9F000105041331 +:040FA0001091202369 +:040FA1001423473995 +:040FA200042200F134 +:040FA3000AA77E63B8 +:040FA400079365E169 +:040FA500462904A035 +:040FA6005CC585930E +:040FA70000D105135D +:040FA80000F105A3AC +:040FA900000106231A +:040FAA0013B010EF81 :040FAB00C78367E1B0 -:040FAC000BA35B2711 -:040FAD0067E100F107 -:040FAE0059E7C783B5 -:040FAF0000F10D231D -:040FB000C78367E1AB -:040FB1000DA362C763 -:040FB20067E100F102 -:040FB3005927C78370 -:040FB40000F10E2317 -:040FB500C78367E1A6 -:040FB6000EA34577CA -:040FB70067E100F1FD -:040FB8005647C7834E -:040FB90000F10F2311 -:040FBA00C78367E1A1 -:040FBB000FA345C774 -:040FBC0067E100F1F8 -:040FBD0058E7C783A7 -:040FBE0002F1002319 -:040FBF000C5010EFD3 -:040FC00005938622ED -:040FC100850A057028 -:040FC200934FD0EF8A -:040FC30000A0353322 -:040FC40040A0053311 -:040FC50010812083F4 -:040FC60010412403AF -:040FC700100124836E -:040FC80010C1011340 -:040FC90007B7808264 -:040FCA008793040CF9 -:040FCB0064E10427B2 -:040FCC00C783CC3ECD -:040FCD00EB916D44F3 -:040FCE000001763771 -:040FCF004B060613B4 -:040FD000851345B58B -:040FD10010EF6D446C -:040FD200463515305B -:040FD3006D44859351 -:040FD40000B1051350 -:040FD5001DF010EF0C -:040FD600061365E1B8 -:040FD70085930420DA -:040FD800086863053D -:040FD90005D010EF40 -:040FDA00061365E1B4 -:040FDB0085930A20D0 -:040FDC0005136E4546 -:040FDD0010EF05E12B -:040FDE00862204B0B3 -:040FDF001000059366 -:040FE000D0EF850ABF -:040FE10065618BAF0C -:040FE20000140613DE -:040FE30036A005939C -:040FE4007865051314 -:040FE5008FCFD0EFEB -:040FE600BFAD450155 -:040FE7008082557D32 -:040FE8008A2367E110 -:040FE90047BD6C078D -:040FEA0000A7F56304 -:040FEB00853E57FDEB -:040FEC0001138082EB -:040FED000793EE81F7 -:040FEE00C22E010509 -:040FEF00010795134E -:040FF00005930070F5 -:040FF100C03E1000EE -:040FF20010112A238D -:040FF300108128231E -:040FF400109126230F -:040FF50084AFD0EF06 -:040FF600E10D57FDB5 -:040FF700000175B7C9 -:040FF8004A8585930E -:040FF900C0EF0068DD -:040FFA0087AAEB8F48 -:040FFB001C051D6351 -:040FFC000161470345 -:040FFD004685CF1145 -:040FFE000ED70E6399 -:040FFF0011412083F9 -:0410000011012403B3 -:0410010010C1248373 -:041002000113853E13 -:041003008082118155 -:04100400014147035C -:041005001A071B6348 -:0410060001514683CB -:041007000550071376 -:041008001AE69563EC -:041009000181470317 -:04100A0001714683A7 -:04100B008F550722D4 -:04100C0004A00693A3 -:04100D00FCD714E315 -:04100E00F369471229 -:04100F00007866E11E -:041010004539478196 -:041011005946869323 -:04101200460345A9A3 -:04101300666300D739 -:04101400833300C55D -:04101500002300D7DD -:04101600078500C387 -:0410170096E3070550 -:041018004783FEB755 -:0410190067610251B8 -:04101A0054F70EA3D6 -:04101B00666347259C -:04101C00676100F711 -:04101D0056F702A3DD -:04101E004783A0293B -:04101F007AE302412D -:041020004703FEF78D -:0410210067E1027110 -:04102200862365615B -:04102300470362E736 -:0410240067E10281FD -:04102500036006134B -:0410260058E78923DB -:0410270002914703E8 -:04102800059367E1E4 -:041029008BA302D1C2 -:04102A00470344E74D -:04102B0067E102A1D6 -:04102C0067450513FC -:04102D0056E78223DD -:04102E0002B14703C1 -:04102F008E2367E1C4 -:04103000470344E747 -:0410310067E102C1B0 -:0410320058E78723D1 -:04103300023147033C -:04103400892367E1C4 -:0410350067E15AE72E -:041036005947C7034C -:0410370088A367E142 -:0410380047035AE729 -:0410390067E1026108 -:04103A0058E78F23C1 -:04103B006D4010EF05 -:04103C00B729478108 -:04103D0001414483A6 -:04103E004683E8E914 -:04103F000713015141 -:041040009463055060 -:0410410056830CE6E0 -:04104200071302414D -:0410430097E304200B -:041044005403EEE67D -:04104500071302612A -:0410460011E340C0B2 -:0410470067E1EEE48B -:041048004635C42A3B -:041049006D47851357 -:04104A000171059398 -:04104B00007010EF32 -:04104C0047A247125E -:04104D00EC0714E3B5 -:04104E0006136561BF -:04104F00102C04203D -:04105000630505131C -:0410510067C010EF75 -:0410520066E147828A -:0410530007A24701A8 -:041054008693C03E81 -:0410550007936E4649 -:04105600061305E098 -:04105700053310004D -:04105800C43A00D7BF -:0410590000788E1D70 -:04105A0005B3C23EDA -:04105B00486300F7EF -:04105C0010EF04C4C9 -:04105D00479264E072 -:04105E00048547229C -:04105F008F1D943E0F -:0410600007134782A9 -:04106100F4931007ED -:0410620085330FF4CF -:04106300074200F44C -:0410640004138341AD -:041065000070F00423 -:0410660010000593DE -:04106700C23A052262 -:04106800C0EF04428F -:0410690066E1E7DF76 -:04106A0047818041F9 -:04106B00869347120F -:04106C00F4456E4693 -:04106D00470567E1EB -:04106E0062E785238D -:04106F008622BF1501 -:04107000600010EF1D -:041071004785BFC52B -:041072004789BD15D8 -:041073000113BD05A3 -:041074000868DD81AA -:0410750022112223FF -:041076002281202390 -:0410770020912E2373 -:04107800F59FC0EF31 -:0410790010EFC02A8A -:04107A004782532036 -:04107B0016079A6357 -:04107C0075B764617F -:04107D0046450001E3 -:04107E004805859309 -:04107F00568405137B -:0410800010EF64E128 -:0410810080235BE08D -:04108200C0EF5A045D -:04108300C626FCFF82 -:04108400C42264C15D -:0410850017B714FD88 -:04108600A78300023A -:0410870067610D0789 -:0410880067475683DD -:041089008E638FE5FE -:04108A00071300F652 -:04108B005703674759 -:04108C0007630027CF -:04108D00650912F7E8 -:04108E0071050513D0 -:04108F00EC3FB0EF93 -:0410900047A2BFD9DB -:04109100000175B72E -:0410920085934645B7 -:041093008513494533 -:0410940010EF56877C -:0410950047B256E028 -:04109600000175B729 -:0410970085934645B2 -:0410980085139B45DC -:0410990010EF5A07F3 -:04109A00C0EF6CC077 -:04109B004481F6FF97 -:04109C0017134401E1 -:04109D000713010430 -:04109E00579320073D -:04109F00C23E409776 -:0410A00067E1863E40 -:0410A1004681472D10 -:0410A2008513080C9E -:0410A30010EF5507EE -:0410A400E57939C0F1 -:0410A500000175B71A -:0410A6004A8585935F -:0410A700C0EF080886 -:0410A800ED1DC00F6B -:0410A9000181470377 -:0410AA004683EF0585 -:0410AB000713019195 -:0410AC0097630550F1 -:0410AD00468302E68E -:0410AE00470501A150 -:0410AF0002D762639F -:0410B0001593660529 -:0410B10095B2008470 -:0410B20046A006133B -:0410B30000E684636C -:0410B40005700613AA -:0410B5000874451264 -:0410B600E8FFC0EFA0 -:0410B7000485E1517A -:0410B80047410405A3 -:0410B900F8E417E35D -:0410BA00430010EFF0 -:0410BB00453D4581E9 -:0410BC00CB1FF0EF67 -:0410BD00C78367E19D -:0410BE0067615657B9 -:0410BF005947071373 -:0410C000C50397BA13 -:0410C100458100075E -:0410C20088A367E1B7 -:0410C300F0EF5AA749 -:0410C40047A2C93F37 -:0410C5000001763779 -:0410C600061386A6E1 -:0410C70045C546864F -:0410C80056878513AF -:0410C900574010EF8D -:0410CA0075B747B2FD -:0410CB004645000195 -:0410CC00474585937C -:0410CD005A07851326 -:0410CE005FA010EF20 -:0410CF00E9DFC0EFA6 -:0410D000000F453791 -:0410D10024050513DA -:0410D200DB7FB0EF21 -:0410D3002241208313 -:0410D40022012403CE -:0410D5002483450229 -:0410D600011321C120 -:0410D7008082228170 -:0410D8003B8010EF5A -:0410D900C03E57FDC1 -:0410DA005713B7D51C -:0410DB0017930185E1 -:0410DC008FD9018522 -:0410DD0000FF06B753 -:0410DE00008517135F -:0410DF008FD98F75A1 -:0410E000071367414A -:0410E1008121F00772 -:0410E2008D5D8D791A -:0410E300C609808238 -:0410E400000217B738 -:0410E5000007A0233D -:0410E600F693470135 -:0410E7001637FFC5F4 -:0410E80007B3000248 -:0410E900646300E557 -:0410EA00898D02D713 -:0410EB009463470DB6 -:0410EC00D68302E5C0 -:0410ED0017370007AA -:0410EE0012230002C7 -:0410EF00C78300D7DC -:0410F00002230027B0 -:0410F10017B700F736 -:0410F2004B88000225 -:0410F300439C808218 -:0410F400C25C0711C2 -:0410F5004709B7F9F7 -:0410F60000E5996315 -:0410F7000007D70314 -:0410F800000217B724 -:0410F90000E7922357 -:0410FA004705BFF9EE -:0410FB00FCE59DE390 -:0410FC000007C7031F -:0410FD00000217B71F -:0410FE0000E7822362 -:0410FF001151B7E9EB -:041100001437C222BC -:04110100C026000202 -:0411020084AA460174 -:0411030004A00593AC -:0411040004040513C7 -:04110500C0EFC4066D -:0411060085A6986FB3 -:041107000513460185 -:04110800C0EF04042C -:0411090005939B4F60 -:04110A00051304A025 -:04110B00460504048D -:04110C0096CFC0EFCB -:04110D0004040513BE -:04110E0040A24412A5 -:04110F00458544824C -:04111000C06F01317A -:04111100114197AF42 -:041112001437C422A8 -:04111300C2260002EE -:0411140084AEC02ABB -:0411150005934601F7 -:04111600051304A019 -:04111700C606040400 -:0411180093CFC0EFC2 -:0411190046014782C2 -:04111A0004040513B1 -:04111B00C0EF85BEDE -:04111C000513968F92 -:04111D004422040460 -:04111E0085A640B2B0 -:04111F0046054492AB -:04112000C06F01415A -:041121001151954F84 -:041122004405C2229C -:0411230000A41433DD -:041124000FF474133D -:04112500451985A241 -:04112600F0EFC4061C -:0411270085A2FADFC4 -:0411280040A244128B -:041129000131451D2E -:04112A00F9FFF06F6A -:04112B000506115153 -:04112C007413C22254 -:04112D0085A20FF593 -:04112E00C4064505A9 -:04112F00F8BFF0EF26 +:040FAC0065E159D7CB +:040FAD0003600613C4 +:040FAE0000F10C231F +:040FAF00C78367E1AC +:040FB0008593595775 +:040FB10005136AC5F5 +:040FB2000CA3021179 +:040FB30067E100F101 +:040FB4005EA7C783EA +:040FB50000F10BA399 +:040FB600C78367E1A5 +:040FB7000D235D6742 +:040FB80067E100F1FC +:040FB9006647C7833D +:040FBA0000F10DA392 +:040FBB00C78367E1A0 +:040FBC000E235CA7FD +:040FBD0067E100F1F7 +:040FBE0048F7C783A6 +:040FBF0000F10EA38C +:040FC000C78367E19B +:040FC1000F2359C7DA +:040FC20067E100F1F2 +:040FC3004947C78350 +:040FC40000F10FA386 +:040FC500C78367E196 +:040FC60000235C6741 +:040FC70010EF02F134 +:040FC80086220C5021 +:040FC9000570059317 +:040FCA00D0EF850AD5 +:040FCB003533912FFA +:040FCC00053300A049 +:040FCD00208340A09D +:040FCE002403108167 +:040FCF002483104126 +:040FD00001131001F8 +:040FD100808210C149 +:040FD200040C07B74D +:040FD30004278793D5 +:040FD400CC3E64E1CA +:040FD50070C4C7839A +:040FD6007637EB91EE +:040FD70006130001FC +:040FD80045B54E8647 +:040FD90070C4851348 +:040FDA00153010EFCF +:040FDB00859346357F +:040FDC00051370C4C5 +:040FDD0010EF00B160 +:040FDE0065E11DF0BC +:040FDF0004200613D1 +:040FE000668585930A +:040FE10010EF08689D +:040FE20065E105D0F0 +:040FE3000A200613C7 +:040FE40071C58593BB +:040FE50005E105130A +:040FE60004B010EF54 +:040FE70005938622C6 +:040FE800850A100066 +:040FE900898FD0EF2D +:040FEA000613656124 +:040FEB000593001456 +:040FEC00051336A013 +:040FED00D0EF7BE5E1 +:040FEE0045018DAF7D +:040FEF00557DBFADC0 +:040FF00067E18082B3 +:040FF10070078623DC +:040FF200F56347BD9F +:040FF30057FD00A7FF +:040FF4008082853E34 +:040FF500EE81011375 +:040FF6000105079357 +:040FF7009513C22E5E +:040FF800007001077D +:040FF900100005934C +:040FFA002A23C03EA8 +:040FFB002823101186 +:040FFC002623108117 +:040FFD00D0EF109190 +:040FFE0057FD828F8A +:040FFF0075B7E10DD4 +:0410000085930001D3 +:0410010000684E0530 +:04100200E96FC0EFE3 +:041003001D6387AA38 +:0410040047031C057D +:04100500CF110161A5 +:041006000E634685AA +:0410070020830ED75D +:04100800240311416B +:04100900248311012A +:04100A00853E10C14E +:04100B00118101133B +:04100C004703808294 +:04100D001B6301411F +:04100E0046831A07F4 +:04100F000713015171 +:04101000956305508F +:0410110047031AE691 +:04101200468301818F +:04101300072201713E +:0410140006938F555B +:0410150014E304A03C +:041016004712FCD7AA +:0410170066E1F36932 +:041018004781007894 +:04101900869345393C +:04101A0045A95CC6C2 +:04101B0000D74603B1 +:04101C0000C5666342 +:04101D0000D7833342 +:04101E0000C30023E8 +:04101F000705078535 +:04102000FEB796E39E +:0410210002514783AE +:041022000AA3676155 +:04102300472558F70E +:0410240000F7666308 +:041025000EA367614E +:04102600A02958F7AE +:0410270002414783B8 +:04102800FEF77AE372 +:041029000271470306 +:04102A00656167E1B4 +:04102B0066E78223CF +:04102C0002814703F3 +:04102D00061367E15E +:04102E0085230360B3 +:04102F0047035CE730 +:0410300067E10291E1 +:0410310002D1059350 +:0410320048E787A361 +:0410330002A14703CC +:04103400051367E158 +:041035008E236AC5D7 +:04103600470358E72D +:0410370067E102B1BA +:0410380048E78A23D8 +:0410390002C14703A6 +:04103A00832367E1C4 +:04103B0047035CE724 +:04103C0067E1023135 +:04103D005EE78523C2 +:04103E00C70367E19C +:04103F0067E15CC742 +:041040005EE784A340 +:0410410002614703FE +:041042008B2367E1B4 +:0410430010EF5CE767 +:0410440047816D4033 +:041045004483B72900 +:04104600E8E9014193 +:04104700015146838A +:041048000550071335 +:041049000CE69463BA +:04104A000241568386 +:04104B000420071363 +:04104C00EEE697E352 +:04104D0002615403E5 +:04104E0040C0071384 +:04104F00EEE411E3D7 +:04105000C42A67E166 +:041051008513463588 +:04105200059370C7CB +:0410530010EF017128 +:0410540047120070CF +:0410550014E347A2B7 +:041056006561EC07DD +:041057000420061358 +:041058000513102C40 +:0410590010EF6685A9 +:04105A00478267C0A2 +:04105B00470166E102 +:04105C00C03E07A2E9 +:04105D0071C686933F +:04105E0005E007930F +:04105F001000061364 +:0410600000D705337D +:041061008E1DC43AE2 +:04106200C23E007812 +:0410630000F705B3DA +:0410640004C4486315 +:0410650064E010EF44 +:041066004722479244 +:04106700943E04852A +:0410680047828F1D0F +:041069001007071352 +:04106A000FF4F493F8 +:04106B0000F48533D5 +:04106C008341074273 +:04106D00F004041374 +:04106E000593007076 +:04106F000522100046 +:041070000442C23A3A +:04107100E5BFC0EF28 +:04107200804166E172 +:041073004712478158 +:0410740071C6869328 +:0410750067E1F445F6 +:041076008123470586 +:04107700BF1566E754 +:0410780010EF8622CD +:04107900BFC560008F +:04107A00BD154785D4 +:04107B00BD054789DF +:04107C00DD810113FE +:04107D0022230868BA +:04107E0020232211F8 +:04107F002E23228179 +:04108000C0EF20910C +:04108100C02AF37F0F +:04108200532010EFF8 +:041083009A634782A3 +:041084006461160786 +:04108500000175B73A +:0410860085934645C3 +:0410870005134B857D +:0410880064E15A04C1 +:041089005BE010EF29 +:04108A005C048C2353 +:04108B00FADFC0EFD9 +:04108C0064C1C6264F +:04108D0014FDC42268 +:04108E00000217B78E +:04108F000D07A7831F +:0410900056836761BB +:041091008FE56AC7B6 +:0410920000F68E6373 +:041093006AC707130E +:0410940000275703D7 +:0410950012F70763E4 +:0410960005136509D0 +:04109700B0EF710540 +:04109800BFD9EA1FB3 +:0410990075B747A23E +:04109A0046450001C6 +:04109B004CC5859328 +:04109C005A07851357 +:04109D0056E010EF1A +:04109E0075B747B229 +:04109F0046450001C1 +:0410A0009EC58593D1 +:0410A1005D878513CF +:0410A2006CC010EF1F +:0410A300F4DFC0EFC7 +:0410A400440144813E +:0410A5000104171318 +:0410A6002007071305 +:0410A7004097579384 +:0410A800863EC23E80 +:0410A900472D67E187 +:0410AA00080C468167 +:0410AB0058878513CA +:0410AC0039C010EF48 +:0410AD0075B7E579B5 +:0410AE008593000125 +:0410AF0008084E05DA +:0410B000BDEFC0EFE1 +:0410B1004703ED1DE7 +:0410B200EF050181C4 +:0410B30001914683DE +:0410B40005500713C9 +:0410B50002E6976355 +:0410B60001A14683CB +:0410B7006263470524 +:0410B800660502D7F0 +:0410B9000084159307 +:0410BA00061395B2D2 +:0410BB00846346A064 +:0410BC00061300E631 +:0410BD004512057063 +:0410BE00C0EF087403 +:0410BF00E151E6DF36 +:0410C000040504859A +:0410C10017E34741A9 +:0410C20010EFF8E44F +:0410C3004581430020 +:0410C400F0EF453DC7 +:0410C50067E1CB1FF5 +:0410C60059D7C783AC +:0410C7000713676143 +:0410C80097BA5CC7B0 +:0410C9000007C50354 +:0410CA0067E1458114 +:0410CB005EA784A3F5 +:0410CC00C93FF0EF39 +:0410CD00763747A289 +:0410CE0086A60001F1 +:0410CF004A060613B4 +:0410D000851345C57A +:0410D10010EF5A07BB +:0410D20047B257408A +:0410D300000175B7EC +:0410D4008593464575 +:0410D50085134AC570 +:0410D60010EF5D8733 +:0410D700C0EF5FA067 +:0410D8004537E7BFF2 +:0410D9000513000FEC +:0410DA00B0EF24054A +:0410DB002083D95F36 +:0410DC002403224186 +:0410DD0045022201A5 +:0410DE0021C1248385 +:0410DF002281011356 +:0410E00010EF80820B +:0410E10057FD3B80FC +:0410E200B7D5C03E80 +:0410E3000185571319 +:0410E40001851793D8 +:0410E50006B78FD9E2 +:0410E600171300FFDD +:0410E7008F7500857C +:0410E80067418FD9F4 +:0410E900F0070713F2 +:0410EA008D7981215A +:0410EB0080828D5D15 +:0410EC0017B7C60963 +:0410ED00A02300023A +:0410EE0047010007AF +:0410EF00FFC5F693B0 +:0410F00000021637AD +:0410F10000E507B35C +:0410F20002D764635A +:0410F300470D898D8F +:0410F40002E594631A +:0410F5000007D68397 +:0410F60000021737A6 +:0410F70000D71223E9 +:0410F8000027C78383 +:0410F90000F70223D7 +:0410FA00000217B722 +:0410FB0080824B881C +:0410FC000711439CF9 +:0410FD00B7F9C25C21 +:0410FE0099634709A2 +:0410FF00D70300E52E +:0411000017B7000716 +:041101009223000233 +:04110200BFF900E74A +:041103009DE347051C +:04110400C703FCE53C +:0411050017B7000711 +:04110600822300023E +:04110700B7E900E75D +:04110800C22211519D +:041109000002143795 +:04110A004601C026B4 +:04110B00059384AA1A +:04110C00051304A023 +:04110D00C40604040C +:04110E00964FC0EF49 +:04110F00460185A66A +:0411100004040513BB +:04111100992FC0EF63 +:0411120004A005939D +:0411130004040513B8 +:04111400C0EF4605DD +:04111500051394AF7B +:041116004412040477 +:04111700448240A22C +:0411180001314585D7 +:04111900958FC06F7F +:04111A00C422114199 +:04111B000002143783 +:04111C00C02AC226FD +:04111D00460184AE55 +:04111E0004A0059391 +:04111F0004040513AC +:04112000C0EFC60650 +:04112100478291AFC1 +:04112200051346016A +:0411230085BE04047D +:04112400946FC0EF15 +:0411250004040513A6 +:0411260040B244226D +:04112700449285A6C3 +:041128000141460536 +:04112900932FC06FD1 +:04112A00C22211517B +:04112B001433440530 +:04112C00741300A494 +:04112D0085A20FF494 +:04112E00C406451995 +:04112F00FADFF0EF04 :04113000441285A23E -:04113100450940A28A +:04113100451D40A276 :04113200F06F013128 -:041133001151F7DF80 -:04113400C406451593 -:04113500F2BFF0EF26 -:041136000860071333 -:041137001363478176 -:04113800059304E532 -:041139000513090091 -:04113A00F0EF0200D0 -:04113B004581F5DF16 -:04113C000210051385 -:04113D00F53FF0EF9B -:04113E0005134581CF -:04113F00F0EF0220AB -:04114000458DF49F46 -:041141000230051360 -:04114200F3FFF0EFD8 -:0411430005134581CA -:04114400F0EF028046 -:041145000593F35FBC -:04114600051303008A -:04114700F0EF0710AE -:041148004785F29F46 -:04114900853E40A2FD -:04114A00808201316D -:04114B0001A31141AA -:04114C00153700A1B2 -:04114D00C4220002B6 -:04114E00842E4605A0 -:04114F00040505137B -:0411500000310593D2 -:04115100C0EFC6061F -:0411520085228DAFB6 -:04115300BB3FB0EFFF -:04115400442240B23F -:041155008082014152 -:04115600C22211514F -:0411570057836461F5 -:04115800C40659046C -:04115900F793C02622 -:04115A0007C2F9F7D8 -:04115B00182383C111 -:04115C0014B758F478 -:04115D00A0230002C9 -:04115E0045510EF4F5 -:04115F00B83FB0EFF6 -:04116000051345D15D -:04116100F0EF038028 -:0411620045D1FA7FFA -:0411630003900513DD -:04116400F9DFF0EFD0 -:04116500455145D1DA -:04116600F95FF0EF4E -:04116700051345D156 -:04116800F0EF07108D -:0411690045D1F8BFB5 -:04116A0005E0051384 -:04116B00F81FF0EF8A -:04116C00051345D151 -:04116D00F0EF06D0C9 -:04116E0045D1F77FF1 -:04116F00F0EF453127 -:041170000593F6FFEE -:0411710045053200FE -:04117200F65FF0EF45 -:04117300451945D104 -:04117400F5DFF0EFC4 -:0411750032000593AC -:04117600F0EF450948 -:041177005783F53F66 -:0411780040A2590434 -:041179000407E793ED -:04117A0058F41823EA -:04117B00A023441257 -:04117C0044820EF4A7 -:04117D00808201313A -:04117E00C822112151 -:04117F0057836461CD -:04118000CA0659043E -:04118100F793C626F4 -:0411820007C2F9F7B0 -:04118300C02E83C136 -:0411840058F41823E0 -:041185000002173716 -:041186000EF720231D -:04118700059384AA9E -:0411880045053200E7 -:04118900F09FF0EFF4 -:04118A00590457832A -:04118B000002173710 -:04118C00E79345C1DF -:04118D00182302071A -:04118E00202358F4CE -:04118F0085260EF7AC -:04119000316010EFCB -:041191000FF57713CC -:0411920085B7EB1121 -:0411930046450001CC -:0411940094058593A6 -:0411950010EF8526AC -:0411960047052DC01C -:0411970086B3478153 -:04119800C50300F497 -:0411990045D1000636 -:04119A00C23EC43A53 -:04119B00EC1FF0EF66 -:04119C00472247920D -:04119D00F693078539 -:04119E00E2E30FF782 -:04119F005783FEE68E -:0411A00014B7590423 -:0411A10045D1000232 -:0411A200FDF7F793CB -:0411A30083C107C23B -:0411A40058F41823C0 -:0411A5000EF4A02381 -:0411A6000C00051321 -:0411A700E91FF0EF5D -:0411A800590457830C -:0411A90045C14502F5 -:0411AA000207E793BE -:0411AB0058F41823B9 -:0411AC000EF4A0237A -:0411AD002A2010EFF5 -:0411AE000FF577932F -:0411AF004502EB9179 -:0411B000000185B7FE -:0411B1008593464597 -:0411B20010EF9405A1 -:0411B30047852680C6 -:0411B400C23E448172 -:0411B50045D1478257 -:0411B60000978733E4 -:0411B70000074503E5 -:0411B800F0EF0485CB -:0411B9004792E4BFB6 -:0411BA000FF4F71324 -:0411BB00FEF763E3F5 -:0411BC0059045783F8 -:0411BD00173740D2CE -:0411BE00E7930002B1 -:0411BF0018230407E6 -:0411C000444258F459 -:0411C1000EF72023E2 -:0411C200016144B2D1 -:0411C30011518082C4 -:0411C4001437C222F8 -:0411C500C02600023E -:0411C60084AA4601B0 -:0411C70002C00593CA -:0411C8000404051303 -:0411C900B0EFC406B9 -:0411CA0085A6E77F90 -:0411CB0005134605BD -:0411CC00B0EF040478 -:0411CD000593EA5F3D -:0411CE00051302C043 -:0411CF0046050404C9 -:0411D000E5DFB0EFB8 -:0411D10004040513FA -:0411D20040A24412E1 -:0411D3004585448288 -:0411D400B06F0131C6 -:0411D5001141E6BF1F -:0411D6001437C422E4 -:0411D700C22600022A -:0411D80084AEC02AF7 -:0411D9000593460133 -:0411DA00051302C037 -:0411DB00C60604043C -:0411DC00E2DFB0EFAF -:0411DD0046014782FE -:0411DE0004040513ED -:0411DF00B0EF85BE2A -:0411E0000513E59F6F -:0411E100442204049C -:0411E20085A640B2EC -:0411E30046054492E7 -:0411E400B06F0141A6 -:0411E5001537E45F77 -:0411E60011510002A1 -:0411E70045814601F7 -:0411E80004050513E2 -:0411E900B0EFC40699 -:0411EA004529E31F91 -:0411EB00953FB0EF8D -:0411EC00450545E18F -:0411ED00FA3FF0EFE6 -:0411EE00450945E189 -:0411EF00F9BFF0EF65 -:0411F000450D45E183 -:0411F100F93FF0EFE3 -:0411F200F0EF4505D0 -:0411F30040A2F45FC3 -:0411F4003513152179 -:0411F50001310015AF -:0411F6001151808291 -:0411F700842AC22262 -:0411F800C4064505DF -:0411F900F2BFF0EF62 -:0411FA00040E991D29 -:0411FB0074138C4994 -:0411FC0085A20FF4C5 -:0411FD00F0EF4505C5 -:0411FE0085A2F61FB1 -:0411FF00F0EF4509BF -:0412000085A2F59F2F -:0412010040A24412B1 -:041202000131450D64 -:04120300F4BFF06FD5 -:04120400C2221151A0 -:041205004505842AED -:04120600F0EFC4063B -:041207004789EF5FC5 -:041208000D857513C8 -:0412090002F4136375 -:04120A000015641354 -:04120B00450585A26E -:04120C00F27FF0EF8E -:04120D00450985A268 -:04120E00F1FFF0EF0D -:04120F00441285A25E -:04121000450D40A2A6 -:04121100F06F013148 -:041212000416F11FAE -:0412130074138C497B -:0412140064130FF45C -:04121500BFD90044F9 -:04121600C22211518E -:041217000002143786 -:041218004601C026A5 -:04121900059384AA0B -:04121A00051305C0F3 -:04121B00C4060404FD -:04121C00D2DFB0EF7E -:04121D000FF4F59342 -:04121E000513460569 -:04121F00B0EF040424 -:041220000593D59FBE -:04122100051305C0EC -:041222004605040475 -:04122300D11FB0EF38 -:0412240004040513A6 -:0412250040A244128D -:041226004585448234 -:04122700B06F013172 -:041228001141D1FFA0 -:041229001437C42290 -:04122A00C2260002D6 -:04122B0084AEC02AA3 -:04122C0005934601DF -:04122D00051305C0E0 -:04122E00C6060404E8 -:04122F00CE1FB0EF2F -:0412300046014782AA -:041231000404051399 -:041232000FF7F5932A -:04123300D0BFB0EF89 -:041234000404051396 -:0412350040B244225D -:04123600449285A6B3 -:041237000141460526 -:04123800CF7FB06F45 -:041239000513115137 -:04123A00C4060220C4 -:04123B00C026C222E5 -:04123C00F69FF0EF3A -:04123D000FF5741322 -:04123E00455945CDFC -:04123F00FA7FF0EF53 -:041240008513648925 -:04124100B0EF710495 -:04124200458DFF8F48 -:04124300F0EF455D26 -:041244008513F95FB6 -:04124500B0EF710491 -:041246006593FE8F1F -:04124700051308047F -:04124800F0EF0220A1 -:041249000513F81F72 -:04124A00B0EF3E8043 -:04124B007593FD4F4B -:04124C00441207F44D -:04124D00448240A2F5 -:04124E000220051362 -:04124F00F06F01310A -:041250001151F65FE3 -:041251006409C22248 -:04125200710405130B -:04125300B0EFC4062E -:0412540045C5FB0F82 -:04125500F0EF455918 -:041256000513F4DFA9 -:04125700B0EF71047F -:04125800455DFA0FE7 -:04125900F0EF4589E4 -:04125A000513F3DFA6 -:04125B0044127104C4 -:04125C00013140A27A -:04125D00F8AFB06FC7 -:04125E00C222115146 -:04125F0085AA842EAA -:04126000C406454932 -:04126100F1FFF0EFBA -:04126200441285A20B -:04126300454D40A213 -:04126400F06F0131F5 -:0412650085AAF11F46 -:0412660003D0051399 -:04126700F07FF06FB5 -:04126800454585AAC9 -:04126900EFFFF06F34 -:04126A00C406115154 -:04126B004783C222D1 -:04126C00842A00656B -:04126D009593456DA3 -:04126E008DDD0047CB -:04126F000FF5F593EF -:04127000EE3FF0EF6E -:04127100006445834D -:04127200F0EF4571E3 -:041273004583ED9F23 -:0412740045290034D4 -:04127500ECFFF0EFAB -:041276000044458368 -:04127700F0EF45252A -:041278004583EC5F5F -:0412790045210054B7 -:04127A00EBBFF0EFE7 -:04127B0000044583A3 -:04127C00F0EF453515 -:04127D004583EB1F9B -:04127E0045310014E2 -:04127F00EA7FF0EF23 -:04128000002445837E -:0412810040A2441231 -:041282000131452DC4 -:04128300E97FF06FA0 -:04128400C42211412E -:041285004511842A61 -:04128600C032C2268A -:04128700C60684AE65 -:04128800E39FF0EF01 -:041289007593460211 -:04128A00CE4D0F85B1 -:04128B007FF0079356 -:04128C000A87EA6380 -:04128D00E59345118F -:04128E00F0EF001568 -:04128F001513E69FAE -:04129000141300141F -:041291008041010592 -:04129200004455932C -:041293000FF5F593CB -:04129400F0EF45052D -:041295001593E51FA9 -:04129600F593004488 -:0412970045090F05F1 -:04129800E43FF0EF50 -:04129900019C073776 -:04129A00CC07071363 -:04129B00029757332C -:04129C00022556B71A -:04129D000FF686932F -:04129E00073347814A -:04129F00F06302876F -:0412A00026B702E685 -:0412A1008693042C00 -:0412A2004785D7F6AF -:0412A30000E6F96305 -:0412A400080BF7B785 -:0412A500FBF7879339 -:0412A60000E7B7B3F3 -:0412A70067610789EB -:0412A8005147071390 -:0412A9004503973E24 -:0412AA00071300071F -:0412AB000533028085 -:0412AC00571302E5ED -:0412AD00953A00145A -:0412AE00028545333D -:0412AF007513471D4F -:0412B00075930FF52E -:0412B10073630FF55F -:0412B200459D00A7AF -:0412B30040B24422DF -:0412B400058E4492CD -:0412B5008DDD079A2A -:0412B6000F85F59318 -:0412B7000141450D9F -:0412B800DC3FF06FB8 -:0412B900F0EF4511FC -:0412BA00BFB9DBDFFE -:0412BB00C2221151E9 -:0412BC004569842AD2 -:0412BD00F0EFC40684 -:0412BE004785D63F4B -:0412BF000FA575936F -:0412C00000F41A63B9 -:0412C1000025E5938C -:0412C20040A24412F0 -:0412C3000131456947 -:0412C400D93FF06FAF -:0412C50000A5E59308 -:0412C6005583BFC5C8 -:0412C700115100A51C -:0412C80081A1C2221C -:0412C9000513842A5B -:0412CA00C40604B0A2 -:0412CB00D77FF0EFEA -:0412CC0000A44583B2 -:0412CD0004A0051361 -:0412CE00D6BFF0EFA8 -:0412CF0000C455837F -:0412D00004D005132E -:0412D100F0EF81A118 -:0412D2004583D5DF9C -:0412D300051300C43B -:0412D400F0EF04C073 -:0412D5005583D51F49 -:0412D600051300E418 -:0412D70081A104F0FD -:0412D800D43FF0EF20 -:0412D90000E4458365 -:0412DA0004E0051314 -:0412DB00D37FF0EFDE -:0412DC0000445583F2 -:0412DD0005100513E0 -:0412DE00F0EF81A10B -:0412DF004583D29FD2 -:0412E00005130044AE -:0412E100F0EF050025 -:0412E2005583D1DF80 -:0412E300051300648B -:0412E40081A10530AF -:0412E500D0FFF0EF57 -:0412E60000644583D8 -:0412E70005200513C6 -:0412E800D03FF0EF14 -:0412E90000845583A5 -:0412EA000550051393 -:0412EB00F0EF81A1FE -:0412EC004583CF5F08 -:0412ED000513008461 -:0412EE00F0EF0540D8 -:0412EF005583CE9FB6 -:0412F00005130104DD -:0412F10081A1057062 -:0412F200CDBFF0EF8D -:0412F300010445832A -:0412F4000560051379 -:0412F500CCFFF0EF4B -:0412F60001245583F7 -:0412F7000590051346 -:0412F800F0EF81A1F1 -:0412F9004583CC1F3E -:0412FA0005130124B3 -:0412FB00F0EF05808B -:0412FC005583CB5FEC -:0412FD000513014490 -:0412FE0081A105B015 -:0412FF00CA7FF0EFC3 -:0413000001444583DC -:0413010040A24412B0 -:0413020005A005132A -:04130300F06F013155 -:041304001151C95F5B -:04130500842AC22252 -:0413060003F00513D8 -:04130700F0EFC40639 -:041308007593C3BF57 -:041309008DC10F057E -:04130A0040A24412A7 -:04130B0003F00513D3 -:04130C00F06F01314C -:04130D001151C71F94 -:04130E00842AC22249 -:04130F00C406456962 -:04131000C19FF0EF9A -:0413110000641593CC -:0413120075134412F9 -:0413130040A203F5FC -:04131400F5938DC9F7 -:0413150045690FF522 -:04131600F06F013142 -:041317001131C49F2D -:04131800461D65E128 -:04131900978585939C -:04131A00C806850A72 -:04131B00355000EF5A -:04131C00C75FF0EFC8 -:04131D00F0EF4501A7 -:04131E004585E77F9B -:04131F00035005135F -:04132000C23FF0EFE9 -:041321000513655DEE -:04132200F0EF4B8518 -:041323004501E91F78 -:04132400FA7FF0EF6D -:04132500F0EF451987 -:041326000513D01FBC -:04132700F0EF04409F -:041328004581D03FEC -:04132900F0EF450597 -:04132A00850ACD3F24 -:04132B00CFDFF0EF31 -:04132C00015140C269 -:04132D001141808268 -:04132E00842AC42227 -:04132F00C606451198 -:04133000F0EFC02EEC -:041331004582B97FB9 -:0413320002B40433CA -:041333000075759339 -:041334007793451155 -:04133500078E01F42A -:04133600F0EF8DDD6A -:041337005513BC9FEF -:0413380040B240542B -:0413390075134422C2 -:04133A0001410FF569 -:04133B00115180824A -:04133C00842AC2221B -:04133D00C40645415C -:04133E00B61FF0EFF7 -:04133F0000341593CE -:04134000891D4412AD -:041341008DC940A270 -:041342000FF5F5931B -:0413430001314541EE -:04134400B93FF06F4E -:041345001151C13948 -:041346000513C026A5 -:0413470084AE02600E -:041348000800059301 -:04134900C406C222F2 -:04134A00F0EF84320A -:04134B004785B79F7C -:04134C000094059371 -:04134D0000F48963BC -:04134E00059347A11B -:04134F00846305A40A -:04135000059300F40D -:0413510044120184BD -:04135200448240A2EF -:041353000FF5F5930A -:04135400031005136A -:04135500F06F013103 -:041356004581B4DF3A -:041357000260051318 -:041358001121BFDDC3 -:04135900C626C822BA -:04135A00C02ECA06D1 -:04135B00C436C232A0 -:04135C00842A478513 -:04135D000E6384BADD -:04135E0047A100F5AE -:04135F0004F50D6321 -:04136000006705938A -:041361000FF5F593FC -:04136200F0EF45154E -:0413630045C1B19F30 -:041364000593A8093C -:04136500F5930027D5 -:0413660045150FF525 -:04136700B07FF0EF74 -:041368004519459945 -:04136900AFFFF0EFF3 -:04136A00862685A2AC -:04136B00F0EF450555 -:04136C0047C1F67F00 -:04136D00036345B120 -:04136E0045A100F4A1 -:04136F000220051340 -:04137000AE3FF0EFAD -:04137100462244428A -:041372004502459259 -:0413730044B240D26E -:04137400F06F0161B4 -:041375000593C3FF1A -:04137600F5930327C1 -:0413770045150FF514 -:04137800AC3FF0EFA7 -:0413790002000593D6 -:04137A001151BF6DE1 -:04137B00C026C222A4 -:04137C0084AEC40671 -:04137D0084324789E6 -:04137E00E66345815C -:04137F0095930097AB -:0413800005E200641E -:04138100171385E1D8 -:041382001793002598 -:041383008FD90045B9 -:041384008DDD8FC9A3 -:041385000FF5F593D8 -:04138600F0EF4565DA -:041387004541A89F95 -:04138800A39FF0EF40 -:041389007593478D84 -:04138A0014630F8554 -:04138B00E59300F4F2 -:04138C004541005582 -:04138D00A6FFF0EFD8 -:04138E0005934789F3 -:04138F00F96305B049 -:0413900047910097EA -:04139100052005939B -:041392000097F46369 -:041393000530059389 -:04139400F0EF4539F8 -:041395000513A51F78 -:04139600B0EF3E80F6 -:041397004551AA4FC3 -:041398009F9FF0EF34 -:0413990045C1478D76 -:04139A0000F40363F5 -:04139B0045614581E2 -:04139C00A33FF0EF8C -:04139D0040A2441214 -:04139E0045814482BF -:04139F0003600513CF -:0413A000F06F0131B8 -:0413A1001151A21F25 -:0413A200842AC222B5 -:0413A300C4064551E6 -:0413A4009C9FF0EF2B -:0413A5007C6347110D -:0413A6007513008734 -:0413A700051308859D -:0413A8003513F7857D -:0413A90040A2001549 -:0413AA0001314412B7 -:0413AB0047098082EC -:0413AC0000877763DC -:0413AD0009057513A6 -:0413AE00F705051327 -:0413AF007793B7DD9C -:0413B000D5130FF54D -:0413B1008905001793 -:0413B2000113BFF96B -:0413B300D2A2F94188 -:0413B4006461479198 -:0413B500D0A6D48664 -:0413B6000793DA3E81 -:0413B700CC365B4491 -:0413B8000327C683BE -:0413B900C82A4721D6 -:0413BA0000D717330E -:0413BB000337C683AB -:0413BC00008767132C -:0413BD000713DC3AFC -:0413BE0017332000C1 -:0413BF00C68300D70A -:0413C000DE3A0347C7 -:0413C1001733674136 -:0413C200C68300D707 -:0413C300C0BA035752 -:0413C40000200737C7 -:0413C50000D7173303 -:0413C6000713C2BA8D -:0413C700C4BA033170 -:0413C800071367613F -:0413C900C6BA5EB78B -:0413CA00071367613D -:0413CB00C8BA5EC777 -:0413CC00071367613B -:0413CD00CABA5ED763 -:0413CE000713676139 -:0413CF00CCBA5EE74F -:0413D0000713676137 -:0413D100CEBA5EF73B -:0413D2000437C70312 -:0413D3000447C78381 -:0413D400C03A66E1D4 -:0413D5008793C43EF8 -:0413D600C23E6E465F -:0413D700879367DDB4 -:0413D800CA2E4E8744 -:0413D900020109A361 -:0413DA0004134701B0 -:0413DB0086935B4456 -:0413DC0042F16E4626 -:0413DD001793D03E54 -:0413DE0087E101871B -:0413DF000793C63E6C -:0413E000156302503F -:0413E10057FD00F7BD -:0413E200A691C63ECC -:0413E300025707B3F3 -:0413E40097B646155D -:0413E500D3134BDCF7 -:0413E60083F5018703 -:0413E70001F3749307 -:0413E80006F666633C -:0413E9009613558280 -:0413EA00CE320027D8 -:0413EB004210962EE8 -:0413EC0003B38602BF -:0413ED00061302578A -:0413EE00DE321000DB -:0413EF00C0B2662101 -:0413F00020000613C0 -:0413F1000593C2B2EC -:0413F20086331C1012 -:0413F3004A10007626 -:0413F40076138215D5 -:0413F5001B637FF601 -:0413F600D43E06B625 -:0413F700879367E190 -:0413F80093BE6E47EB -:0413F900000177B7C1 -:0413FA005007859380 -:0413FB00461D851EE8 -:0413FC00D21ED63AED -:0413FD00113000EFBC -:0413FE00539266E1BF -:0413FF00573257A268 -:041400006E4686931B -:04140100E90142F1CA -:04140200E229462273 -:041403000705479200 -:04140400C23E07F1EC -:04140500D23EB78D8F -:04140600000177B7B3 -:0414070050878593F2 -:04140800851E461DDA -:0414090000EFD43AE2 -:04140A0066E10E1079 -:04140B00572257927B -:04140C006E4686930F -:04140D00E91942F1A6 -:04140E00460545A2A8 -:04140F0000C5986319 -:041410000613B7F117 -:04141100DE322000A7 -:04141200C0B26641BD -:04141300F333466207 -:041414000DE300C420 -:041415004612FA037E -:041416004E0C4572C1 -:0414170004B310907A -:04141800A60300A681 -:041419001088FE84B5 -:04141A00000646037F -:04141B00962A060AFD -:04141C00FD46260360 -:04141D00DA598E6D9D -:04141E00C5934552DB -:04141F008985FFF5C7 -:04142000F8A596E3B2 -:04142100025705B3B6 -:0414220095B64542F4 -:041423008195498CDA -:041424007FF5F593C8 -:04142500EBE305F9F7 -:041426004585F6A55D -:0414270000B40923E1 -:041428000004172382 -:04142900000408A310 -:04142A0000040A238D -:04142B006589CC10F3 -:04142C0026B6076376 -:04142D0014C5E4639B -:04142E00080005931A -:04142F0022B60B6373 -:041430000EC5E263A0 -:04143100016345C14D -:04143200EF6322B68C -:0414330045910AC510 -:041434001CB6066379 -:041435001BE345A1CF -:041436004605F2B6BF -:0414370000C40623C4 -:04143800050446035E -:041439001E061F6309 -:04143A0006334671BE -:04143B00963602C718 -:04143C0000E65583EE -:04143D0057700613CB -:04143E001EB665630E -:04143F0001D7961328 -:041440001EC0516316 -:0414410006A3460DAB -:04144200460900C493 -:0414430000C40923B5 -:0414440000678613A4 -:04144500CE118A1525 -:041446009F63461545 -:0414470047F10AC798 -:0414480002F707336D -:041449004AF00793CB -:04144A00D70396BA74 -:04144B00E56300E66F -:04144C0047830AE7E1 -:04144D00CFD1046493 -:04144E00000406A3ED -:04144F00AA7D4789A2 -:041450000257063306 -:0414510020D005930F -:041452004A10963670 -:041453007613821575 -:041454001DE37FF61F -:041455000613EEB6D6 -:041456000633FFF763 -:04145700458D025667 -:041458004A5096362A -:041459001A6382751B -:04145A00460200B690 -:04145B00F493E6011F -:04145C00BDE90EF4E4 -:04145D004582460975 -:04145E004602B5D1BC -:04145F00F493E6011B -:04146000B5E90FB427 -:04146100BFC54605B8 -:0414620002000793EA -:0414630000F6066326 -:0414640004000793E6 -:04146500E6F61CE3A8 -:0414660030100793A8 -:0414670000F4162354 -:04146800AAA9479155 -:0414690040000593A7 -:04146A0016B603634C -:04146B0002C5EB6368 -:04146C0010000593D4 -:04146D0014B6076347 -:04146E0020000593C2 -:04146F00E4B618E3E4 -:0414700016234709EF -:04147100470D00E43F -:0414720000E7986394 -:041473000464478343 -:041474004785F7B5FC -:0414750000F4072355 -:04147600057447832F -:0414770000F408A3D2 -:041478000793A0FD39 -:0414790089638006FD -:04147A006785120769 -:04147B00E2F610E3A2 -:04147C002020079392 -:04147D0000F416233E -:04147E00A209478DEB -:04147F00000805B7A5 -:0414800012B60A6333 -:0414810004C5E36358 -:04148200006365C1DD -:04148300E36312B657 -:04148400679102C5A5 -:0414850010F60863F2 -:0414860019E367A15E -:041487000793DEF6F3 -:0414880016232020E7 -:04148900479D00F487 -:04148A0000F409233E -:04148B000A2347B534 -:04148C00B75D00F454 -:04148D00000207B79B -:04148E000EF60B63E8 -:04148F00000407B797 -:04149000DCF616E38D -:04149100203007936D -:0414920007B7B7756C -:0414930009630040A9 -:04149400E0630EF60D -:0414950007B702C7CC -:0414960000630010DF +:041133001151F9FF5E +:04113400C2220506C8 +:041135000FF574132B +:04113600450585A244 +:04113700F0EFC4060B +:0411380085A2F8BFD5 +:0411390040A244127A +:04113A000131450931 +:04113B00F7DFF06F7B +:04113C0045151151F3 +:04113D00F0EFC40605 +:04113E000713F2BFE2 +:04113F00478108607C +:0411400004E513634C +:041141000900059309 +:04114200020005138F +:04114300F5DFF0EFF5 +:0411440005134581C9 +:04114500F0EF0210B5 +:041146004581F53FAB +:04114700022005136A +:04114800F49FF0EF31 +:041149000513458DB8 +:04114A00F0EF023090 +:04114B004581F3FFE8 +:04114C000280051305 +:04114D00F35FF0EF6D +:04114E000300059302 +:04114F00071005136D +:04115000F29FF0EF2B +:0411510040A24785EC +:041152000131853EA4 +:041153001141808244 +:0411540000A101A352 +:041155000002153748 +:041156004605C42264 +:041157000513842ECA +:0411580005930405F2 +:04115900C606003195 +:04115A008B8FC0EFC8 +:04115B00B0EF85224A +:04115C0040B2B91FC5 +:04115D0001414422E6 +:04115E001151808229 +:04115F006461C222E3 +:041160005C845783D1 +:04116100C026C406DA +:04116200F9F7F7930F +:0411630083C107C27B +:041164005CF4142300 +:04116500000214B7B9 +:041166000EF4A023C0 +:04116700B0EF45514F +:0411680045D1B61F98 +:0411690003800513E7 +:04116A00FA7FF0EF29 +:04116B00051345D152 +:04116C00F0EF03900D +:04116D0045D1F9DF90 +:04116E00F0EF455108 +:04116F0045D1F95F0E +:04117000071005134C +:04117100F8BFF0EFE4 +:04117200051345D14B +:04117300F0EF05E0B4 +:0411740045D1F81F4A +:0411750006D0051388 +:04117600F77FF0EF20 +:04117700453145D1E8 +:04117800F6FFF0EF9F +:0411790032000593A8 +:04117A00F0EF450548 +:04117B0045D1F65F05 +:04117C00F0EF451932 +:04117D000593F5DF02 +:04117E0045093200ED +:04117F00F53FF0EF59 +:041180005C845783B1 +:04118100E79340A20E +:041182001423040727 +:0411830044125CF4C2 +:041184000EF4A023A2 +:04118500013144826E +:041186001121808231 +:041187006461C822B5 +:041188005C845783A9 +:04118900C626CA06A6 +:04118A00F9F7F793E7 +:04118B0083C107C253 +:04118C001423C02E3A +:04118D0017375CF4C0 +:04118E002023000218 +:04118F0084AA0EF729 +:041190003200059391 +:04119100F0EF450531 +:041192005783F09FF0 +:0411930017375C842A +:0411940045C100024F +:041195000207E793D3 +:041196005CF41423CE +:041197000EF720230C +:0411980010EF8526A9 +:041199007713316037 +:04119A00EB110FF551 +:04119B00000185B713 +:04119C0085934645AC +:04119D008526978587 +:04119E002DC010EF61 +:04119F004781470538 +:0411A00000F486B31E +:0411A1000006C5037C +:0411A200C43A45D135 +:0411A300F0EFC23E69 +:0411A4004792EC1F63 +:0411A5000785472251 +:0411A6000FF7F693B6 +:0411A700FEE6E2E39B +:0411A8005C84578389 +:0411A900000214B775 +:0411AA00F79345D1A1 +:0411AB0007C2FDF783 +:0411AC00142383C1C4 +:0411AD00A0235CF42B +:0411AE0005130EF423 +:0411AF00F0EF0C0051 +:0411B0005783E91F59 +:0411B10045025C8413 +:0411B200E79345C1B9 +:0411B30014230207F8 +:0411B400A0235CF424 +:0411B50010EF0EF435 +:0411B60077932A20E1 +:0411B700EB910FF5B4 +:0411B80085B74502B0 +:0411B90046450001A6 +:0411BA0097858593FD +:0411BB00268010EF8B +:0411BC00448147859E +:0411BD004782C23E65 +:0411BE00873345D15D +:0411BF00450300974D +:0411C000048500079B +:0411C100E4BFF0EFA8 +:0411C200F713479246 +:0411C30063E30FF4DF +:0411C4005783FEF758 +:0411C50040D25C8434 +:0411C60000021737D5 +:0411C7000407E7939F +:0411C8005CF414239C +:0411C9002023444259 +:0411CA0044B20EF726 +:0411CB0080820161BC +:0411CC00C2221151D9 +:0411CD0000021437D1 +:0411CE004601C026F0 +:0411CF00059384AA56 +:0411D000051302C041 +:0411D100C406040448 +:0411D200E55FB0EF36 +:0411D300460585A6A2 +:0411D40004040513F7 +:0411D500E83FB0EF50 +:0411D60002C00593BB +:0411D70004040513F4 +:0411D800B0EF460529 +:0411D9000513E3BF58 +:0411DA0044120404B3 +:0411DB00448240A268 +:0411DC000131458513 +:0411DD00E49FB06F6C +:0411DE00C4221141D5 +:0411DF0000021437BF +:0411E000C02AC22639 +:0411E100460184AE91 +:0411E20002C00593AF +:0411E30004040513E8 +:0411E400B0EFC6069C +:0411E5004782E0BF9E +:0411E60005134601A6 +:0411E70085BE0404B9 +:0411E800E37FB0EF02 +:0411E90004040513E2 +:0411EA0040B24422A9 +:0411EB00449285A6FF +:0411EC000141460572 +:0411ED00E23FB06FBE +:0411EE0000021537AF +:0411EF004601115153 +:0411F000051345811D +:0411F100C406040527 +:0411F200E0FFB0EF7B +:0411F300B0EF4529EB +:0411F40045E1931F1F +:0411F500F0EF4505CD +:0411F60045E1FA3F96 +:0411F700F0EF4509C7 +:0411F80045E1F9BF15 +:0411F900F0EF450DC1 +:0411FA004505F93F6F +:0411FB00F45FF0EFBE +:0411FC00152140A2D7 +:0411FD000015351391 +:0411FE0080820131B9 +:0411FF00C2221151A6 +:041200004505842AF2 +:04120100F0EFC40640 +:04120200991DF2BF81 +:041203008C49040E00 +:041204000FF474135C +:04120500450585A274 +:04120600F61FF0EFF0 +:04120700450985A26E +:04120800F59FF0EF6F +:04120900441285A264 +:04120A00450D40A2AC +:04120B00F06F01314E +:04120C001151F4BFC9 +:04120D00842AC2224B +:04120E00C4064505C8 +:04120F00EF5FF0EFAE +:041210007513478982 +:0412110013630D85D1 +:04121200641302F46B +:0412130085A200159B +:04121400F0EF4505AD +:0412150085A2F27F3D +:04121600F0EF4509A7 +:0412170085A2F1FFBC +:0412180040A244129A +:041219000131450D4D +:04121A00F11FF06F61 +:04121B008C490416E0 +:04121C000FF4741344 +:04121D000044641312 +:04121E001151BFD9D2 +:04121F001437C2229C +:04122000C0260002E2 +:0412210084AA460154 +:0412220005C005936B +:0412230004040513A7 +:04122400B0EFC4065D +:04122500F593D0BFAE +:0412260046050FF476 +:0412270004040513A3 +:04122800D37FB0EFD1 +:0412290005C0059364 +:04122A0004040513A0 +:04122B00B0EF4605D5 +:04122C000513CEFFD9 +:04122D00441204045F +:04122E00448240A214 +:04122F0001314585BF +:04123000CFDFB06FED +:04123100C422114181 +:04123200000214376B +:04123300C02AC226E5 +:04123400460184AE3D +:0412350005C0059358 +:041236000404051394 +:04123700B0EFC60648 +:041238004782CBFF1F +:041239000513460152 +:04123A00F593040420 +:04123B00B0EF0FF70A +:04123C000513CE9F29 +:04123D00442204043F +:04123E0085A640B28F +:04123F00460544928A +:04124000B06F014149 +:041241001151CD5F1B +:04124200022005136E +:04124300C222C406F9 +:04124400F0EFC026E1 +:041245007413F69F89 +:0412460045CD0FF58E +:04124700F0EF455926 +:041248006489FA7F3C +:041249007104851394 +:04124A00FD6FB0EF95 +:04124B00455D458D2B +:04124C00F95FF0EF67 +:04124D007104851390 +:04124E00FC6FB0EF92 +:04124F000804659397 +:041250000220051360 +:04125100F81FF0EFA3 +:041252003E800513C2 +:04125300FB2FB0EFCE +:0412540007F4759393 +:0412550040A244125D +:0412560005134482B6 +:04125700013102203F +:04125800F65FF06FDE +:04125900C22211514B +:04125A00051364090B +:04125B00C406710450 +:04125C00F8EFB0EF08 +:04125D00455945C5E5 +:04125E00F4DFF0EFDA +:04125F0071040513FE +:04126000F7EFB0EF05 +:041261004589455D19 +:04126200F3DFF0EFD7 +:0412630071040513FA +:0412640040A244124E +:04126500B06F013134 +:041266001151F68F9D +:04126700842EC222ED +:04126800454985AAC5 +:04126900F0EFC406D8 +:04126A0085A2F1FF69 +:04126B0040A2441247 +:04126C000131454DBA +:04126D00F11FF06F0E +:04126E00051385AA35 +:04126F00F06F03D049 +:0412700085AAF07FDC +:04127100F06F454590 +:041272001151EFFF28 +:04127300C222C406C9 +:041274000065478347 +:04127500456D842A15 +:041276000047959305 +:04127700F5938DDD81 +:04127800F0EF0FF58F +:041279004583EE3F7C +:04127A004571006456 +:04127B00ED9FF0EF04 +:04127C000034458372 +:04127D00F0EF452920 +:04127E004583ECFFB9 +:04127F0045250044BD +:04128000EC5FF0EF40 +:04128100005445834D +:04128200F0EF452123 +:041283004583EBBFF5 +:0412840045350004E8 +:04128500EB1FF0EF7C +:041286000014458388 +:04128700F0EF45310E +:041288004583EA7F31 +:0412890044120024E7 +:04128A00452D40A20C +:04128B00F06F0131CE +:04128C001141E97FA4 +:04128D00842AC422C9 +:04128E00C22645111E +:04128F0084AEC03237 +:04129000F0EFC606AF +:041291004602E39F8F +:041292000F857593BC +:041293000793CE4DA2 +:04129400EA637FF09A +:0412950045110A876E +:041296000015E593C7 +:04129700E69FF0EFEF +:041298000014151316 +:041299000105141324 +:04129A0055938041A7 +:04129B00F593004483 +:04129C0045050FF500 +:04129D00E51FF0EF6A +:04129E000044159360 +:04129F000F05F593AF +:0412A000F0EF45091D +:0412A1000737E43FE8 +:0412A2000713019C91 +:0412A3005733CC07EA +:0412A40056B70297A0 +:0412A5008693022505 +:0412A60047810FF677 +:0412A7000287073380 +:0412A80002E6F06307 +:0412A900042C26B734 +:0412AA00D7F686935A +:0412AB00F963478517 +:0412AC00F7B700E6AA +:0412AD008793080B10 +:0412AE00B7B3FBF7E0 +:0412AF00078900E7C4 +:0412B0000713676158 +:0412B100973E54C749 +:0412B20000074503E9 +:0412B300028007139B +:0412B40002E5053317 +:0412B50000145713B7 +:0412B6004533953AED +:0412B700471D028548 +:0412B8000FF57513A6 +:0412B9000FF5759325 +:0412BA0000A77363B3 +:0412BB004422459DE7 +:0412BC00449240B266 +:0412BD00079A058EF9 +:0412BE00F5938DDD3A +:0412BF00450D0F8545 +:0412C000F06F014189 +:0412C1004511DC3FB8 +:0412C200DBDFF0EF8F +:0412C3001151BFB94D +:0412C400842AC22294 +:0412C500C4064569AD +:0412C600D63FF0EF30 +:0412C700759347854F +:0412C8001A630FA5F1 +:0412C900E59300F4B5 +:0412CA0044120025A5 +:0412CB00456940A28F +:0412CC00F06F01318D +:0412CD00E593D93F8D +:0412CE00BFC500A5F3 +:0412CF0000A555839E +:0412D000C2221151D4 +:0412D100842A81A149 +:0412D20004B005134C +:0412D300F0EFC4066E +:0412D4004583D77FF8 +:0412D500051300A459 +:0412D600F0EF04A091 +:0412D7005583D6BFA6 +:0412D800051300C436 +:0412D90081A104D01B +:0412DA00D5DFF0EF7D +:0412DB0000C4458383 +:0412DC0004C0051332 +:0412DD00D51FF0EF3A +:0412DE0000E4558350 +:0412DF0004F00513FF +:0412E000F0EF81A109 +:0412E1004583D43F2E +:0412E200051300E40C +:0412E300F0EF04E044 +:0412E4005583D37FDC +:0412E50005130044A9 +:0412E60081A10510CD +:0412E700D29FF0EFB3 +:0412E80000444583F6 +:0412E90005000513E4 +:0412EA00D1DFF0EF71 +:0412EB0000645583C3 +:0412EC0005300513B1 +:0412ED00F0EF81A1FC +:0412EE004583D0FF65 +:0412EF00051300647F +:0412F000F0EF0520F6 +:0412F1005583D03F12 +:0412F200051300845C +:0412F30081A1055080 +:0412F400CF5FF0EFE9 +:0412F50000844583A9 +:0412F6000540051397 +:0412F700CE9FF0EFA7 +:0412F8000104558315 +:0412F9000570051364 +:0412FA00F0EF81A1EF +:0412FB004583CDBF9B +:0412FC0005130104D1 +:0412FD00F0EF0560A9 +:0412FE005583CCFF49 +:0412FF0005130124AE +:0413000081A1059032 +:04130100CC1FF0EF1E +:0413020001244583FA +:041303000580051349 +:04130400CB5FF0EFDC +:0413050001445583C7 +:0413060005B0051316 +:04130700F0EF81A1E1 +:041308004583CA7FD0 +:041309004412014445 +:04130A00051340A2E5 +:04130B00013105A007 +:04130C00C95FF06F56 +:04130D00C222115196 +:04130E000513842A15 +:04130F00C40603F01D +:04131000C3BFF0EF78 +:041311000F057593BC +:0413120044128DC133 +:04131300051340A2DC +:04131400013103F0B0 +:04131500C71FF06F8F +:04131600C22211518D +:041317004569842A76 +:04131800F0EFC40628 +:041319001593C19FC8 +:04131A004412006415 +:04131B0003F575134E +:04131C008DC940A295 +:04131D000FF5F59340 +:04131E0001314569EB +:04131F00C49FF06F08 +:0413200065E1113141 +:041321008593461D4D +:04132200850A9B0598 +:0413230000EFC80609 +:04132400F0EF355061 +:041325004501C75F58 +:04132600E77FF0EF7E +:0413270005134585E0 +:04132800F0EF03508F +:04132900655DC23FFD +:04132A004F05051353 +:04132B00E91FF0EFD7 +:04132C00F0EF450198 +:04132D004519FA7FE5 +:04132E00D01FF0EFED +:04132F00044005135E +:04133000D03FF0EFCB +:0413310045054581A8 +:04133200CD3FF0EFCC +:04133300F0EF850A48 +:0413340040C2CFDF05 +:041335008082015160 +:04133600C42211417B +:041337004511842AAE +:04133800C02EC606F7 +:04133900B97FF0EF99 +:04133A0004334582B1 +:04133B00759302B4F0 +:04133C0045110075E2 +:04133D0001F47793AD +:04133E008DDD078EAC +:04133F00BC9FF0EF70 +:0413400040545513AD +:04134100442240B250 +:041342000FF575131B +:041343008082014162 +:04134400C22211515F +:041345004541842A70 +:04134600F0EFC406FA +:041347001593B61F25 +:041348004412003417 +:0413490040A2891D18 +:04134A00F5938DC9C1 +:04134B0045410FF514 +:04134C00F06F01310C +:04134D00C139B93FAA +:04134E00C026115153 +:04134F000260051320 +:04135000059384AECF +:04135100C2220800AC +:041352008432C40617 +:04135300B79FF0EF61 +:041354000593478531 +:041355008963009414 +:0413560047A100F4B7 +:0413570005A4059351 +:0413580000F48463B6 +:041359000184059373 +:04135A0040A2441257 +:04135B00F593448240 +:04135C0005130FF571 +:04135D000131031047 +:04135E00B4DFF06F99 +:04135F0005134581AC +:04136000BFDD02608B +:04136100C82211216C +:04136200CA06C626CB +:04136300C232C02EA4 +:041364004785C436BF +:0413650084BA842A98 +:0413660000F50E631D +:041367000D6347A12A +:04136800059304F5F0 +:04136900F593006791 +:04136A0045150FF521 +:04136B00B19FF0EF4F +:04136C00A80945C1C6 +:04136D0000270593BD +:04136E000FF5F593EF +:04136F00F0EF451541 +:041370004599B07F6C +:04137100F0EF45193B +:0413720085A2AFFFA2 +:041373004505862680 +:04137400F67FF0EF21 +:0413750045B147C176 +:0413760000F4036319 +:04137700051345A174 +:04137800F0EF022070 +:041379004442AE3FFD +:04137A004592462230 +:04137B0040D2450215 +:04137C00016144B215 +:04137D00C3FFF06F4B +:04137E0003270593A9 +:04137F000FF5F593DE +:04138000F0EF451530 +:041381000593AC3FE5 +:04138200BF6D020039 +:04138300C222115120 +:04138400C406C026B5 +:04138500478984AE62 +:0413860045818432E7 +:041387000097E66382 +:0413880000649593D5 +:0413890085E105E213 +:04138A000025171310 +:04138B00004517936F +:04138C008FC98FD99D +:04138D00F5938DDD6A +:04138E0045650FF5AD +:04138F00A89FF0EF34 +:04139000F0EF4541F4 +:04139100478DA39F42 +:041392000F857593BB +:0413930000F41463EB +:041394000055E59388 +:04139500F0EF4541EF +:041396004789A6FFDE +:0413970005B0059305 +:041398000097F9635E +:0413990005934791E0 +:04139A00F4630520D3 +:04139B00059300971F +:04139C00453905309A +:04139D00A51FF0EFA9 +:04139E003E80051375 +:04139F00A82FB0EFD4 +:0413A000F0EF4551D4 +:0413A100478D9F9F36 +:0413A200036345C1DB +:0413A300458100F48C +:0413A400F0EF4561C0 +:0413A5004412A33F0C +:0413A600448240A29B +:0413A7000513458164 +:0413A80001310360AC +:0413A900A21FF06F20 +:0413AA00C2221151F9 +:0413AB004551842AFA +:0413AC00F0EFC40694 +:0413AD0047119C9FA9 +:0413AE0000877C63D5 +:0413AF000885751325 +:0413B000F7850513A5 +:0413B10000153513DB +:0413B200441240A2FF +:0413B3008082013102 +:0413B400776347090B +:0413B5007513008725 +:0413B600051309050D +:0413B700B7DDF705A2 +:0413B8000FF5779323 +:0413B9000017D51331 +:0413BA00BFF98905E9 +:0413BB00F9410113E0 +:0413BC004791D2A2E1 +:0413BD00D48664610D +:0413BE00DA3ED0A69D +:0413BF005EC407936E +:0413C000C683CC36DE +:0413C1004721032796 +:0413C2001733C82AEB +:0413C300C68300D706 +:0413C4006713033771 +:0413C500DC3A008787 +:0413C60020000713E9 +:0413C70000D7173301 +:0413C8000347C6838E +:0413C9006741DE3A60 +:0413CA0000D71733FE +:0413CB000357C6837B +:0413CC000737C0BA65 +:0413CD0017330020B2 +:0413CE00C2BA00D7C8 +:0413CF0003310713CC +:0413D0006761C4BAD3 +:0413D1006237071365 +:0413D2006761C6BACF +:0413D3006247071353 +:0413D4006761C8BACB +:0413D5006257071341 +:0413D6006761CABAC7 +:0413D700626707132F +:0413D8006761CCBAC3 +:0413D900627707131D +:0413DA00C703CEBABD +:0413DB00C783043789 +:0413DC0066E104477B +:0413DD00C43EC03A10 +:0413DE0071C68793BA +:0413DF0067DDC23EC6 +:0413E0005207879396 +:0413E10009A3CA2E64 +:0413E20047010201BC +:0413E3005EC40413CD +:0413E40071C68693B5 +:0413E500D03E42F1C3 +:0413E60001871793D1 +:0413E700C63E87E196 +:0413E8000250079315 +:0413E90000F7156391 +:0413EA00C63E57FDA7 +:0413EB0007B3A6910D +:0413EC004615025749 +:0413ED004BDC97B688 +:0413EE000187D3138D +:0413EF00749383F57B +:0413F000666301F33C +:0413F100558206F625 +:0413F2000027961327 +:0413F300962ECE3232 +:0413F400860242101B +:0413F500025703B3E5 +:0413F60010000613CA +:0413F7006621DE325B +:0413F8000613C0B266 +:0413F900C2B220005C +:0413FA001C1005932B +:0413FB0000768633BF +:0413FC0082154A10FC +:0413FD007FF67613EE +:0413FE0006B61B63B1 +:0413FF0067E1D43E90 +:0414000071C7879396 +:0414010077B793BE68 +:0414020085930001CD +:04140300851E538768 +:04140400D63A461D71 +:0414050000EFD21E04 +:0414060066E111305A +:0414070057A2539203 +:04140800869357323E +:0414090042F171C675 +:04140A004622E9018C +:04140B004792E229F9 +:04140C0007F10705D8 +:04140D00B78DC23E97 +:04140E0077B7D23E9C +:04140F0085930001C0 +:04141000461D54071A +:04141100D43A851E26 +:041412000E1000EFC9 +:04141300579266E1A5 +:041414008693572242 +:0414150042F171C669 +:0414160045A2E919E9 +:04141700986346058B +:04141800B7F100C563 +:041419002000061396 +:04141A006641DE3217 +:04141B004662C0B2B3 +:04141C0000C4F333E2 +:04141D00FA030DE3DE +:04141E0045724612BB +:04141F0010904E0CCF +:0414200000A604B36B +:04142100FE84A6039C +:0414220046031088E5 +:04142300060A0006AF +:041424002603962ADB +:041425008E6DFD4685 +:041426004552DA59F8 +:04142700FFF5C59375 +:0414280096E3898539 +:0414290005B3F8A56A +:04142A0045420257DE +:04142B00498C95B69D +:04142C00F59381951E +:04142D0005F97FF549 +:04142E00F6A5EBE351 +:04142F0009234585C3 +:04143000172300B4CA +:0414310008A3000408 +:041432000A23000485 +:04143300CC100004D5 +:04143400076365895C +:04143500E46326B690 +:04143600059314C541 +:041437000B6308003B +:04143800E26322B693 +:0414390045C10EC5D6 +:04143A0022B6016372 +:04143B000AC5EF638C +:04143C00066345916D +:04143D0045A11CB6F3 +:04143E00F2B61BE304 +:04143F000623460535 +:04144000460300C49B +:041441001F6305041C +:0414420046711E06CB +:0414430002C70633A3 +:041444005583963600 +:04144500061300E6A4 +:041446006563577013 +:0414470096131EB624 +:04144800516301D714 +:04144900460D1EC06E +:04144A0000C406A331 +:04144B000923460922 +:04144C00861300C43F +:04144D008A15006795 +:04144E004615CE1160 +:04144F000AC79F63C6 +:04145000073347F126 +:04145100079302F704 +:0414520096BA4AF00C +:0414530000E6D703D5 +:041454000AE7E5635B +:041455000464478361 +:0414560006A3CFD149 +:0414570047890004BD +:041458000633AA7D30 +:04145900059302579E +:04145A00963620D0D2 +:04145B0082154A109C +:04145C007FF676138E +:04145D00EEB61DE3E7 +:04145E00FFF706137B +:04145F0002560633F8 +:041460009636458DEA +:0414610082754A50F6 +:0414620000B61A6353 +:04146300E601460256 +:041464000EF4F493FB +:041465004609BDE98E +:04146600B5D1458235 +:04146700E601460252 +:041468000FB4F49336 +:041469004605B5E996 +:04146A000793BFC560 +:04146B000663020012 +:04146C00079300F6EC +:04146D001CE3040078 +:04146E000793E6F604 +:04146F001623301000 +:04147000479100F4AC +:041471000593AAA98C +:0414720003634000D0 +:04147300EB6316B65B +:04147400059302C515 +:0414750007631000F9 +:04147600059314B610 +:0414770018E3200056 +:041478004709E4B686 +:0414790000E4162352 +:04147A009863470D1F +:04147B00478300E7BC +:04147C00F7B5046458 +:04147D000723478575 +:04147E00478300F4AC +:04147F0008A3057445 +:04148000A0FD00F4D7 +:041481008006079347 +:041482001207896361 +:0414830010E3678586 +:041484000793E2F6F2 +:0414850016232020EA +:04148600478D00F49A +:0414870005B7A209FA +:041488000A630008EB +:04148900E36312B651 +:04148A0065C104C56F +:04148B0012B6006332 +:04148C0002C5E3634F +:04148D0008636791F8 +:04148E0067A110F64C +:04148F00DEF619E389 +:04149000202007937E +:0414910000F416232A +:041492000923479D46 +:0414930047B500F465 +:0414940000F40A2333 +:0414950007B7B75D81 +:041496000B630002E2 :0414970007B70EF68F -:0414980015E3002038 -:041499004791DAF6A7 -:04149A0000F4162321 -:04149B00078007932C -:04149C0007B7BF7D52 -:04149D000F630100D8 -:04149E0007B70CF68A -:04149F0004630200E0 -:0414A00007B70EF686 -:0414A10013E30080D1 -:0414A2000793D8F6DE -:0414A30016232040AC -:0414A400479100F478 -:0414A50000F4092323 -:0414A600BF5147F9F2 -:0414A7000733467150 -:0414A800162302C73E -:0414A9008B9500041B -:0414AA00D70396BA14 -:0414AB00468500A6CC -:0414AC0008A38B7D89 -:0414AD009B6300E459 -:0414AE00468300D79A -:0414AF00CE9904646A -:0414B000092347893C -:0414B100478500F477 -:0414B20000F407A398 -:0414B30050A6D71157 -:0414B4004532541653 -:0414B5000113548645 -:0414B600808206C169 -:0414B70000F4072313 -:0414B80006A3B7DDF3 -:0414B900B52D000449 -:0414BA002010079364 -:0414BB0000F4162300 -:0414BC000793B5B12C -:0414BD0016233010B2 -:0414BE00479900F456 -:0414BF0000F4092309 -:0414C0000793BDE1F0 -:0414C10016232010BE -:0414C200479500F456 -:0414C3000793BFC507 -:0414C40016231020BB -:0414C500B5C900F4B1 -:0414C6002020079348 -:0414C7000793BFC107 -:0414C800BDAD202076 -:0414C9002020079345 -:0414CA00470DBFF912 -:0414CB000793BD596D -:0414CC00BF6D2030A0 -:0414CD002030079331 -:0414CE000793B59536 -:0414CF00B7E1203031 -:0414D000204007931E -:0414D10000F41623EA -:0414D2000923478D16 -:0414D300079300F487 -:0414D400BDF10280E4 -:0414D5002040079319 -:0414D60000F41623E5 -:0414D7000923479509 -:0414D80047E100F4F4 -:0414D9000793B5E9D7 -:0414DA001623204075 -:0414DB00479900F439 -:0414DC0000F40923EC -:0414DD00BD6547D1D1 -:0414DE00179311113E -:0414DF00CA22018597 -:0414E000CC06C82648 -:0414E100842A87E1F1 -:0414E200DD6384AE94 -:0414E3004581000738 -:0414E4000770051375 -:0414E50001A337D553 -:0414E600478500A195 -:0414E70006A7EE6303 -:0414E80007F474137E -:0414E90045912E9566 -:0414EA002681450111 -:0414EB00D7932E81E4 -:0414EC0002A30184D2 -:0414ED00D79300F1A0 -:0414EE0003230104CF -:0414EF00D79300F19E -:0414F00003A30084CE -:0414F100022300F1E1 -:0414F200042300814E -:0414F300071300914A -:0414F4000793040056 -:0414F500096309502E -:0414F600071300E4F4 -:0414F70047850480A1 -:0414F80000E4146395 -:0414F90008700793DD -:0414FA0000484599C8 -:0414FB0000F104A355 -:0414FC00451524ED81 -:0414FD00842A2EB15E -:0414FE000513458508 -:0414FF0024F500319F -:04150000003107832C -:041501000007D663A6 -:0415020047852E8D5E -:04150300FEF506E308 -:041504002641E0118B -:041505000031450369 -:04150600445240E229 -:04150700017144C268 -:0415080001138082C9 -:04150900C02AFD8176 -:04150A0005134581FF -:04150B00D206049070 -:04150C00CE26D022F5 -:04150D00F45FF0EFA8 -:04150E004501C901C9 -:04150F0054025092A0 -:04151000011344F28D -:041511008082028151 -:041512004515842ACD -:0415130084AA26116F -:0415140005134585F1 -:041515002C510071E4 -:041516000071470316 -:041517000FF0079337 -:0415180000F716635F -:0415190047852E19BB -:04151A00FEF504E3F3 -:04151B002615E09120 -:04151C000071470310 -:04151D000FE0079341 -:04151E00FCF711E3E2 -:04151F00002845C992 -:04152000478224AD2D -:041521000047C78335 -:041522000027F71394 -:041523004503CF1598 -:04152400478300E118 -:04152500470300F187 -:04152600890D012109 -:041527008D5D0522AF -:0415280001014783F3 -:041529004403050A68 -:04152A00839900D1D0 -:04152B0047838D5D08 -:04152C00831D011109 -:04152D000786883D68 -:04152E008FD98B992D -:04152F000505943EDC -:0415300015331465F6 -:04153100BF9D0085D5 -:04153200CB898B9145 -:04153300010145036A +:0414980016E3000453 +:041499000793DCF6E3 +:04149A00B7752030D2 +:04149B00004007B74F +:04149C000EF60963DC +:04149D0002C7E0633F +:04149E00001007B77C +:04149F000EF60063E2 +:0414A000002007B76A +:0414A100DAF615E37F +:0414A2001623479135 +:0414A300079300F4B7 +:0414A400BF7D078081 +:0414A500010007B784 +:0414A6000CF60F63CE +:0414A700020007B781 +:0414A8000EF60463D5 +:0414A900008007B701 +:0414AA00D8F613E37A +:0414AB002040079343 +:0414AC0000F416230F +:0414AD000923479137 +:0414AE0047F900F406 +:0414AF004671BF5172 +:0414B00002C7073335 +:0414B10000041623FA +:0414B20096BA8B95C6 +:0414B30000A6D703B5 +:0414B4008B7D468561 +:0414B50000E408A3A4 +:0414B60000D79B635D +:0414B7000464468300 +:0414B8004789CE99F9 +:0414B90000F409230F +:0414BA0007A34785B8 +:0414BB00D71100F451 +:0414BC00541650A6CC +:0414BD0054864532DA +:0414BE0006C101134F +:0414BF0007238082FD +:0414C000B7DD00F4A0 +:0414C100000406A37A +:0414C2000793B52DAA +:0414C30016232010BC +:0414C400B5B100F4CA +:0414C5003010079349 +:0414C60000F41623F5 +:0414C7000923479915 +:0414C800BDE100F48E +:0414C9002010079355 +:0414CA0000F41623F1 +:0414CB00BFC54795BD +:0414CC001020079352 +:0414CD0000F41623EE +:0414CE000793B5C902 +:0414CF00BFC1202059 +:0414D000202007933E +:0414D1000793BDAD13 +:0414D200BFF920201E +:0414D300BD59470DAB +:0414D400203007932A +:0414D5000793BF6D4D +:0414D600B595203078 +:0414D7002030079327 +:0414D8000793B7E1DE +:0414D9001623204076 +:0414DA00478D00F446 +:0414DB0000F40923ED +:0414DC0002800793F0 +:0414DD000793BDF1C3 +:0414DE001623204071 +:0414DF00479500F439 +:0414E00000F40923E8 +:0414E100B5E947E141 +:0414E200204007930C +:0414E30000F41623D8 +:0414E40009234799F8 +:0414E50047D100F4F7 +:0414E6001111BD65BE +:0414E70001851793D1 +:0414E800C826CA2226 +:0414E90087E1CC06C5 +:0414EA0084AE842A1E +:0414EB000007DD63B6 +:0414EC00051345811E +:0414ED0037D5077078 +:0414EE0000A101A3B5 +:0414EF00EE634785DC +:0414F000741306A7C4 +:0414F1002E9507F439 +:0414F20045014591DA +:0414F3002E8126819F +:0414F4000184D79305 +:0414F50000F102A35D +:0414F6000104D79383 +:0414F70000F10323DA +:0414F8000084D79302 +:0414F90000F103A358 +:0414FA000081022348 +:0414FB000091042335 +:0414FC0004000713CE +:0414FD0009500793F8 +:0414FE0000E409639A +:0414FF00048007134B +:0415000014634785A4 +:04150100079300E468 +:04150200459908708F +:0415030004A30048F5 +:0415040024ED00F1E1 +:041505002EB14515A9 +:041506004585842A69 +:041507000031051397 +:04150800078324F53C +:04150900D663003174 +:04150A002E8D00071B +:04150B0006E3478527 +:04150C00E011FEF5F7 +:04150D00450326412B +:04150E0040E2003186 +:04150F0044C244523C +:041510008082017163 +:04151100FD81011344 +:041512004581C02A25 +:041513000490051328 +:04151400D022D20609 +:04151500F0EFCE26FF +:04151600C901F45FB4 +:0415170050924501A8 +:0415180044F2540243 +:041519000281011337 +:04151A00842A80821D +:04151B00261145153B +:04151C00458584AAD3 +:04151D000071051341 +:04151E0047032C5102 +:04151F0007930071BD +:0415200016630FF04F +:041521002E1900F788 +:0415220004E3478512 +:04152300E091FEF560 +:04152400470326153E +:0415250007930071B7 +:0415260011E30FE0DE +:0415270045C9FCF7BF +:0415280024AD0028C6 +:04152900C7834782AB +:04152A00F71300476C +:04152B00CF150027B1 +:04152C0000E1450392 +:04152D0000F14783FF +:04152E00012147034D +:04152F000522890DFB +:0415300047838D5D03 +:04153100050A0101A5 +:0415320000D144039D +:041533008D5D8399AE :0415340001114783D7 -:041535008D5D0522A1 -:04153600B7CD47C521 -:0415370045014781A2 -:041538000113BFF1EB -:0415390065D9FDC1B2 -:04153A004629CC264C -:04153B00859384AA66 -:04153C0000683EC540 -:04153D00CE22D006E4 -:04153E00478D24E1D0 -:04153F0022C1C03EC7 -:0415400024A92C218D -:04154100006845A950 -:0415420045812AC9EC -:041543000004A023DD -:041544000400051387 -:04154500E65FF0EF7E -:04154600842A478527 -:041547000EF51B631F -:041548001AA005934D -:041549000480051302 -:04154A00E51FF0EFBA -:04154B001763C22A36 -:04154C004591088538 -:04154D002A550028F3 -:04154E0000A14703AE -:04154F001A63479242 -:0415500047030CF74A -:04155100079300B14B -:0415520014630AA074 -:0415530005130CF779 -:041554002AFD3E80AE -:041555004785241D85 -:0415560000F5196320 -:04155700400005B794 -:041558000E900513D9 -:04155900E15FF0EF6F -:04155A002C01F575F6 -:04155B000663478557 -:04155C00440102F54F -:04155D0047822C395C -:04155E00F79317FDEB -:04155F00C03E0FF784 -:04156000DC35CBD9D2 -:041561008223478515 -:04156200C09C0084A5 -:04156300F0EF8526FA -:04156400157DE95FA9 -:041565002A69C488A3 -:041566004581A041DA -:0415670007A00513C1 -:04156800DD9FF0EF24 -:041569004591F5793A -:04156A0022810028B2 -:04156B000081478331 -:04156C00F79344317C -:04156D00FFDD040793 -:04156E00BF6D4411F8 +:04153500883D831D4D +:041536008B99078600 +:04153700943E8FD976 +:04153800146505052C +:0415390000851533E1 +:04153A008B91BF9D35 +:04153B004503CB8910 +:04153C0047830101DF +:04153D000522011171 +:04153E0047C58D5DB3 +:04153F004781B7CD5C +:04154000BFF14501B1 +:04154100FDC10113D4 +:04154200CC2665D975 +:0415430084AA462907 +:041544004105859345 +:04154500D006006864 +:0415460024E1CE22AC +:04154700C03E478DCE +:041548002C2122C16F +:0415490045A924A9E3 +:04154A002AC9006842 +:04154B00A023458113 +:04154C00051300047F +:04154D00F0EF0400B7 +:04154E004785E65F88 +:04154F001B63842A6C +:0415500005930EF5FC +:0415510005131AA0C4 +:04155200F0EF048032 +:04155300C22AE51FA4 +:04155400088517638C +:041555000028459194 +:0415560047032A55C8 +:04155700479200A116 +:041558000CF71A630F +:0415590000B1470393 +:04155A000AA0079349 +:04155B000CF7146312 +:04155C003E800513B5 +:04155D00241D2AFD22 +:04155E001963478541 +:04155F0005B700F5D7 +:04156000051340002F +:04156100F0EF0E9009 +:04156200F575E15FDB +:0415630047852C018B +:0415640002F5066323 +:041565002C394401D8 +:0415660017FD4782A4 +:041567000FF7F793F0 +:04156800CBD9C03EDD +:041569004785DC35A1 +:04156A000084822354 +:04156B008526C09C75 +:04156C00E95FF0EF54 +:04156D00C488157D9C +:04156E00A0412A6905 :04156F00051345819A -:04157000F0EF0E90FA -:041571000793DB7F82 -:041572006563041099 -:04157300440900A483 -:041574000E9007933B -:041575000FA00513AB -:041576002A9DC23EAA -:0415770047852A7901 -:0415780000F5176300 -:041579004581451251 -:04157A00D91FF0EF96 -:04157B002271F9657B -:04157C004401E11134 -:04157D0045812A7901 -:04157E0007B005139A -:04157F00D7DFF0EFD3 -:041580004401C11150 -:0415810020000593AE -:041582000500051348 -:04158300D6DFF0EFD0 -:041584004401D13D10 -:04158500F43DB78DED -:04158600351320CD2C -:04158700508200147A -:0415880044E2447283 -:041589000241011307 -:04158A00451C8082FA -:04158B00C822112140 -:04158C00C626CA069F -:04158D00C03AC22E70 -:04158E00E163440DC4 -:04158F00CF1902C7A7 -:041590000045478348 -:041591008BA184B6F0 -:041592000626E391B5 -:04159300051385B205 -:04159400F0EF05105F -:04159500C909D27F2F -:04159600204544099F -:0415970040D2852297 -:0415980044B24442D3 -:0415990080820161EA -:04159A0006400513EF -:04159B00458520D58D -:04159C0000B1051382 -:04159D004703289D3B -:04159E00079300B1FE -:04159F0016630FF0D0 -:0415A00028E500F743 -:0415A10004E3478593 -:0415A2002221FEF50F -:0415A30000B1470349 -:0415A4000FE00793BA -:0415A500FCF712E35A -:0415A6000413478261 -:0415A7008C0520206F -:0415A80004428C1D50 -:0415A900C481804138 -:0415AA00450185A6CC -:0415AB004582283D10 -:0415AC002825451297 -:0415AD00450185A2CD -:0415AE004401280DBF -:0415AF002637BF79A3 -:0415B00005B7000675 -:0415B1001537019C4D -:0415B200061300021A -:0415B3008593A8066E -:0415B4000513CC054A -:0415B500A06F02051C -:0415B600862EE9DFB5 -:0415B700153785AAB5 -:0415B8000513000215 -:0415B900A06F020518 -:0415BA00862EF3BFC7 -:0415BB00153785AAB1 -:0415BC000513000211 -:0415BD00A06F020514 -:0415BE008082EFFF39 -:0415BF005783676186 -:0415C000F79359073D -:0415C10007C2F7F76F -:0415C200182383C1A6 -:0415C300173758F787 -:0415C40020230002DE -:0415C50080820EF71B -:0415C600578367617F -:0415C700E793590746 -:0415C80018230807D5 -:0415C900173758F781 -:0415CA0020230002D8 -:0415CB0080820EF715 -:0415CC000029363785 -:0415CD00019C05B7C1 -:0415CE0000021537CB -:0415CF002E060613CB -:0415D000CC0585932E -:0415D10002050513F7 -:0415D200E2BFA06F65 -:0415D300F73FF06F7F -:0415D400278367659D -:0415D500E385AF07F4 -:0415D6008793679DF3 -:0415D70005339787BA -:0415D800115102F5B6 -:0415D9002823C406F9 -:0415DA00A0EFAEA729 -:0415DB0040A29B9FF0 -:0415DC000131450193 -:0415DD0045058082BE -:0415DE0011518082A5 -:0415DF00A0EFC406AF -:0415E00067E59C9F80 -:0415E100AF07A78326 -:0415E200353340A2BB -:0415E300013100F5DD -:0415E40067E58082B5 -:0415E500AE07A82382 -:0415E60047018082B7 -:0415E70000E61463A3 -:0415E80080824501B7 -:0415E90000E507B35F -:0415EA0086B30705B8 -:0415EB00C78300E5CD -:0415EC00C6830007AB -:0415ED0083E3FFF69F -:0415EE008533FED76C -:0415EF00808240D7DF -:0415F00000A5C7B3D8 -:0415F10007338B8DA4 -:0415F200E78100C5C8 -:0415F300E963478DD4 -:0415F40087AA02C7F9 -:0415F5000CE571632D -:0415F6000005C683A3 -:0415F70005850785DA -:0415F800FED78FA3E8 -:0415F900FEE7EAE33C -:0415FA00C6838082A2 -:0415FB00078500055B -:0415FC008FA305852F -:0415FD00EAE3FED748 -:0415FE004402FEE7BE -:0415FF0080820111D4 -:0416000000357693A8 -:04160100CA9187AA59 -:041602000005C68396 -:0416030005850785CD -:04160400FED78FA3DB -:041605000037F69321 -:041606007693B7FD23 -:041607008613FFC780 -:04160800F563FE0682 -:04160900117106C78E -:04160A0049C0C022F1 -:04160B000005A30330 -:04160C000085A3832F -:04160D004D80CBC081 -:04160E000067A023AE -:04160F000045A303EC -:041610004DC0CF807A -:041611000067A223A9 -:0416120000C5A283EA -:041613000105A30327 -:041614000245859373 -:04161500A403CFC09B -:04161600A423FFC545 -:04161700A62300778F -:04161800A8230057AC -:04161900879300674C -:04161A00AE230247B2 -:04161B00EEE3FE8775 -:04161C00F2E3FAC734 -:04161D004190F8D729 -:04161E00059107919A -:04161F00FEC7AE2331 -:041620004190BFCD69 -:041621000591079197 -:04162200FEC7AE232E -:04162300FED7EBE320 -:04162400F4E7E4E320 -:0416250080828082BD -:04162600F7C10113F4 -:04162700C13ED6A644 -:0416280067E1DA8616 -:04162900DCB6D8A2B1 -:04162A00A483DEBAFD -:04162B00DC634B072A -:04162C00079300051B -:04162D00C09C08B0A5 -:04162E0050D6557DC0 -:04162F0054B6544613 -:041630000841011359 -:041631000793808219 -:0416320018232080D9 -:04163300C22A00F1D6 -:041634004781CA2AF6 -:041635008793C1993D -:04163600C63EFFF5B8 -:0416370018B4CC3ED9 -:04163800842E57FDA8 -:04163900004C8526B6 -:04163A0000F119237F -:04163B002601C0368E -:04163C00556357FD9E -:04163D00079300F51A -:04163E00C09C08B094 -:04163F004792DC5D95 -:0416400000078023FC -:04164100C605BF5DBE -:041642004701167DC9 -:0416430000E507B304 -:0416440000E586B384 -:041645000007C78350 -:041646000006C68351 -:0416470000D79663CF -:0416480000C7046370 -:04164900F3FD0705A1 -:04164A0040D78533CD -:04164B004501808253 -:04164C0087AA808267 -:04164D000585CA093C -:04164E00FFF5C703DA -:04164F00167D078578 -:04165000FEE78FA37F -:04165100963EFB6561 -:0416520000C79363D7 -:041653000785808205 -:04165400FE078FA35B -:0416550095AABFD5BE -:04165600856387AA77 -:04165700C70300B70E -:04165800E70100079F -:0416590040A78533EE -:04165A0007858082FE -:04165B00C5D5B7FD3D -:04165C00FFC5A7839C -:04165D00C222115143 -:04165E00C026C406D8 -:04165F00FFC584132C -:041660000007D36349 -:0416610084AA943E85 -:0416620015F000EF90 -:041663002783676111 -:04166400863A530768 -:041665002223EF81CC -:041666002823000431 -:041667004412528750 -:04166800852640A2F1 -:041669000131448285 -:04166A001410006FE9 -:04166B0002F47063B2 -:04166C0007334014EC -:04166D00966300D4AC -:04166E00439800E7B6 -:04166F00973643DC8B -:04167000C05CC01882 -:041671005286282352 -:0416720087BABFD99B -:04167300C31943D87C -:04167400FEE47DE330 -:0416750086334394E1 -:041676001F6300D717 -:041677004010008699 -:04167800C39496B2CF -:0416790000D78633DD -:04167A00FAC71BE3AD -:04167B00435843107D -:04167C00C39496B2CB -:04167D00B765C3D8B2 -:04167E0000C47563CC -:04167F00C09C47B113 -:041680004010BF79DE -:0416810000C406B3E8 -:0416820000D7166314 -:041683004358431471 -:04168400C01496B246 -:04168500C3C0C058C6 -:041686008082B7594E -:04168700C226114125 -:041688000035849312 -:04168900C60698F108 -:04168A0004A1C422D1 -:04168B00FB6347B105 -:04168C0044B104F46D -:04168D0004B4EA6354 -:04168E0000EFC02A7F -:04168F0067610AD0B5 -:041690005307268353 -:041691000613478273 -:041692008436530740 -:041693006461E43179 -:0416940053440413A4 -:04169500EB0140180D -:041696004581853EC7 -:0416970000EFC03E62 -:0416980047827F8086 -:04169900853EC008C2 -:04169A00C03E85A623 -:04169B007EA000EF3E -:04169C004782577DAD -:04169D0006E51563E6 -:04169E00C398473175 -:04169F0000EF853E95 -:0416A000A02906B0C7 -:0416A100FA04D8E38C -:0416A200C11C47B16F -:0416A30040B245010B -:0416A4004492442206 -:0416A50080820141FD -:0416A6008F05401854 -:0416A70002074E6385 -:0416A800F66345ADF3 -:0416A900C01800E580 -:0416AA00C004943AAA -:0416AB004058A029DA -:0416AC0002869263BD -:0416AD00853EC2189C -:0416AE00031000EF36 -:0416AF0000B405136B -:0416B00000440713D8 -:0416B10007B3996181 -:0416B200D3F140E54B -:0416B3008F09943EC9 -:0416B400BF75C01826 -:0416B500B7C5C2D81B -:0416B600404086A288 -:0416B7000413BF85D4 -:0416B80098710035F0 -:0416B900FC8503E3C6 -:0416BA0040A405B390 -:0416BB00C03E853E6A -:0416BC00577D279D92 -:0416BD001AE3478263 -:0416BE00BFBDFAE5CD -:0416BF000085A303FC -:0416C000C826111116 -:0416C100CA22CC0667 -:0416C20084AEC232FE -:0416C3000866E3636F -:0416C40000C5D60384 -:0416C5004806771349 -:0416C60082AACB3DEC -:0416C700470D48C8BB -:0416C8000733408024 -:0416C900498C02A79F -:0416CA0007B3450914 -:0416CB00C03E40B429 -:0416CC0002A74433FA -:0416CD00001685136B -:0416CE007363953E6F -:0416CF00842A00A4C5 -:0416D0004006761347 -:0416D100C63DC63616 -:0416D20085A2851652 -:0416D300F0EFC4165A -:0416D40042A2ECFF43 -:0416D500473146B2A1 -:0416D6004602CD2DCE -:0416D700C636488C3F -:0416D800F0EFC42A41 -:0416D900D603C5FF70 -:0416DA00432200C4E3 -:0416DB00761346B28A -:0416DC006613B7F6E4 -:0416DD009623080642 -:0416DE00478200C47B -:0416DF000064A823D8 -:0416E000933EC8C0AD -:0416E100A0238C1D99 -:0416E200C48000645C -:0416E300F3638336F4 -:0416E40083360066E3 -:0416E5004088459262 -:0416E600C01A861A86 -:0416E70044982701FB -:0416E8004501430273 -:0416E900406707331C -:0416EA004098C498C8 -:0416EB00A023933A6B -:0416EC00A80D0064E1 -:0416ED0086228516B6 -:0416EE002F05C416EA -:0416EF0042A2832A66 -:0416F000FD4546B2BC -:0416F1008516488C86 -:0416F200F0EFC0163F -:0416F3004282DA5FF6 -:0416F400A0234731B7 -:0416F500D70300E235 -:0416F600557D00C45A -:0416F700040767136A -:0416F80000E4962351 -:0416F900445240E235 -:0416FA00017144C274 -:0416FB00D70380820F -:0416FC00011300C511 -:0416FD00C122F7818E -:0416FE000793DEA6CA -:0416FF00C30601F12C -:04170000C02EC22A0B -:04170100080777134B -:04170200F41384B2A6 -:04170300CB1DFF8774 -:04170400EB0D499808 -:041705000400059344 -:04170600F0EFC63604 -:041707004782E03FF6 -:04170800C38846B29A -:04170900E919CB8887 -:04170A0047B147128A -:04170B00C31C557D29 -:04170C00440A409AB1 -:04170D00011354F67A -:04170E00808208814C -:04170F0007134782F3 -:04171000CBD804002E -:0417110002000713B8 -:0417120000E40CA340 -:0417130003000713B5 -:0417140000042A2380 -:0417150000E40D23BC -:041716008726CA3622 -:041717000250061363 -:0417180000074683FD -:041719009863C29976 -:04171A0003330AC6C5 -:04171B00026340978E -:04171C0045820203FD -:04171D00869A451251 -:04171E00C83A862619 -:04171F00F0EFC61A07 -:0417200056FDE7FF8C -:041721001CD502636E -:0417220043324854B2 -:04172300969A474209 -:041724004683C854DC -:0417250089630007CD -:0417260004931A0608 -:04172700577D0017D3 -:041728000004202376 -:04172900000426236F -:04172A002423C0585C -:04172B0001A3000412 -:04172C002C23040462 -:04172D00C583040468 -:04172E0087B7000475 -:04172F00461500015A -:0417300093C78513C3 -:0417310087132B7D72 -:04173200401400144B -:04173300F613E5398B -:04173400C6090106DB -:041735000200061395 -:0417360004C401A343 -:041737000086F6131F -:041738000613C609C5 -:0417390001A302B056 -:04173A00C58304C49B -:04173B00061300048D -:04173C00816302A023 -:04173D00445404C547 -:04173E004581872634 -:04173F0042A9452551 -:041740000007460355 -:041741000017031377 -:04174200FD06061387 -:0417430006C57963FB -:04174400C454C9952B -:041745000705A805E7 -:0417460087B7B7A109 -:041747008613000104 -:04174800063393C70A -:04174900450540C54D -:04174A0000C515338E -:04174B00C0148EC96F -:04174C00B75184BA53 -:04174D000593465268 -:04174E0042100046FF -:04174F004963CA2EF2 -:04175000C450020679 -:041751000007460344 -:0417520002E0069318 -:0417530006D6116342 -:041754000017460331 -:0417550002A0069355 -:0417560002D61B6339 -:04175700070946D266 -:0417580000468613AE -:04175900CA324294BA -:04175A000206C1635F -:04175B00A081C05455 -:04175C0040C0063350 -:04175D000026E693E9 -:04175E00C014C4509F -:04175F0086B3B7E1B5 -:041760004585025663 -:0417610096B2871A9B -:0417620056FDBFA5CC -:041763000705B7C5FA -:041764000004222338 -:0417650046814581F3 -:0417660042A945252A -:04176700000746032E -:041768000017031350 -:04176900FD06061360 -:04176A0006C57763D6 -:04176B004583F1E1E0 -:04176C0084B7000737 -:04176D00460D000124 -:04176E009444851307 -:04176F0021D1C63A84 -:04177000CD0147322E -:041771009444849385 -:0417720006938D0548 -:0417730096B3040025 -:04177400400800A683 -:041775008D55070582 -:041776004583C008DF -:0417770085370007AB -:04177800461900010D -:04177900948505133B -:04177A0000170493BD -:04177B0000B40C2387 -:04177C00C52D294905 -:04177D00000007372A -:04177E000007071346 -:04177F004014E70D1E -:04178000F693475243 -:04178100CE911006EF -:04178200CA3A071147 -:0417830047A24858D9 -:04178400C858973E6C -:0417850086B3B599D9 -:04178600458502563D -:0417870096B2871A75 -:041788000721BFB5C1 -:041789004602B7DD80 -:04178A0066B74512E7 -:04178B0008580001F9 -:04178C00AFC68693CB -:04178D00009785A29A -:04178E0000E7000070 -:04178F00C42A000068 -:04179000577D47A298 -:04179100FCE794E3FA -:04179200557D4782B8 -:0417930000C7D703B1 -:0417940004077713BC -:04179500DC071EE36C -:04179600BBD948482B -:0417970045124602AF -:04179800000166B72F -:0417990086930858D3 -:04179A0085A2AFC6AF -:04179B00BFC12A059B -:04179C00CA26110147 -:04179D0084B6833259 -:04179E004994459095 -:04179F00CE06CC2284 -:0417A00087AE842A62 -:0417A10000C6D36348 -:0417A200202386B2C8 -:0417A300C60300D3A6 -:0417A400C60104373F -:0417A5002023068572 -:0417A600439400D395 -:0417A7000206F693AD -:0417A8002683C6913D -:0417A90006890003AA -:0417AA0000D3202325 -:0417AB000007A2830E -:0417AC00019783938B -:0417AD000062F29351 -:0417AE000602836349 -:0417AF00C68343901A -:0417B0007613043771 -:0417B10036B3020643 -:0417B200EA4100D038 -:0417B300043786135E -:0417B400852285A65F -:0417B500C23EC41A52 -:0417B6009702C03A9C -:0417B700086356FD70 -:0417B800479206D579 -:0417B9004511432271 -:0417BA00260343942B -:0417BB0047CC000314 -:0417BC0043018A99C2 -:0417BD0097634702E5 -:0417BE00833300A6CB -:0417BF00536340C56B -:0417C00043010003DE -:0417C1004B9047946E -:0417C20000D6546396 -:0417C30093368E913A -:0417C40007E942816E -:0417C5000653126352 -:0417C600A81D450114 -:0417C70047D402857C -:0417C80000032603F1 -:0417C900DBE38E913F -:0417CA004685F8D286 -:0417CB0085A6861E4B -:0417CC00C81A852290 -:0417CD00C416C63E3A -:0417CE00C03AC21E3D -:0417CF0056FD97022A -:0417D00043924702F7 -:0417D10047B242A237 -:0417D20019E3434292 -:0417D300557DFCD56F -:0417D400446240F239 -:0417D500610544D294 -:0417D60085B38082D5 -:0417D700051300D71F -:0417D80081A30300E6 -:0417D900C58304A51B -:0417DA008613045717 -:0417DB00963E001620 -:0417DC0001A30689D6 -:0417DD00BF9904B6F6 -:0417DE00863E468578 -:0417DF00852285A634 -:0417E000C41AC6164B -:0417E100C03AC23E0A -:0417E20056FD970217 -:0417E300FCD501E34D -:0417E400432242B2A8 -:0417E50002854792A0 -:0417E600BFAD47024A -:0417E700CC221101FE -:0417E800C232842E57 -:0417E900018446032E -:0417EA00CE06CA2637 -:0417EB00C02A84B6D6 -:0417EC0006E006937A -:0417ED0004358593A7 -:0417EE001ED6086398 -:0417EF0006C6E463E3 -:0417F0000630069326 -:0417F1000AD60463AD -:0417F20000C6ED63DD -:0417F3002006046365 -:0417F40005800693D3 -:0417F50016D60C6395 -:0417F60004240313B1 -:0417F70004C4012302 -:0417F8000693A87933 -:0417F900066306403D -:0417FA00069300D67C -:0417FB0015E306905C -:0417FC004014FED6C1 -:0417FD0000072303BB -:0417FE000806F613D0 -:0417FF00004305138B -:041800002683C24930 -:04180100C308000315 -:041802000001863724 -:041803000006D863A0 -:0418040002D00713F4 -:0418050040D006B316 -:0418060004E401A352 -:041807009506061329 -:04180800A07D47294F -:04180900073006930B -:04180A001AD60A637D -:04180B0000C6EF63C1 -:04180C0006F0069349 -:04180D0006D6006398 -:04180E000700069336 -:04180F00F8D61EE306 -:04181000E693401407 -:04181100C0140206F7 -:041812000693A80988 -:041813000363075014 -:04181400069304D65D -:0418150011E3078054 -:041816000693F8D667 -:041817008637078089 -:0418180002A3000126 -:04181900061304D4DA -:04181A00A0FD964651 -:04181B00031343145C -:04181C008613042407 -:04181D00C3100046AE -:04181E0001234298C8 -:04181F00470504E491 -:04182000F613AABD54 -:041821002683040610 -:04182200C3080003F4 -:0418230006C2DE35E6 -:04182400BF9D86C11D -:041825004314400820 -:0418260008057293AC -:0418270000468313E1 -:0418280000028663D1 -:041829000067202311 -:04182A00A80142943B -:04182B000405751328 -:04182C00006720230E -:04182D00D683D97510 -:04182E000513000698 -:04182F00873706F001 -:041830000D63000143 -:0418310006130CA6E8 -:0418320047299507A6 -:04183300040401A305 -:04183400C40840485C -:0418350000054863FF -:041836000004230384 -:04183700FFB3731375 -:041838000064202305 -:04183900832EE2997F -:04183A00832ECD111B -:04183B0002E6F53399 -:04183C009532137D51 -:04183D00000545035A -:04183E0000A30023E0 -:04183F0002E6D533B5 -:041840000AE6F2635F -:041841001E6346A13B -:04184200401800D773 -:04184300CB118B0535 -:0418440048184054AC -:0418450000D747631E -:041846000300071381 -:04184700FEE30FA30A -:0418480085B3137DD4 -:04184900C80C406522 -:04184A00450246927B -:04184B0008108726D4 -:04184C00C41A85A293 -:04184D00D3DFF0EF06 -:04184E004322577D5D -:04184F000CE515632C -:0418500040F2557D90 -:0418510044D24462D7 -:04185200808261052A -:0418530004C402A324 -:0418540000018637D2 -:0418550095060613DB -:041856002303400820 -:041857007293000781 -:0418580026830805D6 -:041859000311000374 -:04185A0002028163A2 -:04185B0000672023DF -:04185C0000157713E9 -:04185D006513C70147 -:04185E00C0080205B7 -:04185F00F6B947414E -:0418600075134008B4 -:04186100C008FDF5C9 -:041862007293B79135 -:041863002023040535 -:041864008FE30067A7 -:0418650006C2FC02B9 -:04186600BFD982C1A3 -:0418670095070613C8 -:04186800B72D472130 -:04186900B79986AAFB -:04186A0043144010D3 -:04186B0072934848E4 -:04186C0083130806D4 -:04186D008763004647 -:04186E002023000231 -:04186F004298006734 -:04187000A809C308F8 -:0418710000672023C9 -:0418720004067613DF -:04187300DA6D429850 -:0418740000A7102396 -:041875000004282320 -:04187600B7B9832E4D -:041877004581431450 -:04187800004686138D -:04187900A303C310F2 -:04187A0040500006D4 -:04187B00C41A851AEC -:04187C004322284992 -:04187D000533C50169 -:04187E00C0484065B9 -:04187F00C8184058ED -:04188000040401A3B8 -:041881004814B7153B -:041882004502459244 -:041883009482861AAB -:0418840007E3577DA2 -:041885004018F2E530 -:04188600EB158B09CA -:041887004448474248 -:04188800F2E551E351 -:04188900BF31853AAC -:04188A00450245923C -:04188B00861A4685EE -:04188C00C41AC63A7A -:04188D0056FD9482EE -:04188E00F0D504E3AA -:04188F004322473277 -:0418900044540705B0 -:041891008E914642AC -:04189200FED740E35A -:041893004701BFC189 -:0418940001940313A5 -:041895001151B7FD39 -:04189600C026C22284 -:0418970084AA646556 -:04189800C406852ECF -:04189900AE042A234C -:04189A0057FD20FDD9 -:04189B0000F51663DB -:04189C00AF442783AB -:04189D00C09CC39197 -:04189E00441240A20E -:04189F00013144824D -:0418A000F5938082BA -:0418A100962A0FF57F -:0418A20000C5146306 -:0418A30080824501F9 -:0418A4000005478371 -:0418A500FEB78DE31A -:0418A600B7FD050580 -:0418A70004A5F3633E -:0418A80000C586B33E -:0418A90002D57F6382 -:0418AA00FFF645936D -:0418AB0017FD47815D -:0418AC0000F593634D -:0418AD00873380827B -:0418AE00430300F6FA -:0418AF008733000774 -:0418B000972A00C7AC -:0418B10000670023A9 -:0418B2008733B7DDE4 -:0418B300468300F573 -:0418B40007330007EF -:0418B500078500F5AE -:0418B60000D7002334 -:0418B700FEF617E33F -:0418B8004781808262 -:0418B9008082BFE585 -:0418BA00E5818082C2 -:0418BB00F06F85B293 -:0418BC001131F2EF05 -:0418BD00C622C80671 -:0418BE00EA11C42641 -:0418BF00E72FF0EF30 -:0418C00040C247815A -:0418C10044A24432C7 -:0418C2000151853E0D -:0418C300842E80826D -:0418C40084AAC03200 -:0418C5004602203D7A -:0418C60074E387A29E -:0418C70085B2FEC523 -:0418C800C23285267D -:0418C900EF8FF0EFBE -:0418CA00DD6187AAAB -:0418CB0085A246129A -:0418CC00F0EFC02A4F -:0418CD0085A2C8EF39 -:0418CE00F0EF85268C -:0418CF004782E34F1A -:0418D000A783B7C96A -:0418D1008513FFC5B7 -:0418D200D563FFC714 -:0418D30095AA0007CB -:0418D400953E419C60 -:0418D50011418082BB -:0418D6006461C42263 -:0418D700538427838C -:0418D800C226C60658 -:0418D9000413832A47 -:0418DA00EB855384C3 -:0418DB0045814501FD -:0418DC0046814601FA -:0418DD00029347012A -:0418DE0000730D6026 -:0418DF0084AA0000D7 -:0418E00000055C6340 -:0418E10004B32881A3 -:0418E200C10440906D -:0418E30040B2557D3D -:0418E40044924422C4 -:0418E50080820141BB -:0418E6004008C008EE -:0418E70046014581F0 -:0418E8004681951A86 -:0418E90047814701EB -:0418EA000D600293F8 -:0418EB000000007386 -:0418EC00596384AA0E -:0418ED00C01A000518 -:0418EE004302283158 -:0418EF00409004B36E -:0418F00054FDC104DE -:0418F100557D401CC5 -:0418F20092E3933EAC -:0418F300C004FC64CD -:0418F400BF75853EF9 -:0418F500A50367E1FF -:0418F60080824B079A -:0418F70000000000ED -:0418F80000000000EC -:0418F90000000000EB -:0418FA0000000000EA -:0418FB00FFFFFFFFED -:0418FC00FFFFFFFFEC -:0418FD000000FFFFE9 -:0418FE000001052CB4 -:0418FF0000010532AD -:0419000000010538A5 -:041901000001053E9E -:04190200000104E0FC -:0419030000010500DA -:0419040000010500D9 -:0419050000010500D8 -:0419060000010500D7 -:0419070000010500D6 -:0419080000010500D5 -:0419090000010504D0 -:04190A000001050AC9 -:04190B00000128B0FF -:04190C00000129AC01 -:04190D00000129AC00 -:04190E00000129C0EB -:04190F00000129C0EA -:04191000000129CCDD -:04191100000129D0D8 -:04191200000129DEC9 -:04191300000129DEC8 -:04191400000129F6AF -:0419150000012BBEE4 -:0419160000012BC4DD -:0419170000012BC4DC -:0419180000012BBEE1 -:0419190000012BC8D6 -:04191A00000129F6A9 -:04191B0000012BBEDE -:04191C0000012BC4D7 -:04191D0000000001C5 -:04191E0002050300BB -:04191F0000000002C2 -:041920000001657CE1 -:041921000001658CD0 -:0419220000016598C3 -:04192300000165A4B6 -:04192400000165B0A9 -:04192500000165BC9C -:04192600000165C88F -:04192700000165D482 -:04192800000165E075 -:04192900000165EC68 -:04192A00000165F85B -:04192B0074204B4F8A -:04192C006E69206F51 -:04192D0000007469D9 -:04192E00736C61462F -:04192F007274206549 -:041930006567676917 -:041931000000007240 -:04193200656D695422 -:041933000074756F58 -:04193400252E7525C2 -:041935006D75322E6C -:04193600000000733A -:04193700252E7525BF -:041938006D75322E69 -:0419390075252F736E -:04193A00322E252EF6 -:04193B0000736D7553 -:04193C004353534F6F -:04193D007766202089 -:04193E007525202EBD -:04193F00322E252EF1 -:0419400000006175CD -:0419410074696E490E -:041942007272652038 -:041943002020726F7F -:041944000000642516 -:04194500202020201E -:0419460053204F4E8D -:0419470000434E59B2 -:0419480034313032D4 -:041949003130322DDA -:04194A006D202039B3 -:04194B0073717261E1 -:04194C000000000097 -:04194D000DC0600069 -:04194E0000783C11D0 -:04194F00070180E02C -:041950000000180E6D -:041951000000000092 -:041952000D806000A4 -:041953000030180444 -:0419540002014060EC -:041955000000140674 -:04195600000000008D -:0419570025207325AF -:04195800006325758E -:04195900252E75259D -:04195A006B75322E49 -:04195B0025207A4881 -:04195C002E252E7591 -:04195D007A4875321D -:04195E000000000085 -:04195F0074736554E4 -:04196000746170201E -:041961006E726574C9 -:041962000000000081 -:041963003A3156417E -:041964004247522084 -:04196500000000532B -:041966003A3156417B -:041967007347522050 -:041968000000004239 -:041969003A31564178 -:04196A00625059204E -:04196B0000007250B6 -:04196C003A32564174 -:04196D00625059204B -:04196E0000007250B3 -:04196F003A32564171 -:041970007347522047 -:041971000000004230 -:041972003A3356416D -:041973004247522075 -:0419740000005648D1 -:041975003A3356416A -:041976004247522072 -:041977000000005319 -:041978003A33564167 -:04197900734752203E -:04197A000000004227 -:04197B003A33564164 -:04197C00625059203C -:04197D0000007250A4 -:04197E007473614CD1 -:04197F0065737520F7 -:0419800000000064FF -:041981000000000062 -:041982000000000160 -:041983000000000060 -:04198400000000005F -:04198500000000005E -:04198600000001005C -:041987000101010059 -:04198800000001005A -:04198900000000005A -:04198A000100000058 -:04198B00060B000047 -:04198C000100004412 -:04198D000000000056 -:04198E000001000054 -:04198F008080000C48 -:041990001A1A1A8085 -:0419910000000A0840 -:0419920000012E968C -:0419930000012F2CF4 -:0419940000012F34EB -:0419950000012F24FA -:0419960000012F30ED -:0419970000012F38E4 -:0419980000012F28F3 -:0419990000012F7E9C -:04199A0000012F3CDD -:04199B0000012F40D8 -:04199C0000012F44D3 -:04199D0000012F7E98 -:04199E0000012F7E97 -:04199F0000012F7E96 -:0419A00000012F7E95 -:0419A10000012F7E94 -:0419A2000001339875 -:0419A30000012F8888 -:0419A400000130749A -:0419A5000001308489 -:0419A6000001309E6E -:0419A700000130DC2F -:0419A800000130C04A -:0419A900000130F217 -:0419AA00000131FE09 -:0419AB0000013250B5 -:0419AC000001327292 -:0419AD00656E6F44B0 -:0419AE000000000035 -:0419AF006C696146B8 -:0419B000000064656A -:0419B100666F72509B -:0419B2002075252E49 -:0419B300007339255F -:0419B400756C3425F5 -:0419B500632563251E -:0419B6006C2520205C -:0419B70000000075B7 -:0419B800656E694CA3 -:0419B900746C756D68 -:0419BA00646F6D20C9 -:0419BB0000003A6589 -:0419BC00736572706D -:0419BD002D31207335 -:0419BE0000000035F0 -:0419BF0020787525F2 -:0419C00075736E7558 -:0419C100726F707061 -:0419C20000646574E4 -:0419C300666F725089 -:0419C40020656C69C5 -:0419C50064616F6C7E -:0419C6000000003AE3 -:0419C7007365727062 -:0419C80075252073EE -:0419C9000075252D53 -:0419CA00000167ECC5 -:0419CB00000167F0C0 -:0419CC00000167F4BB -:0419CD00000167F8B6 -:0419CE00000166F8B6 -:0419CF00000167FCB0 -:0419D00000016800AA -:0419D10000016804A5 -:0419D20000016808A0 -:0419D3000001731884 -:0419D4000001680C9A -:0419D5000001681491 -:0419D600000168188C -:0419D7000001682083 +:04157000F0EF07A0F1 +:04157100F579DD9F8C +:041572000028459177 +:041573004783228107 +:04157400443100817D +:041575000407F793DD +:041576004411FFDD40 +:041577004581BF6D7E +:041578000E900513B9 +:04157900DB7FF0EF35 +:04157A0004100793BF +:04157B0000A4656300 +:04157C000793440984 +:04157D0005130E90B4 +:04157E00C23E0FA0BA +:04157F002A792A9DFE +:041580001763478521 +:04158100451200F51A +:04158200F0EF4581C0 +:04158300F965D91F0E +:04158400E1112271DE +:041585002A7944017A +:041586000513458183 +:04158700F0EF07B0CA +:04158800C111D7DFD7 +:041589000593440181 +:04158A000513200025 +:04158B00F0EF050078 +:04158C00D13DD6DF98 +:04158D00B78D4401D1 +:04158E0020CDF43D3B +:04158F0000143513FC +:0415900044725082CF +:04159100011344E21C +:041592008082024110 +:041593001121451CC1 +:04159400CA06C82299 +:04159500C22EC62676 +:04159600440DC03A06 +:0415970002C7E16343 +:041598004783CF199D +:0415990084B60045CF +:04159A00E3918BA1AD +:04159B0085B20626E9 +:04159C00051005131E +:04159D00D27FF0EF1A +:04159E004409C9092A +:04159F00852220453C +:0415A000444240D2AF +:0415A100016144B2EE +:0415A200051380822B +:0415A30020D5064009 +:0415A4000513458561 +:0415A500289D00B1CC +:0415A60000B1470346 +:0415A7000FF00793A7 +:0415A80000F71663CF +:0415A900478528E565 +:0415AA00FEF504E363 +:0415AB0047032221AF +:0415AC00079300B1F0 +:0415AD0012E30FE056 +:0415AE004782FCF77D +:0415AF0020200413E1 +:0415B0008C1D8C05FD +:0415B100804104422F +:0415B20085A6C481C5 +:0415B300283D450189 +:0415B4004512458215 +:0415B50085A22825BE +:0415B600280D4501B6 +:0415B700BF794401B3 +:0415B80000062637CC +:0415B900019C05B7D5 +:0415BA0000021537DF +:0415BB00A806061365 +:0415BC00CC05859342 +:0415BD00020505130B +:0415BE00E7BFA06F74 +:0415BF0085AA862E45 +:0415C00000021537D9 +:0415C1000205051307 +:0415C200F19FA06F86 +:0415C30085AA862E41 +:0415C40000021537D5 +:0415C5000205051303 +:0415C600EDDFA06F46 +:0415C7006761808256 +:0415C8005C87578362 +:0415C900F7F7F793A6 +:0415CA0083C107C210 +:0415CB005CF7142392 +:0415CC0000021737CB +:0415CD000EF72023D2 +:0415CE00676180824F +:0415CF005C8757835B +:0415D0000807E7938E +:0415D1005CF714238C +:0415D20000021737C5 +:0415D3000EF72023CC +:0415D40036378082A4 +:0415D50005B700292D +:0415D6001537019C28 +:0415D70006130002F5 +:0415D80085932E06C3 +:0415D9000513CC0525 +:0415DA00A06F0205F7 +:0415DB00F06FE09F2E +:0415DC006765F73F09 +:0415DD00B287278327 +:0415DE00679DE3859D +:0415DF0097878793D0 +:0415E00002F50533D8 +:0415E100C4061151DA +:0415E200B2A7242365 +:0415E300997FA0EF5D +:0415E400450140A2DB +:0415E50080820131CE +:0415E60080824505B5 +:0415E700C4061151D4 +:0415E8009A7FA0EF57 +:0415E900A78367E588 +:0415EA0040A2B287E2 +:0415EB0000F535339F +:0415EC0080820131C7 +:0415ED00A42367E5E7 +:0415EE008082B2073E +:0415EF001463470139 +:0415F000450100E6CB +:0415F10007B380823A +:0415F200070500E504 +:0415F30000E586B3D6 +:0415F4000007C783A2 +:0415F500FFF6C683B4 +:0415F600FED783E3B6 +:0415F70040D7853321 +:0415F800C7B3808273 +:0415F9008B8D00A531 +:0415FA0000C50733EE +:0415FB00478DE781B0 +:0415FC0002C7E963D6 +:0415FD00716387AAE5 +:0415FE00C6830CE5AF +:0415FF000785000557 +:041600008FA305852A +:04160100EAE3FED743 +:041602008082FEE7FD +:041603000005C68395 +:0416040005850785CC +:04160500FED78FA3DA +:04160600FEE7EAE32E +:041607000111440287 +:0416080076938082D3 +:0416090087AA003577 +:04160A00C683CA9138 +:04160B00078500054A +:04160C008FA305851E +:04160D00F693FED77B +:04160E00B7FD0037ED +:04160F00FFC7769308 +:04161000FE06861339 +:0416110006C7F563B0 +:04161200C022117170 +:04161300A30349C024 +:04161400A3830005A7 +:04161500CBC00085C1 +:04161600A0234D8040 +:04161700A3030067C2 +:04161800CF8000453A +:04161900A2234DC0FB +:04161A00A283006740 +:04161B00A30300C560 +:04161C0085930105AC +:04161D00CFC00245F3 +:04161E00FFC5A4035D +:04161F000077A42389 +:041620000057A623A6 +:041621000067A82393 +:041622000247879361 +:04162300FE87AE236D +:04162400FAC7EEE330 +:04162500F8D7F2E31D +:041626000791419057 +:04162700AE23059158 +:04162800BFCDFEC76D +:041629000791419054 +:04162A00AE23059155 +:04162B00EBE3FEC728 +:04162C00E4E3FED71E +:04162D008082F4E7DC +:04162E0001138082A2 +:04162F00D6A6F7C183 +:04163000DA86C13E57 +:04163100D8A267E1F3 +:04163200DEBADCB68A +:041633004E87A483B7 +:041634000005DC636E +:0416350008B007935F +:04163600557DC09C82 +:04163700544650D6EF +:04163800011354B690 +:041639008082084162 +:04163A002080079372 +:04163B0000F118237F +:04163C00CA2AC22ACA +:04163D00C199478187 +:04163E00FFF587939A +:04163F00CC3EC63E99 +:0416400057FD18B486 +:041641008526842E48 +:041642001923004C1C +:04164300C03600F1BC +:0416440057FD260127 +:0416450000F55563F4 +:0416460008B007934E +:04164700DC5DC09C0A +:041648008023479222 +:04164900BF5D00077A +:04164A00167DC6053E +:04164B0007B3470199 +:04164C0086B300E57C +:04164D00C78300E56A +:04164E00C683000748 +:04164F009663000698 +:04165000046300D758 +:04165100070500C7C2 +:041652008533F3FDEC +:04165300808240D77A +:04165400808245014A +:04165500CA0987AA8D +:04165600C70305853C +:041657000785FFF50F +:041658008FA3167DC9 +:04165900FB65FEE748 +:04165A009363963EC2 +:04165B00808200C7C2 +:04165C008FA30785CC +:04165D00BFD5FE07F0 +:04165E0087AA95AA18 +:04165F0000B78563E8 +:041660000007C703B5 +:041661008533E701E5 +:04166200808240A79B +:04166300B7FD078543 +:04166400A783C5D5BE +:041665001151FFC55B +:04166600C406C222D2 +:041667008413C02602 +:04166800D363FFC584 +:04166900943E0007A4 +:04166A0000EF84AA5F +:04166B00676115F0AE +:04166C0056872783F3 +:04166D00EF81863A49 +:04166E00000422232F +:04166F005687242353 +:0416700040A244123E +:041671004482852604 +:04167200006F0131D3 +:04167300706314107C +:04167400401402F428 +:0416750000D4073363 +:0416760000E7966390 +:0416770043DC439875 +:04167800C0189736C9 +:041679002423C05C0A +:04167A00BFD95686F8 +:04167B0043D887BA0F +:04167C007DE3C3192E +:04167D004394FEE4B0 +:04167E0000D78633D8 +:04167F0000861F635F +:0416800096B24010CE +:041681008633C39455 +:041682001BE300D78F +:041683004310FAC74F +:0416840096B243587F +:04168500C3D8C3946F +:041686007563B7656C +:0416870047B100C4A3 +:04168800BF79C09CCA +:0416890006B3401054 +:04168A00166300C41F +:04168B00431400D72D +:04168C0096B2435877 +:04168D00C058C0146D +:04168E00B759C3C0C5 +:04168F001141808203 +:041690008493C22657 +:0416910098F1003597 +:04169200C422C606A2 +:0416930047B104A1B6 +:0416940004F4FB63FC +:04169500EA6344B10F +:04169600C02A04B4AE +:041697000AD000EF86 +:0416980026836761DD +:0416990047825687A7 +:04169A005687061356 +:04169B00E43184367C +:04169C00041364616E +:04169D00401856C4D7 +:04169E00853EEB0199 +:04169F00C03E458183 +:0416A0007F8000EF58 +:0416A100C0084782B4 +:0416A20085A6853E56 +:0416A30000EFC03E56 +:0416A400577D7EA050 +:0416A5001563478200 +:0416A600473106E5DD +:0416A700853EC39821 +:0416A80006B000EF99 +:0416A900D8E3A029B9 +:0416AA0047B1FA0446 +:0416AB004501C11C18 +:0416AC00442240B2E2 +:0416AD000141449221 +:0416AE0040188082DE +:0416AF004E638F05F2 +:0416B00045AD02073B +:0416B10000E5F663F7 +:0416B200943AC0188E +:0416B300A029C004A6 +:0416B40092634058A5 +:0416B500C2180286CF +:0416B60000EF853E7E +:0416B7000513031004 +:0416B800071300B460 +:0416B90099610044EF +:0416BA0040E507B34D +:0416BB00943ED3F195 +:0416BC00C0188F09BA +:0416BD00C2D8BF755B +:0416BE0086A2B7C584 +:0416BF00BF85404063 +:0416C00000350413DA +:0416C10003E3987136 +:0416C20005B3FC85EB +:0416C300853E40A47C +:0416C400279DC03E60 +:0416C5004782577D84 +:0416C600FAE51AE344 +:0416C700A303BFBDFD +:0416C8001111008577 +:0416C900CC06C8265D +:0416CA00C232CA223C +:0416CB00E36384AEA3 +:0416CC00D6030866D3 +:0416CD00771300C5CA +:0416CE00CB3D4806C2 +:0416CF0048C882AADB +:0416D0004080470D02 +:0416D10002A7073332 +:0416D2004509498CF1 +:0416D30040B407B365 +:0416D4004433C03E9D +:0416D500851302A7D0 +:0416D600953E001627 +:0416D70000A4736395 +:0416D8007613842AD7 +:0416D900C6364006CB +:0416DA008516C63D6E +:0416DB00C41685A20A +:0416DC00ECFFF0EF40 +:0416DD0046B242A22D +:0416DE00CD2D473196 +:0416DF00488C4602EB +:0416E000C42AC6361C +:0416E100C5FFF0EF62 +:0416E20000C4D60367 +:0416E30046B24322A6 +:0416E400B7F67613CC +:0416E500080666137A +:0416E60000C4962383 +:0416E700A82347826B +:0416E800C8C0006412 +:0416E9008C1D933E83 +:0416EA000064A023D5 +:0416EB008336C480FE +:0416EC000066F3633E +:0416ED004592833669 +:0416EE00861A408890 +:0416EF002701C01AF5 +:0416F00043024498D5 +:0416F1000733450175 +:0416F200C4984067F1 +:0416F300933A40984E +:0416F4000064A023CB +:0416F5008516A80DA1 +:0416F600C41686226E +:0416F700832A2F050E +:0416F80046B242A212 +:0416F900488CFD45D7 +:0416FA00C01685167B +:0416FB00DA5FF0EFD3 +:0416FC0047314282AE +:0416FD0000E2A02344 +:0416FE0000C4D7034A +:0416FF006713557D9B +:041700009623040721 +:0417010040E200E4DE +:0417020044C2445247 +:04170300808201716E +:0417040000C5D70342 +:04170500F781011354 +:04170600DEA6C12278 +:0417070001F1079352 +:04170800C22AC30628 +:041709007713C02E64 +:04170A0084B2080796 +:04170B00FF87F4134D +:04170C004998CB1D10 +:04170D000593EB0D48 +:04170E00C6360400D7 +:04170F00E03FF0EFD8 +:0417100046B2478214 +:04171100CB88C38836 +:041712004712E91978 +:04171300557D47B108 +:04171400409AC31C18 +:0417150054F6440A38 +:041716000881011332 +:041717004782808203 +:0417180004000713AF +:041719000713CBD80F +:04171A000CA302001A +:04171B00071300E4CC +:04171C002A23030079 +:04171D000D23000494 +:04171E00CA3600E4E3 +:04171F000613872600 +:0417200046830250AA +:04172100C299000762 +:041722000AC69863F8 +:0417230040970333B5 +:041724000203026357 +:0417250045124582A2 +:041726008626869AF3 +:04172700C61AC83ADC +:04172800E7FFF0EFF8 +:04172900026356FD04 +:04172A0048541CD52E +:04172B0047424332BC +:04172C00C854969A6D +:04172D0000074683E8 +:04172E001A068963AB +:04172F000017049308 +:041730002023577D9E +:041731002623000467 +:04173200C058000497 +:041733000004242367 +:04173400040401A305 +:0417350004042C2359 +:041736000004C58363 +:04173700000187B76F +:0417380085134615BA +:041739002B7D974726 +:04173A0000148713FD +:04173B00E539401438 +:04173C000106F61399 +:04173D000613C609C0 +:04173E0001A3020001 +:04173F00F61304C4D5 +:04174000C609008650 +:0417410002B00613D9 +:0417420004C401A337 +:041743000004C58356 +:0417440002A00613E6 +:0417450004C58163F3 +:04174600872644545A +:04174700452545816E +:04174800460342A969 +:04174900031300077F +:04174A00061300176B +:04174B007963FD06BB +:04174C00C99506C570 +:04174D00A805C454D3 +:04174E00B7A1070533 +:04174F00000187B757 +:04175000974786131E +:0417510040C5063356 +:041752001533450501 +:041753008EC900C576 +:0417540084BAC0147F +:041755004652B751F0 +:0417560000460593B1 +:04175700CA2E421044 +:0417580002064963D9 +:041759004603C4502F +:04175A0006930007EB +:04175B00116302E034 +:04175C00460306D664 +:04175D0006930017D8 +:04175E001B6302A067 +:04175F0046D202D696 +:0417600086130709DC +:041761004294004668 +:04176200C163CA3263 +:04176300C054020666 +:041764000633A08127 +:04176500E69340C007 +:04176600C450002645 +:04176700B7E1C01412 +:04176800025686B3EC +:04176900871A458511 +:04176A00BFA596B2CF +:04176B00B7C556FDAB +:04176C002223070528 +:04176D0045810004AE +:04176E004525468146 +:04176F00460342A942 +:041770000313000758 +:041771000613001744 +:041772007763FD0696 +:04177300F1E106C5D5 +:0417740000074583A2 +:04177500000184B734 +:041776008513460D84 +:04177700C63A97C413 +:04177800473221D102 +:041779008493CD0187 +:04177A008D0597C47E +:04177B0004000693CD +:04177C0000A696B37A +:04177D000705400814 +:04177E00C0088D55BD +:04177F000007458397 +:0417800000018537A8 +:0417810005134619ED +:04178200049398052F +:041783000C2300171C +:04178400294900B43B +:041785000737C52D30 +:041786000713000045 +:04178700E70D000763 +:041788004752401470 +:041789001006F693BD +:04178A000711CE91E4 +:04178B004858CA3AB6 +:04178C00973E47A29B +:04178D00B599C858EA +:04178E00025686B3C6 +:04178F00871A4585EB +:04179000BFB596B299 +:04179100B7DD072198 +:0417920045124602B4 +:04179300000166B734 +:0417940086930858D8 +:0417950085A2B1E692 +:0417960000000097B8 +:04179700000000E767 +:0417980047A2C42A76 +:0417990094E3577D01 +:04179A004782FCE79F +:04179B00D703557D9E +:04179C00771300C7F8 +:04179D001EE304073C +:04179E004848DC07D4 +:04179F004602BBD96A +:0417A00066B74512D1 +:0417A10008580001E3 +:0417A200B1E6869393 +:0417A3002A0585A2EC +:0417A4001101BFC1AF +:0417A5008332CA269B +:0417A600459084B630 +:0417A700CC22499473 +:0417A800842ACE06BB +:0417A900D36387AED1 +:0417AA0086B200C63D +:0417AB0000D3202324 +:0417AC000437C60335 +:0417AD000685C601E6 +:0417AE0000D3202321 +:0417AF00F6934394D6 +:0417B000C6910206D6 +:0417B1000003268388 +:0417B2002023068961 +:0417B300A28300D33A +:0417B4008393000714 +:0417B500F293019713 +:0417B60083630062E7 +:0417B7004390060253 +:0417B8000437C683A9 +:0417B900020676139B +:0417BA0000D036B372 +:0417BB008613EA4166 +:0417BC0085A60437C3 +:0417BD00C41A8522A3 +:0417BE00C03AC23E2D +:0417BF0056FD97023A +:0417C00006D50863DF +:0417C10043224792E6 +:0417C20043944511F6 +:0417C30000032603F6 +:0417C4008A9947CCEB +:0417C5004702430193 +:0417C60000A697637F +:0417C70040C5833363 +:0417C8000003536364 +:0417C90047944301FD +:0417CA0054634B9089 +:0417CB008E9100D625 +:0417CC00428193368D +:0417CD00126307E9B3 +:0417CE004501065378 +:0417CF000285A81DCA +:0417D000260347D4D1 +:0417D1008E910003F2 +:0417D200F8D2DBE38B +:0417D300861E4685A3 +:0417D400852285A63F +:0417D500C63EC81A2A +:0417D600C21EC41655 +:0417D7009702C03A7B +:0417D800470256FD71 +:0417D90042A2439253 +:0417DA00434247B28D +:0417DB00FCD519E33D +:0417DC0040F2557D05 +:0417DD0044D244624C +:0417DE00808261059F +:0417DF0000D785B3F7 +:0417E00003000513EA +:0417E10004A581A337 +:0417E2000457C58360 +:0417E3000016861353 +:0417E4000689963E9E +:0417E50004B601A3A2 +:0417E6004685BF99DC +:0417E70085A6863E0F +:0417E800C61685227A +:0417E900C23EC41A1E +:0417EA009702C03A68 +:0417EB0001E356FDC3 +:0417EC0042B2FCD534 +:0417ED0047924322BA +:0417EE004702028527 +:0417EF001101BFAD78 +:0417F000842ECC2255 +:0417F1004603C232B7 +:0417F200CA2601847E +:0417F30084B6CE06E4 +:0417F4000693C02A6E +:0417F500859306E0F2 +:0417F600086304354B +:0417F700E4631ED6B3 +:0417F800069306C688 +:0417F900046306304F +:0417FA00ED630AD6BB +:0417FB00046300C6BD +:0417FC00069320062A +:0417FD000C630580F4 +:0417FE00031316D6E5 +:0417FF00012304249A +:04180000A87904C4FB +:041801000640069304 +:0418020000D60663A3 +:0418030006900693B2 +:04180400FED615E314 +:041805002303401465 +:04180600F6130007CE +:0418070005130806B7 +:04180800C24900438E +:04180900000326832F +:04180A008637C30852 +:04180B00D86300019D +:04180C0007130006B8 +:04180D0006B302D04C +:04180E0001A340D022 +:04180F00061304E4D4 +:041810004729988646 +:041811000693A07D1D +:041812000A6307302E +:04181300EF631AD68F +:04181400069300C671 +:04181500006306F076 +:04181600069306D659 +:041817001EE30700C5 +:041818004014F8D6AA +:041819000206E6934A +:04181A00A809C01445 +:04181B0007500693D9 +:04181C0004D6036388 +:04181D0007800693A7 +:04181E00F8D611E304 +:04181F0007800693A5 +:041820000001863706 +:0418210004D402A346 +:0418220099C606134A +:041823004314A0FDCD +:041824000424031382 +:0418250000468613E0 +:041826004298C31011 +:0418270004E40123B1 +:04182800AABD470509 +:041829000406F613A8 +:04182A00000326830E +:04182B00DE35C308DB +:04182C0086C106C2A9 +:04182D004008BF9D13 +:04182E00729343145A +:04182F008313080512 +:041830008663004685 +:04183100202300026E +:041832004294006775 +:041833007513A80180 +:041834002023040564 +:04183500D9750067FA +:041836000006D6834F +:0418370006F005139F +:0418380000018737ED +:041839000CA60D6389 +:04183A009887061372 +:04183B0001A3472995 +:04183C004048040418 +:04183D004863C40830 +:04183E00230300057B +:04183F00731300041B +:041840002023FFB3AF +:04184100E2990064C4 +:04184200CD11832E13 +:04184300F533832EC8 +:04184400137D02E628 +:041845004503953290 +:041846000023000576 +:04184700D53300A3F2 +:04184800F26302E65F +:0418490046A10AE6C4 +:04184A0000D71E6342 +:04184B008B054018B1 +:04184C004054CB1128 +:04184D00476348188D +:04184E00071300D7A5 +:04184F000FA30300E0 +:04185000137DFEE323 +:04185100406585B3B6 +:041852004692C80CE6 +:04185300872645029D +:0418540085A2081051 +:04185500F0EFC41AD2 +:04185600577DD3DF08 +:0418570015634322B0 +:04185800557D0CE5C9 +:04185900446240F2B3 +:04185A00610544D20E +:04185B0002A38082E2 +:04185C00863704C403 +:04185D00061300016D +:04185E004008988620 +:04185F000007230358 +:041860000805729372 +:0418610000032683D7 +:04186200816303118A +:04186300202302023A +:04186400771300678F +:04186500C7010015A2 +:0418660002056513FF +:041867004741C0082D +:041868004008F6B985 +:04186900FDF5751301 +:04186A00B791C0086A +:04186B00040572936B +:04186C0000672023CE +:04186D00FC028FE307 +:04186E0082C106C26B +:04186F000613BFD9C4 +:0418700047219887ED +:0418710086AAB72D5F +:041872004010B799D2 +:04187300484843148A +:04187400080672935D +:041875000046831393 +:041876000002876382 +:0418770000672023C3 +:04187800C3084298C7 +:041879002023A80977 +:04187A00761300677A +:04187B004298040685 +:04187C001023DA6DEE +:04187D00282300A775 +:04187E00832E0004B1 +:04187F004314B7B99E +:041880008613458105 +:04188100C31000464A +:041882000006A303B6 +:04188300851A405032 +:041884002849C41A11 +:04188500C501432234 +:041886004065053381 +:041887004058C048BD +:0418880001A3C818D8 +:04188900B715040487 +:04188A004592481427 +:04188B00861A450272 +:04188C00577D94826E +:04188D00F2E507E396 +:04188E008B0940186A +:04188F004742EB15CC +:0418900051E3444894 +:04189100853AF2E5BD +:041892004592BF318B +:04189300468545023F +:04189400C63A861AB0 +:041895009482C41A5B +:0418960004E356FD14 +:041897004732F0D50F +:0418980007054322DB +:04189900464244542B +:04189A0040E38E9108 +:04189B00BFC1FED7F4 +:04189C0003134701EA +:04189D00B7FD0194FE +:04189E00C222115100 +:04189F006465C02696 +:0418A000852E84AA63 +:0418A1002623C40630 +:0418A20020FDB2046F +:0418A300166357FD74 +:0418A400278300F5A1 +:0418A500C391B2C475 +:0418A60040A2C09C00 +:0418A7004482441221 +:0418A8008082013108 +:0418A9000FF5F593AF +:0418AA001463962A03 +:0418AB00450100C52E +:0418AC00478380826C +:0418AD008DE30005C2 +:0418AE000505FEB777 +:0418AF00F363B7FD2B +:0418B00086B304A552 +:0418B1007F6300C58C +:0418B200459302D583 +:0418B3004781FFF674 +:0418B400936317FD26 +:0418B500808200F538 +:0418B60000F687337E +:0418B70000074303E0 +:0418B80000C78733AB +:0418B9000023972A47 +:0418BA00B7DD00672F +:0418BB0000F587337A +:0418BC000007468358 +:0418BD0000F50733F8 +:0418BE000023078577 +:0418BF0017E300D754 +:0418C0008082FEF62E +:0418C100BFE54781B7 +:0418C200808280821E +:0418C30085B2E58184 +:0418C400F2EFF06FE0 +:0418C500C80611310F +:0418C600C426C6224C +:0418C700F0EFEA1143 +:0418C8004781E72F3E +:0418C900443240C2A3 +:0418CA00853E44A271 +:0418CB0080820151C5 +:0418CC00C032842E74 +:0418CD00203D84AA8C +:0418CE0087A24602A5 +:0418CF00FEC574E3FB +:0418D000852685B232 +:0418D100F0EFC23240 +:0418D20087AAEF8F63 +:0418D3004612DD617B +:0418D400C02A85A2FF +:0418D500C8EFF0EF79 +:0418D600852685A23C +:0418D700E34FF0EFFC +:0418D800B7C94782C3 +:0418D900FFC5A7831D +:0418DA00FFC78513AC +:0418DB000007D563CA +:0418DC00419C95AAEC +:0418DD008082953E32 +:0418DE00C4221141CE +:0418DF002783646196 +:0418E000C6065704DD +:0418E100832AC2266E +:0418E2005704041390 +:0418E3004501EB854B +:0418E40046014581F3 +:0418E50047014681F0 +:0418E6000D600293FC +:0418E700000000738A +:0418E8005C6384AA0F +:0418E900288100054D +:0418EA00409004B373 +:0418EB00557DC10462 +:0418EC00442240B2A0 +:0418ED0001414492DF +:0418EE00C00880822C +:0418EF0045814008E7 +:0418F000951A4601FE +:0418F10047014681E4 +:0418F2000293478195 +:0418F30000730D6011 +:0418F40084AA0000C2 +:0418F500000559632E +:0418F6002831C01ABB +:0418F70004B34302F1 +:0418F800C104409057 +:0418F900401C54FD3E +:0418FA00933E557D47 +:0418FB00FC6492E314 +:0418FC00853EC00461 +:0418FD0067E1BF756B +:0418FE004E87A50369 +:0418FF0000008082E3 +:0419000000000000E3 +:0419010000000000E2 +:0419020000000000E1 +:0419030000000000E0 +:04190400FFFFFFFFE3 +:04190500FFFFFFFFE2 +:041906000000FFFFDF +:041907000001052CAA +:0419080000010532A3 +:04190900000105389C +:04190A000001053E95 +:04190B00000104E0F3 +:04190C0000010500D1 +:04190D0000010500D0 +:04190E0000010500CF +:04190F0000010500CE +:0419100000010500CD +:0419110000010500CC +:0419120000010504C7 +:041913000001050AC0 +:04191400000128D2D4 +:04191500000129CED6 +:04191600000129CED5 +:04191700000129E2C0 +:04191800000129E2BF +:04191900000129EEB2 +:04191A00000129F2AD +:04191B0000012A009D +:04191C0000012A009C +:04191D0000012A1883 +:04191E0000012BE0B9 +:04191F0000012BE6B2 +:0419200000012BE6B1 +:0419210000012BE0B6 +:0419220000012BEAAB +:0419230000012A187D +:0419240000012BE0B3 +:0419250000012BE6AC +:0419260000000001BC +:0419270002050300B2 +:0419280000000002B9 +:04192900000165B4A0 +:04192A00000165C48F +:04192B00000165D082 +:04192C00000165DC75 +:04192D00000165E868 +:04192E00000165F45B +:04192F00000166004D +:041930000001660C40 +:041931000001661833 +:041932000001662426 +:041933000001663019 +:0419340074204B4F81 +:041935006E69206F48 +:0419360000007469D0 +:04193700736C614626 +:041938007274206540 +:04193900656767690E +:04193A000000007237 +:04193B00656D695419 +:04193C000074756F4F +:04193D00252E7525B9 +:04193E006D75322E63 +:04193F000000007331 +:04194000252E7525B6 +:041941006D75322E60 +:0419420075252F7365 +:04194300322E252EED +:0419440000736D754A +:041945004353534F66 +:041946007766202080 +:041947007525202EB4 +:04194800322E252EE8 +:0419490000006175C4 +:04194A0074696E4905 +:04194B00727265202F +:04194C002020726F76 +:04194D00000064250D +:04194E002020202015 +:04194F0053204F4E84 +:0419500000434E59A9 +:0419510034313032CB +:041952003130322DD1 +:041953006D202039AA +:0419540073717261D8 +:04195500000000008E +:041956000DC0600060 +:0419570000783C11C7 +:04195800070180E023 +:041959000000180E64 +:04195A000000000089 +:04195B000D8060009B +:04195C00003018043B +:04195D0002014060E3 +:04195E00000014066B +:04195F000000000084 +:041960000D80600096 +:041961000040201012 +:041962000804022053 +:04196300000040221E +:04196400000000007F +:0419650025207325A1 +:041966000063257580 +:04196700252E75258F +:041968006B75322E3B +:0419690025207A4873 +:04196A002E252E7583 +:04196B007A4875320F +:04196C000000000077 +:04196D0074736554D6 +:04196E007461702010 +:04196F006E726574BB +:041970000000000073 +:041971003A31564170 +:041972004247522076 +:04197300000000531D +:041974003A3156416D +:041975007347522042 +:04197600000000422B +:041977003A3156416A +:041978006250592040 +:0419790000007250A8 +:04197A003A32564166 +:04197B00625059203D +:04197C0000007250A5 +:04197D003A32564163 +:04197E007347522039 +:04197F000000004222 +:041980003A3356415F +:041981004247522067 +:0419820000005648C3 +:041983003A3356415C +:041984004247522064 +:04198500000000530B +:041986003A33564159 +:041987007347522030 +:041988000000004219 +:041989003A33564156 +:04198A00625059202E +:04198B000000725096 +:04198C007473614CC3 +:04198D0065737520E9 +:04198E0000000064F1 +:04198F000000000054 +:041990000000000152 +:041991000000000052 +:041992000000000051 +:041993000000000050 +:04199400000001004E +:04199500010101004B +:04199600000001004C +:04199700000000004C +:04199800010000004A +:04199900060B000039 +:04199A000100004404 +:04199B000000000048 +:04199C000001000046 +:04199D008080000C3A +:04199E001A1A1A8077 +:04199F0000000A0832 +:0419A00000012EB85C +:0419A10000012F4EC4 +:0419A20000012F56BB +:0419A30000012F46CA +:0419A40000012F52BD +:0419A50000012F5AB4 +:0419A60000012F4AC3 +:0419A70000012FA06C +:0419A80000012F5EAD +:0419A90000012F62A8 +:0419AA0000012F66A3 +:0419AB0000012FA068 +:0419AC0000012FA067 +:0419AD0000012FA066 +:0419AE0000012FA065 +:0419AF0000012FA064 +:0419B000000133BA45 +:0419B10000012FAA58 +:0419B200000130966A +:0419B300000130A659 +:0419B400000130C03E +:0419B500000130FEFF +:0419B600000130E21A +:0419B70000013114E6 +:0419B80000013220D8 +:0419B9000001327285 +:0419BA000001329462 +:0419BB00656E6F44A2 +:0419BC000000000027 +:0419BD006C696146AA +:0419BE00000064655C +:0419BF00666F72508D +:0419C0002075252E3B +:0419C1000073392551 +:0419C200756C3425E7 +:0419C3006325632510 +:0419C4006C2520204E +:0419C50000000075A9 +:0419C600656E694C95 +:0419C700746C756D5A +:0419C800646F6D20BB +:0419C90000003A657B +:0419CA00736572705F +:0419CB002D31207327 +:0419CC0000000035E2 +:0419CD0020787525E4 +:0419CE0075736E754A +:0419CF00726F707053 +:0419D00000646574D6 +:0419D100666F72507B +:0419D20020656C69B7 +:0419D30064616F6C70 +:0419D4000000003AD5 +:0419D5007365727054 +:0419D60075252073E0 +:0419D7000075252D45 :0419D800000168247E -:0419D9000001682C75 -:0419DA00000168346C -:0419DB000001683C63 -:0419DC00000168445A -:0419DD000001685449 -:0419DE000001686438 -:0419DF000001687427 -:0419E0000001688416 -:0419E1000001689405 -:0419E200000168A4F4 -:0419E300000168ACEB -:0419E400000168B4E2 -:0419E5003EA93E29B0 -:0419E6003EE93E692F -:0419E7003E993E19CE -:0419E8003ED93E594D -:0419E9003EC93E397C -:0419EA003E1D3E4D13 -:0419EB003E2D3EED62 -:0419EC003EAD3ECD01 -:0419ED003E653E6DA8 -:0419EE001C483E0152 -:0419EF001C501C1854 -:0419F0001CC81CD023 -:0419F1005ED85E5806 -:0419F20000003EB9FA -:0419F3007365725056 -:0419F400000000737C -:0419F500666E6F4368 -:0419F600006D7269A5 -:0419F7006D73694D56 -:0419F800686374614B -:0419F9006572202CC7 -:0419FA00007972748A -:0419FB0000000031B7 -:0419FC0000000032B5 -:0419FD0000000033B3 -:0419FE0000000034B1 -:0419FF0000000036AE -:041A000000000037AB -:041A010000000038A9 -:041A020000000039A7 -:041A0300554E454DAA -:041A040000000000DE -:041A050000004B4F43 -:041A06004B434142CB -:041A070000000000DB -:041A08000000505535 -:041A09004E574F44A1 -:041A0A0000000000D8 -:041A0B005446454CAC -:041A0C0000000000D6 -:041A0D0048474952AB -:041A0E000000005480 -:041A0F004F464E49A7 -:041A100000000000D2 -:041A11005F44434C9F -:041A12004B434142BF -:041A13004847494CAB -:041A1400000000547A -:041A15004E414353A8 -:041A1600454E494CA4 -:041A1700444F4D5F8C -:041A18000000004585 -:041A19004E414353A4 -:041A1A00454E494CA0 -:041A1B005059545F6B -:041A1C000000004581 -:041A1D004E414353A0 -:041A1E00454E494C9C -:041A1F00544E495F79 -:041A20000000002B97 -:041A21004E4143539C -:041A2200454E494C98 -:041A2300544E495F75 -:041A24000000002D91 -:041A2500454E494C95 -:041A2600544C554D7A -:041A2700444F4D5F7C -:041A28000000004575 -:041A2900534148508D -:041A2A0000002B4548 -:041A2B00534148508B -:041A2C0000002D4544 -:041A2D00464F52507E -:041A2E005F454C497B -:041A2F004B544F487D -:041A30000000594514 -:041A31006F72724519 -:041A3200000000723E -:041A330053206F4E7F -:041A34006163204486 -:041A35006420647253 -:041A3600002E7465A5 -:041A370061766E491D -:041A38002064696C51 -:041A39006461656817 -:041A3A0000007265D1 -:041A3B0061766E4919 -:041A3C002064696C4D -:041A3D002072646847 -:041A3E0000435243CC -:041A3F0061766E4915 -:041A40002064696C49 -:041A41006174616407 -:041A420043524320A8 -:041A4300000000009F -:041A44006164705514 -:041A45006320657441 -:041A460065636E6105 -:041A470064656C6CFA -:041A4800000000009A -:041A490073616C4613 -:041A4A006572206839 -:041A4B00652064614D -:041A4C0000007272B2 -:041A4D0073616C460F -:041A4E007265206835 -:041A4F00206573613A -:041A50000072726549 -:041A510073616C460B -:041A5200727720681F -:041A5300206574692D -:041A54000072726545 -:041A550073616C4607 -:041A56006576206829 -:041A5700206669722A -:041A58006C696166EE -:041A59000000000089 -:041A5A0061766E49FA -:041A5B002064696C2E -:041A5C0067616D69E8 -:041A5D000000006520 -:041A5E004353534F4C -:041A5F000000000083 -:041A6000696C6156F6 -:041A610069746164DF -:041A62006420676E27 -:041A63000061746149 -:041A64006220752562 -:041A650073657479B8 -:041A6600000000007C -:041A6700252E75258E -:041A68002575322E80 -:041A6900007325736E -:041A6A0061647055EE -:041A6B00676E6974C5 -:041A6C0000574620B9 -:041A6D0061656C70D3 -:041A6E007720657305 -:041A6F002E74696107 -:041A700000002E2E16 -:041A710069726556DB -:041A72006E697966BA -:041A73006C66206716 -:041A74000068736132 -:041A750061656C70CB -:041A76007220657302 -:041A770061747365BE -:041A78000000747284 -:041A790072746552CC -:041A7A00676E6979B1 -:041A7B0064707520FE -:041A7C00006574612C -:041A7D0061647055DB -:041A7E00203F65742C -:041A7F002C593D3170 -:041A80004E3D322085 -:041A81000000000061 -:041A82006D726946D2 -:041A830065726177B0 -:041A840064707520F5 -:041A850064657461BF -:041A8600000000005C -:041A870000013C38E6 -:041A880000013C0815 -:041A890000013BE23B -:041A8A0000013BFA22 -:041A8B0000013CEA30 -:041A8C0000013CEA2F -:041A8D0000013DAC6B -:041A8E0000013DD244 -:041A8F0000013DDE37 -:041A900000013E1AF9 -:041A910000013DEE25 -:041A920000013CFE15 -:041A930000013CFE14 -:041A940000013D42CE -:041A950000013D7C93 -:041A960000013D7C92 -:041A9700642064253E -:041A98000000004208 -:041A9900252E75255C -:041A9A002075322E53 -:041A9B00000073755F -:041A9C006C20752520 -:041A9D0073656E6996 -:041A9E000000000044 -:041A9F006C206F4EFA -:041AA000006B6E6900 -:041AA1000001855C5F -:041AA200000000023E -:041AA30000013AE222 -:041AA400000171A428 -:041AA500000171B01B -:041AA600000171B812 -:041AA7007020752511 -:041AA8006C65786988 -:041AA90000000073C6 -:041AAA000001855E54 -:041AAB000000000E29 -:041AAC0000013B26D4 -:041AAD00706D653CB7 -:041AAE00003E797409 -:041AAF00203A75253F -:041AB000000073259A -:041AB100252575254D -:041AB2000000000030 -:041AB30065646956A7 -:041AB4006E69206FC8 -:041AB5006F727020BC -:041AB6003E2020634B -:041AB700000000002B -:041AB800706D615399 -:041AB900676E696C7F -:041ABA0074706F20B5 -:041ABB003E20202E7B -:041ABC000000000026 -:041ABD00636E795388 -:041ABE0074706F20B1 -:041ABF002020202E95 -:041AC0003E20202084 -:041AC1000000000021 -:041AC2007074754F78 -:041AC3006F207475A7 -:041AC400202E7470EC -:041AC5003E2020207F -:041AC600000000001C -:041AC7006E61635396 -:041AC800656E696C72 -:041AC90074706F20A6 -:041ACA003E20202E6C -:041ACB000000000017 -:041ACC0074736F5070 -:041ACD006F72702D97 -:041ACE0020202E6343 -:041ACF003E20202075 -:041AD0000000000012 -:041AD100706D6F4382 -:041AD2006269746170 -:041AD30074696C695D -:041AD4003E20207917 -:041AD500000000000D -:041AD6006964754189 -:041AD700706F206F9D -:041AD8006E6F697450 -:041AD9003E20207318 -:041ADA000000000008 -:041ADB007474655367 -:041ADC0073676E6955 -:041ADD0074706F2092 -:041ADE003E20202066 -:041ADF000000000003 -:041AE000616F4C3CAA -:041AE100727020649B -:041AE2006C69666F56 -:041AE300003E20653C -:041AE4007661533C98 -:041AE5007270206596 -:041AE6006C69666F52 -:041AE700003E206538 -:041AE8007365523C94 -:041AE900732074658D -:041AEA006974746542 -:041AEB003E73676E71 -:041AEC0000000000F6 -:041AED006B6E694C67 -:041AEE006F72702083 -:041AEF00693E2D66B9 -:041AF0007475706E2B -:041AF10000000000F1 -:041AF2006B6E694C62 -:041AF300706E692088 -:041AF4003E2D74759A -:041AF500666F727036 -:041AF60000000000EC -:041AF70074696E4957 -:041AF800206C616994 -:041AF90075706E692D -:041AFA000000007474 -:041AFB006F7475414E -:041AFC006574656444 -:041AFD006920746385 +:0419D9000001682879 +:0419DA000001682C74 +:0419DB00000168306F +:0419DC00000167306F +:0419DD000001683469 +:0419DE000001683864 +:0419DF000001683C5F +:0419E000000168405A +:0419E100000173503E +:0419E2000001684454 +:0419E3000001684C4B +:0419E4000001685046 +:0419E500000168583D +:0419E6000001685C38 +:0419E700000168642F +:0419E8000001686C26 +:0419E900000168741D +:0419EA000001687C14 +:0419EB000001688C03 +:0419EC000001689CF2 +:0419ED00000168ACE1 +:0419EE00000168BCD0 +:0419EF00000168CCBF +:0419F000000168DCAE +:0419F100000168E4A5 +:0419F200000168EC9C +:0419F3003EA93E29A2 +:0419F4003EE93E6921 +:0419F5003E993E19C0 +:0419F6003ED93E593F +:0419F7003EC93E396E +:0419F8003E1D3E4D05 +:0419F9003E2D3EED54 +:0419FA003EAD3ECDF3 +:0419FB003E653E6D9A +:0419FC001C483E0144 +:0419FD001C501C1846 +:0419FE001CC81CD015 +:0419FF005ED85E58F8 +:041A000000003EB9EB +:041A01007365725047 +:041A0200000000736D +:041A0300666E6F4359 +:041A0400006D726996 +:041A05006D73694D47 +:041A0600686374613C +:041A07006572202CB8 +:041A0800007972747B +:041A090000000031A8 +:041A0A0000000032A6 +:041A0B0000000033A4 +:041A0C0000000034A2 +:041A0D00000000369F +:041A0E00000000379D +:041A0F00000000389B +:041A10000000003999 +:041A1100554E454D9C +:041A120000000000D0 +:041A130000004B4F35 +:041A14004B434142BD +:041A150000000000CD +:041A16000000505527 +:041A17004E574F4493 +:041A180000000000CA +:041A19005446454C9E +:041A1A0000000000C8 +:041A1B00484749529D +:041A1C000000005472 +:041A1D004F464E4999 +:041A1E0000000000C4 +:041A1F005F44434C91 +:041A20004B434142B1 +:041A21004847494C9D +:041A2200000000546C +:041A23004E4143539A +:041A2400454E494C96 +:041A2500444F4D5F7E +:041A26000000004577 +:041A27004E41435396 +:041A2800454E494C92 +:041A29005059545F5D +:041A2A000000004573 +:041A2B004E41435392 +:041A2C00454E494C8E +:041A2D00544E495F6B +:041A2E000000002B89 +:041A2F004E4143538E +:041A3000454E494C8A +:041A3100544E495F67 +:041A32000000002D83 +:041A3300454E494C87 +:041A3400544C554D6C +:041A3500444F4D5F6E +:041A36000000004567 +:041A3700534148507F +:041A380000002B453A +:041A3900534148507D +:041A3A0000002D4536 +:041A3B00464F525070 +:041A3C005F454C496D +:041A3D004B544F486F +:041A3E000000594506 +:041A3F006F7272450B +:041A40000000007230 +:041A410053206F4E71 +:041A42006163204478 +:041A43006420647245 +:041A4400002E746597 +:041A450061766E490F +:041A46002064696C43 +:041A47006461656809 +:041A480000007265C3 +:041A490061766E490B +:041A4A002064696C3F +:041A4B002072646839 +:041A4C0000435243BE +:041A4D0061766E4907 +:041A4E002064696C3B +:041A4F0061746164F9 +:041A5000435243209A +:041A51000000000091 +:041A52006164705506 +:041A53006320657433 +:041A540065636E61F7 +:041A550064656C6CEC +:041A5600000000008C +:041A570073616C4605 +:041A5800657220682B +:041A5900652064613F +:041A5A0000007272A4 +:041A5B0073616C4601 +:041A5C007265206827 +:041A5D00206573612C +:041A5E00007272653B +:041A5F0073616C46FD +:041A60007277206811 +:041A6100206574691F +:041A62000072726537 +:041A630073616C46F9 +:041A6400657620681B +:041A6500206669721C +:041A66006C696166E0 +:041A6700000000007B +:041A680061766E49EC +:041A69002064696C20 +:041A6A0067616D69DA +:041A6B000000006512 +:041A6C004353534F3E +:041A6D000000000075 +:041A6E00696C6156E8 +:041A6F0069746164D1 +:041A70006420676E19 +:041A7100006174613B +:041A72006220752554 +:041A730073657479AA +:041A7400000000006E +:041A7500252E752580 +:041A76002575322E72 +:041A77000073257360 +:041A780061647055E0 +:041A7900676E6974B7 +:041A7A0000574620AB +:041A7B0061656C70C5 +:041A7C0077206573F7 +:041A7D002E746961F9 +:041A7E0000002E2E08 +:041A7F0069726556CD +:041A80006E697966AC +:041A81006C66206708 +:041A82000068736124 +:041A830061656C70BD +:041A840072206573F4 +:041A850061747365B0 +:041A86000000747276 +:041A870072746552BE +:041A8800676E6979A3 +:041A890064707520F0 +:041A8A00006574611E +:041A8B0061647055CD +:041A8C00203F65741E +:041A8D002C593D3162 +:041A8E004E3D322077 +:041A8F000000000053 +:041A90006D726946C4 +:041A910065726177A2 +:041A920064707520E7 +:041A930064657461B1 +:041A9400000000004E +:041A950000013C5AB6 +:041A960000013C2AE5 +:041A970000013C040A +:041A980000013C1CF1 +:041A990000013D0CFF +:041A9A0000013D0CFE +:041A9B0000013DCE3B +:041A9C0000013DF414 +:041A9D0000013E0006 +:041A9E0000013E3CC9 +:041A9F0000013E10F4 +:041AA00000013D20E4 +:041AA10000013D20E3 +:041AA20000013D649E +:041AA30000013D9E63 +:041AA40000013D9E62 +:041AA5006420642530 +:041AA60000000042FA +:041AA700252E75254E +:041AA8002075322E45 +:041AA9000000737551 +:041AAA006C20752512 +:041AAB0073656E6988 +:041AAC000000000036 +:041AAD006C206F4EEC +:041AAE00006B6E69F2 +:041AAF000001859419 +:041AB0000000000230 +:041AB10000013B04F1 +:041AB200000171DCE2 +:041AB300000171E8D5 +:041AB400000171F0CC +:041AB5007020752503 +:041AB6006C6578697A +:041AB70000000073B8 +:041AB800000185960E +:041AB9000000000E1B +:041ABA0000013B48A4 +:041ABB00706D653CA9 +:041ABC00003E7974FB +:041ABD00203A752531 +:041ABE00000073258C +:041ABF00252575253F +:041AC0000000000022 +:041AC1006564695699 +:041AC2006E69206FBA +:041AC3006F727020AE +:041AC4003E2020633D +:041AC500000000001D +:041AC600706D61538B +:041AC700676E696C71 +:041AC80074706F20A7 +:041AC9003E20202E6D +:041ACA000000000018 +:041ACB00636E79537A +:041ACC0074706F20A3 +:041ACD002020202E87 +:041ACE003E20202076 +:041ACF000000000013 +:041AD0007074754F6A +:041AD1006F20747599 +:041AD200202E7470DE +:041AD3003E20202071 +:041AD400000000000E +:041AD5006E61635388 +:041AD600656E696C64 +:041AD70074706F2098 +:041AD8003E20202E5E +:041AD9000000000009 +:041ADA0074736F5062 +:041ADB006F72702D89 +:041ADC0020202E6335 +:041ADD003E20202067 +:041ADE000000000004 +:041ADF00706D6F4374 +:041AE0006269746162 +:041AE10074696C694F +:041AE2003E20207909 +:041AE30000000000FF +:041AE400696475417B +:041AE500706F206F8F +:041AE6006E6F697442 +:041AE7003E2020730A +:041AE80000000000FA +:041AE9007474655359 +:041AEA0073676E6947 +:041AEB0074706F2084 +:041AEC003E20202058 +:041AED0000000000F5 +:041AEE00616F4C3C9C +:041AEF00727020648D +:041AF0006C69666F48 +:041AF100003E20652E +:041AF2007661533C8A +:041AF3007270206588 +:041AF4006C69666F44 +:041AF500003E20652A +:041AF6007365523C86 +:041AF700732074657F +:041AF8006974746534 +:041AF9003E73676E63 +:041AFA0000000000E8 +:041AFB006B6E694C59 +:041AFC006F72702075 +:041AFD00693E2D66AB :041AFE007475706E1D :041AFF0000000000E3 -:041B00006F74754148 -:041B010031564120F8 -:041B0200472F5920F0 -:041B0300000000736B -:041B04006F74754144 -:041B050032564120F3 -:041B0600472F5920EC -:041B07000000007367 -:041B08006F74754140 -:041B090033564120EE -:041B0A00472F5920E8 -:041B0B000000007363 -:041B0C002044434CE2 -:041B0D0074204C42B2 -:041B0E006F656D6929 -:041B0F0000007475E9 -:041B10002044534FCB -:041B110062616E653A -:041B12000000656CFE -:041B13002044534FC8 -:041B14007461747311 -:041B15006420737560 -:041B16002E70736951 -:041B170000000000CA -:041B1800706D493C67 -:041B19002074726F53 -:041B1A007474657307 -:041B1B003E20202E1A -:041B1C0000000000C5 -:041B1D002E77463C9D -:041B1E00647075205A -:041B1F002065746168 -:041B20003E20202023 -:041B210000000000C0 -:041B22006E776F4427 -:041B23006D61732D50 -:041B24006E696C700A -:041B25000000006755 -:041B26007061775320 -:041B270066656C2063 -:041B280069722F743B -:041B29000074686775 -:041B2A002D65725063 -:041B2B0020434441CE -:041B2C006E69616716 -:041B2D0000000000B4 -:041B2E006C6C754620 -:041B2F0020585420C6 -:041B300075746573F0 -:041B31000000007040 -:041B320020335641C5 -:041B330065746E69FE -:041B340063616C720B -:041B35007869666500 -:041B360000000000AB -:041B370020335641C0 -:041B3800206573753C -:041B390020315641C0 -:041B3A0000424752CC -:041B3B006166654436 -:041B3C0020746C7530 -:041B3D00494D444882 -:041B3E0043495620A1 -:041B3F0000000000A2 -:041B4000616E615021 -:041B4100696E6F73E7 -:041B42006168206353 -:041B430000006B63D0 -:041B440069726F480B -:041B4500746E6F7AD1 -:041B46006D206C6141 -:041B4700006B73615B -:041B480074726556F8 -:041B49006C616369FF -:041B4A0073616D2036 -:041B4B000000006B2B -:041B4C006B73614D09 -:041B4D006C6F632036 -:041B4E000000726FB2 -:041B4F006B73614D06 -:041B50006972622034 -:041B51006E746867DF -:041B52000073736544 -:041B530065766552FC -:041B54002065737223 -:041B55000046504CAA -:041B56005949443C69 -:041B570074616C2029 -:041B58006574202E62 -:041B5900003E747363 -:041B5A006E61635302 -:041B5B00656E696CDE -:041B5C000000007312 -:041B5D00202E6C5377 -:041B5E0065727473C5 -:041B5F006874676ED1 -:041B60000000000081 -:041B6100202E6C5373 -:041B620072627968CA -:041B6300732064691E -:041B6400002E727469 -:041B6500202E6C536F -:041B66006874656DCD -:041B67000000646FA7 -:041B6800202E6C536C -:041B690065746C61D2 -:041B6A0074616E72C2 -:041B6B0000676E6938 -:041B6C00202E6C5368 -:041B6D0067696C61D7 -:041B6E006E656D6EC5 -:041B6F0000000074FE -:041B7000202E6C5364 -:041B710020746C610F -:041B720065746E69BF -:041B73006C617672B9 -:041B7400000000006D -:041B7500202E6C535F -:041B760065707974A9 -:041B7700000000006A -:041B78004320203CAA -:041B79006F7473759D -:041B7A006C53206D1B -:041B7B003E20202EBA -:041B7C000000000065 -:041B7D00703034325E -:041B7E003838322F92 -:041B7F0072702070F0 -:041B80000000636F8F -:041B81007034383351 -:041B82003030342F9C -:041B830072702070EC -:041B84000000636F8B -:041B85006930383457 -:041B86003637352F8A -:041B870072702069EF -:041B88000000636F87 -:041B8900703038344C -:041B8A003637352F86 -:041B8B0072702070E4 -:041B8C000000636F83 -:041B8D00693036394C -:041B8E003830312F8B -:041B8F007020693029 -:041B900000636F720D -:041B9100656E694CC8 -:041B92006D20783218 -:041B93000065646F16 -:041B9400656E694CC5 -:041B95006D20783314 -:041B96000065646F13 -:041B9700656E694CC2 -:041B98006D20783410 -:041B99000065646F10 -:041B9A00656E694CBF -:041B9B006D2078350C -:041B9C000065646F0D -:041B9D00656E694CBC -:041B9E006620783510 -:041B9F00616D726F93 -:041BA00000000074CD -:041BA100783635322B -:041BA2002030343289 -:041BA3006570736195 -:041BA4000000746366 -:041BA5006D20585403 -:041BA6000065646F03 -:041BA700494D444818 -:041BA8004354492039 -:041BA9000000000038 -:041BAA006C616E41BB -:041BAB007320676FCD -:041BAC0020636E79CB -:041BAD000046504C52 -:041BAE006C616E41B7 -:041BAF007320676FC9 -:041BB00020636E79C7 -:041BB10000687456FE -:041BB2006E7973488D -:041BB3006F742063C8 -:041BB4006172656C89 -:041BB5000065636EF6 -:041BB6006E7973567B -:041BB70068742063CB -:041BB8006873657277 -:041BB90000646C6FE9 -:041BBA004C502D4816 -:041BBB007250204CF8 -:041BBC006F432D65E1 -:041BBD0000747361DC -:041BBE004C502D4812 -:041BBF006F50204CF7 -:041BC000432D7473CA -:041BC1007473616F69 -:041BC200000000001F -:041BC3007030383412 -:041BC400206E692006 -:041BC500706D61736B -:041BC6000072656CD8 -:041BC7007030303416 -:041BC800206E692002 -:041BC900706D617367 -:041BCA000072656CD4 -:041BCB006F6C6C418E -:041BCC0056542077D4 -:041BCD00504820500C -:041BCE0078324C4CD1 -:041BCF000000000012 -:041BD0006F6C6C4189 -:041BD1007075207794 -:041BD200706D61735E -:041BD3007832656C93 -:041BD400000000000D -:041BD5007664413CB5 -:041BD6006974202EE0 -:041BD700676E696D5F -:041BD8003E2020206B -:041BD9000000000008 -:041BDA00656469567F -:041BDB00504C206FDB -:041BDC0000000046BF -:041BDD0050625059A9 -:041BDE006E6920729A -:041BDF006C6F4320C4 -:041BE00000617053DD -:041BE10072502F52BD -:041BE20066666F20A4 -:041BE30000746573B2 -:041BE40020592F470E -:041BE5007366666F4E -:041BE6000000746522 -:041BE70062502F42D7 -:041BE80066666F209E -:041BE90000746573AC -:041BEA0072502F52B4 -:041BEB0069616720A5 -:041BEC000000006E87 -:041BED0020592F4705 -:041BEE006E69616754 -:041BEF0000000000F2 -:041BF00062502F42CE -:041BF100696167209F -:041BF2000000006E81 -:041BF3002D6572509A -:041BF4002043444105 -:041BF5006E6961476D -:041BF60000000000EB -:041BF7002D62755393 -:041BF800656E696C41 -:041BF9007320312004 -:041BFA000000727401 -:041BFB002D6275538F -:041BFC00656E696C3D -:041BFD0073203220FF -:041BFE0000007274FD -:041BFF002D6275538B -:041C0000656E696C38 -:041C010073203320F9 -:041C020000007274F8 -:041C03002D62755386 -:041C0400656E696C34 -:041C050073203420F4 -:041C060000007274F4 -:041C07002D62755382 -:041C0800656E696C30 -:041C090073203520EF -:041C0A0000007274F0 -:041C0B002D6275537E -:041C0C00756C6F6321 -:041C0D0031206E6DA7 -:041C0E007274732059 -:041C0F0000000000D1 -:041C10002D62755379 -:041C1100756C6F631C -:041C120032206E6DA1 -:041C13007274732054 -:041C140000000000CC +:041B00006B6E694C53 +:041B0100706E692079 +:041B02003E2D74758B +:041B0300666F727027 +:041B040000000000DD +:041B050074696E4948 +:041B0600206C616985 +:041B070075706E691E +:041B08000000007465 +:041B09006F7475413F +:041B0A006574656435 +:041B0B006920746376 +:041B0C007475706E0E +:041B0D0000000000D4 +:041B0E006F7475413A +:041B0F0031564120EA +:041B1000472F5920E2 +:041B1100000000735D +:041B12006F74754136 +:041B130032564120E5 +:041B1400472F5920DE +:041B15000000007359 +:041B16006F74754132 +:041B170033564120E0 +:041B1800472F5920DA +:041B19000000007355 +:041B1A002044434CD4 +:041B1B0074204C42A4 +:041B1C006F656D691B +:041B1D0000007475DB +:041B1E002044534FBD +:041B1F0062616E652C +:041B20000000656CF0 +:041B21002044534FBA +:041B22007461747303 +:041B23006420737552 +:041B24002E70736943 +:041B250000000000BC +:041B2600706D493C59 +:041B27002074726F45 +:041B280074746573F9 +:041B29003E20202E0C +:041B2A0000000000B7 +:041B2B002E77463C8F +:041B2C00647075204C +:041B2D00206574615A +:041B2E003E20202015 +:041B2F0000000000B2 +:041B30006E776F4419 +:041B31006D61732D42 +:041B32006E696C70FC +:041B33000000006747 +:041B34007061775312 +:041B350066656C2055 +:041B360069722F742D +:041B37000074686767 +:041B38002D65725055 +:041B390020434441C0 +:041B3A006E69616708 +:041B3B0000000000A6 +:041B3C006C6C754612 +:041B3D0020585420B8 +:041B3E0075746573E2 +:041B3F000000007032 +:041B400020335641B7 +:041B410065746E69F0 +:041B420063616C72FD +:041B430078696665F2 +:041B4400000000009D +:041B450020335641B2 +:041B4600206573752E +:041B470020315641B2 +:041B480000424752BE +:041B49006166654428 +:041B4A0020746C7522 +:041B4B00494D444874 +:041B4C004349562093 +:041B4D000000000094 +:041B4E00616E615013 +:041B4F00696E6F73D9 +:041B50006168206345 +:041B510000006B63C2 +:041B520069726F48FD +:041B5300746E6F7AC3 +:041B54006D206C6133 +:041B5500006B73614D +:041B560074726556EA +:041B57006C616369F1 +:041B580073616D2028 +:041B59000000006B1D +:041B5A006B73614DFB +:041B5B006C6F632028 +:041B5C000000726FA4 +:041B5D006B73614DF8 +:041B5E006972622026 +:041B5F006E746867D1 +:041B60000073736536 +:041B610065766552EE +:041B62002065737215 +:041B63000046504C9C +:041B64005949443C5B +:041B650074616C201B +:041B66006574202E54 +:041B6700003E747355 +:041B68006E616353F4 +:041B6900656E696CD0 +:041B6A000000007304 +:041B6B00202E6C5369 +:041B6C0065727473B7 +:041B6D006874676EC3 +:041B6E000000000073 +:041B6F00202E6C5365 +:041B700072627968BC +:041B71007320646910 +:041B7200002E72745B +:041B7300202E6C5361 +:041B74006874656DBF +:041B75000000646F99 +:041B7600202E6C535E +:041B770065746C61C4 +:041B780074616E72B4 +:041B790000676E692A +:041B7A00202E6C535A +:041B7B0067696C61C9 +:041B7C006E656D6EB7 +:041B7D0000000074F0 +:041B7E00202E6C5356 +:041B7F0020746C6101 +:041B800065746E69B1 +:041B81006C617672AB +:041B8200000000005F +:041B8300202E6C5351 +:041B8400657079749B +:041B8500000000005C +:041B86004320203C9C +:041B87006F7473758F +:041B88006C53206D0D +:041B89003E20202EAC +:041B8A000000000057 +:041B8B007030343250 +:041B8C003838322F84 +:041B8D0072702070E2 +:041B8E000000636F81 +:041B8F007034383343 +:041B90003030342F8E +:041B910072702070DE +:041B92000000636F7D +:041B93006930383449 +:041B94003637352F7C +:041B950072702069E1 +:041B96000000636F79 +:041B9700703038343E +:041B98003637352F78 +:041B990072702070D6 +:041B9A000000636F75 +:041B9B00693036393E +:041B9C003830312F7D +:041B9D00702069301B +:041B9E0000636F72FF +:041B9F00656E694CBA +:041BA0006D2078320A +:041BA1000065646F08 +:041BA200656E694CB7 +:041BA3006D20783306 +:041BA4000065646F05 +:041BA500656E694CB4 +:041BA6006D20783402 +:041BA7000065646F02 +:041BA800656E694CB1 +:041BA9006D207835FE +:041BAA000065646FFF +:041BAB00656E694CAE +:041BAC006620783502 +:041BAD00616D726F85 +:041BAE0000000074BF +:041BAF00783635321D +:041BB000203034327B +:041BB1006570736187 +:041BB2000000746358 +:041BB3006D205854F5 +:041BB4000065646FF5 +:041BB500494D44480A +:041BB600435449202B +:041BB700000000002A +:041BB8006C616E41AD +:041BB9007320676FBF +:041BBA0020636E79BD +:041BBB000046504C44 +:041BBC006C616E41A9 +:041BBD007320676FBB +:041BBE0020636E79B9 +:041BBF0000687456F0 +:041BC0006E7973487F +:041BC1006F742063BA +:041BC2006172656C7B +:041BC3000065636EE8 +:041BC4006E7973566D +:041BC50068742063BD +:041BC6006873657269 +:041BC70000646C6FDB +:041BC8004C502D4808 +:041BC9007250204CEA +:041BCA006F432D65D3 +:041BCB0000747361CE +:041BCC004C502D4804 +:041BCD006F50204CE9 +:041BCE00432D7473BC +:041BCF007473616F5B +:041BD0000000000011 +:041BD1007030383404 +:041BD200206E6920F8 +:041BD300706D61735D +:041BD4000072656CCA +:041BD5007030303408 +:041BD600206E6920F4 +:041BD700706D617359 +:041BD8000072656CC6 +:041BD9006F6C6C4180 +:041BDA0056542077C6 +:041BDB0050482050FE +:041BDC0078324C4CC3 +:041BDD000000000004 +:041BDE006F6C6C417B +:041BDF007075207786 +:041BE000706D617350 +:041BE1007832656C85 +:041BE20000000000FF +:041BE3007664413CA7 +:041BE4006974202ED2 +:041BE500676E696D51 +:041BE6003E2020205D +:041BE70000000000FA +:041BE8006564695671 +:041BE900504C206FCD +:041BEA0000000046B1 +:041BEB00506250599B +:041BEC006E6920728C +:041BED006C6F4320B6 +:041BEE0000617053CF +:041BEF0072502F52AF +:041BF00066666F2096 +:041BF10000746573A4 +:041BF20020592F4700 +:041BF3007366666F40 +:041BF4000000746514 +:041BF50062502F42C9 +:041BF60066666F2090 +:041BF700007465739E +:041BF80072502F52A6 +:041BF9006961672097 +:041BFA000000006E79 +:041BFB0020592F47F7 +:041BFC006E69616746 +:041BFD0000000000E4 +:041BFE0062502F42C0 +:041BFF006961672091 +:041C00000000006E72 +:041C01002D6572508B +:041C020020434441F6 +:041C03006E6961475E +:041C040000000000DC +:041C05002D62755384 +:041C0600656E696C32 +:041C070073203120F5 +:041C080000007274F2 +:041C09002D62755380 +:041C0A00656E696C2E +:041C0B0073203220F0 +:041C0C0000007274EE +:041C0D002D6275537C +:041C0E00656E696C2A +:041C0F0073203320EB +:041C100000007274EA +:041C11002D62755378 +:041C1200656E696C26 +:041C130073203420E6 +:041C140000007274E6 :041C15002D62755374 -:041C1600756C6F6317 -:041C170033206E6D9B -:041C1800727473204F -:041C190000000000C7 -:041C1A002D6275536F -:041C1B00756C6F6312 -:041C1C0034206E6D95 -:041C1D00727473204A -:041C1E0000000000C2 -:041C1F002D6275536A -:041C2000756C6F630D -:041C210035206E6D8F -:041C22007274732045 -:041C230000000000BD -:041C24002D62755365 -:041C2500756C6F6308 -:041C260036206E6D89 -:041C27007274732040 -:041C280000000000B8 -:041C290073202E48AE -:041C2A006C706D610C -:041C2B007461726509 -:041C2C00000000654F -:041C2D0073202E48AA -:041C2E007461722E3D -:041C2F006461206567 -:041C30000000006A46 -:041C310073202E48A6 -:041C32006C636E79F8 -:041C330000006E65DA -:041C340062202E48B4 -:041C3500706B63610C -:041C36006863726FFE -:041C370000000000A9 -:041C380061202E48B1 -:041C390076697463F1 +:041C1600656E696C22 +:041C170073203520E1 +:041C180000007274E2 +:041C19002D62755370 +:041C1A00756C6F6313 +:041C1B0031206E6D99 +:041C1C00727473204B +:041C1D0000000000C3 +:041C1E002D6275536B +:041C1F00756C6F630E +:041C200032206E6D93 +:041C21007274732046 +:041C220000000000BE +:041C23002D62755366 +:041C2400756C6F6309 +:041C250033206E6D8D +:041C26007274732041 +:041C270000000000B9 +:041C28002D62755361 +:041C2900756C6F6304 +:041C2A0034206E6D87 +:041C2B00727473203C +:041C2C0000000000B4 +:041C2D002D6275535C +:041C2E00756C6F63FF +:041C2F0035206E6D81 +:041C30007274732037 +:041C310000000000AF +:041C32002D62755357 +:041C3300756C6F63FA +:041C340036206E6D7B +:041C35007274732032 +:041C360000000000AA +:041C370073202E48A0 +:041C38006C706D61FE +:041C390074617265FB :041C3A000000006541 -:041C3B0073202E568E -:041C3C006C636E79EE -:041C3D0000006E65D0 -:041C3E0062202E569C -:041C3F00706B636102 -:041C40006863726FF4 -:041C4100000000009F -:041C420061202E5699 -:041C430076697463E7 -:041C44000000006537 -:041C4500706D61530A -:041C4600676E696CF0 -:041C47006168702040 -:041C480000006573C0 -:041C490063616C4225 -:041C4A000000006B2B -:041C4B0065756C420D -:041C4C000000000094 -:041C4D006565724710 -:041C4E000000006E24 -:041C4F006E61794306 -:041C50000000000090 -:041C51000064655274 -:041C52006567614D14 -:041C53000061746E4A -:041C54006C6C6559F6 -:041C55000000776FA5 -:041C560074696857EE -:041C57000000006524 -:041C58000066664F6D -:041C590072727543EB -:041C5A0020746E651F -:041C5B0075706E69C9 -:041C5C000000007410 -:041C5D00206C6C414A -:041C5E0075706E69C6 -:041C5F00000073749A -:041C60004273475232 -:041C6100000000007F -:041C62005062505923 -:041C6300000000720B -:041C640000007332D7 -:041C650000007335D3 -:041C660000733031A6 -:041C670000007333D3 -:041C680000733033A2 -:041C69002D706F5417 -:041C6A007466656CCB -:041C6B000000000075 -:041C6C00746E6543EA -:041C6D00000072659C -:041C6E0074746F42D9 -:041C6F00722D6D6FF6 -:041C700074686769C4 -:041C7100000000006F -:041C72002066664F33 -:041C7300207366284C -:041C74003639203DA0 -:041C7500297A486B15 -:041C7600000000006A -:041C7700202078327F -:041C78002073662847 -:041C79003834203D9E -:041C7A00297A486B10 -:041C7B000000000065 -:041C7C0000706F5431 -:041C7D0074746F42CA -:041C7E0000006D6F86 -:041C7F0069726F48CF -:041C8000746E6F7A95 -:041C810000006C6192 -:041C820074726556BD -:041C83006C616369C4 +:041C3B0073202E489C +:041C3C007461722E2F +:041C3D006461206559 +:041C3E000000006A38 +:041C3F0073202E4898 +:041C40006C636E79EA +:041C410000006E65CC +:041C420062202E48A6 +:041C4300706B6361FE +:041C44006863726FF0 +:041C4500000000009B +:041C460061202E48A3 +:041C470076697463E3 +:041C48000000006533 +:041C490073202E5680 +:041C4A006C636E79E0 +:041C4B0000006E65C2 +:041C4C0062202E568E +:041C4D00706B6361F4 +:041C4E006863726FE6 +:041C4F000000000091 +:041C500061202E568B +:041C510076697463D9 +:041C52000000006529 +:041C5300706D6153FC +:041C5400676E696CE2 +:041C55006168702032 +:041C560000006573B2 +:041C570063616C4217 +:041C58000000006B1D +:041C590065756C42FF +:041C5A000000000086 +:041C5B006565724702 +:041C5C000000006E16 +:041C5D006E617943F8 +:041C5E000000000082 +:041C5F000064655266 +:041C60006567614D06 +:041C61000061746E3C +:041C62006C6C6559E8 +:041C63000000776F97 +:041C640074696857E0 +:041C65000000006516 +:041C66000066664F5F +:041C670072727543DD +:041C680020746E6511 +:041C690075706E69BB +:041C6A000000007402 +:041C6B00206C6C413C +:041C6C0075706E69B8 +:041C6D00000073748C +:041C6E004273475224 +:041C6F000000000071 +:041C70005062505915 +:041C710000000072FD +:041C720000007332C9 +:041C730000007335C5 +:041C74000073303198 +:041C750000007333C5 +:041C76000073303394 +:041C77002D706F5409 +:041C78007466656CBD +:041C79000000000067 +:041C7A00746E6543DC +:041C7B00000072658E +:041C7C0074746F42CB +:041C7D00722D6D6FE8 +:041C7E0074686769B6 +:041C7F000000000061 +:041C80002066664F25 +:041C8100207366283E +:041C82003639203D92 +:041C8300297A486B07 :041C8400000000005C -:041C850069726F48C9 -:041C86002B202E7A67 -:041C8700726556200C -:041C880000002E74B6 -:041C890074737543B8 -:041C8A0000006D6F7A -:041C8B00746C754DB3 -:041C8C00696C7069A6 -:041C8D0069746163B2 -:041C8E0000006E6F75 -:041C8F0074627553B3 -:041C900074636172A6 -:041C9100006E6F6909 -:041C92006F747541B5 -:041C9300000000004D -:041C940000006E4F8F -:041C9500494D444829 -:041C96004752282069 -:041C970000002942DE -:041C9800494D444826 -:041C99004359282063 -:041C9A0034724362FB -:041C9B0000293434B4 -:041C9C000049564461 -:041C9D0000373A389A -:041C9E0073736150AB -:041C9F00757268747E -:041CA0000000000040 -:041CA100656E694CB7 -:041CA200282078324C -:041CA30029626F62E1 -:041CA400000000003C -:041CA500656E694CB3 -:041CA6000000783290 -:041CA700656E694CB1 -:041CA800322078323C -:041CA9003378303428 -:041CAA0000003036D0 -:041CAB00656E694CAD -:041CAC003220783337 -:041CAD003378303424 -:041CAE0000003036CC +:041C85002020783271 +:041C86002073662839 +:041C87003834203D90 +:041C8800297A486B02 +:041C89000000000057 +:041C8A0000706F5423 +:041C8B0074746F42BC +:041C8C0000006D6F78 +:041C8D0069726F48C1 +:041C8E00746E6F7A87 +:041C8F0000006C6184 +:041C900074726556AF +:041C91006C616369B6 +:041C9200000000004E +:041C930069726F48BB +:041C94002B202E7A59 +:041C950072655620FE +:041C960000002E74A8 +:041C970074737543AA +:041C980000006D6F6C +:041C9900746C754DA5 +:041C9A00696C706998 +:041C9B0069746163A4 +:041C9C0000006E6F67 +:041C9D0074627553A5 +:041C9E007463617298 +:041C9F00006E6F69FB +:041CA0006F747541A7 +:041CA100000000003F +:041CA20000006E4F81 +:041CA300494D44481B +:041CA400475228205B +:041CA50000002942D0 +:041CA600494D444818 +:041CA7004359282055 +:041CA80034724362ED +:041CA90000293434A6 +:041CAA000049564453 +:041CAB0000373A388C +:041CAC00737361509D +:041CAD007572687470 +:041CAE000000000032 :041CAF00656E694CA9 -:041CB000472078331E -:041CB10072656E6585 -:041CB2000000636962 +:041CB000282078323E +:041CB10029626F62D3 +:041CB200000000002E :041CB300656E694CA5 -:041CB4002820783339 -:041CB5006563616C96 -:041CB600000029649D -:041CB700656E694CA1 -:041CB8002820783434 -:041CB90029626F62CB -:041CBA000000000026 -:041CBB00656E694C9D -:041CBC000000783379 +:041CB4000000783282 +:041CB500656E694CA3 +:041CB600322078322E +:041CB700337830341A +:041CB80000003036C2 +:041CB900656E694C9F +:041CBA003220783329 +:041CBB003378303416 +:041CBC0000003036BE :041CBD00656E694C9B -:041CBE000000783476 -:041CBF00656E694C99 -:041CC0000000783573 -:041CC1003032393153 -:041CC200383031780D -:041CC30000000030ED -:041CC4003030363155 -:041CC5003032317810 -:041CC60000000030EA -:041CC700303239314D -:041CC800303231780D -:041CC90000000030E7 -:041CCA00656E654797 -:041CCB0020636972B7 -:041CCC0000333A3473 -:041CCD007832313503 -:041CCE00203034325C -:041CCF006974706F55 -:041CD00000002E6D75 -:041CD10078343833F8 -:041CD2002030343258 -:041CD3006974706F51 -:041CD40000002E6D71 -:041CD50078303233FE -:041CD6002030343254 -:041CD7006974706F4D -:041CD80000002E6D6D -:041CD90078363532F2 -:041CDA002030343250 -:041CDB006974706F49 -:041CDC0000002E6D69 -:041CDD00656E654784 -:041CDE0020636972A4 -:041CDF00393A363127 -:041CE0000000000000 -:041CE1004D352E321D -:041CE20028207A48F4 -:041CE3002978616D8E -:041CE40000000000FC -:041CE500484D303105 -:041CE6006D28207ACB -:041CE7000029646507 -:041CE800484D3333FD -:041CE9006D28207AC8 -:041CEA0000296E69F6 -:041CEB0020414756F7 -:041CEC0078303436E2 -:041CED00403030341F -:041CEE00000030378B -:041CEF0020414756F3 -:041CF00078303237DF -:041CF100403030341B -:041CF2000000303787 -:041CF30020565444DF -:041CF40070303834E0 -:041CF50000000000EB -:041CF60041534556BB -:041CF700303436202F -:041CF80030383478D4 -:041CF9000030364041 -:041CFA002E6365529E -:041CFB00313036202E -:041CFC0000000000E4 -:041CFD002E6365529B -:041CFE003930372022 -:041CFF0000000000E1 -:041D0000484D3539DC -:041D01004828207AD4 -:041D020020565444CF -:041D03000029494921 -:041D0400484D3533DE -:041D05004828207AD0 -:041D060020565444CB -:041D07000000294966 -:041D0800484D3631DB -:041D09004528207ACF -:041D0A0029565444BE -:041D0B0000000000D4 -:041D0C007A484D398B -:041D0D0044532820F3 -:041D0E0000295654FE -:041D0F006D206425BA -:041D10000000005679 -:041D1100202020204E -:041D12000000752533 -:041D1300000186C283 -:041D140000000024A7 -:041D150000013AC8C7 -:041D160064206425BC -:041D170000006765FC -:041D1800252E7525DA -:041D19000075322EF1 -:041D1A0065206425B7 -:041D1B006972746E07 -:041D1C0000007365EB -:041D1D006F706D690D -:041D1E006465747212 -:041D1F0000000000C0 -:041D20006F706D492A -:041D2100203F747279 -:041D22002C593D31CA -:041D23004E3D3220DF -:041D240000000000BB -:041D250064616F4C3A -:041D260020676E695B -:041D270074746573F8 -:041D280073676E6906 -:041D290000000000B6 -:041D2A004452535577 -:041D2B0000415441DE -:041D2C006573753C2A -:041D2D0000003E6410 -:041D2E00000173E855 -:041D2F000000200090 -:041D300020002CE57E -:041D3100E926F4FDAE -:041D320038BC200099 -:041D330000000000AC -:041D3400000173F443 -:041D3500000020008A -:041D36002000323E19 -:041D3700F113FA04A6 -:041D38003B612000EB -:041D390000000000A6 -:041D3A000001504C08 -:041D3B000001504C07 -:041D3C0000014FB2A1 -:041D3D00000150420F -:041D3E00000151400F -:041D3F000001504C03 -:041D4000783032378E -:041D4100003030340A -:041D4200783034368B -:041D43000030303408 -:041D440030303631D4 -:041D4500303432788C -:041D4600C8000000D1 -:041D470007FE00F0A3 -:041D48000FCA20C0DE -:041D490032100396BB -:041D4A000020000273 -:041D4B0030383231C9 -:041D4C003034327885 -:041D4D00A0000000F2 -:041D4E00061800F083 -:041D4F000FAA20C0F7 -:041D50003210034802 -:041D51000001020289 -:041D52007830363976 -:041D530000303432F6 -:041D54007800000013 -:041D5500049200F004 -:041D56000F8020C01A -:041D5700321003360D -:041D58000000040281 -:041D59007832313576 -:041D5A0000303432EF -:041D5B004000000044 -:041D5C0002AA00F0E7 -:041D5D000E4D20C047 -:041D5E00321003320A -:041D5F000042081026 -:041D60007834383368 +:041CBE004720783310 +:041CBF0072656E6577 +:041CC0000000636954 +:041CC100656E694C97 +:041CC200282078332B +:041CC3006563616C88 +:041CC400000029648F +:041CC500656E694C93 +:041CC6002820783426 +:041CC70029626F62BD +:041CC8000000000018 +:041CC900656E694C8F +:041CCA00000078336B +:041CCB00656E694C8D +:041CCC000000783468 +:041CCD00656E694C8B +:041CCE000000783565 +:041CCF003032393145 +:041CD00038303178FF +:041CD10000000030DF +:041CD2003030363147 +:041CD3003032317802 +:041CD40000000030DC +:041CD500303239313F +:041CD60030323178FF +:041CD70000000030D9 +:041CD800656E654789 +:041CD90020636972A9 +:041CDA0000333A3465 +:041CDB0078323135F5 +:041CDC00203034324E +:041CDD006974706F47 +:041CDE0000002E6D67 +:041CDF0078343833EA +:041CE000203034324A +:041CE1006974706F43 +:041CE20000002E6D63 +:041CE30078303233F0 +:041CE4002030343246 +:041CE5006974706F3F +:041CE60000002E6D5F +:041CE70078363532E4 +:041CE8002030343242 +:041CE9006974706F3B +:041CEA0000002E6D5B +:041CEB00656E654776 +:041CEC002063697296 +:041CED00393A363119 +:041CEE0000000000F2 +:041CEF004D352E320F +:041CF00028207A48E6 +:041CF1002978616D80 +:041CF20000000000EE +:041CF300484D3031F7 +:041CF4006D28207ABD +:041CF50000296465F9 +:041CF600484D3333EF +:041CF7006D28207ABA +:041CF80000296E69E8 +:041CF90020414756E9 +:041CFA0078303436D4 +:041CFB004030303411 +:041CFC00000030377D +:041CFD0020414756E5 +:041CFE0078303237D1 +:041CFF00403030340D +:041D00000000303778 +:041D010020565444D0 +:041D020070303834D1 +:041D030000000000DC +:041D040041534556AC +:041D05003034362020 +:041D060030383478C5 +:041D07000030364032 +:041D08002E6365528F +:041D0900313036201F +:041D0A0000000000D5 +:041D0B002E6365528C +:041D0C003930372013 +:041D0D0000000000D2 +:041D0E00484D3539CE +:041D0F004828207AC6 +:041D100020565444C1 +:041D11000029494913 +:041D1200484D3533D0 +:041D13004828207AC2 +:041D140020565444BD +:041D15000000294958 +:041D1600484D3631CD +:041D17004528207AC1 +:041D180029565444B0 +:041D190000000000C6 +:041D1A007A484D397D +:041D1B0044532820E5 +:041D1C0000295654F0 +:041D1D006D206425AC +:041D1E00000000566B +:041D1F002020202040 +:041D20000000752525 +:041D2100000186FA3D +:041D22000000002499 +:041D230000013AEA97 +:041D240064206425AE +:041D250000006765EE +:041D2600252E7525CC +:041D27000075322EE3 +:041D280065206425A9 +:041D29006972746EF9 +:041D2A0000007365DD +:041D2B006F706D69FF +:041D2C006465747204 +:041D2D0000000000B2 +:041D2E006F706D491C +:041D2F00203F74726B +:041D30002C593D31BC +:041D31004E3D3220D1 +:041D320000000000AD +:041D330064616F4C2C +:041D340020676E694D +:041D350074746573EA +:041D360073676E69F8 +:041D370000000000A8 +:041D38004452535569 +:041D390000415441D0 +:041D3A006573753C1C +:041D3B0000003E6402 +:041D3C00000174200E +:041D3D000000200082 +:041D3E0020002CE570 +:041D3F00E926F4FDA0 +:041D400038BC20008B +:041D4100000000009E +:041D42000001742CFC +:041D4300000020007C +:041D44002000323E0B +:041D4500F113FA0498 +:041D46003B612000DD +:041D47000000000098 +:041D48000001506ED8 +:041D49000001506ED7 +:041D4A0000014FD471 +:041D4B0000015064DF +:041D4C0000015162DF +:041D4D000001506ED3 +:041D4E007830323780 +:041D4F0000303034FC +:041D5000783034367D +:041D510000303034FA +:041D520030303631C6 +:041D5300303432787E +:041D5400C8000000C3 +:041D550007FE00F095 +:041D56000FCA20C0D0 +:041D570032100396AD +:041D58000020000265 +:041D590030383231BB +:041D5A003034327877 +:041D5B00A0000000E4 +:041D5C00061800F075 +:041D5D000FAA20C0E9 +:041D5E0032100348F4 +:041D5F00000102027B +:041D60007830363968 :041D610000303432E8 -:041D6200300000004D -:041D6300020000F08A -:041D64000E3B20C052 -:041D65003210032510 -:041D660000841020C5 -:041D6700783032336B +:041D62007800000005 +:041D6300049200F0F6 +:041D64000F8020C00C +:041D650032100336FF +:041D66000000040273 +:041D67007832313568 :041D680000303432E1 -:041D6900280000004E -:041D6A0001AA00F0DA -:041D6B000E3120C055 -:041D6C003210031F0F -:041D6D000108204009 -:041D6E00783635325C +:041D69004000000036 +:041D6A0002AA00F0D9 +:041D6B000E4D20C039 +:041D6C0032100332FC +:041D6D000042081018 +:041D6E00783438335A :041D6F0000303432DA -:041D7000200000004F -:041D7100015500F028 -:041D72000E2720C058 -:041D7300321003190E -:041D74000210408099 -:041D75007030343264 -:041D76000000000069 -:041D77005A08000006 -:041D7800035A00F01A -:041D79000F3920C03E -:041D7A003210033EE2 -:041D7B000000000E56 -:041D7C00303036319C -:041D7D003034327854 -:041D7E00C800004C4D -:041D7F0007FE00F06B -:041D800029CA270045 -:041D81003210039683 -:041D8200002000023B -:041D83003038323191 -:041D84003838327841 -:041D8500A0000000BA -:041D8600061801201A -:041D87000FAA270078 -:041D880032100348CA -:041D89000001020251 -:041D8A00783036393E -:041D8B0000383832B2 -:041D8C0078000000DB -:041D8D00049201209B -:041D8E000F8027009B -:041D8F0032100336D5 -:041D90000000040249 -:041D9100783231353E -:041D92004C3034326B -:041D930040000042CA -:041D940002AA00F0AF -:041D9500294D2700AD -:041D960032100332D2 -:041D970000420810EE -:041D98007834383330 -:041D99004C30343264 -:041D9A0030000042D3 -:041D9B00020000F052 -:041D9C00293B2700B8 -:041D9D0032100325D8 -:041D9E00008410208D -:041D9F007830323333 +:041D7000300000003F +:041D7100020000F07C +:041D72000E3B20C044 +:041D73003210032502 +:041D740000841020B7 +:041D7500783032335D +:041D760000303432D3 +:041D77002800000040 +:041D780001AA00F0CC +:041D79000E3120C047 +:041D7A003210031F01 +:041D7B0001082040FB +:041D7C00783635324E +:041D7D0000303432CC +:041D7E002000000041 +:041D7F00015500F01A +:041D80000E2720C04A +:041D81003210031900 +:041D8200021040808B +:041D83007030343256 +:041D8400000000005B +:041D85005A080000F8 +:041D8600035A00F00C +:041D87000F3920C030 +:041D88003210033ED4 +:041D89000000000E48 +:041D8A00303036318E +:041D8B003034327846 +:041D8C00C800004C3F +:041D8D0007FE00F05D +:041D8E0029CA270037 +:041D8F003210039675 +:041D9000002000022D +:041D91003038323183 +:041D92003838327833 +:041D9300A0000000AC +:041D9400061801200C +:041D95000FAA27006A +:041D960032100348BC +:041D97000001020243 +:041D98007830363930 +:041D990000383832A4 +:041D9A0078000000CD +:041D9B00049201208D +:041D9C000F8027008D +:041D9D0032100336C7 +:041D9E00000004023B +:041D9F007832313530 :041DA0004C3034325D -:041DA10028000042D4 -:041DA20001AA00F0A2 -:041DA30029312700BB -:041DA4003210031FD7 -:041DA50001082040D1 -:041DA6007836353224 +:041DA10040000042BC +:041DA20002AA00F0A1 +:041DA300294D27009F +:041DA40032100332C4 +:041DA50000420810E0 +:041DA6007834383322 :041DA7004C30343256 -:041DA80020000042D5 -:041DA900015500F0F0 -:041DAA0029272700BE -:041DAB0032100319D6 -:041DAC000210408061 -:041DAD007038383220 -:041DAE000000000031 -:041DAF005A170000BF -:041DB00003600120AB -:041DB10013452700AF -:041DB2003210033FA9 -:041DB3000000000E1E -:041DB4007830383417 -:041DB5000030363391 -:041DB6003C000000ED -:041DB7000258016865 -:041DB8000A3F2EE0D0 -:041DB90044100326A9 -:041DBA000000000E17 -:041DBB007830343216 -:041DBC00003036338A -:041DBD002000000002 -:041DBE00012C01688B -:041DBF000A182EE0F0 -:041DC00044100312B6 -:041DC100000081009D -:041DC200703438330E -:041DC300000000001C -:041DC4003E000000DD -:041DC5000280018017 -:041DC6001D3234E0B6 -:041DC7004410033E83 +:041DA80030000042C5 +:041DA900020000F044 +:041DAA00293B2700AA +:041DAB0032100325CA +:041DAC00008410207F +:041DAD007830323325 +:041DAE004C3034324F +:041DAF0028000042C6 +:041DB00001AA00F094 +:041DB10029312700AD +:041DB2003210031FC9 +:041DB30001082040C3 +:041DB4007836353216 +:041DB5004C30343248 +:041DB60020000042C7 +:041DB700015500F0E2 +:041DB80029272700B0 +:041DB90032100319C8 +:041DBA000210408053 +:041DBB007038383212 +:041DBC000000000023 +:041DBD005A170000B1 +:041DBE00036001209D +:041DBF0013452700A1 +:041DC0003210033F9B +:041DC1000000000E10 +:041DC2007830383409 +:041DC3000030363383 +:041DC4003C000000DF +:041DC5000258016857 +:041DC6000A3F2EE0C2 +:041DC700441003269B :041DC8000000000E09 -:041DC900303036314F -:041DCA003030347809 -:041DCB00C80000004C -:041DCC0007D00190AB -:041DCD002278382020 -:041DCE00501002F0BF -:041DCF00000002000E -:041DD00078303237FE -:041DD100003030347A -:041DD2005A000000B3 -:041DD30003840190F4 -:041DD4002240382051 -:041DD5005010026048 -:041DD6000000000CFD -:041DD70078303436F6 -:041DD8000030303473 -:041DD90050000000B6 -:041DDA000320019051 -:041DDB00223038205A -:041DDC005010026041 -:041DDD000000000CF6 -:041DDE0078303436EF -:041DDF000034383361 -:041DE00050000000AF -:041DE100032001805A -:041DE2003F303D80D1 +:041DC9007830343208 +:041DCA00003036337C +:041DCB0020000000F4 +:041DCC00012C01687D +:041DCD000A182EE0E2 +:041DCE0044100312A8 +:041DCF00000081008F +:041DD0007034383300 +:041DD100000000000E +:041DD2003E000000CF +:041DD3000280018009 +:041DD4001D3234E0A8 +:041DD5004410033E75 +:041DD6000000000EFB +:041DD7003030363141 +:041DD80030303478FB +:041DD900C80000003E +:041DDA0007D001909D +:041DDB002278382012 +:041DDC00501002F0B1 +:041DDD000000020000 +:041DDE0078303237F0 +:041DDF00003030346C +:041DE0005A000000A5 +:041DE10003840190E6 +:041DE2002240382043 :041DE300501002603A -:041DE4000000000EED -:041DE50069303834F5 -:041DE60000000000F9 -:041DE7005A06000098 -:041DE800035A00F0AA -:041DE9000F3941A0CD -:041DEA007210033E32 -:041DEB000001020FE2 -:041DEC0070303834E7 -:041DED0000000000F2 -:041DEE005A02000095 -:041DEF00035A01E0B2 -:041DF0001E3C41A0B4 -:041DF1009410063E06 -:041DF2000000000CE1 -:041DF30078303436DA -:041DF400003038344F -:041DF5005001000099 -:041DF600032001E0E5 -:041DF700213041A0B6 -:041DF80094100260E1 -:041DF9000000000CDA -:041DFA0078303436D3 -:041DFB00003231354C -:041DFC005000000093 -:041DFD0003200200BD -:041DFE001C3047004E -:041DFF0094100260DA +:041DE4000000000CEF +:041DE50078303436E8 +:041DE6000030303465 +:041DE70050000000A8 +:041DE8000320019043 +:041DE900223038204C +:041DEA005010026033 +:041DEB000000000CE8 +:041DEC0078303436E1 +:041DED000034383353 +:041DEE0050000000A1 +:041DEF00032001804C +:041DF0003F303D80C3 +:041DF100501002602C +:041DF2000000000EDF +:041DF30069303834E7 +:041DF40000000000EB +:041DF5005A0600008A +:041DF600035A00F09C +:041DF7000F3941A0BF +:041DF8007210033E24 +:041DF9000001020FD4 +:041DFA0070303834D9 +:041DFB0000000000E4 +:041DFC005A02000087 +:041DFD00035A01E0A4 +:041DFE001E3C41A0A6 +:041DFF009410063EF8 :041E00000000000CD2 -:041E010069363735D2 -:041E020000000000DC -:041E03005A1500006C -:041E04000360012056 -:041E050013454E2013 -:041E06007210033F14 -:041E07000001020FC5 -:041E080070363735C4 -:041E090000000000D5 -:041E0A005A11000069 -:041E0B00036002402E -:041E0C0027444E20F9 -:041E0D0084100540F8 +:041E010078303436CB +:041E02000030383440 +:041E0300500100008A +:041E0400032001E0D6 +:041E0500213041A0A7 +:041E060094100260D2 +:041E07000000000CCB +:041E080078303436C4 +:041E0900003231353D +:041E0A005000000084 +:041E0B0003200200AE +:041E0C001C3047003F +:041E0D0094100260CB :041E0E000000000CC4 -:041E0F0078303038BF -:041E10000030303638 -:041E11006400000069 -:041E1200042002584E -:041E130017584E808E -:041E14001010048026 -:041E150000000004C5 -:041E160070303237BF +:041E0F0069363735C4 +:041E100000000000CE +:041E11005A1500005E +:041E12000360012048 +:041E130013454E2005 +:041E14007210033F06 +:041E15000001020FB7 +:041E160070363735B6 :041E170000000000C7 -:041E1800A004000022 -:041E1900067202D07B -:041E1A0014DC5DC0B7 -:041E1B00181005286E -:041E1C0000000004BE -:041E1D0034323031FA -:041E1E0038363778A3 -:041E1F00800000003F -:041E20000540030076 -:041E21001DA064C0DC -:041E2200101006880E +:041E18005A1100005B +:041E19000360024020 +:041E1A0027444E20EB +:041E1B0084100540EA +:041E1C000000000CB6 +:041E1D0078303038B1 +:041E1E00003030362A +:041E1F00640000005B +:041E20000420025840 +:041E210017584E8080 +:041E22001010048018 :041E230000000004B7 -:041E240030383231EF -:041E250032303178AE -:041E2600A0000034E4 -:041E27000698040015 -:041E280026F88540D3 -:041E29001010037022 +:041E240070303237B1 +:041E250000000000B9 +:041E2600A004000014 +:041E2700067202D06D +:041E280014DC5DC0A9 +:041E29001810052860 :041E2A0000000004B0 -:041E2B0078303436A1 -:041E2C0069303639AA -:041E2D005000000061 -:041E2E00032001E0AC -:041E2F00213083409B -:041E3000B410026088 -:041E31000000000DA0 -:041E320030383031E3 -:041E33000000006942 -:041E3400F0050000B5 -:041E35000898021CEB -:041E360010948CA0D8 -:041E3700B810052CAE -:041E38000000000D99 -:041E390030383031DC -:041E3A000000007034 -:041E3B00F0100000A3 -:041E3C0008980438C6 -:041E3D0024948CA0BD -:041E3E001810052C47 -:041E3F00000000049B -:041E400030303631D7 -:041E41003032317892 -:041E4200C8000030A4 -:041E4300087004B06F -:041E44002EFF9C4091 -:041E4500101003F185 -:041E46000000000494 -:041E47007665642F29 -:041E48006370652F2F -:041E49006F635F71F3 -:041E4A006F72746ED1 -:041E4B0072656C6CE4 -:041E4C00615F305F43 -:041E4D006D5F6C76E3 -:041E4E0000006D65BE -:041E4F002B302D23E4 -:041E5000000000206E -:041E5100004C6C686D -:041E52004567666515 -:041E530000004746FE -:041E540033323130C4 -:041E550037363534B3 -:041E56004241393894 -:041E57004645444375 -:041E58000000000086 -:041E590033323130BF -:041E5A0037363534AE -:041E5B00626139384F -:041E5C0066656463F0 -:041E5D000000000081 -:041E5E001A808080E6 -:041E5F0000081A1A43 -:041E6000000000007E -:041E6100000000007D -:041E62000001791CE6 -:041E6300000000007B -:041E6400000000007A -:041E65000001035C19 -:041E66000001041C57 -:041E6700000101CEA7 -:041E6800000101EA8A -:041E6900000102363C -:041E6A0000800000F4 -:041E6B0000800000F3 -:041E6C000000000072 -:041E6D000000000071 +:041E2B0034323031EC +:041E2C003836377895 +:041E2D008000000031 +:041E2E000540030068 +:041E2F001DA064C0CE +:041E30001010068800 +:041E310000000004A9 +:041E320030383231E1 +:041E330032303178A0 +:041E3400A0000034D6 +:041E35000698040007 +:041E360026F88540C5 +:041E37001010037014 +:041E380000000004A2 +:041E39007830343693 +:041E3A00693036399C +:041E3B005000000053 +:041E3C00032001E09E +:041E3D00213083408D +:041E3E00B41002607A +:041E3F000000000D92 +:041E400030383031D5 +:041E41000000006934 +:041E4200F0050000A7 +:041E43000898021CDD +:041E440010948CA0CA +:041E4500B810052CA0 +:041E46000000000D8B +:041E470030383031CE +:041E48000000007026 +:041E4900F010000095 +:041E4A0008980438B8 +:041E4B0024948CA0AF +:041E4C001810052C39 +:041E4D00000000048D +:041E4E0030303631C9 +:041E4F003032317884 +:041E5000C800003096 +:041E5100087004B061 +:041E52002EFF9C4083 +:041E5300101003F177 +:041E54000000000486 +:041E55007665642F1B +:041E56006370652F21 +:041E57006F635F71E5 +:041E58006F72746EC3 +:041E590072656C6CD6 +:041E5A00615F305F35 +:041E5B006D5F6C76D5 +:041E5C0000006D65B0 +:041E5D002B302D23D6 +:041E5E000000002060 +:041E5F00004C6C685F +:041E60004567666507 +:041E610000004746F0 +:041E620033323130B6 +:041E630037363534A5 +:041E64004241393886 +:041E65004645444367 +:041E66000000000078 +:041E670033323130B1 +:041E680037363534A0 +:041E69006261393841 +:041E6A0066656463E2 +:041E6B000000000073 +:041E6C001A808080D8 +:041E6D0000081A1A35 :041E6E000000000070 :041E6F00000000006F -:041E7000000000006E +:041E700000017954A0 :041E7100000000006D :041E7200000000006C -:041E7300000000006B -:041E7400000000006A -:041E75000000000069 -:041E76000000000068 -:041E77000000000067 -:041E78000000000066 -:041E79000000000065 +:041E73000001035C0B +:041E74000001041C49 +:041E7500000101CE99 +:041E7600000101EA7C +:041E7700000102362E +:041E780000800000E6 +:041E790000800000E5 :041E7A000000000064 :041E7B000000000063 :041E7C000000000062 @@ -7819,640 +7819,640 @@ :041E8A000000000054 :041E8B000000000053 :041E8C000000000052 -:041E8D0000010174DB -:041E8E0000800000D0 -:041E8F00010000004E -:041E9000000201004B -:041E910000800000CD -:041E9200000000014B -:041E930000000080CB -:041E94000001000049 -:041E95000000010048 +:041E8D000000000051 +:041E8E000000000050 +:041E8F00000000004F +:041E9000000000004E +:041E9100000000004D +:041E9200000000004C +:041E9300000000004B +:041E9400000000004A +:041E95000000000049 :041E96000000000048 -:041E9700020E04092A -:041E98003D0E00C833 -:041E99003F6E038312 -:041E9A003ED03DAC4D -:041E9B0000000383BD -:041E9C00026404B226 -:041E9D003C9300E989 -:041E9E003F56041691 -:041E9F003E9F3D49DC -:041EA0000000041624 -:041EA100017804E5DB -:041EA2003CCE0081B1 -:041EA3003FAE0383C8 -:041EA4003F333D4942 -:041EA50000000383B3 -:041EA60001B405B8C6 -:041EA7003C4900931F -:041EA8003F9F04163E -:041EA9003F103CD9D1 -:041EAA00000004161A -:041EAB000001716061 -:041EAC00000171645C -:041EAD00000171744B -:041EAE000001657C4E -:041EAF000001658C3D -:041EB0000001659830 -:041EB100000165A423 -:041EB200000165B016 -:041EB300000165BC09 -:041EB400000165C8FC -:041EB500000165D4EF -:041EB600000165E0E2 -:041EB700000165ECD5 -:041EB800000165F8C8 -:041EB9000001732889 -:041EBA00000173347C -:041EBB00000173446B -:041EBC00000173545A -:041EBD000001736449 -:041EBE000001737438 -:041EBF000001732883 -:041EC0000001733476 -:041EC1000001734465 -:041EC2000001735454 -:041EC3000001736443 -:041EC40000017304A2 -:041EC5000001731095 -:041EC6000001731C88 -:041EC7000001716045 -:041EC8000001719C08 -:041EC900000171980B -:041ECA00000171A002 -:041ECB00000171247D -:041ECC000001712C74 -:041ECD00000171346B -:041ECE000001713C62 -:041ECF000001714459 -:041ED0000001714854 -:041ED100000171504B -:041ED2000001715842 -:041ED300000170A4F6 -:041ED4000000000208 -:041ED500000186CAB8 -:041ED6000AF0012CE1 -:041ED70000013806C8 -:041ED800000170B4E1 -:041ED9000000000203 -:041EDA00000186D0AD -:041EDB0000130000F0 -:041EDC0000013806C3 -:041EDD00000170C4CC -:041EDE0000000002FE -:041EDF00000186D2A6 -:041EE00000FF000AF5 -:041EE10000013806BE -:041EE200000170D0BB -:041EE30000000002F9 -:041EE400000186BEB5 -:041EE50000FF0001F9 -:041EE60000013806B9 -:041EE700000170E0A6 -:041EE80000000002F4 -:041EE900000186C8A6 -:041EEA00078000C8A5 -:041EEB0000013806B4 -:041EEC00000170EC95 -:041EED0000000002EF -:041EEE00000186C4A5 -:041EEF0000070001E7 -:041EF00000013806AF -:041EF100000170F884 -:041EF20000000002EA -:041EF300000186CE96 -:041EF400003F0001AA -:041EF50000013806AA -:041EF600000171086E -:041EF70000000002E5 -:041EF800000186C699 -:041EF90004B000A091 -:041EFA0000013806A5 -:041EFB00000171145D -:041EFC0000000002E0 -:041EFD00000186C09A -:041EFE00001F0000C1 -:041EFF0000013806A0 -:041F000000016C88E8 -:041F010000000000DC -:041F020000018666EE -:041F030000010001D8 -:041F040000018470E4 -:041F050000016C98D3 -:041F060000000000D7 -:041F070000018667E8 -:041F080000010001D3 -:041F090000018478D7 -:041F0A0000016CA8BE -:041F0B0000000001D1 -:041F0C0000018668E2 -:041F0D0000180000B8 -:041F0E0000013AACE8 -:041F0F0000016CB8A9 -:041F100000000000CD -:041F110000018661E4 -:041F120000010001C9 -:041F130000018478CD -:041F140000016CC894 -:041F150000000000C8 -:041F160000018662DE -:041F170000010001C4 -:041F180000018478C8 -:041F190000016CDC7B -:041F1A0000000000C3 -:041F1B0000018663D8 -:041F1C0000010001BF -:041F1D0000018478C3 -:041F1E0000016CEC66 -:041F1F0000000001BD -:041F200000018669CD -:041F2100001F00009D -:041F2200000139DCA5 -:041F230000016D004C -:041F240000000000B9 -:041F250000018664CD -:041F260000010001B5 -:041F270000018478B9 -:041F280000016FDC69 -:041F290000000001B3 -:041F2A0000018638F4 -:041F2B0000100000A2 -:041F2C00000139B4C3 -:041F2D0000016FEC54 -:041F2E0000000001AE -:041F2F0000018639EE -:041F3000001000009D -:041F3100000139B4BE -:041F320000016FFC3F -:041F330000000001A9 -:041F34000001863AE8 -:041F35000010000098 -:041F3600000139B4B9 -:041F37000001700C29 -:041F380000000001A4 -:041F39000001863BE2 -:041F3A000010000093 -:041F3B00000139B4B4 -:041F3C000001701C14 -:041F3D00000000019F -:041F3E000001863CDC -:041F3F00001000008E -:041F4000000139B4AF -:041F41000001702CFF -:041F4200000000019A -:041F43000001863DD6 -:041F44000010000089 -:041F4500000139B4AA -:041F460000017040E6 -:041F47000000000195 -:041F48000001863ED0 -:041F49000010000084 -:041F4A00000139B4A5 -:041F4B0000017054CD -:041F4C000000000190 -:041F4D000001863FCA -:041F4E00001000007F -:041F4F00000139B4A0 -:041F500000017068B4 -:041F5100000000018B -:041F520000018640C4 -:041F5300001000007A -:041F5400000139B49B -:041F55000001707C9B -:041F56000000000186 -:041F570000018641BE -:041F58000010000075 -:041F5900000139B496 -:041F5A000001709082 -:041F5B000000000181 -:041F5C0000018642B8 -:041F5D000010000070 -:041F5E00000139B491 -:041F5F0000016ACC47 -:041F6000000000037A -:041F61000001850CEA -:041F6200000000007B -:041F6300000000007A -:041F640000016AE02E -:041F65000000000375 -:041F6600000184EC06 -:041F67000000000076 -:041F68000000000075 -:041F690000016AF415 -:041F6A000000000370 -:041F6B0000018504E8 -:041F6C000000000071 -:041F6D000000000070 -:041F6E0000016B08FB -:041F6F00000000036B -:041F7000000184DC0C +:041E97000000000047 +:041E98000000000046 +:041E99000000000045 +:041E9A000000000044 +:041E9B0000010174CD +:041E9C0000800000C2 +:041E9D000100000040 +:041E9E00000201003D +:041E9F0000800000BF +:041EA000000000013D +:041EA10000000080BD +:041EA200000100003B +:041EA300000001003A +:041EA400000000003A +:041EA500020E04091C +:041EA6003D0E00C825 +:041EA7003F6E038304 +:041EA8003ED03DAC3F +:041EA90000000383AF +:041EAA00026404B218 +:041EAB003C9300E97B +:041EAC003F56041683 +:041EAD003E9F3D49CE +:041EAE000000041616 +:041EAF00017804E5CD +:041EB0003CCE0081A3 +:041EB1003FAE0383BA +:041EB2003F333D4934 +:041EB30000000383A5 +:041EB40001B405B8B8 +:041EB5003C49009311 +:041EB6003F9F041630 +:041EB7003F103CD9C3 +:041EB800000004160C +:041EB900000171981B +:041EBA000001719C16 +:041EBB00000171AC05 +:041EBC00000165B408 +:041EBD00000165C4F7 +:041EBE00000165D0EA +:041EBF00000165DCDD +:041EC000000165E8D0 +:041EC100000165F4C3 +:041EC20000016600B5 +:041EC3000001660CA8 +:041EC400000166189B +:041EC500000166248E +:041EC6000001663081 +:041EC7000001736043 +:041EC8000001736C36 +:041EC9000001737C25 +:041ECA000001738C14 +:041ECB000001739C03 +:041ECC00000173ACF2 +:041ECD00000173603D +:041ECE000001736C30 +:041ECF000001737C1F +:041ED0000001738C0E +:041ED1000001739CFD +:041ED2000001733C5C +:041ED300000173484F +:041ED4000001735442 +:041ED50000017198FF +:041ED600000171D4C2 +:041ED700000171D0C5 +:041ED800000171D8BC +:041ED9000001715C37 +:041EDA00000171642E +:041EDB000001716C25 +:041EDC00000171741C +:041EDD000001717C13 +:041EDE00000171800E +:041EDF000001718805 +:041EE00000017190FC +:041EE100000170DCB0 +:041EE20000000002FA +:041EE3000001870271 +:041EE4000AF0012CD3 +:041EE5000001382898 +:041EE600000170EC9B +:041EE70000000002F5 +:041EE8000001870866 +:041EE90000130000E2 +:041EEA000001382893 +:041EEB00000170FC86 +:041EEC0000000002F0 +:041EED000001870A5F +:041EEE0000FF000AE7 +:041EEF00000138288E +:041EF0000001710874 +:041EF10000000002EB +:041EF200000186F66F +:041EF30000FF0001EB +:041EF4000001382889 +:041EF500000171185F +:041EF60000000002E6 +:041EF700000187005F +:041EF800078000C897 +:041EF9000001382884 +:041EFA00000171244E +:041EFB0000000002E1 +:041EFC00000186FC5F +:041EFD0000070001D9 +:041EFE00000138287F +:041EFF00000171303D +:041F000000000002DB +:041F0100000187064E +:041F0200003F00019B +:041F03000001382879 +:041F04000001714027 +:041F050000000002D6 +:041F0600000186FE52 +:041F070004B000A082 +:041F08000001382874 +:041F09000001714C16 +:041F0A0000000002D1 +:041F0B00000186F853 +:041F0C00001F0000B2 +:041F0D00000138286F +:041F0E0000016CC0A2 +:041F0F0000000000CE +:041F10000001869EA8 +:041F110000010001CA +:041F1200000184A89E +:041F130000016CD08D +:041F140000000000C9 +:041F15000001869FA2 +:041F160000010001C5 +:041F1700000184B091 +:041F180000016CE078 +:041F190000000001C3 +:041F1A00000186A09C +:041F1B0000180000AA +:041F1C0000013ACEB8 +:041F1D0000016CF063 +:041F1E0000000000BF +:041F1F00000186999E +:041F200000010001BB +:041F2100000184B087 +:041F220000016D004D +:041F230000000000BA +:041F24000001869A98 +:041F250000010001B6 +:041F2600000184B082 +:041F270000016D1434 +:041F280000000000B5 +:041F29000001869B92 +:041F2A0000010001B1 +:041F2B00000184B07D +:041F2C0000016D241F +:041F2D0000000001AF +:041F2E00000186A187 +:041F2F00001F00008F +:041F3000000139FE75 +:041F310000016D3806 +:041F320000000000AB +:041F33000001869C87 +:041F340000010001A7 +:041F3500000184B073 +:041F36000001701422 +:041F370000000001A5 +:041F380000018670AE +:041F39000010000094 +:041F3A00000139D693 +:041F3B00000170240D +:041F3C0000000001A0 +:041F3D0000018671A8 +:041F3E00001000008F +:041F3F00000139D68E +:041F400000017034F8 +:041F4100000000019B +:041F420000018672A2 +:041F4300001000008A +:041F4400000139D689 +:041F450000017044E3 +:041F46000000000196 +:041F4700000186739C +:041F48000010000085 +:041F4900000139D684 +:041F4A0000017054CE +:041F4B000000000191 +:041F4C000001867496 +:041F4D000010000080 +:041F4E00000139D67F +:041F4F0000017064B9 +:041F5000000000018C +:041F51000001867590 +:041F5200001000007B +:041F5300000139D67A +:041F540000017078A0 +:041F55000000000187 +:041F5600000186768A +:041F57000010000076 +:041F5800000139D675 +:041F59000001708C87 +:041F5A000000000182 +:041F5B000001867784 +:041F5C000010000071 +:041F5D00000139D670 +:041F5E00000170A06E +:041F5F00000000017D +:041F6000000186787E +:041F6100001000006C +:041F6200000139D66B +:041F6300000170B455 +:041F64000000000178 +:041F65000001867978 +:041F66000010000067 +:041F6700000139D666 +:041F6800000170C83C +:041F69000000000173 +:041F6A000001867A72 +:041F6B000010000062 +:041F6C00000139D661 +:041F6D0000016B0400 +:041F6E00000000036C +:041F6F0000018544A4 +:041F7000000000006D :041F7100000000006C -:041F7200000000006B -:041F730000016B1CE2 -:041F74000000000366 -:041F7500000184F4EF +:041F720000016B18E7 +:041F73000000000367 +:041F740000018524BF +:041F75000000000068 :041F76000000000067 -:041F77000000000066 -:041F780000016B30C9 -:041F79000000000361 -:041F7A00000184E4FA +:041F770000016B2CCE +:041F78000000000362 +:041F79000001853CA2 +:041F7A000000000063 :041F7B000000000062 -:041F7C000000000061 -:041F7D0000016B44B0 -:041F7E00000000035C -:041F7F00000184C415 +:041F7C0000016B40B5 +:041F7D00000000035D +:041F7E0000018514C5 +:041F7F00000000005E :041F8000000000005D -:041F8100000000005C -:041F820000016B5897 -:041F83000000000357 -:041F8400000184BC18 +:041F810000016B549C +:041F82000000000358 +:041F83000001852CA8 +:041F84000000000059 :041F85000000000058 -:041F86000000000057 -:041F870000016B6C7E -:041F88000000000352 -:041F8900000184FCD3 +:041F860000016B6883 +:041F87000000000353 +:041F88000001851CB3 +:041F89000000000054 :041F8A000000000053 -:041F8B000000000052 -:041F8C0000016DF4EF -:041F8D000000000050 -:041F8E00000186497F -:041F8F000004000149 -:041F90000001834485 -:041F910000016E04D9 -:041F9200000000004B -:041F93000001864A79 -:041F94000004000144 -:041F9500000183586C -:041F960000016E14C4 -:041F97000000000046 -:041F98000001864B73 -:041F99000003000140 -:041F9A000001836C53 -:041F9B0000016E24AF -:041F9C000000000041 -:041F9D000001864C6D -:041F9E00000100013D -:041F9F000001848831 -:041FA00000016E349A -:041FA100000000003C -:041FA2000001864D67 -:041FA3000001000138 -:041FA4000001848034 -:041FA50000016E4485 -:041FA6000000000037 -:041FA700000186446B -:041FA8000004000130 -:041FA90000017AE4D5 -:041FAA0000016E5074 -:041FAB000000000032 -:041FAC000001864565 -:041FAD00000500012A -:041FAE0000017AF8BC -:041FAF0000016E5C63 -:041FB000000000002D -:041FB100000186465F -:041FB2000004000126 -:041FB30000017AE4CB -:041FB40000016E6852 -:041FB5000000000028 -:041FB6000001864759 -:041FB7000004000121 -:041FB80000017AE4C6 -:041FB90000016E7441 -:041FBA000000000023 -:041FBB000001864853 -:041FBC00000200011E -:041FBD0000017B1094 -:041FBE0000016E842C -:041FBF00000000001E -:041FC0000001864E48 -:041FC100000100011A -:041FC200000184682E -:041FC30000016E9417 -:041FC4000000000019 -:041FC500000186533E -:041FC6000002000114 -:041FC700000183B4DE -:041FC80000016E9C0A -:041FC9000000000014 -:041FCA000001865438 -:041FCB000001000110 -:041FCC000001847814 -:041FCD0000016D1092 -:041FCE00000000010E -:041FCF000001864F38 -:041FD00000FF00000E -:041FD10000013A963B -:041FD20000016D207D -:041FD3000000000109 -:041FD4000001865032 -:041FD500003F0000C9 -:041FD60000013A9636 -:041FD70000016D3068 -:041FD8000000000005 -:041FD900000186522B -:041FDA0000070000FC -:041FDB0000017B2C5A -:041FDC0000016D3C57 -:041FDD0000000001FF -:041FDE000001865127 -:041FDF00000F0000EF -:041FE000000139DCE7 -:041FE10000016D4C42 -:041FE20000000001FA -:041FE300000186650E -:041FE400001F0000DA -:041FE500000139DCE2 -:041FE60000016D5831 -:041FE70000000004F2 -:041FE800000125309F -:041FE90000016A8405 -:041FEA0000000000F3 -:041FEB0000016F0C76 -:041FEC0000000000F1 -:041FED000001865514 -:041FEE0000020001EC -:041FEF000001837CEE -:041FF00000016F1C61 -:041FF10000000000EC -:041FF200000186560E -:041FF30000010001E8 -:041FF40000018498CC -:041FF50000016F2C4C -:041FF60000000000E7 -:041FF7000001865708 -:041FF80000010001E3 -:041FF90000018478E7 -:041FFA0000016F4033 -:041FFB0000000000E2 -:041FFC000001865802 -:041FFD0000010001DE -:041FFE0000018478E2 -:041FFF0000016F541A -:0420000000000003D9 -:04200100000184B4A2 -:042002000001744C19 -:042003000001379011 -:0420040000016D6802 -:0420050000000000D7 -:04200600000186301F -:0420070000020001D2 -:0420080000018388C8 -:0420090000016D74F1 -:04200A0000000001D1 -:04200B000001863614 -:04200C00000F0000C1 -:04200D0000013A7024 -:04200E0000016D84DC -:04200F0000000001CC -:042010000001863213 -:04201100001C0000AF -:04201200000139D8B8 -:0420130000016D94C7 -:0420140000000000C8 -:04201500000186330D -:0420160000010001C4 -:04201700000184A898 -:0420180000016DA0B6 -:0420190000000000C3 -:04201A000001863407 -:04201B0000010001BF -:04201C0000018478C3 -:04201D0000016DB0A1 -:04201E0000000000BE -:04201F0000018637FF -:0420200000010001BA -:04202100000184A096 -:0420220000016DC08C -:0420230000000000B9 -:0420240000018635FC -:0420250000010001B5 -:0420260000018478B9 -:0420270000016DD473 -:0420280000000000B4 -:0420290000018631FB -:04202A0000030001AE -:04202B000001839499 -:04202C0000016DE062 -:04202D0000000003AC -:04202E00000184CC5D -:04202F0000000000AD -:0420300000000000AC -:0420310000016B80BF -:0420320000000004A6 -:042033000001229CEA -:0420340000016AA895 -:0420350000000000A7 -:0420360000016B90AA -:0420370000000004A1 -:04203800000122FE83 -:0420390000016AA890 -:04203A0000000000A2 -:04203B0000016BA095 -:04203C00000000049C -:04203D0000012C7200 +:041F8B0000016B7C6A +:041F8C00000000034E +:041F8D00000184FCCF +:041F8E00000000004F +:041F8F00000000004E +:041F900000016B9051 +:041F91000000000349 +:041F9200000184F4D2 +:041F9300000000004A +:041F94000000000049 +:041F950000016BA438 +:041F96000000000344 +:041F9700000185348C +:041F98000000000045 +:041F99000000000044 +:041F9A0000016E2CA8 +:041F9B000000000042 +:041F9C000001868139 +:041F9D00000400013B +:041F9E000001837C3F +:041F9F0000016E3C93 +:041FA000000000003D +:041FA1000001868233 +:041FA2000004000136 +:041FA3000001839026 +:041FA40000016E4C7E +:041FA5000000000038 +:041FA600000186832D +:041FA7000003000132 +:041FA800000183A40D +:041FA90000016E5C69 +:041FAA000000000033 +:041FAB000001868427 +:041FAC00000100012F +:041FAD00000184C0EB +:041FAE0000016E6C54 +:041FAF00000000002E +:041FB0000001868521 +:041FB100000100012A +:041FB200000184B8EE +:041FB30000016E7C3F +:041FB4000000000029 +:041FB5000001867C25 +:041FB6000004000122 +:041FB70000017B1C8E +:041FB80000016E882E +:041FB9000000000024 +:041FBA000001867D1F +:041FBB00000500011C +:041FBC0000017B3075 +:041FBD0000016E941D +:041FBE00000000001F +:041FBF000001867E19 +:041FC0000004000118 +:041FC10000017B1C84 +:041FC20000016EA00C +:041FC300000000001A +:041FC4000001867F13 +:041FC5000004000113 +:041FC60000017B1C7F +:041FC70000016EACFB +:041FC8000000000015 +:041FC900000186800D +:041FCA000002000110 +:041FCB0000017B484E +:041FCC0000016EBCE6 +:041FCD000000000010 +:041FCE000001868602 +:041FCF00000100010C +:041FD000000184A0E8 +:041FD10000016ECCD1 +:041FD200000000000B +:041FD3000001868BF8 +:041FD4000002000106 +:041FD500000183EC98 +:041FD60000016ED4C4 +:041FD7000000000006 +:041FD8000001868CF2 +:041FD9000001000102 +:041FDA00000184B0CE +:041FDB0000016D484C +:041FDC000000000100 +:041FDD0000018687F2 +:041FDE0000FF000000 +:041FDF0000013AB80B +:041FE00000016D5837 +:041FE10000000001FB +:041FE20000018688EC +:041FE300003F0000BB +:041FE40000013AB806 +:041FE50000016D6822 +:041FE60000000000F7 +:041FE7000001868AE5 +:041FE80000070000EE +:041FE90000017B6414 +:041FEA0000016D7411 +:041FEB0000000001F1 +:041FEC0000018689E1 +:041FED00000F0000E1 +:041FEE00000139FEB7 +:041FEF0000016D84FC +:041FF00000000001EC +:041FF1000001869DC8 +:041FF200001F0000CC +:041FF300000139FEB2 +:041FF40000016D90EB +:041FF50000000004E4 +:041FF600000125526F +:041FF70000016ABCBF +:041FF80000000000E5 +:041FF90000016F4430 +:041FFA0000000000E3 +:041FFB000001868DCE +:041FFC0000020001DE +:041FFD00000183B4A8 +:041FFE0000016F541B +:041FFF0000000000DE +:042000000001868EC7 +:0420010000010001D9 +:04200200000184D085 +:0420030000016F6405 +:0420040000000000D8 +:042005000001868FC1 +:0420060000010001D4 +:04200700000184B0A0 +:0420080000016F78EC +:0420090000000000D3 +:04200A0000018690BB +:04200B0000010001CF +:04200C00000184B09B +:04200D0000016F8CD3 +:04200E0000000003CB +:04200F00000184EC5C +:0420100000017484D3 +:04201100000137B2E1 +:0420120000016DA0BC +:0420130000000000C9 +:0420140000018668D9 +:0420150000020001C4 +:04201600000183C082 +:0420170000016DACAB +:0420180000000001C3 +:042019000001866ECE +:04201A00000F0000B3 +:04201B0000013A92F4 +:04201C0000016DBC96 +:04201D0000000001BE +:04201E000001866ACD +:04201F00001C0000A1 +:04202000000139FA88 +:0420210000016DCC81 +:0420220000000000BA +:042023000001866BC7 +:0420240000010001B6 +:04202500000184E052 +:0420260000016DD870 +:0420270000000000B5 +:042028000001866CC1 +:0420290000010001B1 +:04202A00000184B07D +:04202B0000016DE85B +:04202C0000000000B0 +:04202D000001866FB9 +:04202E0000010001AC +:04202F00000184D850 +:0420300000016DF846 +:0420310000000000AB +:042032000001866DB6 +:0420330000010001A7 +:04203400000184B073 +:0420350000016E0C2C +:0420360000000000A6 +:0420370000018669B5 +:0420380000030001A0 +:04203900000183CC53 +:04203A0000016E181B +:04203B00000000039E +:04203C000001850416 +:04203D00000000009F :04203E00000000009E -:04203F00000000009D -:0420400000016BB47C -:04204100000000019A -:0420420000018671A2 -:04204300000A01018D -:0420440000013AFC61 -:0420450000016BC863 -:042046000000000096 -:04204700000185B25D -:042048000001000192 -:042049000001847896 -:04204A0000016BDC4A -:04204B000000000091 -:04204C000001855DAD -:04204D00000A000184 -:04204E0000017AB85B -:04204F0000016BEC35 -:04205000000000008C -:042051000001862CD8 -:042052000002000187 -:0420530000017AAC62 -:0420540000016C001B -:042055000000000087 -:04205600000185926E -:042057000001000183 -:04205800000184906F -:0420590000016C1006 -:04205A000000000082 -:04205B0000018457A5 -:04205C00000100017E -:04205D00000184906A -:04205E0000016C20F1 -:04205F00000000007D -:042060000001856492 -:042061000001000179 -:042062000001849065 -:0420630000016C30DC -:042064000000000078 -:042065000001859E53 -:042066000003000172 -:0420670000017B1CDD -:0420680000016C40C7 -:042069000000000073 -:04206A000001845C91 -:04206B00000100016F -:04206C000001847873 -:04206D0000016C4CB6 -:04206E00000000006E -:04206F000001858E59 -:042070000003000168 -:0420710000018334B3 -:0420720000016C609D -:042073000000000465 -:04207400000141CE58 -:042075000000000067 -:042076000000000066 -:0420770000016C7484 -:042078000000000460 -:04207900000133AA85 -:04207A000000000062 -:04207B000000000061 -:04207C0000016EA849 -:04207D00000000005F -:04207E000001865D7A -:04207F000003000159 -:04208000000183A434 -:0420810000016EB834 -:042082000000000159 -:042083000001865A78 -:04208400001F000039 -:0420850000013A4CD0 -:0420860000016EC81F -:042087000000000154 -:042088000001865B72 -:0420890000FF000054 -:04208A0000013A080F -:04208B0000016ED80A -:04208C00000000014F -:04208D000001865C6C -:04208E0000C80A007C -:04208F0000013A080A -:0420900000016EE8F5 -:04209100000000014A -:042092000001865F64 -:042093000005000044 -:04209400000139F21C -:0420950000016EF8E0 -:042096000000000145 -:04209700000186605E -:04209800000500003F -:04209900000139F217 -:04209A0000016F686A -:04209B000000000041 -:04209C000001865E5B -:04209D000005000139 -:04209E00000183C0FA -:04209F0000016F7459 -:0420A000000000003C -:0420A100000186595B -:0420A2000002000137 -:0420A300000183D8DD -:0420A40000016F8444 -:0420A5000000000136 -:0420A6000001866A45 -:0420A70000FF000036 -:0420A800000139DC1E -:0420A90000016F9033 -:0420AA000000000131 -:0420AB000001866B3F -:0420AC0000FF000031 -:0420AD00000139DC19 -:0420AE0000016F9C22 -:0420AF00000000012C -:0420B0000001866C39 -:0420B10000FF00002C -:0420B200000139DC14 -:0420B30000016FA811 -:0420B4000000000127 -:0420B5000001866D33 -:0420B60000FF000027 -:0420B700000139DC0F -:0420B80000016FB400 -:0420B9000000000122 -:0420BA000001866E2D -:0420BB0000FF000022 -:0420BC00000139DC0A -:0420BD0000016FC0EF -:0420BE00000000011D -:0420BF000001866F27 -:0420C00000FF00001D -:0420C100000139DC05 -:0420C20000016FCCDE -:0420C3000000000118 -:0420C4000001867021 -:0420C500000F000008 -:0420C600000139DC00 -:0420C700000184D4BC -:0420C8000000000014 -:0420C9000000000013 -:0420CA000000000012 -:0420CB000000000011 -:0420CC000000000010 -:0420CD00000171900D -:0420CE000001719408 -:0420CF000001719803 -:0420D000000171603A -:0420D1000001727820 -:0420D2000001729403 -:0420D300000172ECAA -:0420D400000172F4A1 -:0420D500000172FC98 -:0420D600000172781B -:0420D70000017294FE -:0420D8000001729CF5 -:0420D900000172ACE4 -:0420DA00000172BCD3 -:0420DB000001727816 -:0420DC000001728409 -:0420DD00000172CCC0 -:0420DE00000172DCAF -:0420DF000001724842 -:0420E000000173CCBC -:0420E100000173D8AF -:0420E2000001716028 -:0420E300000172483E -:0420E4000001725035 -:0420E500000171FC89 -:0420E600000172087B -:0420E700000172146E -:0420E800000172245D -:0420E90000017384FB -:0420EA0000017394EA -:0420EB00000173A0DD -:0420EC00000171601E -:0420ED000001725428 -:0420EE00000172601B -:0420EF00000172700A -:0420F0000001724831 -:0420F1000001716019 -:0420F2000001740075 -:0420F3000001741064 -:0420F4000001742053 -:0420F5000001743042 -:0420F600000173E88A -:0420F700000173F47D -:0420F8000001724829 -:0420F90000000000E3 -:0420FA0000000000E2 -:0420FB0000000000E1 -:0420FC0000000000E0 -:0420FD0000000000DF -:0420FE0000000000DE -:0420FF0000000000DD -:0421000000000000DB -:0421010000000000DA -:0421020000000000D9 -:0421030000000000D8 -:0421040000000000D7 -:0421050000000000D6 -:0421060000000000D5 +:04203F0000016BB879 +:042040000000000498 +:042041000001229CDC +:0420420000016AE04F +:042043000000000099 +:0420440000016BC864 +:042045000000000493 +:04204600000122FE75 +:0420470000016AE04A +:042048000000000094 +:0420490000016BD84F +:04204A00000000048E +:04204B0000012C94D0 +:04204C000000000090 +:04204D00000000008F +:04204E0000016BEC36 +:04204F00000000018C +:04205000000186A95C +:04205100000A01017F +:0420520000013B1E30 +:0420530000016C001C +:042054000000000088 +:04205500000185EA17 +:042056000001000184 +:04205700000184B050 +:0420580000016C1403 +:042059000000000083 +:04205A000001859567 +:04205B00000A000176 +:04205C0000017AF015 +:04205D0000016C24EE +:04205E00000000007E +:04205F000001866492 +:042060000002000179 +:0420610000017AE41C +:0420620000016C38D5 +:042063000000000079 +:04206400000185CA28 +:042065000001000175 +:04206600000184C829 +:0420670000016C48C0 +:042068000000000074 +:042069000001848F5F +:04206A000001000170 +:04206B00000184C824 +:04206C0000016C58AB +:04206D00000000006F +:04206E000001859C4C +:04206F00000100016B +:04207000000184C81F +:0420710000016C6896 +:04207200000000006A +:04207300000185D60D +:042074000003000164 +:0420750000017B5497 +:0420760000016C7881 +:042077000000000065 +:04207800000184944B +:042079000001000161 +:04207A00000184B02D +:04207B0000016C8470 +:04207C000000000060 +:04207D00000185C613 +:04207E00000300015A +:04207F000001836C6D +:0420800000016C9857 +:042081000000000457 +:04208200000141F028 +:042083000000000059 +:042084000000000058 +:0420850000016CAC3E +:042086000000000452 +:04208700000133CC55 +:042088000000000054 +:042089000000000053 +:04208A0000016EE003 +:04208B000000000051 +:04208C000001869534 +:04208D00000300014B +:04208E00000183DCEE +:04208F0000016EF0EE +:04209000000000014B +:042091000001869232 +:04209200001F00002B +:0420930000013A6EA0 +:0420940000016F00D8 +:042095000000000146 +:04209600000186932C +:0420970000FF000046 +:0420980000013A2ADF +:0420990000016F10C3 +:04209A000000000141 +:04209B000001869426 +:04209C0000C80A006E +:04209D0000013A2ADA +:04209E0000016F20AE +:04209F00000000013C +:0420A000000186971E +:0420A1000005000036 +:0420A20000013A14EB +:0420A30000016F3099 +:0420A4000000000137 +:0420A5000001869818 +:0420A6000005000031 +:0420A70000013A14E6 +:0420A80000016FA024 +:0420A9000000000033 +:0420AA000001869615 +:0420AB00000500012B +:0420AC00000183F8B4 +:0420AD0000016FAC13 +:0420AE00000000002E +:0420AF000001869115 +:0420B0000002000129 +:0420B1000001841096 +:0420B20000016FBCFE +:0420B3000000000128 +:0420B400000186A2FF +:0420B50000FF000028 +:0420B600000139FEEE +:0420B70000016FC8ED +:0420B8000000000123 +:0420B900000186A3F9 +:0420BA0000FF000023 +:0420BB00000139FEE9 +:0420BC0000016FD4DC +:0420BD00000000011E +:0420BE00000186A4F3 +:0420BF0000FF00001E +:0420C000000139FEE4 +:0420C10000016FE0CB +:0420C2000000000119 +:0420C300000186A5ED +:0420C40000FF000019 +:0420C500000139FEDF +:0420C60000016FECBA +:0420C7000000000114 +:0420C800000186A6E7 +:0420C90000FF000014 +:0420CA00000139FEDA +:0420CB0000016FF8A9 +:0420CC00000000010F +:0420CD00000186A7E1 +:0420CE0000FF00000F +:0420CF00000139FED5 +:0420D0000001700497 +:0420D100000000010A +:0420D200000186A8DB +:0420D300000F0000FA +:0420D400000139FED0 +:0420D5000001850C75 +:0420D6000000000006 +:0420D7000000000005 +:0420D8000000000004 +:0420D9000000000003 +:0420DA000000000002 +:0420DB00000171C8C7 +:0420DC00000171CCC2 +:0420DD00000171D0BD +:0420DE0000017198F4 +:0420DF00000172B0DA +:0420E000000172CCBD +:0420E1000001732463 +:0420E2000001732C5A +:0420E3000001733451 +:0420E400000172B0D5 +:0420E500000172CCB8 +:0420E600000172D4AF +:0420E700000172E49E +:0420E800000172F48D +:0420E900000172B0D0 +:0420EA00000172BCC3 +:0420EB000001730479 +:0420EC000001731468 +:0420ED0000017280FC +:0420EE000001740475 +:0420EF000001741068 +:0420F00000017198E2 +:0420F10000017280F8 +:0420F20000017288EF +:0420F3000001723442 +:0420F4000001724035 +:0420F5000001724C28 +:0420F6000001725C17 +:0420F700000173BCB5 +:0420F800000173CCA4 +:0420F900000173D897 +:0420FA0000017198D8 +:0420FB000001728CE2 +:0420FC0000017298D5 +:0420FD00000172A8C4 +:0420FE0000017280EB +:0420FF0000017198D3 +:04210000000174382E +:04210100000174481D +:04210200000174580C +:0421030000017468FB +:042104000001742042 +:042105000001742C35 +:0421060000017280E2 :0421070000000000D4 :0421080000000000D3 :0421090000000000D2 @@ -8463,57 +8463,71 @@ :04210E0000000000CD :04210F0000000000CC :0421100000000000CB -:04211100000163D492 -:0421120000018448FC -:0421130000018448FB -:042114000010801027 -:042115000100800045 -:042116000002400083 -:0421170000000001C3 -:042118000002800041 -:0421190000022000A0 -:04211A00000173301D -:04211B0000017274D9 -:04211C00000171C885 -:04211D00000171DC70 -:04211E0000017160EB -:04211F0000017250F9 -:0421200000017278D0 -:0421210000017284C3 -:0421220000017278CE -:0421230000017294B1 -:0421240000017180C5 -:0421250000017188BC -:04212600000173AC95 -:04212700000173BC84 -:04212800000171F051 -:04212900000171F44C -:04212A000001722C12 -:04212B000001723C01 -:04212C00000183E447 -:04212D0000000009A5 -:04212E0000017B4CE5 -:04212F0000000003A9 -:0421300000017C002E -:0421310000000005A5 -:0421320000017C3CF0 -:042133000000000B9D -:0421340000017CA08A -:04213500000000099D -:0421360000017D7CAB -:042137000000000D97 -:0421380000017E30F4 -:04213900000000069C -:04213A0000017F34ED -:04213B00000000059B -:04213C0000017FAC73 -:04213D000000000995 -:04213E00000180100C -:04213F000000000F8D -:04214000000180C456 -:042141000000000694 -:04214200000181F027 +:0421110000000000CA +:0421120000000000C9 +:0421130000000000C8 +:0421140000000000C7 +:0421150000000000C6 +:0421160000000000C5 +:0421170000000000C4 +:0421180000000000C3 +:0421190000000000C2 +:04211A0000000000C1 +:04211B0000000000C0 +:04211C0000000000BF +:04211D0000000000BE +:04211E0000000000BD +:04211F00000163F662 +:0421200000018480B6 +:0421210000018480B5 +:042122000010801019 +:042123000100800037 +:042124000002400075 +:0421250000000001B5 +:042126000002800033 +:042127000002200092 +:0421280000017368D7 +:04212900000172AC93 +:04212A00000172003E +:04212B000001721429 +:04212C0000017198A5 +:04212D0000017288B3 +:04212E00000172B08A +:04212F00000172BC7D +:04213000000172B088 +:04213100000172CC6B +:04213200000171B87F +:04213300000171C076 +:04213400000173E44F +:04213500000173F43E +:04213600000172280A +:042137000001722C05 +:0421380000017264CC +:0421390000017274BB +:04213A000001841C00 +:04213B000000000997 +:04213C0000017B849F +:04213D00000000039B +:04213E0000017C38E8 +:04213F000000000597 +:0421400000017C74AA +:042141000000000B8F +:0421420000017CD844 :04214300000000098F -:0421440000018268AC -:04214500C896554B98 +:0421440000017DB465 +:042145000000000D89 +:0421460000017E68AE +:04214700000000068E +:0421480000017F6CA7 +:04214900000000058D +:04214A0000017FE42D +:04214B000000000987 +:04214C0000018048C6 +:04214D000000000F7F +:04214E00000180FC10 +:04214F000000000686 +:0421500000018228E0 +:042151000000000981 +:04215200000182A066 +:04215300C896554B8A :00000001FF diff --git a/sys.sopcinfo b/sys.sopcinfo index d2f87b0..ad7cca4 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1570479428 + 1570657889 false true false