diff --git a/ossc.qsf b/ossc.qsf index 0ce1aba..bdb144a 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -224,7 +224,7 @@ set_global_assignment -name ENABLE_SIGNALTAP OFF set_global_assignment -name USE_SIGNALTAP_FILE output_files/ossc_la.stp set_global_assignment -name FITTER_EFFORT "AUTO FIT" -set_global_assignment -name SEED 16 +set_global_assignment -name SEED 12 diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 1e15479..6d19bf9 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -45,9 +45,9 @@ :04002C000000911728 :04002D00F5010113C5 :04002E000000771740 -:04002F0032870713FA +:04002F003CC70713B0 :0400300000008797AE -:040031008507879325 +:0400310090478793DA :0400320000F7576319 :04003300000720237F :04003400DDE30711F0 @@ -56,41 +56,41 @@ :04003700C4064501B5 :04003800200520057A :04003900A60367DDD6 -:04003A0067DD3E0739 -:04003B003E47A58314 +:04003A0067DD4847EF +:04003B004887A583CA :04003C0040A267DD9A -:04003D003E87A50352 +:04003D0048C7A50308 :04003E00206F0131FD -:04003F00A3A10AE08F +:04003F00A3A10B402E :0400400067DD808276 :04004100000207377B -:040042003EE7A823CA +:0400420048E7AA23BE :0400430007B7655D39 :04004400675D019C57 :04004500CC078793CA -:04004600A8450513B1 -:040047003EF7262337 +:04004600B285051367 +:0400470048F728232B :04004800675DAE49F9 -:040049003EC7270384 +:040049004907270339 :04004A00A78367DD44 -:04004B00557D3F0799 +:04004B00557D49474F :04004C004721CB116C :04004D006741C3D86C :04004E00C798177DBB :04004F004711C7D8B6 :040050004501C3D8CB :0400510067DD808265 -:040052003EC7A7837B +:040052004907A78330 :04005300CF91557D77 :04005400A70367DDBA -:0400550028233F0716 +:0400550028234947CC :040056004B1C000738 :0400570007C24B4849 :0400580083C1054219 :0400590045138D5D61 :04005A008082FFF5AC :04005B00A50367DDB5 -:04005C0080823EC799 +:04005C00808249074E :04005D002703C93973 :04005E0011510C052B :04005F0000859793EE @@ -174,7 +174,7 @@ :0400AD00953E8626D0 :0400AE00CC3ACE1A60 :0400AF00C836CA166F -:0400B000129040EF7B +:0400B0001B3040EFD2 :0400B10007B3101071 :0400B200C03E0096B6 :0400B3008793678543 @@ -273,7 +273,7 @@ :04011000C222853A48 :040111008436C40666 :0401120040EFC026D4 -:04011300872A79E0DE +:04011300872A0290A5 :0401140025B76605A0 :04011500652100025E :040116000613468105 @@ -308,7 +308,7 @@ :04013300E963FEB7C7 :0401340066D902F690 :040135008693078A1C -:0401360097B68206F0 +:0401360097B68AC628 :040137008782439CDC :040138002000079309 :040139000D05268307 @@ -341,7 +341,7 @@ :04015400D918DD5C7D :0401550002052A2352 :04015600C134DD10C3 -:0401570032858593D5 +:040157003CC585938B :0401580040A222019E :04015900853E478117 :04015A00808201316D @@ -408,7 +408,7 @@ :04019700BFD5078544 :04019800451CC11928 :0401990067DDEF919E -:04019A003247A783BE +:04019A003C87A78374 :04019B001151C395A6 :04019C009782C4067C :04019D0047D940A25C @@ -419,7 +419,7 @@ :0401A200C188C3C885 :0401A3008082450110 :0401A4000513656179 -:0401A50047D990C5E1 +:0401A50047D99C0595 :0401A6005529C11CFA :0401A70067338082B8 :0401A80053FD00B54E @@ -595,7 +595,7 @@ :04025200BF5901315E :04025300C222115161 :040254000413645DCE -:040255004583404459 +:0402550045834A840F :040256004515002426 :040257003749C40659 :0402580000244783B4 @@ -671,9 +671,9 @@ :04029E00359D0C502E :04029F0064DD4702D1 :0402A0008693479268 -:0402A100C698404477 +:0402A100C6984A842D :0402A20084934705F5 -:0402A3004409404486 +:0402A30044094A843C :0402A4008363462208 :0402A500442900E701 :0402A6008223470563 @@ -854,12 +854,12 @@ :040355008432C036F8 :040356003659CA0644 :04035700675D468216 -:040358004047061301 +:040358004A870613B7 :0403590097938A8567 :04035A0046830016C0 :04035B009AF500C649 :04035C0007938EDD98 -:04035D0006234047EC +:04035D0006234A87A2 :04035E00C03E00D6C7 :04035F00C2998A892C :040360003F494505C7 @@ -900,11 +900,11 @@ :0403830047C104F476 :04038400906365DD40 :04038500460D16F417 -:040386003305859323 +:040386003D458593D9 :040387000730051323 :0403880065DD34F902 :0403890085934649C9 -:04038A00A83DB8854D +:04038A00A83DC2C503 :04038B000405E593ED :04038C00E593BFA591 :04038D00BF8D080513 @@ -915,11 +915,11 @@ :040392007413FA04E2 :04039300B7750FF437 :04039400460D65DDD0 -:0403950033458593D4 +:040395003D8585938A :040396000730051314 :04039700A93FF0EF9B :04039800464965DD90 -:04039900B9C58593CA +:04039900C40585937F :04039A0007600513E0 :04039B00A83FF0EF98 :04039C000513448978 @@ -981,17 +981,17 @@ :0403D400450544B2E5 :0403D50080820161C0 :0403D600460D65DD8E -:0403D7003345859392 +:0403D7003D85859348 :0403D80007300513D2 :0403D90098BFF0EFEA :0403DA00464965DD4E -:0403DB00B74585930A +:0403DB00C1858593C0 :0403DC00460DBDE528 -:0403DD0033058593CC +:0403DD003D45859382 :0403DE0007300513CC :0403DF00973FF0EF65 :0403E000464965DD48 -:0403E100B605859345 +:0403E100C0458593FB :0403E2004401B5C558 :0403E300B5D54481C7 :0403E400051345E1D7 @@ -1016,9 +1016,9 @@ :0403F7000590051355 :0403F800F06F013170 :0403F90067DD8FFF2E -:0403FA00A8478793F6 -:0403FB002A23675DED -:0403FC00A70340F71C +:0403FA00B2878793AC +:0403FB002C23675DEB +:0403FC00A7034AF712 :0403FD0005130C8751 :0403FE00CB01F380BC :0403FF000D47A703FC @@ -1027,7 +1027,7 @@ :0404020080824501AE :0404030086AE67DD7D :04040400A50385AA1D -:040405001151414709 +:0404050011514B87BF :04040600F0EFC40649 :04040700C119C02F28 :04040800F370051375 @@ -1039,7 +1039,7 @@ :04040E00872E86AA05 :04040F0064DD062280 :04041000A503C385F8 -:04041100759341445A +:0404110075934B8410 :0404120005A2F0044B :040413009EAFF0EFB9 :040414000513C119F2 @@ -1047,7 +1047,7 @@ :0404160044B2444266 :04041700808201617D :04041800A503C22A4C -:04041900C42E414468 +:04041900C42E4B841E :04041A00C03285B2B5 :04041B0097EFF0EF78 :04041C0046924602BC @@ -1092,19 +1092,19 @@ :04044300B61346A204 :0404440085BA001461 :04044500C236853600 -:0404460077C020EF6C +:04044600007020EF33 :040447008493872AE9 :0404480046921004C4 :040449001141B77D29 :04044A00645DC42207 :04044B000513C02AAB -:04044C00C60641841B -:04044D007D6030EFAF +:04044C00C6064BC4D1 +:04044D00061030EF76 :04044E00E9094582F1 :04044F00200007136F :04045000460146819A -:0404510041840513CA -:0404520010B030EFC7 +:040451004BC4051380 +:04045200195030EF1E :04045300442240B24D :040454008082014160 :04045500C822112187 @@ -1120,9 +1120,9 @@ :04045F0067DD010351 :04046000468183410D :040461008513862257 -:04046200C41E4187EC +:04046200C41E4BC7A2 :0404630030EFC21A9A -:0404640043120C50E3 +:04046400431214F03B :04046500C90143A2E4 :0404660040A005337A :04046700444240D2F9 @@ -1137,29 +1137,29 @@ :04047000406484B3AD :0404710065DDB74549 :040472008593655DAC -:04047300051346C562 -:04047400206F42C5EE -:0404750065DD12D05F +:040473000513510517 +:04047400206F4D05A3 +:0404750065DD1B70B6 :040476008593655DA8 -:0404770005134D0517 -:04047800206F4405A8 -:04047900115111D03C +:0404770005135745CD +:04047800206F4E455E +:0404790011511A7093 :04047A00C406C222D0 :04047B00F0EF842AF0 :04047C0045819B3FDC :04047D00F0EF450156 :04047E00E435A9BFF9 :04047F000713675D9B -:04048000434848079E +:040480004348524754 :04048100019C07B71C :04048200CC07879389 :0404830002A7D7B342 :0404840000A70603C4 :04048500450345F1F5 :0404860066DD010727 -:0404870056C686933C +:0404870062068693F0 :040488000413645D98 -:0404890006334EC424 +:0404890006335904D9 :04048A00458302B6EE :04048B0087B30264CD :04048C0096B202A77B @@ -1190,14 +1190,14 @@ :0404A500AB3FF0EF8A :0404A600645DE49D10 :0404A700879367DDF3 -:0404A80004134EC724 -:0404A900C6834804BA +:0404A80004135907D9 +:0404A900C683524470 :0404AA00470301679C :0404AB00460100F412 :0404AC004501458140 :0404AD00D08FF0EF0D :0404AE00879367DDEC -:0404AF00C7834EC7EA +:0404AF00C78359079F :0404B00007A3016736 :0404B100852602F4A6 :0404B20044123F3978 @@ -1207,21 +1207,21 @@ :0404B600C406115116 :0404B700ED2DC22243 :0404B800C78367DDB2 -:0404B900472145177B +:0404B90047214F5731 :0404BA0004E78C6364 :0404BB0089634741C9 :0404BC00471100E7FD :0404BD0004E79E634F -:0404BE00621020EFB9 +:0404BE006AB020EF11 :0404BF00A0A14505AE :0404C0000713675D5A -:0404C1004350480755 +:0404C100435052470B :0404C200019C07B7DB :0404C300CC07879348 :0404C40002C7D7B3E1 :0404C50000A7060383 :0404C600675D45F138 -:0404C70056C70713FA +:0404C70062070713AE :0404C800063386AAC7 :0404C900973202B6AE :0404CA0000E75703ED @@ -1230,19 +1230,19 @@ :0404CD0037F70713E3 :0404CE0000F763636D :0404CF00853646BD6B -:0404D0005D9020EF2C +:0404D000663020EF83 :0404D1004412450D7F :0404D200013140A212 -:0404D3001950206F2D -:0404D4005C9020EF29 +:0404D30021F0206F85 +:0404D400653020EF80 :0404D500BFC5450159 :0404D600871367DD44 -:0404D70046834EC743 +:0404D70046835907F8 :0404D80047090207C7 -:0404D9004EC7841373 +:0404D9005907841328 :0404DA008363453DB6 :0404DB00450100E6F1 -:0404DC005A9020EF23 +:0404DC00633020EF7A :0404DD00020447834B :0404DE00450D470978 :0404DF00FCF775E3CE @@ -1256,20 +1256,20 @@ :0404E7000C07268355 :0404E8000006D463D3 :0404E900FBFD17FD03 -:0404EA00014030EFAE +:0404EA0009E030EF06 :0404EB00879362DDB4 -:0404EC00C783480278 +:0404EC00C78352422E :0404ED00842A00B7A6 -:0404EE0048028493A9 +:0404EE00524284935F :0404EF001737C7995B :0404F000278300025C :0404F10083C10C07B0 :0404F20005138B8DD6 :0404F300C63E03708E -:0404F40018F020EFED +:0404F400219020EF44 :0404F5000513C02A01 :0404F60020EF038070 -:0404F70045821850D2 +:0404F700458220F02A :0404F80016936605EC :0404F9000613008561 :0404FA008EF1F00689 @@ -1278,10 +1278,10 @@ :0404FD000513C22AF7 :0404FE00C43603906D :0404FF0000C484A30E -:0405000015F020EFE3 +:040500001E9020EF3A :040501000513C02AF4 :0405020020EF03A043 -:040503001637155042 +:0405030016371DF09A :0405040047B20002F8 :040505000C062603B7 :04050600438245895E @@ -1304,8 +1304,8 @@ :0405170047850015FF :0405180000B4C30365 :04051900675D665D57 -:04051A003F4606133F -:04051B004EC70713AD +:04051A0049860613F5 +:04051B005907071362 :04051C001A031A6341 :04051D003C04026335 :04051E003C058063B5 @@ -1315,7 +1315,7 @@ :040522004605000684 :0405230000C485A3E8 :04052400A6034411D5 -:040525001313480262 +:040525001313524218 :0405260065050085E2 :04052700F0050513C3 :0405280000A3733386 @@ -1328,7 +1328,7 @@ :04052F0000661663E9 :040530000084C6037A :0405310036F60D632A -:040532004C0586A34B +:04053200560588A33F :0405330000C7458335 :040534000254C603A4 :0405350008C59A63F8 @@ -1378,7 +1378,7 @@ :0405610045F100A4BC :0405620002B60633A4 :04056300859365DD3A -:04056400962E56C5B4 +:04056400962E620568 :0405650001065583B3 :0405660020D0061388 :0405670000C59963CF @@ -1386,12 +1386,12 @@ :0405690000B4736304 :04056A0074134609B7 :04056B00665D0FF6C4 -:04056C004E5646039E +:04056C005896460354 :04056D008603CE2112 :04056E0045F100A4AF :04056F00063363DD0F :04057000839302B6B9 -:04057100C50356C3A5 +:04057100C503620359 :04057200C08301043D :0405730015930324B5 :0405740081C101033D @@ -1404,12 +1404,12 @@ :04057B008A05820566 :04057C00C21AC43E9D :04057D0020EFC03675 -:04057E00675D121093 +:04057E00675D1AB0EB :04057F00431246825B :0405800062DD47A24F -:040581004EC7071347 +:0405810059070713FC :040582004405E0113B -:0405830048D2A02397 +:0405830052D2A2238B :040584000064A2234A :0405850000F48423D7 :040586000585A02D1A @@ -1423,7 +1423,7 @@ :04058E00000485A33D :04058F009AE3441196 :0405900067DDE4053A -:040591004EC7C60388 +:040591005907C6033D :040592000194C68387 :0405930006D61E6307 :040594000017460303 @@ -1461,65 +1461,65 @@ :0405B4000314C683E3 :0405B50000A68D63AC :0405B6000104C583F4 -:0405B7002E1020EFF3 +:0405B70036B020EF4B :0405B80088A367DDD0 :0405B900871300A400 -:0405BA00E0114EC737 +:0405BA00E0115907EC :0405BB0045034405AB :0405BC00C68301C72A :0405BD0087630354F9 :0405BE0020EF00A684 -:0405BF0067DD2FB015 -:0405C0004EC7871388 +:0405BF0067DD38506C +:0405C000590787133D :0405C10001D7450316 :0405C2000364C68385 :0405C30000A68763A4 -:0405C40078C020EFEC +:0405C400017020EFB3 :0405C500871367DD54 -:0405C60045034EC7D4 +:0405C6004503590789 :0405C700C68301E7FF :0405C80087630374CE :0405C90020EF00A679 -:0405CA0067DD780071 -:0405CB004EC787137D +:0405CA0067DD00B039 +:0405CB005907871332 :0405CC0002174503CA :0405CD0003A4C6833A :0405CE000227458338 :0405CF0000A6966389 :0405D00003B4C68327 :0405D10000B6876386 -:0405D200736020EF43 +:0405D2007C0020EF9A :0405D300871367DD46 -:0405D40045034EC7C6 +:0405D400450359077B :0405D500C68301B721 :0405D6008E630344E9 :0405D70046E100A653 :0405D80002D5053310 :0405D900869366D9C6 -:0405DA00953663C629 -:0405DB000B5020EFB2 +:0405DA0095366E06DE +:0405DB0013F020EF0A :0405DC00871367DD3D -:0405DD0045034EC7BD +:0405DD004503590772 :0405DE00C6830207C7 :0405DF008763039497 :0405E000F0EF00A692 :0405E10067DDB57F9E -:0405E2004EC7871366 +:0405E200590787131B :0405E30001F74503D4 :0405E4000384C68343 :0405E50000A6846385 -:0405E6001A5020EF98 +:0405E60022F020EFF0 :0405E70065DD66DD8B -:0405E80051568513D0 +:0405E8005B96851386 :0405E9008593461D93 -:0405EA0030EF4C257D -:0405EB0067DD418007 +:0405EA0030EF566533 +:0405EB0067DD4A205E :0405EC00871366DD2E -:0405ED00C9014EC72B -:0405EE0051568513CA -:0405EF006F2020EF6A +:0405ED00C9015907E0 +:0405EE005B96851380 +:0405EF0077C020EFC2 :0405F000871367DD29 -:0405F10046034EC7A8 +:0405F100460359075D :0405F200C683026753 :0405F300186303F492 :0405F400460300D6E4 @@ -1528,33 +1528,33 @@ :0405F700450300D6E2 :0405F800F0EF0157C8 :0405F90067DDA05FBB -:0405FA004EC787134E +:0405FA005907871303 :0405FB00C68366DD70 -:0405FC00CE814E66F8 +:0405FC00CE8158A6AE :0405FD000287450329 :0405FE000414C70317 :0405FF0000A70763E7 :040600000562155129 :0406010020EF856100 -:0406020067DD1AC0D6 -:040603004EC78593C6 +:0406020067DD23602D +:04060300590785937B :0406040001948513C5 :0406050003100613C5 -:040606003D0030EF94 +:0406060045A030EFEC :0406070040E2852226 :0406080067DD445214 -:040609004E0782A373 +:04060900580784A367 :04060A00017144C274 :04060B00137D808259 :04060C000066002361 :04060D00B5214401CE :04060E0000060023BF :04060F00C603BFE57A -:0406100045054CD57B +:040610004505571530 :04061100C8A604E390 :040612007613060550 -:0406130086A30FF6B5 -:040614001DE34CC5D1 +:0406130088A30FF6B3 +:040614001DE356C5C7 :040615004589C6A6A7 :040616007363862262 :04061700460900B4DC @@ -1562,14 +1562,14 @@ :040619001101B1A575 :04061A00CE22675D28 :04061B000693CC2650 -:04061C008503480703 +:04061C0085035247B9 :04061D0047F100A6FB :04061E0007B3635D5E :04061F00061302F5C7 -:04062000041356C3A6 -:04062100C58356C374 +:04062000041362035A +:04062100C583620328 :0406220007130106B3 -:0406230097B248073B +:0406230097B25247F1 :0406240000C7D60332 :040625000147C28344 :04062600C603C03215 @@ -1612,7 +1612,7 @@ :04064B00479163412F :04064C00FA661BE34C :04064D004383635D23 -:04064E0043214513EC +:04064E0043214F53A2 :04064F00006396634B :0406500002C202865A :040651000102D2933D @@ -1731,13 +1731,13 @@ :0406C2001111BF4D06 :0406C300CA2267DD03 :0406C400645D470525 -:0406C5004CE786A3D5 -:0406C600480407934A +:0406C50056E788A3C9 +:0406C6005244079300 :0406C7000047A283C3 :0406C800CC06C8266E -:0406C900480404934A +:0406C9005244049300 :0406CA001A0282632B -:0406CB0048042703B5 +:0406CB00524427036B :0406CC0018070E639A :0406CD00A0EEC7B71D :0406CE00B007879357 @@ -1750,65 +1750,65 @@ :0406D5000257D2B343 :0406D60003B0051355 :0406D700C21EC61663 -:0406D8001FE020EF10 +:0406D800288020EF67 :0406D9000513C42A17 :0406DA0020EF03C04A -:0406DB00C7831F4072 +:0406DB00C78327E0CA :0406DC00C6030184CC :0406DD0043920084C0 :0406DE000027971347 :0406DF00879367D9BD -:0406E00097BA89C775 +:0406E00097BA9287AC :0406E1002703439414 -:0406E200079348042E +:0406E20007935244E4 :0406E30042B2070018 :0406E4000793E2197D :0406E50066370690DE :0406E600655D00014D -:0406E700950606135B +:0406E7009DC6061393 :0406E800051345C5EC -:0406E900C2164405EC +:0406E900C2164E45A2 :0406EA0030EFC61E09 -:0406EB0042921160C6 +:0406EB0042921A001D :0406EC00079343B27B :0406ED00069306402A :0406EE00655D3E8088 :0406EF0002F2D73309 :0406F000051345C5E4 -:0406F100F6334D058A +:0406F100F633574540 :0406F200C23A02D333 :0406F30002F2F2B36A :0406F400472987BA51 :0406F50002E657338F :0406F6000001663762 :0406F7000613C01610 -:0406F800D6B395865A +:0406F800D6B39E4691 :0406F90030EF02D309 -:0406FA0067DD0DA00B -:0406FB005697C783C4 +:0406FA0067DD164062 +:0406FB0060D7C7837A :0406FC00F0EFE3999F :0406FD0067DDDE4F88 -:0406FE004E47C6831A +:0406FE005887C683D0 :0406FF00C583461257 :040700002503008449 -:0407010020EF480499 -:0407020067DD00109F +:0407010020EF52444F +:0407020067DD08B0F7 :0407030086BE577DDA :040704000CE5056398 :0407050000A48523A4 :0407060000A48703C1 :04070700467167DDF3 -:0407080042E783231E +:040708004CE7852312 :0407090002C70733E9 :04070A00879367DD8D -:04070B00C50356C705 -:04070C00C6834E460C +:04070B00C5036207B9 +:04070C00C6835886C2 :04070D0040D00104D3 :04070E000324C2837B :04070F00C70397BACB :040710008D79016777 -:0407110008A3675D75 -:04071200D58344A7A0 +:040711000AA3675D73 +:04071200D5834EA796 :04071300472200E792 :0407140002D585B3D2 :040715000FF7771350 @@ -1820,12 +1820,12 @@ :04071B004F940002F5 :04071C008A858285C3 :04071D000FF7771348 -:04071E005F0020EF69 +:04071E0067A020EFC1 :04071F000394C50377 :04072000E58FF0EF82 :040721000104C58387 :040722000314C503F4 -:04072300530020EF70 +:040723005BA020EFC8 :0407240000A488A302 :04072500BD3FF0EFF5 :0407260002E4C7839F @@ -1857,5561 +1857,5602 @@ :04074000017144C23D :040741001151808250 :0407420064DDC0268C -:040743004294C50314 -:04074400C406C22203 -:04074500021010EF9F -:04074600E121842AFF -:040747004294C6838F -:04074800472967DDF9 -:0407490046D78EA35E -:04074A00C78367DD1D -:04074B00856351C7AA -:04074C00675D00E7FE -:04074D0042F705A3C7 -:04074E00C70367DD99 -:04074F00478147E7B0 -:0407500067DDC70199 -:0407510042B7C78361 -:040752000713675DC5 -:0407530097BA45C745 -:040754008023453D7C -:0407550010EF00D7CA -:04075600852269800F -:04075700441240A266 -:0407580001314482A5 -:040759001151808238 -:04075A0064DDC02674 -:04075B004294C503FC -:04075C00C406C222EB -:04075D0067A010EF92 -:04075E00E51D842AE7 -:04075F004294C68377 -:040760008EA367DD20 -:0407610067DD46D733 -:0407620047E7C7039B -:04076300C701478102 -:04076400C78367DD03 -:04076500675D4987FC -:0407660045C7071369 -:04076700453D97BABB -:0407680000D7802313 -:0407690064A010EF89 -:04076A0040A2852202 -:04076B00448244126E -:04076C008082013155 -:04076D00C70367DD7A -:04076E0046F14267A7 -:04076F00862367DD99 -:0407700007334CE718 -:0407710067DD02D767 -:0407720056C787934C -:04077300D68397BAD8 -:04077400675D00E7D6 -:0407750046D714232C -:040776000147C683EE -:040777001423675D83 -:04077800C6834ED70F -:04077900675D012790 -:04077A0042D712232D -:04077B0000A7D6837A -:04077C001D23675D75 -:04077D00C68344D714 -:04077E00675D01575B -:04077F0044D719231F -:040780000137C683F4 -:040781001123675D7C -:04078200D7034ED774 -:0407830067DD00C767 -:0407840044E79B2388 -:0407850067DD80822A -:04078600C603115144 -:0407870067DD4CC717 -:04078800D483C2262E -:0407890067DD46875B -:04078A00D403C422AE -:04078B0067DD4E8751 -:04078C004247C3839A -:04078D00D28367DDCF -:04078E0067DD45A737 -:04078F004527D30324 -:04079000C58367DDD9 -:04079100675D4E272B -:04079200D78367DDC5 -:04079300071345679C -:0407940086AA4807E2 -:0407950000B7450361 -:0407960067DDC03E1D -:0407970056C7879327 -:040798000703C93159 -:04079900176300A73B -:04079A00477104C7D8 -:04079B0002E6073338 -:04079C005503973E2C -:04079D001B6300E7F3 -:04079E004503029578 -:04079F001763014794 -:0407A0004503028586 -:0407A10013630127B6 -:0407A2005503027584 -:0407A3001F6300A729 -:0407A40045030055B4 -:0407A5001B6301577A -:0407A60045030065A2 -:0407A700176301379C -:0407A800570300B53E -:0407A900450200C73E -:0407AA0000A706633B -:0407AB004505675D3C -:0407AC004EA702A3AF -:0407AD000633477157 -:0407AE00655D02E69D -:0407AF0046C5051323 -:0407B00089A397B2D0 -:0407B1008A2300B7E0 -:0407B2008923008710 -:0407B3008AA300779E -:0407B4004702006791 -:0407B5009723442220 -:0407B60044920097D2 -:0407B700005795232F -:0407B80000E796239D -:0407B900000166379E -:0407BA005FC60613FD -:0407BB00013145C5FE -:0407BC005D10206F3D -:0407BD00C40611510C -:0407BE0047C5C22247 -:0407BF0000021437E9 -:0407C0000EF42023F0 -:0407C1000E042023DF -:0407C2000A042823DA -:0407C3002823650979 -:0407C400051308040D -:0407C500E0EF7105EB -:0407C60007139E8FE8 -:0407C70067DD1D10BD -:0407C80044E79A2345 -:0407C90000031537DD -:0407CA001D10079364 -:0407CB000EF42023E5 -:0407CC00D405051338 -:0407CD009CAFE0EF0E -:0407CE0000062637C4 -:0407CF00019C05B7CD -:0407D0000404051305 -:0407D100A80606135D -:0407D200CC0585933A -:0407D300E26FE0EF02 -:0407D40030F010EF02 -:0407D500549010EF3D -:0407D600C941547948 -:0407D70010EF4501D9 -:0407D8000793601013 -:0407D90054750FF054 -:0407DA0008F50163BA -:0407DB001F8020EF6C -:0407DC00E0EF4509FC -:0407DD0047CD95FF70 -:0407DE0018635471D7 -:0407DF00E0EF06F54C -:0407E00010EF9CFF7B -:0407E100C5092530F1 -:0407E200470567DD83 -:0407E3004EE7832337 -:0407E400856FF0EF3E -:0407E500ED39842A3C -:0407E60065D92D950F -:0407E7000613655D33 -:0407E80085930310E2 -:0407E90005139F85D0 -:0407EA0020EF49951E -:0407EB0065D943F099 -:0407EC000613655D2E -:0407ED00859303608D -:0407EE000513B685B4 -:0407EF0020EF5205A0 -:0407F000453D42B091 -:0407F100570010EFAE -:0407F200C50367DDF7 -:0407F30010EF47D7E5 -:0407F40017B756607D -:0407F500A7830002D4 -:0407F60097130D0741 -:0407F700436300E771 -:0407F800259D000734 -:0407F900F0EF4501D7 -:0407FA008522A88F1D -:0407FB00441240A2C2 -:0407FC0080820131C5 -:0407FD00BFD5547D93 -:0407FE00C78367DD69 -:0407FF00110142772B -:040800000785CC227A -:040801000FF7F79363 -:0408020007C207B270 -:04080300C63E83C1A9 -:040804005783645D55 -:0408050047324544ED -:04080600CA26CE062A -:0408070067218FD9FD -:040808001A238FD947 -:04080900173744F465 -:04080A00663700024B -:04080B0064DD0001A7 -:04080C000EF72023A0 -:04080D008C860613BC -:04080E00851345C544 -:04080F0020EF46C4CC -:04081000F0EF48308D -:040811006741984F54 -:040812004785177D82 -:040813001737C83A91 -:040814002683000235 -:0408150046420D0743 -:04081600C2368EF167 -:04081700C2D5E3DD86 -:04081800869366DD80 -:04081900D6035206AA -:04081A00459201669C -:04081B004544578376 -:04081C000EB61E6393 -:04081D0016FD76F559 -:04081E001A238FF515 -:04081F00202344F45A -:0408200086230EF726 -:04082100F0EF4604AA -:040822002537940FD3 -:0408230005130006B3 -:04082400E0EFA80554 -:04082500578386CFA0 -:0408260047324544CC -:0408270005136691BE -:040828008FD93E80A6 -:040829001A238FD52A -:04082A00173744F444 -:04082B002023000284 -:04082C0020EF0EF7B4 -:04082D0020EF2C701C -:04082E0047852ED0FC -:04082F0000F5186355 -:04083000000217B7F4 -:04083100C43E5BBCAA -:04083200FE07D7E303 -:040833002EF020EF94 -:0408340000815703E5 -:040835006637EF151E -:0408360006130001A4 -:0408370045C58D46E0 -:0408380046C485131A -:040839003DD020EF9F -:04083A008DEFF0EF5F -:04083B0045445703D6 -:04083C0017FD77F13C -:04083D001A238FF9F2 -:04083E00173744F430 -:04083F002023000270 -:0408400065090EF741 -:040841007105051325 -:04084200FF7FD0EF75 -:04084300B7814792A0 -:04084400176347C22D -:04084500663700F71B -:040846000613000194 -:04084700B7C18E4661 -:04084800658547A2D9 -:0408490083C115FD55 -:04084A00064006134B -:04084B0056B38FED24 -:04084C00773302C735 -:04084D009C6302C7DF -:04084E00663700B752 -:04084F00061300018B -:0408500045C58EC646 -:0408510046C4851301 -:04085200379020EFCC -:04085300F5B3BF71C9 -:04085400851302C73F -:04085500D7B346C40B -:04085600663702C738 -:04085700C02E0001AE -:040858008F8606136E -:0408590020EF45C582 -:04085A00BFBD35B039 -:04085B000186D683B9 -:04085C009DE34612C0 -:04085D0007D2F6C602 -:04085E001A2383D105 -:04085F0040F244F42B -:0408600020234462AB -:0408610044D20EF778 -:0408620061054501E6 -:04086300115180822D -:04086400F0EFC406E7 -:0408650010EF979F5A -:0408660067DD4B30CF -:04086700C50340A2E3 -:0408680001315017F3 -:040869008CAFF06FF1 -:04086A00FD41011338 -:04086B00D222D406BB -:04086C00D0EFD026D3 -:04086D00842AFBBF1F -:04086E00D3DFF0EFF5 -:04086F0064DD86AA14 -:04087000C663655D99 -:0408710066371206CE -:040872000713000167 -:040873004681052095 -:0408740090C6061311 -:04087500051345C55D -:0408760020EF440526 -:0408770065B72E70C3 -:0408780046450001F0 -:0408790093C585930B -:04087A004D04851391 -:04087B001FD020EF7B -:04087C00FE7FE0EF2C -:04087D000007A53794 -:04087E001205051347 -:04087F00F03FD0EF87 -:04088000F23FD0EF84 -:0408810000A4551367 -:0408820012C0079306 -:0408830002F507B3C0 -:04088400C602645DE7 -:04088500C002C402E7 -:040886000413C20293 -:04088700CC3E480417 -:04088800C83E479986 -:04088900000217B79B -:04088A000D07A7832C -:04088B00655D665DE4 -:04088C0001079713B6 -:04088D00C69383414A -:04088E002023FFF72D -:04088F0083E156E6C5 -:040890000003073723 -:04089100F5938F75D7 -:0408920066DD0FF719 -:0408930056E6A22360 -:0408940056B504232E -:0408950086368532EC -:04089600CF8166DDCB -:04089700FFE587935F -:040898000FF7F793CC -:040899007663430D32 -:04089A00C78300F31D -:04089B00946355C647 -:04089C00202300B75E -:04089D0067DD5605B8 -:04089E0054B68E239B -:04089F005587A68350 -:0408A00054E7AC234A -:0408A10066DDEAD155 -:0408A2004E76C78344 -:0408A300C3F164DD5C -:0408A4000184478301 -:0408A500675DCFDDDF -:0408A60000B44783D0 -:0408A7005697460317 -:0408A800EBC58FD13C -:0408A900EA3FD0EF63 -:0408AA00646347E25A -:0408AB0047320AF5D1 -:0408AC000630079378 -:0408AD0008E7EF6306 -:0408AE00C68366DDBA -:0408AF0047854E76B5 -:0408B000876346050F -:0408B10047C200F644 -:0408B200FFA7861303 -:0408B30000C0363318 -:0408B40001844783F1 -:0408B50017FD46A144 -:0408B6000FF7F793AE -:0408B70004F6E963F7 -:0408B800078A66D96C -:0408B9008546869357 -:0408BA00439C97B60E -:0408BB006637878293 -:0408BC00061300011E -:0408BD0045C5920695 -:0408BE0044050513D5 -:0408BF001C5020EFBA -:0408C000000165B717 -:0408C1008593464590 -:0408C2008513EA456B -:0408C30020EF4D04D1 -:0408C400E0EF24D06D -:0408C500A001EC5F43 -:0408C600560622238D -:0408C70067DDB7AD85 -:0408C8004587C78316 -:0408C90000F037B351 -:0408CA0085A3078972 -:0408CB0047B242F4FA -:0408CC000785474213 -:0408CD000FF7F79397 -:0408CE004799C63E42 -:0408CF0000F70763C4 -:0408D0000017079373 -:0408D1000FF7F79393 -:0408D200D0EFC83E5D -:0408D3004785DD9FD9 -:0408D4002915C43EE0 -:0408D500C78367DD91 -:0408D600CA2A56973D -:0408D7004501C7818F -:0408D8005E1000EFBF -:0408D90042B4C783DB -:0408DA0001844683CC -:0408DB0000F684633C -:0408DC00E711475287 -:0408DD00CB0D4722D6 -:0408DE0000B4468399 -:0408DF0066DDC69577 -:0408E00047E6C7031D -:0408E100C30DC43A45 -:0408E200869366DDB6 -:0408E30097B645C6B9 -:0408E4000007C50341 -:0408E500C68367DD82 -:0408E600C40247D72A -:0408E70000A686637E -:0408E80046A78EA3EE -:0408E900190010EFF3 -:0408EA0042B4C6034B -:0408EB0001844783BA -:0408EC0012C7836349 -:0408ED00473D67DD3F -:0408EE004EE782232C -:0408EF0085BE470D6E -:0408F00006C7736361 -:0408F100F4634795D0 -:0408F200478906C765 -:0408F3004509470567 -:0408F4000793C23E66 -:0408F500F793FFF680 -:0408F60046A10FF711 -:0408F70004F6ED63B3 -:0408F800078A66D92C -:0408F90087868693D5 -:0408FA00439C97B6CE -:0408FB004785878224 -:0408FC0067DDFE0DA9 -:0408FD003377C78303 -:0408FE000017B79395 -:0408FF00B735079171 -:04090000D605479938 -:0409010042B4C783B2 -:04090200479DB70D49 -:0409030067DDBF39B4 -:0409040042A7C783BC -:0409050000F037B314 -:04090600BF0107A185 -:0409070016E3479913 -:040908004785F00629 -:04090900470DB719C6 -:04090A00C2024505DB -:04090B004709B75D84 -:04090C00BFE54501FD -:04090D004783C0025A -:04090E000C2303941F -:04090F0005A300C478 -:040910004685000414 -:04091100F663458DB7 -:04091200459500F611 -:04091300F5938D9D2E -:04091400CE3A0FF5D3 -:040915000C3010EFA3 -:04091600193010EF95 -:04091700F44FE0EFCA -:04091800C78367DD4D -:0409190047724E676C -:04091A00853AC781D2 -:04091B00520010EF87 -:04091C0045124582B9 -:04091D0067D010EFA0 -:04091E000184478386 -:04091F004645655D87 -:040920000027971302 -:04092100879367D978 -:0409220097BA89C730 -:040923000513438CE9 -:040924002223440541 -:0409250020EF0004BB -:0409260065B70C5055 -:04092700655D000109 -:040928008593464528 -:04092900051393051A -:04092A0020EF4D0568 -:04092B0067DD0B1069 -:04092C005697C78390 -:04092D00E0EFE3997B -:04092E0047D2D21FBB -:04092F0067DDCF8928 -:040930004287C70330 -:04093100156347A95A -:04093200453D00F748 -:04093300723000EF2F -:04093400C53FD0EFFC -:0409350067DDC602B2 -:040936004EC787130E -:04093700015746839B -:0409380002E447038B -:040939004EC787938B -:04093A0002E68863E6 -:04093B0046814701A9 -:04093C0045814601AA -:04093D00E0EF4501A1 -:04093E0064DDAC6F59 -:04093F004EC4879388 -:040940000157C50393 -:04094100D6BFE0EF4E -:040942004EC4879385 -:040943000157C7038E -:040944000004222366 -:0409450002E407239E -:040946000157C7038B -:04094700C683E70D6F -:0409480047030167F9 -:04094900006302F451 -:04094A00470302D786 -:04094B00460100F46D -:04094C00450145819B -:04094D00A88FE0EFA0 -:04094E00879367DD47 -:04094F00C7834EC745 -:0409500007A3016791 -:04095100478302F4E2 -:04095200CFB101849C -:040953004512458282 -:04095400E3BFE0EF2E -:040955000563478966 -:0409560047910AF5C6 -:0409570002F505633D -:04095800126347855A -:04095900478304F5D7 -:04095A00CF9500B481 -:04095B00AFAFF0EF5B -:04095C004789A81D02 -:04095D00B5C1C03E22 -:04095E00BFED478D15 -:04095F00822347C1E7 -:0409600047854EF584 -:040961004783BFC544 -:04096200C39D00B47D -:040963005783675DF2 -:04096400E793454789 -:040965001A2300272A -:04096600173744F704 -:040967002023000247 -:04096800F0EF0EF7A7 -:040969000513BEDFD5 -:04096A00D0EF12C0F8 -:04096B00B99DB55F1E -:04096C00000422233E -:04096D00037010EF14 -:04096E000184478336 -:04096F004645655D37 -:0409700000279713B2 -:04097100879367D928 -:0409720097BA89C7E0 -:040973000513438C99 -:0409740020EF440527 -:0409750065B778806A -:04097600655D0001BA -:0409770085934645D9 -:0409780005139305CB -:0409790020EF4D0519 -:04097A0067DD77407E -:04097B005697C78341 -:04097C00E0EFE3992C -:04097D00D0EFBE5F9A -:04097E00C802B2DF1A -:04097F00B765C60290 -:0409800000B44783F5 -:04098100F0EFD3CDF3 -:04098200BF71D04F22 -:04098300655D65D970 -:0409840006131151F4 -:040985008593031043 -:0409860005139F8531 -:04098700C4064EC58F -:040988005C8020EF80 -:04098900655D65D96A -:04098A0039C0061357 -:04098B0068458593A3 -:04098C0056C5051334 -:04098D005B4020EFBC -:04098E0067DD40A23F -:04098F0082A34705F3 -:0409900045014EE7E8 -:04099100808201312E -:04099200111167597F -:04099300AFC7079350 -:04099400CC06C8269F -:04099500C002CA22B0 -:04099600C43E448196 -:0409970065B7645D7F -:0409980046450001CF -:04099900BA05859383 -:04099A0042C405133B -:04099B006EE020EFFB -:04099C00971347A2C4 -:04099D00655D002470 -:04099E00430C973E31 -:04099F0005134645B1 -:0409A00020EF46C539 -:0409A100E0EF6D8096 -:0409A2004681B41FB7 -:0409A3001737C2221E -:0409A40025830002A5 -:0409A50067C10D0712 -:0409A600F63317FD10 -:0409A700645D00F596 -:0409A80056C42023EE -:0409A9000D0727030C -:0409AA00000305370A -:0409AB00471367DDAA -:0409AC008F69FFF759 -:0409AD0056E7A22344 -:0409AE00CA15C63E62 -:0409AF000863478210 -:0409B000675D02F687 -:0409B1000014951386 -:0409B20052070713CE -:0409B300EABD972AD8 -:0409B4001023479233 -:0409B50065B700B76B -:0409B60046450001B1 -:0409B700BA858593E5 -:0409B80042C785139A -:0409B900676020EF64 -:0409BA00ADFFE0EFBE -:0409BB00675D4685A9 -:0409BC00260347B215 -:0409BD00A3035587B4 -:0409BE0087BA564757 -:0409BF006741E605A1 -:0409C00002E31263D9 -:0409C10065D9E0BD57 -:0409C2000613655D56 -:0409C30085930360B5 -:0409C4000513B685DC -:0409C500C01A5205FD -:0409C6004D0020EFD1 -:0409C7004689430218 -:0409C80067DD44EDB6 -:0409C90056042703A6 -:0409CA005467AC239F -:0409CB00C03AC63632 -:0409CC008F634709E5 -:0409CD00650902E6D0 -:0409CE007105051397 -:0409CF009C3FD0EF8A -:0409D000B7B146B2C3 -:0409D10000075703C1 -:0409D20002E346896D -:0409D3004792FAE667 -:0409D400000165B702 -:0409D500859346457B -:0409D6008513BB05C5 -:0409D70020EF42C704 -:0409D800E0EF5FC02D -:0409D9004681A65F4E -:0409DA0014F9B759FC -:0409DB00BF5D46892D -:0409DC0047690485DE -:0409DD00EE9754E35A -:0409DE0040E244525D -:0409DF00453D44C28C -:0409E000006F017132 -:0409E100011346D0E8 -:0409E2000793FC413A -:0409E300CA3E00B157 -:0409E400879367DDB1 -:0409E500CC3E4F872E +:040743004CD4C503CA +:04074400C222458107 +:0407450010EFC406E7 +:04074600842A07F00A +:04074700C683E12163 +:0407480067DD4CD449 +:0407490080A3472919 +:04074A0067DD52D73E +:04074B005C07C783FD +:04074C0000E78563DA +:04074D0007A3675D3A +:04074E0067DD4CF720 +:04074F005227C70363 +:04075000C701478115 +:04075100C78367DD16 +:04075200675D4CF79C +:040753005007071331 +:04075400453D97BACE +:0407550000D7802326 +:040756006D6010EFD3 +:0407570040A2852215 +:040758004482441281 +:040759008082013168 +:04075A00C026115153 +:04075B00C50364DD91 +:04075C00C2224CD495 +:04075D0010EFC406CF +:04075E00842A6B80FE +:04075F00C683E51D4B +:0407600067DD4CD431 +:0407610052D780A348 +:04076200C70367DD85 +:040763004781522751 +:0407640067DDC70185 +:0407650053C7C7832C +:040766000713675DB1 +:0407670097BA5007E6 +:040768008023453D68 +:0407690010EF00D7B6 +:04076A0085226880FC +:04076B00441240A252 +:04076C000131448291 +:04076D0067DD808242 +:04076E004CA7C703CA +:04076F0067DD46F10B +:0407700056E788239D +:0407710002D7073371 +:04077200879367DD25 +:0407730097BA6207C8 +:0407740000E7D68341 +:040775001623675D83 +:04077600C68350D70F +:04077700675D014772 +:0407780058D7162315 +:040779000127C6830B +:04077A001423675D80 +:04077B00D6834CD7FE +:04077C00675D00A70E +:04077D004ED71F2311 +:04077E000157C683D6 +:04077F001B23675D74 +:04078000C6834ED707 +:04078100675D013778 +:0407820058D713230E +:0407830000C7D703D1 +:040784009D2367DD6D +:0407850080824EE739 +:04078600115167DDC9 +:040787005707C60347 +:04078800C22667DD41 +:0407890050C7D483FE +:04078A00C42267DD41 +:04078B0058C7D40374 +:04078C00C38367DDDF +:04078D0067DD4C8751 +:04078E004FE7D283DC +:04078F00D30367DD4C +:0407900067DD4F676B +:040791005867C5835D +:0407920067DD675D5B +:040793004FA7D78312 +:0407940052470713AE +:04079500450386AAE8 +:04079600C03E00B7AA +:04079700879367DD00 +:04079800C9316207FA +:0407990000A70703AB +:04079A0004C7176316 +:04079B000733477168 +:04079C00973E02E69C +:04079D0000E7550319 +:04079E0002951B6342 +:04079F0001474503C6 +:0407A0000285176354 +:0407A10001274503E4 +:0407A2000275136366 +:0407A30000A7550353 +:0407A40000551F637A +:0407A50001574503B0 +:0407A60000651B636C +:0407A70001374503CE +:0407A80000B517631E +:0407A90000C757032B +:0407AA00066345029B +:0407AB00675D00A7DF +:0407AC0004A3450558 +:0407AD00477158A791 +:0407AE0002E6063326 +:0407AF000513655D6C +:0407B00097B25105A6 +:0407B10000B789A361 +:0407B20000878A230F +:0407B300007789231F +:0407B40000678AA3AD +:0407B5004422470291 +:0407B60000979723EE +:0407B70095234492B0 +:0407B800962300572D +:0407B900663700E7B8 +:0407BA000613000121 +:0407BB0045C5694681 +:0407BC00206F013178 +:0407BD0011516590E1 +:0407BE00C222C40689 +:0407BF00143747C5DF +:0407C00020230002F0 +:0407C10020230EF4EF +:0407C20028230E04D6 +:0407C30065090A04B6 +:0407C40008042823DA +:0407C50071050513A2 +:0407C6009E6FE0EF53 +:0407C7001D100713E7 +:0407C8009C2367DD2A +:0407C90015374EE7AB +:0407CA00079300038E +:0407CB0020231D10BA +:0407CC0005130EF40F +:0407CD00E0EFD40580 +:0407CE0026379C8F9F +:0407CF0005B7000664 +:0407D0000513019C70 +:0407D1000613040403 +:0407D2008593A8065D +:0407D300E0EFCC0582 +:0407D40010EFE24FF1 +:0407D50010EF397078 +:0407D60054795D10E5 +:0407D7004501C951BE +:0407D800689010EF26 +:0407D9000FF0079383 +:0407DA0003635475EC +:0407DB0020EF08F50E +:0407DC0045092800A3 +:0407DD0095DFE0EFD5 +:0407DE00547147CD3E +:0407DF0006F51A639E +:0407E0009CDFE0EFCB +:0407E1002DB010EF38 +:0407E20067DDC50901 +:0407E300852347051E +:0407E400F0EF58E7F3 +:0407E500842A854F8E +:0407E6002DADE12D27 +:0407E700655D65D90E +:0407E80003100613E1 +:0407E900A845859307 +:0407EA0053D50513CB +:0407EB004C7020EF3F +:0407EC00655D65D909 +:0407ED00036006138C +:0407EE00BF458593EB +:0407EF005C4505134D +:0407F0004B3020EF7B +:0407F100453D4581BC +:0407F2005CC010EFE8 +:0407F300C50367DDF6 +:0407F40045815217D2 +:0407F5005C0010EFA5 +:0407F600000217B72F +:0407F7000D07A783C0 +:0407F80000E797136C +:0407F900000743634F +:0407FA00450125A5EB +:0407FB00A82FF0EF44 +:0407FC0040A2852270 +:0407FD000131441270 +:0407FE00547D808224 +:0407FF0067DDBFD51E +:040800004CB7C783A7 +:04080100CC221101F3 +:04080200F7930785DC +:0408030007B20FF732 +:0408040083C107C2E3 +:04080500645DC63E2A +:040806004F84578341 +:04080700CE064732A0 +:040808008FD9CA2694 +:040809008FD96721FB +:04080A004EF41C2369 +:04080B000002173799 +:04080C00000166374A +:04080D00202364DD63 +:04080E0006130EF7C8 +:04080F0045C5954600 +:0408100051048513F7 +:04081100507020EF14 +:0408120097EFF0EF7D +:04081300177D6741A5 +:04081400C83A478512 +:04081500000217378F +:040816000D07268321 +:040817008EF14642D6 +:04081800E3DDC23624 +:0408190066DDC2D501 +:04081A005C4686931F +:04081B000166D60399 +:04081C005783459227 +:04081D001E634F8483 +:04081E0076F50EB6A7 +:04081F008FF516FD3E +:040820004EF41C2353 +:040821000EF720238B +:0408220050048823D3 +:0408230093AFF0EFB0 +:04082400000625376E +:04082500A80505130A +:04082600866FE0EF0A +:040827004F84578320 +:04082800669147325C +:040829003E800513F5 +:04082A008FD58FD9FE +:04082B004EF41C2348 +:04082C000002173778 +:04082D000EF720237F +:04082E0034B020EFD3 +:04082F00371020EF6F +:04083000186347857D +:0408310017B700F500 +:040832005BBC0002A9 +:04083300D7E3C43E05 +:0408340020EFFE07AC +:0408350057033730FE +:04083600EF15008139 +:04083700000166371F +:040838009606061307 +:04083900851345C519 +:04083A0020EF510456 +:04083B00F0EF461084 +:04083C0057038D8F42 +:04083D0077F14F847C +:04083E008FF917FD1A +:04083F004EF41C2334 +:040840000002173764 +:040841000EF720236B +:04084200051365092C +:04084300D0EF71057C +:040844004792FF1FB9 +:0408450047C2B7816E +:0408460000F717633D +:04084700000166370F +:0408480097060613F6 +:0408490047A2B7C14A +:04084A0015FD6585AE +:04084B00061383C14C +:04084C008FED0640E6 +:04084D0002C756B3D5 +:04084E0002C7773333 +:04084F0000B79C63EF +:040850000001663706 +:04085100978606136D +:04085200851345C500 +:0408530020EF51043D +:04085400BF713FD061 +:0408550002C7F5B32E +:0408560051048513B1 +:0408570002C7D7B34A +:0408580000016637FE +:040859000613C02E94 +:04085A0045C59846B2 +:04085B003DF020EF5D +:04085C00D683BFBDC3 +:04085D0046120186B8 +:04085E00F6C69DE35A +:04085F0083D107D268 +:040860004EF41C2313 +:04086100446240F2BB +:040862000EF720234A +:04086300450144D235 +:040864008082610528 +:04086500C406115163 +:04086600973FF0EFD9 +:04086700537010EFCB +:0408680040A267DD66 +:040869005A57C50312 +:04086A00F06F0131F9 +:04086B0001138C4F9A +:04086C00D406FD4170 +:04086D00D026D2229D +:04086E00FB5FD0EF6D +:04086F00F0EF842AF8 +:0408700086AAD39FE2 +:04087100655D64DD80 +:040872001206C66341 +:0408730000016637E3 +:040874000520071341 +:04087500061346819F +:0408760045C5998655 +:040877004E450513D2 +:0408780036B020EF87 +:04087900000165B75E +:04087A0085934645D7 +:04087B0085139C85C0 +:04087C0020EF5744CE +:04087D00E0EF281070 +:04087E00A537FE1F7D +:04087F000513000756 +:04088000D0EF12059E +:04088100D0EFEFDFE6 +:040882005513F1DF3A +:04088300079300A433 +:0408840007B312C0E4 +:04088500645D02F5B7 +:04088600C402C602E0 +:04088700C202C002E7 +:0408880052440413BF +:040889004799CC3E81 +:04088A0017B7C83E96 +:04088B00A78300023D +:04088C00665D0D0791 +:04088D009713655DFB +:04088E00834101079A +:04088F00FFF7C69316 +:0408900060E62223D9 +:04089100073783E1C1 +:040892008F7500035B +:040893000FF7F593D3 +:04089400A42366DD56 +:04089500062360E6F0 +:04089600853260B592 +:0408970066DD86365E +:040898008793CF81F2 +:04089900F793FFE5ED +:04089A00430D0FF704 +:04089B0000F376638D +:04089C006006C783A8 +:04089D0000B79463A9 +:04089E0060052223AC +:04089F00802367DD6E +:0408A000A68360B615 +:0408A100AE235FC75C +:0408A200EAD15EE752 +:0408A300C78366DDC4 +:0408A40064DD58B601 +:0408A5004783C3F1D1 +:0408A600CFDD01841D +:0408A7004783675DBF +:0408A800460300B44F +:0408A9008FD160D7B4 +:0408AA00D0EFEBC5DB +:0408AB0047E2E9DF58 +:0408AC000AF5646382 +:0408AD000793473234 +:0408AE00EF630630BE +:0408AF0066DD08E713 +:0408B00058B6C683ED +:0408B100460547852C +:0408B20000F6876362 +:0408B300861347C29F +:0408B4003633FFA731 +:0408B500478300C0B5 +:0408B60046A10184D2 +:0408B700F79317FD9F +:0408B800E9630FF7EA +:0408B90066D904F602 +:0408BA008693078A90 +:0408BB0097B68E0658 +:0408BC008782439C50 +:0408BD000001663799 +:0408BE009AC60613BD +:0408BF00051345C513 +:0408C00020EF4E4592 +:0408C10065B7249063 +:0408C20046450001A6 +:0408C300F3C5859361 +:0408C40057448513FD +:0408C5002D1020EFE3 +:0408C600EBFFE0EF75 +:0408C7002423A00145 +:0408C800B7AD600662 +:0408C900C78367DD9D +:0408CA0037B34FC72A +:0408CB00078900F0A9 +:0408CC004CF487A3BE +:0408CD00474247B2A5 +:0408CE00F793078510 +:0408CF00C63E0FF71B +:0408D00007634799DA +:0408D100079300F792 +:0408D200F793001781 +:0408D300C83E0FF715 +:0408D400DD3FD0EF45 +:0408D500C43E478551 +:0408D60067DD291D94 +:0408D70060D7C7839C +:0408D800C781CA2AE0 +:0408D90000EF4501E6 +:0408DA00C78361B0BF +:0408DB0046834CF410 +:0408DC0084630184AC +:0408DD00475200F688 +:0408DE004722E711B5 +:0408DF004683CB156C +:0408E000C69D00B4FD +:0408E100C70366DD06 +:0408E200C43A52269C +:0408E30066DDC315F6 +:0408E40050068693A1 +:0408E500C50397B6FA +:0408E60067DD0007C3 +:0408E7005217C6835B +:0408E8008763C4025C +:0408E900458100A69F +:0408EA0052A780A3EE +:0408EB001E8010EF6C +:0408EC004CF4C603FF +:0408ED0001844783B8 +:0408EE0012C7836347 +:0408EF00473D67DD3D +:0408F00058E784231E +:0408F10085BE470D6C +:0408F20006C773635F +:0408F300F4634795CE +:0408F400478906C763 +:0408F5004509470565 +:0408F6000793C23E64 +:0408F700F793FFF67E +:0408F80046A10FF70F +:0408F90004F6ED63B1 +:0408FA00078A66D92A +:0408FB00904686930A +:0408FC00439C97B6CC +:0408FD004785878222 +:0408FE0067DDFE05AF +:0408FF003DB7C783B7 +:040900000017B79392 +:04090100B72D079176 +:04090200D21D479922 +:040903004CF4C78366 +:04090400479DB7054F +:0409050067DDBF31BA +:040906004CE7C78370 +:0409070000F037B312 +:04090800B73907A153 +:0409090015E3479912 +:04090A004785F00627 +:04090B00470DB711CC +:04090C00C2024505D9 +:04090D004709B75D82 +:04090E00BFE54501FB +:04090F004783C00258 +:040910000C2303941D +:0409110005A300C476 +:040912004685000412 +:04091300F663458DB5 +:04091400459500F60F +:04091500F5938D9D2C +:04091600CE3A0FF5D1 +:04091700145010EF79 +:04091800215010EF6B +:04091900F3CFE0EF49 +:04091A00C78367DD4B +:04091B00477258A720 +:04091C00853AC781D0 +:04091D005A2010EF5D +:04091E0045124582B7 +:04091F006FF010EF76 +:040920000184478384 +:040921004645655D85 +:040922000027971300 +:04092300879367D976 +:0409240097BA928765 +:040925000513438CE7 +:0409260022234E45F5 +:0409270020EF0004B9 +:0409280065B714702B +:04092900655D000107 +:04092A008593464526 +:04092B0005139BC550 +:04092C0020EF57451C +:04092D0067DD13303F +:04092E0060D7C78344 +:04092F00E0EFE39979 +:0409300047D2D19F3A +:0409310067DDCF8926 +:040932004CC7C703E4 +:04093300156347A958 +:04093400453D00F746 +:0409350075B000EFAA +:04093600C4BFD0EF7B +:0409370067DDC602B0 +:0409380059078713C1 +:040939000157468399 +:04093A0002E4470389 +:04093B00590787933E +:04093C0002E68863E4 +:04093D0046814701A7 +:04093E0045814601A8 +:04093F00E0EF45019F +:0409400064DDABEFD8 +:04094100590487933B +:040942000157C50391 +:04094300D63FE0EFCC +:040944005904879338 +:040945000157C7038C +:040946000004222364 +:0409470002E407239C +:040948000157C70389 +:04094900C683E70D6D +:04094A0047030167F7 +:04094B00006302F44F +:04094C00470302D784 +:04094D00460100F46B +:04094E004501458199 +:04094F00A80FE0EF1E +:04095000879367DD45 +:04095100C7835907F8 +:0409520007A301678F +:04095300478302F4E0 +:04095400CFB101849A +:040955004512458280 +:04095600E33FE0EFAC +:040957000563478964 +:0409580047910AF5C4 +:0409590002F505633B +:04095A001263478558 +:04095B00478304F5D5 +:04095C00CF9500B47F +:04095D00AF2FF0EFD9 +:04095E004789A81D00 +:04095F00B5C1C03E20 +:04096000BFED478D13 +:04096100842347C1E3 +:04096200478558F578 +:040963004783BFC542 +:04096400C39D00B47B +:040965005783675DF0 +:04096600E7934F873D +:040967001C23002726 +:0409680017374EF7F8 +:040969002023000245 +:04096A00F0EF0EF7A5 +:04096B000513BEBFF3 +:04096C00D0EF12C0F6 +:04096D00B995B4DFA5 +:04096E00000422233C +:04096F000B9010EFEA +:040970000184478334 +:040971004645655D35 +:0409720000279713B0 +:04097300879367D926 +:0409740097BA928715 +:040975000513438C97 +:0409760020EF4E45DB +:0409770065B700B0B0 +:04097800655D0001B8 +:0409790085934645D7 +:04097A0005139BC501 +:04097B0020EF5745CD +:04097C0067DD7F6054 +:04097D0060D7C783F5 +:04097E00E0EFE3992A +:04097F00D0EFBDDF19 +:04098000C802B25F98 +:04098100B765C6028E +:0409820000B44783F3 +:04098300F0EFD3CDF1 +:04098400BF71CFCFA1 +:04098500655D65D96E +:0409860006131151F2 +:040987008593031041 +:040988000513A84566 +:04098900C406590542 +:04098A0064A020EF56 +:04098B00655D65D968 +:04098C0039C0061355 +:04098D007285859357 +:04098E0062050513E6 +:04098F00636020EF92 +:0409900067DD40A23D +:0409910084A34705EF +:04099200450158E7DC +:04099300808201312C +:04099400111167597D +:04099500B887079385 +:04099600CC06C8269D +:04099700C002CA22AE +:04099800C43E448194 +:0409990065B7645D7D +:04099A0046450001CD +:04099B00C2C58593B9 +:04099C004D040513EE +:04099D00770020EFD0 +:04099E00971347A2C2 +:04099F00655D00246E +:0409A000430C973E2F +:0409A10005134645AF +:0409A20020EF5105EC +:0409A300E0EF75A06C +:0409A4004681B39F36 +:0409A5001737C2221C +:0409A60025830002A3 +:0409A70067C10D0710 +:0409A800F63317FD0E +:0409A900645D00F594 +:0409AA0060C42223E0 +:0409AB000D0727030A +:0409AC000003053708 +:0409AD00471367DDA8 +:0409AE008F69FFF757 +:0409AF0060E7A42336 +:0409B000CA15C63E60 +:0409B100086347820E +:0409B200675D02F685 +:0409B3000014951384 +:0409B4005C47071382 +:0409B500EABD972AD6 +:0409B6001023479231 +:0409B70065B700B769 +:0409B80046450001AF +:0409B900C34585931A +:0409BA004D0785134D +:0409BB006F8020EF3A +:0409BC00AD7FE0EF3C +:0409BD00675D4685A7 +:0409BE00260347B213 +:0409BF00A3035FC768 +:0409C00087BA60870B +:0409C1006741E6059F +:0409C20002E31263D7 +:0409C30065D9E0BD55 +:0409C4000613655D54 +:0409C50085930360B3 +:0409C6000513BF4511 +:0409C700C01A5C45B1 +:0409C800552020EFA7 +:0409C9004689430216 +:0409CA0067DD44EDB4 +:0409CB00604427035A +:0409CC005E67AE2391 +:0409CD00C03AC63630 +:0409CE008F634709E3 +:0409CF00650902E6CE +:0409D0007105051395 +:0409D1009BBFD0EF09 +:0409D200B7B146B2C1 +:0409D30000075703BF +:0409D40002E346896B +:0409D5004792FAE665 +:0409D600000165B700 +:0409D7008593464579 +:0409D8008513C3C5FB +:0409D90020EF4D07B7 +:0409DA00E0EF67E003 +:0409DB004681A5DFCD +:0409DC0014F9B759FA +:0409DD00BF5D46892B +:0409DE0047690485DC +:0409DF00EE9754E358 +:0409E00040E244525B +:0409E100453D44C28A +:0409E200006F017130 +:0409E30001134A5062 +:0409E4000793FC4138 +:0409E500CA3E00B155 :0409E600879367DDAF -:0409E700CE3E4F971A +:0409E700CC3E59C7E2 :0409E800879367DDAD -:0409E900D03E4FA706 +:0409E900CE3E59D7CE :0409EA00879367DDAB -:0409EB00D23E4FB7F2 -:0409EC0067DDD43EB1 -:0409ED004FC78793D6 -:0409EE0027B7D63E13 -:0409EF0087930F03D8 -:0409F000C63EF017F8 -:0409F1003030079308 -:0409F2001823DA22CA -:0409F300645D00F14E -:0409F4000923478DFF -:0409F500079300F173 -:0409F600C783480467 -:0409F700D826018776 -:0409F80005A3DC0671 -:0409F900472500018D -:0409FA004804041396 -:0409FB008663448546 -:0409FC00849300E7F9 -:0409FD00F493001758 -:0409FE0067DD0FF4AE -:0409FF00A603675D87 -:040A0000071356077B -:040A010047815207D0 -:040A0200568345E9E9 -:040A03001C63000769 -:040A0400476900D668 -:040A05000EF766631F -:040A0600078A67599B -:040A0700A2C7071368 -:040A0800439C97BABA -:040A090007098782D0 -:040A0A0038B78E6308 -:040A0B00BFF10785AB -:040A0C0066DD4785D7 -:040A0D004546D70380 -:040A0E001007471373 -:040A0F0044E69A23FC -:040A10002703675DF4 -:040A1100169356479B -:040A1200D36300F7B3 -:040A130087A60006AC -:040A140000E716934E -:040A15000006DE6396 -:040A16004683675D4F -:040A170045854EC7FC -:040A1800E56346014B -:040A1900068500D579 -:040A1A000FF6F613CA -:040A1B004EC7062399 -:040A1C004501472920 -:040A1D0000E7866305 -:040A1E0005A3675D68 -:040A1F00450542F750 -:040A2000D70367DDB4 -:040A210066DD454702 -:040A22005696C6839B -:040A230007429B4D9E -:040A24009A2383414D -:040A2500EA8144E737 -:040A2600C68366DD40 -:040A2700068A46668F -:040A28009A238F5529 -:040A2900D70344E7C4 -:040A2A0050E245470A -:040A2B0017B7545253 -:040A2C00A023000201 -:040A2D0054C20EE7BA -:040A2E0003C10113EC -:040A2F0047898082F1 -:040A3000478DBF8DA2 -:040A31004791B7BD75 -:040A32004795B7AD80 -:040A33004799B79D8B -:040A3400479DB78D96 -:040A350047A1BFB95D -:040A360047A5BFA968 -:040A3700675DBF999F -:040A38005697478303 -:040A39000017B69359 -:040A3A0056D704A3E4 -:040A3B004683675D2A -:040A3C00675D47D7D4 -:040A3D0042D704A3F5 -:040A3E004505E791F2 -:040A3F00045000EF70 -:040A4000BF0547A9FE -:040A41008D3FE0EF16 -:040A42000683BFE583 -:040A4300477100A453 -:040A4400000217B7DE -:040A450002E686B38C -:040A46000713675DCE -:040A4700A78356C764 -:040A480066370C07FA -:040A4900655D0001E6 -:040A4A00A986061360 -:040A4B00051345C585 -:040A4C00C23E42C59F -:040A4D0066DD973695 -:040A4E0047D6C6833E -:040A4F00384020EF1C -:040A500000B44703A4 -:040A51004792CB29D4 -:040A5200061366051C -:040A53008E7D80060E -:040A54007FF7F6939F -:040A550000C0373373 -:040A560096B30685C8 -:040A570096BA00E665 -:040A58000700071379 -:040A59000713C219A4 -:040A5A0083C10690BE -:040A5B000037F61357 -:040A5C0002A007935A -:040A5D000793E21900 -:040A5E001637020045 -:040A5F00523000020F -:040A600045C5655DC6 -:040A61006637C03202 -:040A62000613000176 -:040A63000513AA4687 -:040A640020EF46C574 -:040A6500E0EF32E0AC -:040A6600B79D831F96 -:040A67005783675DED -:040A6800C7934547A4 -:040A69001A23010744 -:040A6A00BF9944F7F5 -:040A6B00C70367DD79 -:040A6C0046054EC726 -:040A6D0065634681F6 -:040A6E00070500E692 -:040A6F000FF7769374 -:040A70004ED78623B4 -:040A710067DDBF3549 -:040A72004EC78713D1 -:040A7300001747031E -:040A74008793460519 -:040A750046814EC7A1 -:040A760000E66563CE -:040A77007693070566 -:040A780080A30FF751 -:040A7900BF2900D7BA -:040A7A000793675D1A -:040A7B00C7834EC718 -:040A7C000713004715 -:040A7D00C7814EC718 -:040A7E00F79317FDD6 -:040A7F0002230FF748 -:040A8000BDFD00F7C1 -:040A81000713675D93 -:040A820047834EC791 -:040A830046B9004729 -:040A840000F6F36322 -:040A8500078547B9E1 -:040A860065B7B7DDBC -:040A8700655D0001A8 -:040A880085934645C7 -:040A89000513AB4561 -:040A8A0020EF42C552 -:040A8B00635D330074 -:040A8C00000165B749 -:040A8D0046C3051344 -:040A8E0085934645C1 -:040A8F0020EFAC4563 -:040A9000E0EF31C0A2 -:040A9100675DF84F56 -:040A92000713635D86 -:040A930017B756C774 -:040A9400A6030002B3 -:040A950067DD0D0705 -:040A960052078793E9 -:040A97008241064250 -:040A980045ED468161 -:040A99000007D5037A -:040A9A0000C506632A -:040A9B00078906853C -:040A9C00FEB69AE325 -:040A9D0000A4078327 -:040A9E0087B3467163 -:040A9F00461502C72F -:040AA000C78397BAB7 -:040AA1006463017712 -:040AA200461102F601 -:040AA30004D64A63C8 -:040AA400973E181849 -:040AA500FDC747033F -:040AA60040D75733AB -:040AA700CF118B05DB -:040AA8001818078A89 -:040AA900A78397BACE -:040AAA008023FE4760 -:040AAB00E0EF00D7A1 -:040AAC0067DDF28F81 -:040AAD00560784A3C1 -:040AAE006637B5A151 -:040AAF0006850001B7 -:040AB000AD06061376 -:040AB100051345C51F -:040AB20020EF46C328 -:040AB300E0EF1F60F1 -:040AB400A537EF8FE4 -:040AB500051300071E -:040AB600D0EF120566 -:040AB700BFC1E24F8A -:040AB80086E347B1D9 -:040AB9006509FCF6D9 -:040ABA0071050513AA -:040ABB00E12FD0EF68 -:040ABC00871367DD58 -:040ABD00635D56C758 -:040ABE0067DDBF9998 -:040ABF004EC7871384 -:040AC0000187470360 -:040AC1008793467958 -:040AC20046814EC754 -:040AC30000E6656381 -:040AC4007693070519 -:040AC5008C230FF778 -:040AC600B3DD00D7C5 -:040AC700871367DD4D -:040AC80047034EC7CB -:040AC9008793018787 -:040ACA0046FD4EC7D0 -:040ACB00177DD76D4F -:040ACC0065B7B7CD86 -:040ACD00655D000162 -:040ACE008593464581 -:040ACF000513AE0558 -:040AD00020EF42C50C -:040AD10065B7218064 -:040AD200655D00015D -:040AD300859346457C -:040AD4000513AF0552 -:040AD50020EF46C503 -:040AD6006441204017 -:040AD700E6AFE0EFB7 -:040AD80017B7147DBB -:040AD900A6830002EE -:040ADA0067DD0D07C0 -:040ADB005207871324 -:040ADC0047818EE1DF -:040ADD005583466D8A -:040ADE008C6300071E -:040ADF00078500D5B2 -:040AE0009AE3070985 -:040AE1006509FEC7DE -:040AE2007105051382 -:040AE300D72FD0EF4A -:040AE4004725BFC91A -:040AE50000F74C6367 -:040AE6000785472910 -:040AE70002E7E7B388 -:040AE80004A3675D9F -:040AE900F0EF42F7F1 -:040AEA00B711960F9B -:040AEB009CE3473110 -:040AEC00BDF5FCE771 -:040AED00C70367DDF7 -:040AEE0047A9569727 -:040AEF00C6071BE338 -:040AF000B98587A697 -:040AF100B9AD47A9AB -:040AF200DBC1011350 -:040AF300202318287C -:040AF4002E23241178 -:040AF5002C2322810B -:040AF600E0EF22917A -:040AF700C22AD4CF6C -:040AF800761010EF75 -:040AF900440D4792CF -:040AFA004611EBC5F1 -:040AFB000828182C83 -:040AFC0016A020EF31 -:040AFD00000165B7D8 -:040AFE008593461185 -:040AFF000828D4856A -:040B000012E020EFF0 -:040B01000640079310 -:040B02005783E941EB -:040B0300059303C192 -:040B0400462103E1A2 -:040B050001E10513F2 -:040B060000F11E23B9 -:040B070013E020EFE8 -:040B080004615783AA -:040B0900020102A340 -:040B0A0000F11A23B9 -:040B0B000481578387 -:040B0C0000F11B23B6 -:040B0D0000EF45525E -:040B0E00578343B016 -:040B0F00D42A04A13F -:040B100000F11A23B3 -:040B110004C1578341 -:040B120000F11B23B0 -:040B130000EF455258 -:040B14005783423091 -:040B1500D62A04E1F7 -:040B160000F11A23AD -:040B170005015783FA -:040B180000F11B23AA -:040B190000EF455252 -:040B1A00D82A40B0E5 -:040B1B00234125034A -:040B1C0000EFCA2AF2 -:040B1D0055A23FF0AE -:040B1E000793DA2A35 -:040B1F0087131E20FA -:040B2000E063FE652B -:040B2100460514E78A -:040B220000EF1828A0 -:040B230057D240B0B5 -:040B240006F50B6364 -:040B250006600793CC -:040B260010EFC23ECC -:040B270047926A7017 -:040B28008963470591 -:040B290047632AE70D -:040B2A00071326F790 -:040B2B008863F35098 -:040B2C0044632AE70D -:040B2D00071324F78F -:040B2E008763F340A6 -:040B2F0065B72AE795 -:040B300085930001A8 -:040B3100C23EC945B2 -:040B3200851367DDE3 -:040B3300464546C726 -:040B340008A020EF06 -:040B3500CF2FE0EFEF -:040B3600000F453730 -:040B37002405051379 -:040B3800C1EFD0EF4A -:040B3900DA634792A2 -:040B3A0058632A07CB -:040B3B0066372A806F -:040B3C00655D0001F2 -:040B3D00DB4606137A -:040B3E00051345C591 -:040B3F0010EF42C5AC -:040B4000147D7C3074 -:040B4100645DA2B994 -:040B42000001663711 -:040B4300D5060613BA -:040B4400051345C58B -:040B450010EF42C4A7 -:040B460056B27AB079 -:040B4700663767DDC9 -:040B48008513000110 -:040B4900061346C782 -:040B4A0045C5D606C1 -:040B4B00795010EFDE -:040B4C00C96FE0EF9E -:040B4D0054B257C285 -:040B4E00C23E43015F -:040B4F00C422450176 -:040B5000089364633F -:040B510092634792D2 -:040B520047831AA714 -:040B5300468301E1F3 -:040B5400470301C191 -:040B5500CFD501D126 -:040B5600000167B77C -:040B5700C6078793B3 -:040B58000613452219 -:040B5900C03201E1C4 -:040B5A0000016637F9 -:040B5B00D6C60613E1 -:040B5C00051345C573 -:040B5D0010EF42C58E -:040B5E0067DD74B02B -:040B5F00000165B775 -:040B600085934645EE -:040B61008513DC45D7 -:040B620010EF46C783 -:040B6300644165F094 -:040B6400C36FE0EF8C -:040B650014B7147D30 -:040B6600A78300025F -:040B6700675D0D04B5 -:040B68005207568357 -:040B69008B638FE12A -:040B6A00071306F671 -:040B6B0057035207D3 -:040B6C0000630027FB -:040B6D00650914F70B -:040B6E0071050513F5 -:040B6F00B42FD0EFE0 -:040B70000793BFD94F -:040B7100BDC90650A4 -:040B7200406482B3A6 -:040B730020000793C4 -:040B74000057F463CF -:040B750020000293C7 -:040B7600041367DD20 -:040B770097132003AD -:040B780085130102DE -:040B790083414187EC -:040B7A005613468147 -:040B7B00182C00949E -:040B7C00C616C81AB7 -:040B7D0045F010EF40 -:040B7E00166387AAC9 -:040B7F0043420E05DA -:040B8000182842B23D -:040B81000013361314 -:040B820000EF859665 -:040B8300832228B0F1 -:040B840067B7BF058B -:040B85008793000151 -:040B8600B799EA47EA -:040B87007CF000EF0F -:040B88005783675DCB -:040B8900650945476E -:040B8A0071050513D9 -:040B8B000027E793C5 -:040B8C0044F71A23ED -:040B8D000EF4A0239F -:040B8E00AC6FD0EF89 -:040B8F00000165B745 -:040B90004645655D14 -:040B9100D7858593EC -:040B920042C5051340 -:040B930070F010EFFF -:040B940067DD440DC8 -:040B9500000164B740 -:040B960046C78513B6 -:040B970085934645B7 -:040B980010EFD8443E -:040B9900E0EF6F908A -:040B9A005632B60F0A -:040B9B004581183444 -:040B9C00E0EF45053C -:040B9D0087AAAE2F46 -:040B9E00E20510E379 -:040B9F0065B7675D72 -:040BA0000513000138 -:040BA100464542C7BC -:040BA200D945859319 -:040BA3006CF010EFF3 -:040BA400D844859319 -:040BA500464564DD80 -:040BA60046C48513A9 -:040BA7006BF010EFF0 -:040BA800B26FE0EF59 -:040BA90055B25642A9 -:040BAA0045011834B5 -:040BAB00A16FE0EF67 -:040BAC0013E387AA1E -:040BAD0010EFDE0562 -:040BAE00675D48B087 -:040BAF00000165B725 -:040BB000859346459E -:040BB1000513DD85C6 -:040BB20010EF42C737 -:040BB30065B751F0E1 -:040BB40046450001B1 -:040BB500DA45859305 -:040BB60046C4851399 -:040BB70067F010EFE4 -:040BB800AE6FE0EF4D -:040BB900440DA00146 -:040BBA00440DBB45E6 -:040BBB000670079326 -:040BBC00440DB365CC -:040BBD000680079314 -:040BBE000713B34521 -:040BBF008A63F360F2 -:040BC000071306E72A -:040BC1009CE3F3704E -:040BC20065B7DAE752 -:040BC3008593000115 -:040BC400BB55CF4509 -:040BC50006600713AC -:040BC60006E7826359 -:040BC70000F74F6381 -:040BC80006400713C9 -:040BC90006E7816357 -:040BCA0006500713B7 -:040BCB00D8E799E3EB -:040BCC00000165B708 -:040BCD00CAC585937D -:040BCE000713B379DD -:040BCF0089630670C0 -:040BD000071304E71C -:040BD1009CE306801B -:040BD20065B7D6E746 -:040BD3008593000105 -:040BD400BB95CE05FA -:040BD500000165B7FF -:040BD600C9C5859375 -:040BD70065B7B3AD9E -:040BD8008593000100 -:040BD900B385D145CA -:040BDA00000165B7FA -:040BDB00D2458593E7 -:040BDC0065B7BB99A5 -:040BDD0085930001FB -:040BDE00B3B1D0459A -:040BDF00000165B7F5 -:040BE000CBC5859369 -:040BE10065B7B389B8 -:040BE20085930001F6 -:040BE300BB25D385D6 -:040BE400000165B7F0 -:040BE500CCC5859363 -:040BE6002083B33D78 -:040BE70024032401BE -:040BE800248323C17E -:040BE900557D238192 -:040BEA00244101138E -:040BEB0086AA8082D4 -:040BEC000001663767 -:040BED000613655D29 -:040BEE0045C55F8614 -:040BEF0046C50513DF -:040BF0005010106F22 -:040BF10046500693D1 -:040BF20002D506B36F -:040BF300064007931E -:040BF400000166375F -:040BF5000613655D21 -:040BF60045C5E98682 -:040BF70046C50513D7 -:040BF80002F6C6B388 -:040BF9004DD0106F5C -:040BFA00663786AA2A -:040BFB00655D000133 -:040BFC00E5460613B1 -:040BFD00051345C5D2 -:040BFE00106F46C569 -:040BFF0046B74C7039 -:040C00008693000FC8 -:040C0100053324068D -:040C0200678902D527 -:040C030096478793F6 -:040C04003E80071314 -:040C0500006336B79B -:040C0600EA068693E1 -:040C0700000166374B -:040C0800E486061365 -:040C090057B345C5D3 -:040C0A00F7B302F545 -:040C0B00472902E78C -:040C0C0002D556B304 -:040C0D000513655D09 -:040C0E00D73346C5CD -:040C0F00106F02E779 -:040C100006934830CF -:040C110006B3467070 -:040C1200079302D56D -:040C130066370640FA -:040C1400655D000119 -:040C15005F0606135D -:040C1600051345C5B8 -:040C1700C6B346C555 -:040C1800106F02F661 -:040C190086AA45F072 -:040C1A000001663738 -:040C1B000613655DFA -:040C1C0045C5E806DC -:040C1D0046C50513B0 -:040C1E004490106F7F -:040C1F002710069301 -:040C200002D506B340 -:040C210006400793EF -:040C22000001663730 -:040C23000613655DF2 -:040C240045C5EA06D2 -:040C250046C50513A8 -:040C260002F6C6B359 -:040C27004250106FB8 -:040C280027100693F8 -:040C29000533050585 -:040C2A00069302D556 -:040C2B0066370640E2 -:040C2C0006130001AA -:040C2D0045C5EA06C9 -:040C2E0002D546B3F2 -:040C2F000513655DE7 -:040C3000106F46C536 -:040C310016933FF0E7 -:040C320086E10185D1 -:040C3300000166371F -:040C340016D1655D13 -:040C3500E2C60613FA -:040C3600051345C598 -:040C3700106F46C52F -:040C380045F13E3014 -:040C390002B50533C8 -:040C3A00859365DD5C -:040C3B00464556C50F -:040C3C00655D95AAB3 -:040C3D0046C5051390 -:040C3E004630106FBD -:040C3F0000251793E2 -:040C400005136559DA -:040C4100953EE745B0 -:040C4200655D410C9F -:040C4300051346450A -:040C4400106F46C522 -:040C450047A94490E7 -:040C460000F50F6343 -:040C470000251793DA -:040C48000513655DCE -:040C4900953EBBC554 -:040C4A00655D410C97 -:040C4B000513464502 -:040C4C00106F46C51A -:040C4D0065B74290B5 -:040C4E008593000189 -:040C4F00B7F5E6050A -:040C5000A70367DDB2 -:040C510067DD5607FE -:040C5200520787932B -:040C5300464546AD1F -:040C54000167D583DC -:040C550006E59263BB -:040C56000FF6F6930C -:040C57000763E11935 -:040C58001131280727 -:040C590064DDC4266C -:040C5A003F54C283BE -:040C5B00645DC622EC -:040C5C0022C4079314 -:040C5D000032959339 -:040C5E00C80697AE7F -:040C5F000007A303E4 -:040C60000047C783FF -:040C61008513465160 -:040C62008733FF567F -:040C6300260302C79B -:040C640075130043C1 -:040C650043950FF5AF -:040C66003F548493E0 -:040C670022C404138C -:040C68004703963A6E -:040C6900E8630046F6 -:040C6A0063D902A3A5 -:040C6B008393050A60 -:040C6C00951EDEC330 -:040C6D0085024108B3 -:040C6E000789068567 -:040C6F00F8C69AE346 -:040C7000BF69468191 -:040C71004783E39939 -:040C720017FD000367 -:040C73000FF7F793ED -:040C7400822395A2A0 -:040C7500470100F53E -:040C7600A091468182 -:040C7700000347032C -:040C780095A20785B5 -:040C790002E7E7B3F4 -:040C7A008763B7EDE8 -:040C7B008793000259 -:040C7C008023FFF2E0 -:040C7D00B7C500F403 -:040C7E0040C24432FA -:040C7F0067DD44A247 -:040C8000560784A3EC -:040C8100D06F0151DE -:040C8200478DFD1F7E -:040C830004F70E6301 -:040C840012E347919F -:040C8500461CFCF716 -:040C8600872A9782A0 -:040C8700C783468554 -:040C8800655D0004A2 -:040C8900078E464547 -:040C8A004783943ECA -:040C8B0040040044DD -:040C8C008433445118 -:040C8D0040DC0287BE -:040C8E0042C5051343 -:040C8F00C036C23A6F -:040C9000438C97A258 -:040C9100317010EFBF -:040C9200459140DC6C -:040C9300C60397A25B -:040C9400EC630047C6 -:040C950065D912C546 -:040C96008593060A32 -:040C9700962EE04570 -:040C9800468242103E -:040C99008602471276 -:040C9A00C3914A1C9C -:040C9B00C7839782F2 -:040C9C0043510004BC -:040C9D000017859324 -:040C9E000733078E83 -:040C9F00431400F406 -:040CA00000474703BF -:040CA100073342D003 -:040CA20096930267BC -:040CA30005330035E0 -:040CA400230300D452 -:040CA500973200057D -:040CA6000463471884 -:040CA700022300E341 -:040CA80097A200050A -:040CA9000047C783B6 -:040CAA0096A2475176 -:040CAB0002E787B322 -:040CAC0000B48023ED -:040CAD00479C97B217 -:040CAE00BF31C29CF4 -:040CAF00ECE347919A -:040CB00067D9F0E729 -:040CB100E1878793BD -:040CB200973E070A58 -:040CB3008782431CD5 -:040CB400433D460C6A -:040CB50000C645032D -:040CB60000D647031A -:040CB7000005C783EA -:040CB80000E6460309 -:040CB90000669D63D1 -:040CBA0000F7786364 -:040CBB00F61317FD18 -:040CBC0080230FF78B -:040CBD00B5C500C5F4 -:040CBE00863AFD6D08 -:040CBF00F863BFDD3A -:040CC000078500C7DD -:040CC1000FF7F7131F -:040CC20000E58023A6 -:040CC300FD6DB5E925 -:040CC400BFDD8732D7 -:040CC50045BD4618CB -:040CC6000007578349 -:040CC70000B69C6374 -:040CC80000C6568389 -:040CC90000F6F563D9 -:040CCA0007C217FD49 -:040CCB00102383C1AE -:040CCC00B55500F723 -:040CCD0000E6568364 -:040CCE00FED7FBE36F -:040CCF00B7F50785E9 -:040CD00045BD465088 -:040CD10047834218FB -:040CD200996300071B -:040CD300C78100B61F -:040CD400F79317FD7E -:040CD50000230FF7F2 -:040CD600BDB500F7B1 -:040CD700004646830A -:040CD80000D7F563E9 -:040CD900F693078502 -:040CDA0000230FF7ED -:040CDB00B5A500D7E4 -:040CDC004B9C47984E -:040CDD004703655D07 -:040CDE004645000780 -:040CDF0046C50513EE -:040CE00097BA070AAE -:040CE10010EF438C41 -:040CE20044321D502B -:040CE30044A240C225 -:040CE400D06F01517B -:040CE5004798E35FEA -:040CE60045034B9CDB -:040CE70097820007E9 -:040CE8004798B7ED85 -:040CE90055034B9CC8 -:040CEA0097820007E6 -:040CEB0067DDBFF909 -:040CEC00460786230E -:040CED00C695BFD910 -:040CEE0066B7C3051D -:040CEF0086930001E7 -:040CF0006637E3C6BA -:040CF100655D00013C -:040CF200E4460613BB -:040CF300051345C5DB -:040CF40010EF46C5F2 -:040CF500BF550EF0E9 -:040CF600000166B7DC -:040CF700E3468693B7 -:040CF80047DCB7CD51 -:040CF9004398D7E95C -:040CFA00BF45479C0F -:040CFB0047BD8082EF -:040CFC0014A7E063F6 -:040CFD00445257B74F -:040CFE00EF410113AE -:040CFF00355787934B -:040D000057B7C03EE3 -:040D01008793004193 -:040D0200C23E441792 -:040D030087936795D6 -:040D0400142320078D -:040D0500379300F12F -:040D0600222300F5AF -:040D0700052310812F -:040D0800041300F1DF -:040D09002423010599 -:040D0A0047B91011C4 -:040D0B00F263042269 -:040D0C0065DD0AA7F0 -:040D0D0004800793C4 -:040D0E00859346295A -:040D0F00051345C5BE -:040D100005A300D166 -:040D1100062300F1C4 -:040D120010EF0001DD -:040D130067DD79E03F -:040D140042B7C78398 -:040D1500061365DD7F -:040D16000C23036047 -:040D170067DD00F1A3 -:040D18004287C783C4 -:040D19005205859367 -:040D1A0001F10513CB -:040D1B0000F10CA334 -:040D1C00C78367DD45 -:040D1D000BA347E7F6 -:040D1E0067DD00F19C -:040D1F004667C783D9 -:040D200000F10D23AE -:040D2100C78367DD40 -:040D22000DA34E7758 -:040D230067DD00F197 -:040D24004587C783B5 -:040D250000F10E23A8 -:040D2600C78367DD3B -:040D27000EA333776D +:0409EB00D03E59E7BA +:0409EC00879367DDA9 +:0409ED00D23E59F7A6 +:0409EE0067DDD43EAF +:0409EF005A07879389 +:0409F00027B7D63E11 +:0409F10087930F03D6 +:0409F200C63EF017F6 +:0409F3003030079306 +:0409F4001823DA22C8 +:0409F500645D00F14C +:0409F6000923478DFD +:0409F700079300F171 +:0409F800C78352441B +:0409F900D826018774 +:0409FA0005A3DC066F +:0409FB00472500018B +:0409FC00524404134A +:0409FD008663448544 +:0409FE00849300E7F7 +:0409FF00F493001756 +:040A000067DD0FF4AB +:040A0100A603675D84 +:040A0200071360472F +:040A030047815C4784 +:040A0400568345E9E7 +:040A05001C63000767 +:040A0600476900D666 +:040A07000EF766631D +:040A0800078A675999 +:040A0900AB8707139D +:040A0A00439C97BAB8 +:040A0B0007098782CE +:040A0C0038B78E6306 +:040A0D00BFF10785A9 +:040A0E0066DD4785D5 +:040A0F004F86D70334 +:040A10001007471371 +:040A11004EE69C23EE +:040A12002703675DF2 +:040A1300169360874F +:040A1400D36300F7B1 +:040A150087A60006AA +:040A160000E716934C +:040A17000006DE6394 +:040A18004683675D4D +:040A190045855907AF +:040A1A00E563460149 +:040A1B00068500D577 +:040A1C000FF6F613C8 +:040A1D0058C708238B +:040A1E00450147291E +:040A1F0000E7866303 +:040A200007A3675D64 +:040A210045054CF744 +:040A2200D70367DDB2 +:040A230066DD4F87B6 +:040A240060D6C6834F +:040A250007429B4D9C +:040A26009C23834149 +:040A2700EA814EE72B +:040A2800C68366DD3E +:040A2900068A50A643 +:040A2A009C238F5525 +:040A2B00D7034EE7B8 +:040A2C0050E24F87BE +:040A2D0017B7545251 +:040A2E00A0230002FF +:040A2F0054C20EE7B8 +:040A300003C10113EA +:040A310047898082EF +:040A3200478DBF8DA0 +:040A33004791B7BD73 +:040A34004795B7AD7E +:040A35004799B79D89 +:040A3600479DB78D94 +:040A370047A1BFB95B +:040A380047A5BFA966 +:040A3900675DBF999D +:040A3A0060D74783B7 +:040A3B000017B69357 +:040A3C0060D706A3D6 +:040A3D004683675D28 +:040A3E00675D521787 +:040A3F004CD706A3E7 +:040A40004505E791F0 +:040A410007D000EFEB +:040A4200BF0547A9FC +:040A43008CBFE0EF95 +:040A44000683BFE581 +:040A4500477100A451 +:040A4600000217B7DC +:040A470002E686B38A +:040A48000713675DCC +:040A4900A783620716 +:040A4A0066370C07F8 +:040A4B00655D0001E4 +:040A4C00B246061395 +:040A4D00051345C583 +:040A4E00C23E4D0552 +:040A4F0066DD973693 +:040A50005216C683F1 +:040A5100406020EFF2 +:040A520000B44703A2 +:040A53004792CB29D2 +:040A5400061366051A +:040A55008E7D80060C +:040A56007FF7F6939D +:040A570000C0373371 +:040A580096B30685C6 +:040A590096BA00E663 +:040A5A000700071377 +:040A5B000713C219A2 +:040A5C0083C10690BC +:040A5D000037F61355 +:040A5E0002A0079358 +:040A5F000793E219FE +:040A60001637020043 +:040A6100523000020D +:040A620045C5655DC4 +:040A63006637C03200 +:040A64000613000174 +:040A65000513B306BC +:040A660020EF510527 +:040A6700E0EF3B0081 +:040A6800B79D829F15 +:040A69005783675DEB +:040A6A00C7934F8758 +:040A6B001C23010740 +:040A6C00BF994EF7E9 +:040A6D00C70367DD77 +:040A6E0046055907D9 +:040A6F0065634681F4 +:040A7000070500E690 +:040A71000FF7769372 +:040A720058D78823A6 +:040A730067DDBF3547 +:040A74005907871384 +:040A7500001747031C +:040A76008793460517 +:040A77004681590754 +:040A780000E66563CC +:040A79007693070564 +:040A7A0080A30FF74F +:040A7B00BF2900D7B8 +:040A7C000793675D18 +:040A7D00C7835907CB +:040A7E000713004713 +:040A7F00C7815907CB +:040A8000F79317FDD4 +:040A810002230FF746 +:040A8200BDFD00F7BF +:040A83000713675D91 +:040A84004783590744 +:040A850046B9004727 +:040A860000F6F36320 +:040A8700078547B9DF +:040A880065B7B7DDBA +:040A8900655D0001A6 +:040A8A0085934645C5 +:040A8B000513B40596 +:040A8C0020EF4D0505 +:040A8D00635D3B204A +:040A8E00000165B747 +:040A8F0051030513F7 +:040A900085934645BF +:040A910020EFB50598 +:040A9200E0EF39E078 +:040A9300675DF7CFD5 +:040A94000713635D84 +:040A950017B7620726 +:040A9600A6030002B1 +:040A970067DD0D0703 +:040A98005C4787939D +:040A9900824106424E +:040A9A0045ED46815F +:040A9B000007D50378 +:040A9C0000C5066328 +:040A9D00078906853A +:040A9E00FEB69AE323 +:040A9F0000A4078325 +:040AA00087B3467161 +:040AA100461502C72D +:040AA200C78397BAB5 +:040AA3006463017710 +:040AA400461102F6FF +:040AA50004D64A63C6 +:040AA600973E181847 +:040AA700FDC747033D +:040AA80040D75733A9 +:040AA900CF118B05D9 +:040AAA001818078A87 +:040AAB00A78397BACC +:040AAC008023FE475E +:040AAD00E0EF00D79F +:040AAE0067DDF20FFF +:040AAF00600786A3B3 +:040AB0006637B5A14F +:040AB10006850001B5 +:040AB200B5C60613AC +:040AB300051345C51D +:040AB40020EF5103DB +:040AB500E0EF2780C7 +:040AB600A537EF0F62 +:040AB700051300071C +:040AB800D0EF120564 +:040AB900BFC1E1CF09 +:040ABA0086E347B1D7 +:040ABB006509FCF6D7 +:040ABC0071050513A8 +:040ABD00E0AFD0EFE7 +:040ABE00871367DD56 +:040ABF00635D62070A +:040AC00067DDBF9996 +:040AC1005907871337 +:040AC200018747035E +:040AC3008793467956 +:040AC4004681590707 +:040AC50000E665637F +:040AC6007693070517 +:040AC7008C230FF776 +:040AC800B3DD00D7C3 +:040AC900871367DD4B +:040ACA00470359077E +:040ACB008793018785 +:040ACC0046FD590783 +:040ACD00177DD76D4D +:040ACE0065B7B7CD84 +:040ACF00655D000160 +:040AD000859346457F +:040AD1000513B6C58E +:040AD20020EF4D05BF +:040AD30065B729A03A +:040AD400655D00015B +:040AD500859346457A +:040AD6000513B7C588 +:040AD70020EF5105B6 +:040AD80064412860ED +:040AD900E62FE0EF35 +:040ADA0017B7147DB9 +:040ADB00A6830002EC +:040ADC0067DD0D07BE +:040ADD005C478713D8 +:040ADE0047818EE1DD +:040ADF005583466D88 +:040AE0008C6300071C +:040AE100078500D5B0 +:040AE2009AE3070983 +:040AE3006509FEC7DC +:040AE4007105051380 +:040AE500D6AFD0EFC9 +:040AE6004725BFC918 +:040AE70000F74C6365 +:040AE800078547290E +:040AE90002E7E7B386 +:040AEA0006A3675D9B +:040AEB00F0EF4CF7E5 +:040AEC00B711958F1A +:040AED009CE347310E +:040AEE00BDF5FCE76F +:040AEF00C70367DDF5 +:040AF00047A960D7DB +:040AF100C6071BE336 +:040AF200B98587A695 +:040AF300B9AD47A9A9 +:040AF400DBC101134E +:040AF500202318287A +:040AF6002E23241176 +:040AF7002C23228109 +:040AF800E0EF229178 +:040AF900C22AD44FEA +:040AFA007E3010EF4B +:040AFB00440D4792CD +:040AFC004611EBC5EF +:040AFD000828182C81 +:040AFE001EC020EF07 +:040AFF00000165B7D6 +:040B00008593461182 +:040B01000828DD459E +:040B02001B0020EFC5 +:040B0300064007930E +:040B04005783E941E9 +:040B0500059303C190 +:040B0600462103E1A0 +:040B070001E10513F0 +:040B080000F11E23B7 +:040B09001C0020EFBD +:040B0A0004615783A8 +:040B0B00020102A33E +:040B0C0000F11A23B7 +:040B0D000481578385 +:040B0E0000F11B23B4 +:040B0F0000EF45525C +:040B100057834BD0EC +:040B1100D42A04A13D +:040B120000F11A23B1 +:040B130004C157833F +:040B140000F11B23AE +:040B150000EF455256 +:040B160057834A5067 +:040B1700D62A04E1F5 +:040B180000F11A23AB +:040B190005015783F8 +:040B1A0000F11B23A8 +:040B1B0000EF455250 +:040B1C00D82A48D0BB +:040B1D002341250348 +:040B1E0000EFCA2AF0 +:040B1F0055A2481083 +:040B20000793DA2A33 +:040B210087131E20F8 +:040B2200E063FE6529 +:040B2300460514E788 +:040B240000EF18289E +:040B250057D248D08B +:040B260006F50B6362 +:040B270006600793CA +:040B280010EFC23ECA +:040B290047927290ED +:040B2A00896347058F +:040B2B0047632AE70B +:040B2C00071326F78E +:040B2D008863F35096 +:040B2E0044632AE70B +:040B2F00071324F78D +:040B30008763F340A4 +:040B310065B72AE793 +:040B320085930001A6 +:040B3300C23ED205E7 +:040B3400851367DDE1 +:040B350046455107D9 +:040B360010C020EFDC +:040B3700CEAFE0EF6E +:040B3800000F45372E +:040B39002405051377 +:040B3A00C16FD0EFC8 +:040B3B00DA634792A0 +:040B3C0058632A07C9 +:040B3D0066372A806D +:040B3E00655D0001F0 +:040B3F00E4060613AF +:040B4000051345C58F +:040B410020EF4D054F +:040B4200147D0440DA +:040B4300645DA2B992 +:040B4400000166370F +:040B4500DDC60613F0 +:040B4600051345C589 +:040B470020EF4D044A +:040B480056B202C0DF +:040B4900663767DDC7 +:040B4A00851300010E +:040B4B000613510735 +:040B4C0045C5DEC6F7 +:040B4D00016020EF34 +:040B4E00C8EFE0EF1D +:040B4F0054B257C283 +:040B5000C23E43015D +:040B5100C422450174 +:040B5200089364633D +:040B530092634792D0 +:040B540047831AA712 +:040B5500468301E1F1 +:040B5600470301C18F +:040B5700CFD501D124 +:040B5800000167B77A +:040B5900CEC78793E9 +:040B5A000613452217 +:040B5B00C03201E1C2 +:040B5C0000016637F7 +:040B5D00DF86061316 +:040B5E00051345C571 +:040B5F0010EF4D0541 +:040B600067DD7CD001 +:040B6100000165B773 +:040B620085934645EC +:040B63008513E5050C +:040B640010EF510736 +:040B650064416E1069 +:040B6600C2EFE0EF0B +:040B670014B7147D2E +:040B6800A78300025D +:040B6900675D0D04B3 +:040B6A005C4756830B +:040B6B008B638FE128 +:040B6C00071306F66F +:040B6D0057035C4787 +:040B6E0000630027F9 +:040B6F00650914F709 +:040B700071050513F3 +:040B7100B3AFD0EF5F +:040B72000793BFD94D +:040B7300BDC90650A2 +:040B7400406482B3A4 +:040B750020000793C2 +:040B76000057F463CD +:040B770020000293C5 +:040B7800041367DD1E +:040B790097132003AB +:040B7A0085130102DC +:040B7B0083414BC7A0 +:040B7C005613468145 +:040B7D00182C00949C +:040B7E00C616C81AB5 +:040B7F004E1010EF15 +:040B8000166387AAC7 +:040B810043420E05D8 +:040B8200182842B23B +:040B83000013361312 +:040B840000EF859663 +:040B8500832230D0C7 +:040B860067B7BF0589 +:040B8700879300014F +:040B8800B799F3C75F +:040B8900050010EF64 +:040B8A005783675DC9 +:040B8B0065094F8722 +:040B8C0071050513D7 +:040B8D000027E793C3 +:040B8E004EF71C23DF +:040B8F000EF4A0239D +:040B9000ABEFD0EF08 +:040B9100000165B743 +:040B92004645655D12 +:040B9300E045859321 +:040B94004D050513F3 +:040B9500791010EFD4 +:040B960067DD440DC6 +:040B9700000164B73E +:040B98005107851369 +:040B990085934645B5 +:040B9A0010EFE10473 +:040B9B00E0EF77B060 +:040B9C005632B58F89 +:040B9D004581183442 +:040B9E00E0EF45053A +:040B9F0087AAADAFC5 +:040BA000E20510E377 +:040BA10065B7675D70 +:040BA2000513000136 +:040BA30046454D076F +:040BA400E20585934E +:040BA500751010EFC8 +:040BA600E10485934E +:040BA700464564DD7E +:040BA800510485135C +:040BA900741010EFC5 +:040BAA00B1EFE0EFD8 +:040BAB0055B25642A7 +:040BAC0045011834B3 +:040BAD00A0EFE0EFE6 +:040BAE0013E387AA1C +:040BAF0010EFDE0560 +:040BB000675D50D05D +:040BB100000165B723 +:040BB200859346459C +:040BB3000513E645FB +:040BB40010EF4D07EA +:040BB50065B75A10B6 +:040BB60046450001AF +:040BB700E30585933A +:040BB800510485134C +:040BB900701010EFB9 +:040BBA00ADEFE0EFCC +:040BBB00440DA00144 +:040BBC00440DBB45E4 +:040BBD000670079324 +:040BBE00440DB365CA +:040BBF000680079312 +:040BC0000713B3451F +:040BC1008A63F360F0 +:040BC200071306E728 +:040BC3009CE3F3704C +:040BC40065B7DAE750 +:040BC5008593000113 +:040BC600BB55D8053E +:040BC70006600713AA +:040BC80006E7826357 +:040BC90000F74F637F +:040BCA0006400713C7 +:040BCB0006E7816355 +:040BCC0006500713B5 +:040BCD00D8E799E3E9 +:040BCE00000165B706 +:040BCF00D3858593B2 +:040BD0000713B379DB +:040BD10089630670BE +:040BD200071304E71A +:040BD3009CE3068019 +:040BD40065B7D6E744 +:040BD5008593000103 +:040BD600BB95D6C530 +:040BD700000165B7FD +:040BD800D2858593AA +:040BD90065B7B3AD9C +:040BDA0085930001FE +:040BDB00B385DA05FF +:040BDC00000165B7F8 +:040BDD00DB0585931C +:040BDE0065B7BB99A3 +:040BDF0085930001F9 +:040BE000B3B1D905CF +:040BE100000165B7F3 +:040BE200D48585939E +:040BE30065B7B389B6 +:040BE40085930001F4 +:040BE500BB25DC450B +:040BE600000165B7EE +:040BE700D585859398 +:040BE8002083B33D76 +:040BE90024032401BC +:040BEA00248323C17C +:040BEB00557D238190 +:040BEC00244101138C +:040BED0086AA8082D2 +:040BEE000001663765 +:040BEF000613655D27 +:040BF00045C5690688 +:040BF1005105051392 +:040BF2005830106FF8 +:040BF30046500693CF +:040BF40002D506B36D +:040BF500064007931C +:040BF600000166375D +:040BF7000613655D1F +:040BF80045C5F306F6 +:040BF900510505138A +:040BFA0002F6C6B386 +:040BFB0055F0106F32 +:040BFC00663786AA28 +:040BFD00655D000131 +:040BFE00EDC6061327 +:040BFF00051345C5D0 +:040C0000106F51051B +:040C010046B754900E +:040C02008693000FC6 +:040C0300053324068B +:040C0400678902D525 +:040C050096478793F4 +:040C06003E80071312 +:040C0700006336B799 +:040C0800EA068693DF +:040C09000001663749 +:040C0A00ED060613DA +:040C0B0057B345C5D1 +:040C0C00F7B302F543 +:040C0D00472902E78A +:040C0E0002D556B302 +:040C0F000513655D07 +:040C1000D733510580 +:040C1100106F02E777 +:040C120006935050A5 +:040C130006B346706E +:040C1400079302D56B +:040C150066370640F8 +:040C1600655D000117 +:040C170068860613D2 +:040C1800051345C5B6 +:040C1900C6B3510508 +:040C1A00106F02F65F +:040C1B0086AA4E1047 +:040C1C000001663736 +:040C1D000613655DF8 +:040C1E0045C5F08652 +:040C1F005105051363 +:040C20004CB0106F55 +:040C210027100693FF +:040C220002D506B33E +:040C230006400793ED +:040C2400000166372E +:040C25000613655DF0 +:040C260045C5F38647 +:040C2700510505135B +:040C280002F6C6B357 +:040C29004A70106F8E +:040C2A0027100693F6 +:040C2B000533050583 +:040C2C00069302D554 +:040C2D0066370640E0 +:040C2E0006130001A8 +:040C2F0045C5F3863E +:040C300002D546B3F0 +:040C31000513655DE5 +:040C3200106F5105E9 +:040C330016934810BC +:040C340086E10185CF +:040C3500000166371D +:040C360016D1655D11 +:040C3700EB8606132F +:040C3800051345C596 +:040C3900106F5105E2 +:040C3A0045F14650EA +:040C3B0002B50533C6 +:040C3C00859365DD5A +:040C3D0046456205C1 +:040C3E00655D95AAB1 +:040C3F005105051343 +:040C40004E50106F93 +:040C410000251793E0 +:040C420005136559D8 +:040C4300953EEFC526 +:040C4400655D410C9D +:040C45000513464508 +:040C4600106F5105D5 +:040C470047A94CB0BD +:040C480000F50F6341 +:040C490000251793D8 +:040C4A000513655DCC +:040C4B00953EC60507 +:040C4C00655D410C95 +:040C4D000513464500 +:040C4E00106F5105CD +:040C4F0065B74AB08B +:040C50008593000187 +:040C5100B7F5EE8580 +:040C52004585114182 +:040C5300C02AC606E7 +:040C5400675D219126 +:040C55006107478369 +:040C560007134682B8 +:040C5700E7896107C1 +:040C580000016737F9 +:040C5900F207071384 +:040C5A00663740B207 +:040C5B00655D0001D2 +:040C5C00F286061303 +:040C5D00051345C571 +:040C5E0001415105FA +:040C5F003CF0106FE6 +:040C6000A70367DDA2 +:040C610067DD6047A4 +:040C62005C478793D1 +:040C6300464546AD0F +:040C64000167D583CC +:040C650006E59263AB +:040C66000FF6F693FC +:040C67000763E11925 +:040C68001131280717 +:040C690064DDC4265C +:040C6A004994C28364 +:040C6B00645DC622DC +:040C6C002D040793B9 +:040C6D000032959329 +:040C6E00C80697AE6F +:040C6F000007A303D4 +:040C70000047C783EF +:040C71008513465150 +:040C72008733FF566F +:040C7300260302C78B +:040C740075130043B1 +:040C750043950FF59F +:040C76004994849386 +:040C77002D04041331 +:040C78004703963A5E +:040C7900E8630046E6 +:040C7A0063D902A395 +:040C7B008393050A50 +:040C7C00951EE78357 +:040C7D0085024108A3 +:040C7E000789068557 +:040C7F00F8C69AE336 +:040C8000BF69468181 +:040C81004783E39929 +:040C820017FD000357 +:040C83000FF7F793DD +:040C8400822395A290 +:040C8500470100F52E +:040C8600A091468172 +:040C8700000347031C +:040C880095A20785A5 +:040C890002E7E7B3E4 +:040C8A008763B7EDD8 +:040C8B008793000249 +:040C8C008023FFF2D0 +:040C8D00B7C500F4F3 +:040C8E0040C24432EA +:040C8F0067DD44A237 +:040C9000600786A3D0 +:040C9100D06F0151CE +:040C9200478DF91F72 +:040C930004F70E63F1 +:040C940012E347918F +:040C9500461CFCF706 +:040C9600872A978290 +:040C9700C783468544 +:040C9800655D000492 +:040C9900078E464537 +:040C9A004783943EBA +:040C9B0040040044CD +:040C9C008433445108 +:040C9D0040DC0287AE +:040C9E004D050513E8 +:040C9F00C036C23A5F +:040CA000438C97A248 +:040CA100361010EF0A +:040CA200459140DC5C +:040CA300C60397A24B +:040CA400EC630047B6 +:040CA50065D912C536 +:040CA6008593060A22 +:040CA700962EE90597 +:040CA800468242102E +:040CA9008602471266 +:040CAA00C3914A1C8C +:040CAB00C7839782E2 +:040CAC0043510004AC +:040CAD000017859314 +:040CAE000733078E73 +:040CAF00431400F4F6 +:040CB00000474703AF +:040CB100073342D0F3 +:040CB20096930267AC +:040CB30005330035D0 +:040CB400230300D442 +:040CB500973200056D +:040CB6000463471874 +:040CB700022300E331 +:040CB80097A20005FA +:040CB9000047C783A6 +:040CBA0096A2475166 +:040CBB0002E787B312 +:040CBC0000B48023DD +:040CBD00479C97B207 +:040CBE00BF31C29CE4 +:040CBF00ECE347918A +:040CC00067D9F0E719 +:040CC100EA478793E4 +:040CC200973E070A48 +:040CC3008782431CC5 +:040CC400433D460C5A +:040CC50000C645031D +:040CC60000D647030A +:040CC7000005C783DA +:040CC80000E64603F9 +:040CC90000669D63C1 +:040CCA0000F7786354 +:040CCB00F61317FD08 +:040CCC0080230FF77B +:040CCD00B5C500C5E4 +:040CCE00863AFD6DF8 +:040CCF00F863BFDD2A +:040CD000078500C7CD +:040CD1000FF7F7130F +:040CD20000E5802396 +:040CD300FD6DB5E915 +:040CD400BFDD8732C7 +:040CD50045BD4618BB +:040CD6000007578339 +:040CD70000B69C6364 +:040CD80000C6568379 +:040CD90000F6F563C9 +:040CDA0007C217FD39 +:040CDB00102383C19E +:040CDC00B55500F713 +:040CDD0000E6568354 +:040CDE00FED7FBE35F +:040CDF00B7F50785D9 +:040CE00045BD465078 +:040CE10047834218EB +:040CE200996300070B +:040CE300C78100B60F +:040CE400F79317FD6E +:040CE50000230FF7E2 +:040CE600BDB500F7A1 +:040CE70000464683FA +:040CE80000D7F563D9 +:040CE900F6930785F2 +:040CEA0000230FF7DD +:040CEB00B5A500D7D4 +:040CEC004B9C47983E +:040CED004703655DF7 +:040CEE004645000770 +:040CEF005105051393 +:040CF00097BA070A9E +:040CF10010EF438C31 +:040CF200443221F077 +:040CF30044A240C215 +:040CF400D06F01516B +:040CF5004798DF5FDE +:040CF60045034B9CCB +:040CF70097820007D9 +:040CF8004798B7ED75 +:040CF90055034B9CB8 +:040CFA0097820007D6 +:040CFB0067DDBFF9F9 +:040CFC0050078823F2 +:040CFD00C695BFD900 +:040CFE0066B7C3050D +:040CFF0086930001D7 +:040D00006637EC86E0 +:040D0100655D00012B +:040D0200F2C606131C +:040D0300051345C5CA +:040D040010EF510596 +:040D0500BF55139033 +:040D0600000166B7CB +:040D0700EC068693DD +:040D080047DCB7CD40 +:040D09004398D7E94B +:040D0A00BF45479CFE +:040D0B0047BD8082DE +:040D0C0016A7E063E3 +:040D0D00445257B73E +:040D0E00EF4101139D +:040D0F00355787933A +:040D100057B7C03ED3 +:040D11008793004183 +:040D1200C23E441782 +:040D130087936795C6 +:040D1400142320077D +:040D1500379300F11F +:040D1600222300F59F +:040D1700052310811F +:040D1800041300F1CF +:040D19002423010589 +:040D1A002023101171 +:040D1B0047B9109133 +:040D1C00F463042256 +:040D1D0065DD0AA7DF +:040D1E0004800793B3 +:040D1F008593462949 +:040D20000513500562 +:040D210005A300D155 +:040D2200062300F1B3 +:040D230010EF0001CC +:040D240067DD7E40C9 +:040D25004CF7C7833D +:040D2600061365DD6E +:040D27000C23036036 :040D280067DD00F192 -:040D290042A7C78393 -:040D2A0000F10F23A2 -:040D2B0073C010EF92 -:040D2C000593862283 -:040D2D00850A0550DE -:040D2E00B73FD0EF0C -:040D2F0000A03533B8 -:040D300040A00533A7 -:040D3100108120838A -:040D32001041240345 -:040D330010C10113D7 -:040D3400079380821F -:040D350005A30310FF -:040D360065DD00F186 -:040D3700F9C0079365 -:040D380000F106A31D -:040D3900031006138A -:040D3A008593478DC9 -:040D3B0005134EC589 -:040D3C00072300F198 -:040D3D00062300F198 -:040D3E0010EF0001B1 -:040D3F0065DD6EE020 -:040D40000C0006138A -:040D410056C585937B -:040D420010EF008826 -:040D430086226DE0B7 -:040D44001000059303 -:040D4500D0EF850A5C -:040D4600655DB15FD7 -:040D4700001406137B -:040D48002DC0059322 -:040D490062C5051367 -:040D4A00B57FD0EFB2 -:040D4B00BF59450146 -:040D4C008082557DCF -:040D4D00EE8101131F -:040D4E0010112A2333 -:040D4F0010812823C4 -:040D500010912623B5 -:040D5100FD6347BD3A -:040D520054FD00A7A5 -:040D530011412083A7 -:040D54001101240362 -:040D55002483852648 -:040D5600011310C1B4 -:040D57008082118104 -:040D580017930541A7 -:040D59000070010520 -:040D5A0010000593ED -:040D5B00C03E853ED3 -:040D5C00A9DFD0EF4C -:040D5D0065B7F97904 -:040D5E008593000178 -:040D5F000068634580 -:040D600091EFD0EF50 -:040D6100176384AAE6 -:040D620047831805A6 -:040D63009563014152 -:040D64004703180722 -:040D6500079301519E -:040D66001F630520E2 -:040D6700478316F7B1 -:040D6800C7CD016191 -:040D690093E34705C4 -:040D6A004462FAE7FE -:040D6B0001714703C8 -:040D6C000FF4779376 -:040D6D008FD907A271 -:040D6E000310071354 -:040D6F00F8E798E326 -:040D70000442802198 -:040D71000793804123 -:040D720011E339C090 -:040D7300655DF8F4CE -:040D7400031006134F -:040D750001B1059330 -:040D76004EC505134E -:040D770060C010EF59 -:040D7800635D47026E -:040D79000793468115 -:040D7A00031304005B -:040D7B00071356C341 -:040D7C000613100743 -:040D7D0085331000AA -:040D7E00C436006611 -:040D7F000074C23EFC -:040D80000293C03AE0 -:040D81008E1D09C0FA -:040D820000F685B33F -:040D83000E540B639C -:040D84005D8010EF8F -:040D850046A24792A9 -:040D860000704702B0 -:040D870040F687B3F8 -:040D88001007879336 -:040D89000107969335 -:040D8A00853A82C163 -:040D8B0010000593BC -:040D8C000413C23654 -:040D8D00D0EFF004AF -:040D8E0004429D7FFF -:040D8F008041665DDC -:040D9000470247814E -:040D91000313469270 -:040D9200B75556C635 -:040D93000181478310 -:040D9400017147039F -:040D95008FD907A249 -:040D960004800713BB -:040D9700EEE798E308 -:040D9800007866DD9C -:040D99004539478110 -:040D9A0045C6869331 -:040D9B00460345A91D -:040D9C00666300D7B3 -:040D9D00833300C5D7 -:040D9E00002300D757 -:040D9F00078500C301 -:040DA00096E30705CA -:040DA1004783FEB7CF -:040DA200675D025136 -:040DA30042F70423EC -:040DA4006663472516 -:040DA500675D00F78F -:040DA60042F705A368 -:040DA7004783A029B5 -:040DA8007AE30241A7 -:040DA9004703FEF707 -:040DAA0067DD02718E -:040DAB0083A3655D5C -:040DAC0047034EE7C4 -:040DAD0067DD02817B -:040DAE0003600613C5 -:040DAF0044E78C2366 -:040DB0000291470362 -:040DB100059367DD62 -:040DB2008BA302B15C -:040DB300470332E7D9 -:040DB40067DD02A154 -:040DB50052050513CB -:040DB60042E7852368 -:040DB70002314703BB -:040DB8008F2367DD41 -:040DB90067DD46E7C5 -:040DBA0045C7C7035F -:040DBB008EA367DDBF -:040DBC00470346E7BC -:040DBD0067DD02618B -:040DBE0046E783235E -:040DBF004EC010EF23 -:040DC0000613B5B1B0 -:040DC10010EF09C066 -:040DC20067DD4E207B -:040DC30082A34705BB -:040DC400BD2D4EE70C -:040DC500BD1D448587 -:040DC600BD0D448992 -:040DC700DE410113F5 -:040DC8002C230808C8 -:040DC9002A232011A8 -:040DCA002823208139 -:040DCB00D0EF2091B4 -:040DCC00C02A9F9FFB -:040DCD0040C010EF23 -:040DCE00966347825F -:040DCF0064DD1207C6 -:040DD000000165B702 -:040DD100859346457B -:040DD200851360C560 -:040DD30010EF42C417 -:040DD400645D49A071 -:040DD50046040623A7 -:040DD600A6FFD0EFB5 -:040DD700167D6641DE -:040DD80000021737C7 -:040DD9000D072703D8 -:040DDA00D58366DD7A -:040DDB008F715206BC -:040DDC0002E5816348 -:040DDD0052068693A1 -:040DDE000026D68392 -:040DDF000EE6856334 -:040DE0000513650989 -:040DE100C0EF7105E9 -:040DE20067C1979FAF -:040DE300FFF786137D -:040DE40065B7BFC16F -:040DE500464500017E -:040DE600620585938A -:040DE70042C485136A -:040DE800448010EF44 -:040DE900000165B7E9 -:040DEA008593464562 -:040DEB000513D845CF -:040DEC0010EF46C4FA -:040DED00D0EF5A8069 -:040DEE004481A11F7C -:040DEF000104941354 -:040DF00020040413C4 -:040DF10067DD842511 -:040DF2004681472DC2 -:040DF300004C862208 -:040DF400418785139B -:040DF50027E010EFF4 -:040DF60065B7E5599F -:040DF70085930001DF -:040DF8000048634507 -:040DF900EBBFC0EF9D -:040DFA000485C1317A -:040DFB0097E34741F2 -:040DFC0010EFFCE414 -:040DFD00453D34E05C -:040DFE00D3DFF0EF60 -:040DFF00C78367DD62 -:040E0000675D42B731 -:040E010045C70713C7 -:040E0200C50397BAD3 -:040E030067DD0007A0 -:040E040046A78EA3CC -:040E0500D21FF0EF19 -:040E060021812083A3 -:040E0700214124035E -:040E080024834502F8 -:040E090001132101AF -:040E0A00808221C100 -:040E0B0000C14703D8 -:040E0C004683FF4DCD -:040E0D00071300D1F6 -:040E0E0098E3052040 -:040E0F004683FAE636 -:040E1000470500E1B1 -:040E1100FAD763E3C6 -:040E12009593660549 -:040E130095B2008410 -:040E14003DC00613C4 -:040E150000E684630C -:040E1600055006136A -:040E17008522081414 -:040E18008F5FD0EF29 -:040E190010EFD159AC -:040E1A0057FD2DA0B3 -:040E1B00B76DC03EB1 -:040E1C0001855713E2 -:040E1D0001851793A1 -:040E1E0006B78FD9AB -:040E1F00171300FFA6 -:040E20008F75008545 -:040E210067418FD9BD -:040E2200F0070713BB -:040E23008D79812123 -:040E240080828D5DDE -:040E250017B7C6092C -:040E2600A023000203 -:040E27004701000778 -:040E2800FFC5F69379 -:040E29000002163776 -:040E2A0000E507B325 -:040E2B0002D7646323 -:040E2C00470D898D58 -:040E2D0002E59463E3 -:040E2E000007D68360 -:040E2F00000217376F -:040E300000D71223B2 -:040E31000027C7834C -:040E320000F70223A0 -:040E3300000217B7EB -:040E340080824B88E5 -:040E35000711439CC2 -:040E3600B7F9C25CEA -:040E3700996347096B -:040E3800D70300E5F7 -:040E390017B70007E0 -:040E3A0092230002FD -:040E3B00BFF900E714 -:040E3C009DE34705E6 -:040E3D00C703FCE506 -:040E3E0017B70007DB -:040E3F008223000208 -:040E4000B7E900E727 -:040E4100C222115167 -:040E4200000214375F -:040E43004601C0267E -:040E4400059384AAE4 -:040E4500051304A0ED -:040E4600C4060404D6 -:040E4700C81FC0EF11 -:040E4800460185A634 -:040E49000404051385 -:040E4A00CAFFC0EF2C -:040E4B0004A0059367 -:040E4C000404051382 -:040E4D00C0EF4605A7 -:040E4E000513C67F43 -:040E4F004412040441 -:040E5000448240A2F6 -:040E510001314585A1 -:040E5200C75FC06F47 -:040E5300C422114163 -:040E5400000214374D -:040E5500C02AC226C7 -:040E5600460184AE1F -:040E570004A005935B -:040E58000404051376 -:040E5900C0EFC6061A -:040E5A004782C37F89 -:040E5B000513460134 -:040E5C0085BE040447 -:040E5D00C63FC0EFDD -:040E5E000404051370 -:040E5F0040B2442237 -:040E6000449285A68D -:040E61000141460500 -:040E6200C4FFC06F9A -:040E6300C222115145 -:040E640014334405FA -:040E6500741300A45E -:040E660085A20FF45E -:040E6700C40645195F -:040E6800FADFF0EFCE -:040E6900441285A208 -:040E6A00451D40A240 -:040E6B00F06F0131F2 -:040E6C001151F9FF28 -:040E6D00C222050692 -:040E6E000FF57413F5 -:040E6F00450585A20E -:040E7000F0EFC406D5 -:040E710085A2F8BF9F +:040D29004CC7C78369 +:040D2A005C4585930C +:040D2B0001F10513BA +:040D2C0000F10CA323 +:040D2D00C78367DD34 +:040D2E000BA352279A +:040D2F0067DD00F18B +:040D300050A7C7837E +:040D310000F10D239D +:040D3200C78367DD2F +:040D33000DA358B7FD +:040D340067DD00F186 +:040D35004FC7C7835A +:040D360000F10E2397 +:040D3700C78367DD2A +:040D38000EA33DB712 +:040D390067DD00F181 +:040D3A004CE7C78338 +:040D3B0000F10F2391 +:040D3C00782010EF1C +:040D3D000593862272 +:040D3E00850A0550CD +:040D3F00B2FFD0EF40 +:040D400000A03533A7 +:040D410040A0053396 +:040D42001081208379 +:040D43001041240334 +:040D440010012483F3 +:040D450010C10113C5 +:040D460007B78082E9 +:040D47008793039CEF +:040D480064DD03174C +:040D4900C783CC3E52 +:040D4A00EB916104C4 +:040D4B000001663706 +:040D4C006D86061397 +:040D4D00851345B510 +:040D4E0010EF61043D +:040D4F004635011014 +:040D50006104859322 +:040D510000B10513D5 +:040D520009D010EFC5 +:040D5300061365DD41 +:040D54008593031070 +:040D550008685905CC +:040D560071A010EF89 +:040D5700061365DD3D +:040D580085930B3044 +:040D59000513620517 +:040D5A0010EF04D1C1 +:040D5B0086227080FC +:040D5C0010000593EB +:040D5D00D0EF850A44 +:040D5E00655DAB5FC5 +:040D5F000014061363 +:040D60002E90059339 +:040D61006D350513D4 +:040D6200AF7FD0EFA0 +:040D6300BFAD4501DA +:040D64008082557DB7 +:040D6500EE81011307 +:040D6600109126239F +:040D67002A2364DDFA +:040D6800282310111B +:040D6900882310814A +:040D6A0047BD60041D +:040D6B0000A7FD637D +:040D6C00208357FD8C +:040D6D002403114109 +:040D6E0024831101C8 +:040D6F00853E10C1EC +:040D700011810113D9 +:040D71000541808236 +:040D720001051793CD +:040D73000070C22E1C +:040D740010000593D3 +:040D7500C03E853EB9 +:040D7600A35FD0EFB8 +:040D770065B7F971F2 +:040D7800859300015E +:040D790000686D059C +:040D7A008B6FD0EFBC +:040D7B001A6387AAC6 +:040D7C00470318050C +:040D7D0018630141B5 +:040D7E004683180789 +:040D7F000713015104 +:040D80009263052055 +:040D8100470318E626 +:040D8200C7450161FF +:040D830012E34685AC +:040D84005683FAD7C1 +:040D8500071302410D +:040D86009CE30310D7 +:040D87005403F8E633 +:040D880007130261EA +:040D890016E339C074 +:040D8A00C42AF8E49B +:040D8B000593463551 +:040D8C008513017159 +:040D8D0010EF6104FE +:040D8E0047127AE0AE +:040D8F00FB3547A247 +:040D90000613655D84 +:040D9100102C03100F +:040D920059050513E7 +:040D9300626010EF9B +:040D9400470147824A +:040D950004D00493EF +:040D9600869366DDFD +:040D970087936206D6 +:040D98000613100727 +:040D9900053310000E +:040D9A00C23A00D782 +:040D9B000078C03EDE +:040D9C0009C0031374 +:040D9D0005B38E0507 +:040D9E000B6300974C +:040D9F0010EF0E64DF +:040DA00047125F4057 +:040DA100041347826E +:040DA20004B3F004A2 +:040DA300849340975E +:040DA400971310048D +:040DA5008341010481 +:040DA6000070853E16 +:040DA70010000593A0 +:040DA8000442C23A05 +:040DA900969FD0EF52 +:040DAA0044818041BF +:040DAB004712478222 +:040DAC004703B765DD +:040DAD0046830181F7 +:040DAE0007220171A6 +:040DAF0006938F55C3 +:040DB00018E30480C0 +:040DB1004712EED720 +:040DB200EE0715E350 +:040DB300007866DD81 +:040DB40045394781F5 +:040DB50050068693CB +:040DB600460345A902 +:040DB700666300D798 +:040DB800833300C5BC +:040DB900002300D73C +:040DBA00078500C3E6 +:040DBB0096E30705AF +:040DBC004783FEB7B4 +:040DBD00675D02511B +:040DBE004CF70623C5 +:040DBF0066634725FB +:040DC000675D00F774 +:040DC1004CF707A341 +:040DC2004783A0299A +:040DC3007AE302418C +:040DC4004703FEF7EC +:040DC50067DD027173 +:040DC60085A3655D3F +:040DC700470358E79F +:040DC80067DD028160 +:040DC90003600613AA +:040DCA004EE78E233F +:040DCB000291470347 +:040DCC00059367DD47 +:040DCD008DA302B13F +:040DCE0047033CE7B4 +:040DCF0067DD02A139 +:040DD0005C45051366 +:040DD1004CE7872341 +:040DD20002314703A0 +:040DD300812367DD34 +:040DD40067DD52E79E +:040DD5005007C703F9 +:040DD60080A367DDB2 +:040DD700470352E795 +:040DD80067DD026170 +:040DD90050E7852337 +:040DDA0050A010EF26 +:040DDB00B591478106 +:040DDC0009C0061331 +:040DDD004FE010EFE4 +:040DDE00470567DD81 +:040DDF0058E784A3AA +:040DE0004785B7F597 +:040DE1004789B53D4C +:040DE2000113B52D17 +:040DE3000868DD813E +:040DE4002211222393 +:040DE5002281202324 +:040DE60020912E2307 +:040DE70098BFD0EFF2 +:040DE80010EFC02A1E +:040DE900478242807B +:040DEA0014079463F3 +:040DEB0065B7645D27 +:040DEC004645000177 +:040DED006BC58593BA +:040DEE004D04051398 +:040DEF0010EF64DDC0 +:040DF00088234B40C9 +:040DF100D0EF5004EB +:040DF200C626A01F52 +:040DF300C42264C1F1 +:040DF40017B714FD1C +:040DF500A7830002CE +:040DF600675D0D0721 +:040DF7005C4756837C +:040DF8008E638FE592 +:040DF900071300F6E6 +:040DFA0057035C47F8 +:040DFB000163002769 +:040DFC00650910F77E +:040DFD007105051364 +:040DFE00907FC0EF33 +:040DFF004481BFD993 +:040E0000171344017F +:040E010007130104CE +:040E020057932007DB +:040E0300C23E409714 +:040E040067DD863EE2 +:040E05004681472DAE +:040E06008513080C3C +:040E070010EF4BC7D6 +:040E0800E5792BE07D +:040E0900000165B7C8 +:040E0A006D0585935A +:040E0B00C0EF080824 +:040E0C00ED1DE71FD2 +:040E0D000181470315 +:040E0E004683EF0523 +:040E0F000713019133 +:040E100097630520BF +:040E1100468302E62C +:040E1200470501A1EE +:040E130002D762633D +:040E140015936605C7 +:040E150095B200840E +:040E16003E900613F1 +:040E170000E684630A +:040E18000550061368 +:040E19000874451202 +:040E1A008EDFD0EFA8 +:040E1B000485E15118 +:040E1C004741040541 +:040E1D00F8E417E3FB +:040E1E00352010EF7C +:040E1F00453D458187 +:040E2000D15FF0EFBF +:040E2100C78367DD3F +:040E2200675D4CF7C5 +:040E2300500707135A +:040E2400C50397BAB1 +:040E250045810007FC +:040E260080A367DD61 +:040E2700F0EF52A7EF +:040E280047A2CF7F8F +:040E29000001663727 +:040E2A00061386A67F +:040E2B0045C56A4609 +:040E2C004D078513D6 +:040E2D00496010EF19 +:040E2E0065B747B2AB +:040E2F004645000133 +:040E30006B05859336 +:040E310051078513CD +:040E320051C010EFAC +:040E33008FBFD0EFAE +:040E3400000F45372F +:040E35002405051378 +:040E3600827FC0EF08 +:040E370022412083B1 +:040E3800220124036C +:040E390024834502C7 +:040E3A00011321C1BE +:040E3B00808222810E +:040E3C002DA010EFE6 +:040E3D00C03E57FD5F +:040E3E005713B7D5BA +:040E3F00179301857F +:040E40008FD90185C0 +:040E410000FF06B7F1 +:040E420000851713FD +:040E43008FD98F753F +:040E440007136741E8 +:040E45008121F00710 +:040E46008D5D8D79B8 +:040E4700C6098082D6 +:040E4800000217B7D6 +:040E49000007A023DB +:040E4A00F6934701D3 +:040E4B001637FFC592 +:040E4C0007B30002E6 +:040E4D00646300E5F5 +:040E4E00898D02D7B1 +:040E4F009463470D54 +:040E5000D68302E55E +:040E51001737000748 +:040E52001223000265 +:040E5300C78300D77A +:040E5400022300274E +:040E550017B700F7D4 +:040E56004B880002C3 +:040E5700439C8082B6 +:040E5800C25C071160 +:040E59004709B7F995 +:040E5A0000E59963B3 +:040E5B000007D703B2 +:040E5C00000217B7C2 +:040E5D0000E79223F5 +:040E5E004705BFF98C +:040E5F00FCE59DE32E +:040E60000007C703BD +:040E6100000217B7BD +:040E620000E7822300 +:040E63001151B7E989 +:040E64001437C2225B +:040E6500C0260002A1 +:040E660084AA460113 +:040E670004A005934B +:040E68000404051366 +:040E6900C0EFC4060C +:040E6A0085A6BF7F1B +:040E6B000513460124 +:040E6C00C0EF0404CB +:040E6D000593C25FC8 +:040E6E00051304A0C4 +:040E6F00460504042C +:040E7000BDDFC0EF33 +:040E7100040405135D :040E720040A2441244 -:040E730001314509FB -:040E7400F7DFF06F45 -:040E750045151151BD -:040E7600F0EFC406CF -:040E77000713F2BFAC -:040E78004781086046 -:040E790004E5136316 -:040E7A0009000593D3 -:040E7B000200051359 -:040E7C00F5DFF0EFBF -:040E7D000513458193 -:040E7E00F0EF02107F -:040E7F004581F53F75 -:040E80000220051334 -:040E8100F49FF0EFFB -:040E82000513458D82 -:040E8300F0EF02305A -:040E84004581F3FFB2 -:040E850002800513CF -:040E8600F35FF0EF37 -:040E870003000593CC -:040E88000710051337 -:040E8900F29FF0EFF5 -:040E8A0040A24785B6 -:040E8B000131853E6E -:040E8C00114180820E -:040E8D0000A101A31C -:040E8E000002153712 -:040E8F004605C4222E -:040E90000513842E94 -:040E910005930405BC -:040E9200C60600315F -:040E9300BD5FC0EF90 -:040E9400C0EF852204 -:040E950040B2EACFAE -:040E960001414422B0 -:040E970011518082F3 -:040E9800645DC222B1 -:040E990045445783F2 -:040E9A00C026C406A4 -:040E9B00F9F7F793D9 -:040E9C0083C107C245 -:040E9D0044F41A23DC -:040E9E00000214B783 -:040E9F000EF4A0238A -:040EA000C0EF455109 -:040EA10045D1E7CF81 -:040EA20003800513B1 -:040EA300FA7FF0EFF3 -:040EA400051345D11C -:040EA500F0EF0390D7 -:040EA60045D1F9DF5A -:040EA700F0EF4551D2 -:040EA80045D1F95FD8 -:040EA9000710051316 -:040EAA00F8BFF0EFAE -:040EAB00051345D115 -:040EAC00F0EF05E07E -:040EAD0045D1F81F14 -:040EAE0006D0051352 -:040EAF00F77FF0EFEA -:040EB000453145D1B2 -:040EB100F6FFF0EF69 -:040EB2003200059372 -:040EB300F0EF450512 -:040EB40045D1F65FCF -:040EB500F0EF4519FC -:040EB6000593F5DFCC -:040EB70045093200B7 -:040EB800F53FF0EF23 -:040EB90045445783D2 -:040EBA00E79340A2D8 -:040EBB001A230407EB -:040EBC00441244F4A4 -:040EBD000EF4A0236C -:040EBE000131448238 -:040EBF0011218082FB -:040EC000645DC82283 -:040EC10045445783CA -:040EC200C626CA0670 -:040EC300F9F7F793B1 -:040EC40083C107C21D -:040EC5001A23C02EFE -:040EC600173744F4A2 -:040EC70020230002E2 -:040EC80084AA0EF7F3 -:040EC900320005935B -:040ECA00F0EF4505FB -:040ECB005783F09FBA -:040ECC00173745444B -:040ECD0045C1000219 -:040ECE000207E7939D -:040ECF0044F41A23AA -:040ED0000EF72023D6 -:040ED10010EF852673 -:040ED20077132380EF -:040ED300EB110FF51B -:040ED400000175B7ED -:040ED5008593464576 -:040ED6008526A44584 -:040ED7001FE010EF19 -:040ED8004781470502 -:040ED90000F486B3E8 -:040EDA000006C50346 -:040EDB00C43A45D1FF -:040EDC00F0EFC23E33 -:040EDD004792EC1F2D -:040EDE00078547221B -:040EDF000FF7F69380 -:040EE000FEE6E2E365 -:040EE10045445783AA -:040EE200000214B73F -:040EE300F79345D16B -:040EE40007C2FDF74D -:040EE5001A2383C188 -:040EE600A02344F40D -:040EE70005130EF4ED -:040EE800F0EF0C001B -:040EE9005783E91F23 -:040EEA004502454434 -:040EEB00E79345C183 -:040EEC001A230207BC -:040EED00A02344F406 -:040EEE0010EF0EF4FF -:040EEF0077931C4099 -:040EF000EB910FF57E -:040EF10075B745028A -:040EF2004645000170 -:040EF300A4458593FA -:040EF40018A010EF43 -:040EF5004481478568 -:040EF6004782C23E2F -:040EF700873345D127 -:040EF8004503009717 -:040EF9000485000765 -:040EFA00E4BFF0EF72 -:040EFB00F713479210 -:040EFC0063E30FF4A9 -:040EFD005783FEF722 -:040EFE0040D2454455 -:040EFF00000217379F -:040F00000407E79368 -:040F010044F41A2377 -:040F02002023444222 -:040F030044B20EF7EF -:040F04008082016185 -:040F0500C2221151A2 -:040F0600000214379A -:040F07004601C026B9 -:040F0800059384AA1F -:040F0900051302C00A -:040F0A00C406040411 -:040F0B00971FC0EF7D -:040F0C00460585A66B -:040F0D0004040513C0 -:040F0E0099FFC0EF98 -:040F0F0002C0059384 -:040F100004040513BD -:040F1100C0EF4605E2 -:040F12000513957FAF -:040F1300441204047C -:040F1400448240A231 -:040F150001314585DC -:040F1600965FC06FB3 -:040F1700C42211419E -:040F18000002143788 -:040F1900C02AC22602 -:040F1A00460184AE5A -:040F1B0002C0059378 -:040F1C0004040513B1 -:040F1D00C0EFC60655 -:040F1E004782927FF5 -:040F1F00051346016F -:040F200085BE040482 -:040F2100953FC0EF49 -:040F220004040513AB -:040F230040B2442272 -:040F2400449285A6C8 -:040F2500014146053B -:040F260093FFC06F06 -:040F27000002153778 -:040F2800460111511C -:040F290005134581E6 -:040F2A00C4060405F0 -:040F2B0092BFC0EFC2 -:040F2C00C0EF4529A4 -:040F2D0045E1C4CF07 -:040F2E00F0EF450596 -:040F2F0045E1FA3F5F -:040F3000F0EF450990 -:040F310045E1F9BFDE -:040F3200F0EF450D8A -:040F33004505F93F38 -:040F3400F45FF0EF87 -:040F3500152140A2A0 -:040F3600001535135A -:040F37008082013182 -:040F3800C22211516F -:040F39004505842ABC -:040F3A00F0EFC4060A -:040F3B00991DF2BF4B -:040F3C008C49040ECA -:040F3D000FF4741326 -:040F3E00450585A23E -:040F3F00F61FF0EFBA -:040F4000450985A238 -:040F4100F59FF0EF39 -:040F4200441285A22E -:040F4300450D40A276 -:040F4400F06F013118 -:040F45001151F4BF93 -:040F4600842AC22215 -:040F4700C406450592 -:040F4800EF5FF0EF78 -:040F4900751347894C -:040F4A0013630D859B -:040F4B00641302F435 -:040F4C0085A2001565 -:040F4D00F0EF450577 -:040F4E0085A2F27F07 -:040F4F00F0EF450971 -:040F500085A2F1FF86 -:040F510040A2441264 -:040F52000131450D17 -:040F5300F11FF06F2B -:040F54008C490416AA -:040F55000FF474130E -:040F560000446413DC -:040F57001151BFD99C -:040F58001437C22266 -:040F5900C0260002AC -:040F5A0084AA46011E -:040F5B0005C0059335 -:040F5C000404051371 -:040F5D00C0EFC40617 -:040F5E00F593827F06 -:040F5F0046050FF440 -:040F6000040405136D -:040F6100853FC0EF19 -:040F620005C005932E -:040F6300040405136A -:040F6400C0EF46058F -:040F6500051380BF31 -:040F66004412040429 -:040F6700448240A2DE -:040F68000131458589 -:040F6900819FC06F35 -:040F6A00C42211414B -:040F6B000002143735 -:040F6C00C02AC226AF -:040F6D00460184AE07 -:040F6E0005C0059322 -:040F6F00040405135E -:040F7000C0EFC60602 -:040F71004782FDAF07 -:040F7200051346011C -:040F7300F5930404EA -:040F7400C0EF0FF7C4 -:040F75000513805F81 -:040F76004422040409 -:040F770085A640B259 -:040F78004605449254 -:040F7900C06F014103 -:040F7A001151FF0F03 -:040F7B000220051338 -:040F7C00C222C406C3 -:040F7D00F0EFC026AB -:040F7E007413F69F53 -:040F7F0045CD0FF558 -:040F8000F0EF4559F0 -:040F81006489FA7F06 -:040F8200710485135E -:040F8300AF2FC0EFDD -:040F8400455D458DF5 -:040F8500F95FF0EF31 -:040F8600710485135A -:040F8700AE2FC0EFDA -:040F88000804659361 -:040F8900022005132A -:040F8A00F81FF0EF6D -:040F8B003E8005138C -:040F8C00ACEFC0EF17 -:040F8D0007F475935D -:040F8E0040A2441227 -:040F8F000513448280 -:040F90000131022009 -:040F9100F65FF06FA8 -:040F9200C222115115 -:040F930005136409D5 -:040F9400C40671041A -:040F9500AAAFC0EF50 -:040F9600455945C5AF -:040F9700F4DFF0EFA4 -:040F980071040513C8 -:040F9900A9AFC0EF4D -:040F9A004589455DE3 -:040F9B00F3DFF0EFA1 -:040F9C0071040513C4 -:040F9D0040A2441218 -:040F9E00C06F0131EE -:040F9F001151A84FF5 -:040FA000842EC222B7 -:040FA100454985AA8F -:040FA200F0EFC406A2 -:040FA30085A2F1FF33 -:040FA40040A2441211 -:040FA5000131454D84 -:040FA600F11FF06FD8 -:040FA700051385AAFF -:040FA800F06F03D013 -:040FA90085AAF07FA6 -:040FAA00F06F45455A -:040FAB001151EFFFF2 -:040FAC00C222C40693 -:040FAD000065478311 -:040FAE00456D842ADF -:040FAF0000479593CF -:040FB000F5938DDD4B -:040FB100F0EF0FF559 -:040FB2004583EE3F46 -:040FB3004571006420 -:040FB400ED9FF0EFCE -:040FB500003445833C -:040FB600F0EF4529EA -:040FB7004583ECFF83 -:040FB8004525004487 -:040FB900EC5FF0EF0A -:040FBA000054458317 -:040FBB00F0EF4521ED -:040FBC004583EBBFBF -:040FBD0045350004B2 -:040FBE00EB1FF0EF46 -:040FBF000014458352 -:040FC000F0EF4531D8 -:040FC1004583EA7FFB -:040FC20044120024B1 -:040FC300452D40A2D6 -:040FC400F06F013198 -:040FC5001141E97F6E -:040FC600842AC42293 -:040FC700C2264511E8 -:040FC80084AEC03201 -:040FC900F0EFC60679 -:040FCA004602E39F59 -:040FCB000F85759386 -:040FCC000793CE4D6C -:040FCD00EA637FF064 -:040FCE0045110A8738 -:040FCF000015E59391 -:040FD000E69FF0EFB9 -:040FD10000141513E0 -:040FD20001051413EE -:040FD3005593804171 -:040FD400F59300444D -:040FD50045050FF5CA -:040FD600E51FF0EF34 -:040FD700004415932A -:040FD8000F05F59379 -:040FD900F0EF4509E7 -:040FDA000737E43FB2 -:040FDB000713019C5B -:040FDC005733CC07B4 -:040FDD0056B702976A -:040FDE0086930225CF -:040FDF0047810FF641 -:040FE000028707334A -:040FE10002E6F063D1 -:040FE200042C26B7FE -:040FE300D7F6869324 -:040FE400F9634785E1 -:040FE500F7B700E674 -:040FE6008793080BDA -:040FE700B7B3FBF7AA -:040FE800078900E78E -:040FE9000713675D26 -:040FEA00973E3DC72A -:040FEB0000074503B3 -:040FEC000280071365 -:040FED0002E50533E1 -:040FEE000014571381 -:040FEF004533953AB7 -:040FF000471D028512 -:040FF1000FF5751370 -:040FF2000FF57593EF -:040FF30000A773637D -:040FF4004422459DB1 -:040FF500449240B230 -:040FF600079A058EC3 -:040FF700F5938DDD04 -:040FF800450D0F850F -:040FF900F06F014153 -:040FFA004511DC3F82 -:040FFB00DBDFF0EF59 -:040FFC001151BFB917 -:040FFD00842AC2225E -:040FFE00C406456977 -:040FFF00D63FF0EFFA -:041000007593478518 -:041001001A630FA5BA -:04100200E59300F47E -:04100300441200256E -:04100400456940A258 -:04100500F06F013156 -:04100600E593D93F56 -:04100700BFC500A5BC -:0410080000A5558367 -:04100900C22211519D -:04100A00842A81A112 -:04100B0004B0051315 -:04100C00F0EFC40637 -:04100D004583D77FC1 -:04100E00051300A422 -:04100F00F0EF04A05A -:041010005583D6BF6F -:04101100051300C4FF -:0410120081A104D0E4 -:04101300D5DFF0EF46 -:0410140000C445834C -:0410150004C00513FB -:04101600D51FF0EF03 -:0410170000E4558319 -:0410180004F00513C8 -:04101900F0EF81A1D2 -:04101A004583D43FF7 -:04101B00051300E4D5 -:04101C00F0EF04E00D -:04101D005583D37FA5 -:04101E000513004472 -:04101F0081A1051096 -:04102000D29FF0EF7C -:0410210000444583BF -:0410220005000513AD -:04102300D1DFF0EF3A -:04102400006455838C -:04102500053005137A -:04102600F0EF81A1C5 -:041027004583D0FF2E -:041028000513006448 -:04102900F0EF0520BF -:04102A005583D03FDB -:04102B000513008425 -:04102C0081A1055049 -:04102D00CF5FF0EFB2 -:04102E000084458372 -:04102F000540051360 -:04103000CE9FF0EF70 -:0410310001045583DE -:04103200057005132D -:04103300F0EF81A1B8 -:041034004583CDBF64 -:04103500051301049A -:04103600F0EF056072 -:041037005583CCFF12 -:041038000513012477 -:0410390081A10590FC -:04103A00CC1FF0EFE8 -:04103B0001244583C4 -:04103C000580051313 -:04103D00CB5FF0EFA6 -:04103E000144558391 -:04103F0005B00513E0 -:04104000F0EF81A1AB -:041041004583CA7F9A -:04104200441201440F -:04104300051340A2AF -:04104400013105A0D1 -:04104500C95FF06F20 -:04104600C222115160 -:041047000513842ADF -:04104800C40603F0E7 -:04104900C3BFF0EF42 -:04104A000F05759386 -:04104B0044128DC1FD -:04104C00051340A2A6 -:04104D00013103F07A -:04104E00C71FF06F59 -:04104F00C222115157 -:041050004569842A40 -:04105100F0EFC406F2 -:041052001593C19F92 -:0410530044120064DF -:0410540003F5751318 -:041055008DC940A25F -:041056000FF5F5930A -:0410570001314569B5 -:04105800C49FF06FD2 -:0410590065DD11310F -:04105A008593461D17 -:04105B00850AA7C596 -:04105C0000EFC806D3 -:04105D00F0EF277019 -:04105E004501C75F22 -:04105F00E77FF0EF48 -:0410600005134585AA -:04106100F0EF035059 -:041062006559C23FCB -:0410630063C5051349 -:04106400E91FF0EFA1 -:04106500F0EF450162 -:041066004519FA7FAF -:04106700D01FF0EFB7 -:041068000440051328 -:04106900D03FF0EF95 -:04106A004505458172 -:04106B00CD3FF0EF96 -:04106C00F0EF850A12 -:04106D0040C2CFDFCF -:04106E00808201512A -:04106F00C422114145 -:041070004511842A78 -:04107100C02EC606C1 -:04107200B97FF0EF63 -:04107300043345827B -:04107400759302B4BA -:0410750045110075AC -:0410760001F4779377 -:041077008DDD078E76 -:04107800BC9FF0EF3A -:041079004054551377 -:04107A00442240B21A -:04107B000FF57513E5 -:04107C00808201412C -:04107D00C222115129 -:04107E004541842A3A -:04107F00F0EFC406C4 -:041080001593B61FEF -:0410810044120034E1 -:0410820040A2891DE2 -:04108300F5938DC98B -:0410840045410FF5DE -:04108500F06F0131D6 -:04108600C139B93F74 -:04108700C02611511D -:0410880002600513EA -:04108900059384AE99 -:04108A00C222080076 -:04108B008432C406E1 -:04108C00B79FF0EF2B -:04108D0005934785FB -:04108E0089630094DE -:04108F0047A100F481 -:0410900005A405931B -:0410910000F4846380 -:04109200018405933D -:0410930040A2441221 -:04109400F59344820A -:0410950005130FF53B -:041096000131031011 -:04109700B4DFF06F63 -:041098000513458176 -:04109900BFDD026055 -:04109A00C822112136 -:04109B00CA06C62695 -:04109C00C232C02E6E -:04109D004785C43689 -:04109E0084BA842A62 -:04109F0000F50E63E7 -:0410A0000D6347A1F4 -:0410A100059304F5BA -:0410A200F59300675B -:0410A30045150FF5EB -:0410A400B19FF0EF19 -:0410A500A80945C190 -:0410A6000027059387 -:0410A7000FF5F593B9 -:0410A800F0EF45150B -:0410A9004599B07F36 -:0410AA00F0EF451905 -:0410AB0085A2AFFF6C -:0410AC00450586264A -:0410AD00F67FF0EFEB -:0410AE0045B147C140 -:0410AF0000F40363E3 -:0410B000051345A13E -:0410B100F0EF02203A -:0410B2004442AE3FC7 -:0410B30045924622FA -:0410B40040D24502DF -:0410B500016144B2DF -:0410B600C3FFF06F15 -:0410B7000327059373 -:0410B8000FF5F593A8 -:0410B900F0EF4515FA -:0410BA000593AC3FAF -:0410BB00BF6D020003 -:0410BC0087931151B4 -:0410BD00C222FFE567 -:0410BE00C406C0267E -:0410BF000FF7F7939D -:0410C00084AA4705B2 -:0410C1006963842EAD -:0410C200470906F7DD -:0410C30013634781EB -:0410C400478900E573 -:0410C5000024971359 -:0410C60000449593BA -:0410C700079A8DD91E -:0410C8008DDD8DC568 -:0410C9000FF5F59397 -:0410CA00F0EF456599 -:0410CB004541A7FFF5 -:0410CC00A2FFF0EFA0 -:0410CD007593478D43 -:0410CE0014630F8513 -:0410CF00E59300F4B1 -:0410D0004541005541 -:0410D100A65FF0EF37 -:0410D2009D6347894A -:0410D300478502F457 -:0410D4000287EA6342 -:0410D500052005935A -:0410D60000F40463BB -:0410D7000530059348 -:0410D800F0EF4539B7 -:0410D9000513A47FD8 -:0410DA00B0EF3E80B5 -:0410DB004551D95F43 -:0410DC009EFFF0EF94 -:0410DD00A03D45816C -:0410DE00FD494789F8 -:0410DF0000B037B373 -:0410E000BF49078578 -:0410E10005B00593BE -:0410E200F0EF4539AD -:0410E3000513A1FF51 -:0410E400B0EF3E80AB -:0410E5004551D6DFBC -:0410E6009C7FF0EF0C -:0410E70045C1478D2B -:0410E800FCF41AE317 -:0410E900F0EF45617E -:0410EA004412A03FCD -:0410EB00448240A259 -:0410EC000513458122 -:0410ED00013103606A -:0410EE009F1FF06FE1 -:0410EF00C4221141C5 -:0410F0004551842AB8 -:0410F100C606C02E41 -:0410F200997FF0EF03 -:0410F300779347099F -:0410F40045820FF52D -:0410F50002E4156399 -:0410F6009C634705AB -:0410F700751300E588 -:0410F80005130905CE -:0410F9003513F705AF -:0410FA0040B20015EB -:0410FB000141442249 -:0410FC00E591808278 -:0410FD0008857513DA -:0410FE00F78505135A -:0410FF00D513B7ED61 -:041100008905001746 -:041101000113B7DD42 -:041102004791FB8195 -:0411030067DDCA3E9C -:0411040048078713FE -:04110500C0A6C2A21C -:041106004583C42E2B -:041107004621020774 -:041108000307428314 -:0411090000B61633E3 -:04110A000217458300 -:04110B0000866613E1 -:04110C000613CC32C8 -:04110D001633100085 -:04110E00458300B65F -:04110F00CE320227B3 -:04111000163366111B -:04111100458300B65C -:04111200D03202379E -:041113000004063797 -:0411140000B61633D8 -:041115000613D232B9 -:04111600D43201319D -:041117000613665DF8 -:04111800D6324A562B -:041119000613665DF6 -:04111A00D8324A6617 -:04111B000613665DF4 -:04111C00DA324A7603 -:04111D000613665DF2 -:04111E00DC324A86EF -:04111F000613665DF0 -:04112000675D4A9627 -:041121000613DE32A1 -:04112200071356C792 -:04112300C03A56C7B1 -:0411240007136759ED -:04112500C22A66C7AD -:04112600000109A318 -:041127008793430166 -:04112800C63A480774 -:041129000183151316 -:04112A000210071395 -:04112B001463856163 -:04112C00557D00E30A -:04112D004703A0C90B -:04112E0044150176ED -:04112F00016645838D -:041130000EE46863FE -:04113100139344329E -:04113200941E0027E0 -:0411330084024000F2 -:041134000800041398 -:041135006409CE2259 -:041136008DF5D02241 -:041137000080C9F17A -:04113800A38393A258 -:041139004E0CFE83D7 -:04113A000003C38368 -:04113B0093A2038AEE -:04113C00FD43A38349 -:04113D000075F3B393 -:04113E000A038C63B1 -:04113F00C5934422EE -:041140008985FFF5A9 -:041141000A85966322 -:0411420001065583CA -:0411430005F9441254 -:041144000A85E063D5 -:041145008823458531 -:04114600972300B734 -:0411470089230007F1 -:04114800AA230007CF -:041149008593007713 -:04114A008263800339 -:04114B00658522058F -:04114C008005859302 -:04114D000E75E063D8 -:04114E000400059301 -:04114F001EB38263E6 -:041150000875EF63CC -:04115100886345A1C9 -:04115200ED6314B382 -:041153004591067547 -:0411540006B39063EB -:0411550000079623D6 -:041156000FD7771325 -:041157001C6346854A -:04115800C68300D773 -:041159008563033770 -:04115A004709120629 -:04115B0000E78823FE -:04115C0087A3470519 -:04115D00441600E74D -:04115E0001134486AF -:04115F008082048105 -:041160001000041364 -:041161006411CE2225 -:041162005483BF8172 -:04116300041301066A -:0411640094E320D020 -:041165004483F48447 -:04116600440DFFB67F -:0411670000849D6300 -:041168000002956389 -:041169000EF5F593F7 -:04116A004409BF0D68 -:04116B00F28297E392 -:04116C000671030500 -:04116D009563BDC504 -:04116E00F5930002F3 -:04116F00BF310FB5C8 -:04117000B7ED44058E -:041171008863474107 -:04117200071314E368 -:0411730091E3020002 -:041174000713FEE37C -:04117500962330107D -:04117600471100E736 -:041177000593A2B981 -:041178008763100079 -:04117900EC6314B35C -:04117A0007130075E2 -:04117B0091E30800F4 -:04117C000713FCE376 -:04117D009623201085 -:04117E00471500E72A -:04117F000713A23D73 -:041180008163200067 -:04118100071314E359 -:0411820093E34000B3 -:041183000713FAE371 -:04118400A221202064 -:041185008C6365C151 -:04118600E66314B355 -:0411870065890275FF -:0411880012B38A63B1 -:041189000075E863A2 -:04118A0093E367057F -:04118B000713F8E36B -:04118C00B7D1202097 -:04118D008A6365917B -:04118E00672112B310 -:04118F00F6E39AE306 -:0411900020300713F1 -:041191000737A8D99B -:041192008863000866 -:04119300606312E3A0 -:0411940007370277A0 -:041195008F63000262 -:04119600073710E324 -:0411970099E30004D4 -:041198004711F4E324 -:0411990000E79623B2 -:04119A0007800713B0 -:04119B000737A8E585 -:04119C008E6300104E -:04119D00073710E31D -:04119E009BE30020AF -:04119F000713F2E35D -:0411A0009623204032 -:0411A100471900E703 -:0411A20000E78823B7 -:0411A300A8D947512F -:0411A40000E78723B6 -:0411A5004685BDF1CD -:0411A60000D78623C5 -:0411A70003D7C68321 -:0411A80046F1E2A981 -:0411A90002D306B3B4 -:0411AA0096B24602B1 -:0411AB0000E6D60381 -:0411AC0057700693DF -:0411AD0002C6E7632C -:0411AE00FFF706132E -:0411AF000FF67613AE -:0411B000E063468929 -:0411B100460D02C61F -:0411B20000C786A349 -:0411B30000D78823B6 -:0411B400EAD713E380 -:0411B5000337C70332 -:0411B6004705EB1DE1 -:0411B70000E78723A3 -:0411B8000693BD5984 -:0411B90086A3FFE723 -:0411BA00F6930007A1 -:0411BB00D2FD0FD67C -:0411BC0012E346955F -:0411BD004771E8D7B7 -:0411BE0002E3033312 -:0411BF00933A470216 -:0411C00000E356836F -:0411C1004AF00713D6 -:0411C200FCD776E3FD -:0411C30086A3B5AD9D -:0411C40047090007D0 -:0411C5000713A8194B -:0411C600962320103C -:0411C700BFD500E7A9 -:0411C80030100713C9 -:0411C90000E7962382 -:0411CA008823471916 -:0411CB00B5A100E7E3 -:0411CC009623468997 -:0411CD00468D00D774 -:0411CE00E2D71FE362 -:0411CF000337C70318 -:0411D000BFC1DF4973 -:0411D10010200713D0 -:0411D20000E7962379 -:0411D3000713B52D1C -:0411D400B5512020D1 -:0411D50020200713BC -:0411D60000E7962375 -:0411D7008823471D05 -:0411D800473500E7B0 -:0411D90000E789237F -:0411DA00468DB53950 -:0411DB000713B7D966 -:0411DC00B595203075 -:0411DD0020300713A4 -:0411DE000713BDBD79 -:0411DF0096232040F3 -:0411E000470D00E7D0 -:0411E10000E7882378 -:0411E200028007136D -:0411E3000713BFE14E -:0411E40096232040EE -:0411E500471500E7C3 -:0411E60000E7882373 -:0411E700B7D94761CC -:0411E8001793111137 -:0411E900CA22018590 -:0411EA00CC06C82641 -:0411EB00842A87E1EA -:0411EC00DD6384AE8D -:0411ED004581000731 -:0411EE00077005136E -:0411EF0001A337D54C -:0411F000478500A18E -:0411F10006A7EE63FC -:0411F20007F4741377 -:0411F30045912E955F -:0411F400268145010A -:0411F500D7932E81DD -:0411F60002A30184CB -:0411F700D79300F199 -:0411F80003230104C8 -:0411F900D79300F197 -:0411FA0003A30084C7 -:0411FB00022300F1DA -:0411FC000423008147 -:0411FD000713009143 -:0411FE00079304004F -:0411FF000963095027 -:04120000071300E4EC -:041201004785048099 -:0412020000E414638D -:0412030008700793D5 -:0412040000484599C0 -:0412050000F104A34D -:04120600451524ED79 -:04120700842A2EB156 -:041208000513458500 -:0412090024F5003197 -:04120A000031078325 -:04120B000007D6639F -:04120C0047852E8D57 -:04120D00FEF506E301 -:04120E002641E01184 -:04120F000031450362 -:04121000445240E222 -:04121100017144C261 -:0412120001138082C2 -:04121300C02AFD816F -:0412140005134581F8 -:04121500D206049069 -:04121600CE26D022EE -:04121700F45FF0EFA1 -:041218004501C901C2 -:041219005402509299 -:04121A00011344F286 -:04121B00808202814A -:04121C004515842AC6 -:04121D0084AA261168 -:04121E0005134585EA -:04121F002C510071DD -:04122000007147030F -:041221000FF0079330 -:0412220000F7166358 -:0412230047852E19B4 -:04122400FEF504E3EC -:041225002615E09119 -:041226000071470309 -:041227000FE007933A -:04122800FCF711E3DB -:04122900002845C98B -:04122A00478224AD26 -:04122B000047C7832E -:04122C000027F7138D -:04122D004503CF1591 -:04122E00478300E111 -:04122F00470300F180 -:04123000890D012102 -:041231008D5D0522A8 -:0412320001014783EC -:041233004403050A61 -:04123400839900D1C9 -:0412350047838D5D01 -:04123600831D011102 -:041237000786883D61 -:041238008FD98B9926 -:041239000505943ED5 -:04123A0015331465EF -:04123B00BF9D0085CE -:04123C00CB898B913E -:04123D000101450363 -:04123E0001114783D0 -:04123F008D5D05229A -:04124000B7CD47C51A -:04124100450147819B -:041242000113BFF1E4 -:0412430065D9FDC1AB -:041244004629CC2645 -:04124500859384AA5F -:041246000068814576 -:04124700CE22D006DD -:04124800478D24E1C9 -:0412490022C1C03EC0 -:04124A0024A92C2186 -:04124B00006845A949 -:04124C0045812AC9E5 -:04124D000004A023D6 -:04124E000400051380 -:04124F00E65FF0EF77 -:04125000842A478520 -:041251000EF51B6318 -:041252001AA0059346 -:0412530004800513FB -:04125400E51FF0EFB3 -:041255001763C22A2F -:041256004591088531 -:041257002A550028EC -:0412580000A14703A7 -:041259001A6347923B -:04125A0047030CF743 -:04125B00079300B144 -:04125C0014630AA06D -:04125D0005130CF772 -:04125E002AFD3E80A7 -:04125F004785241D7E -:0412600000F5196319 -:04126100400005B78D -:041262000E900513D2 -:04126300E15FF0EF68 -:041264002C01F575EF -:041265000663478550 -:04126600440102F548 -:0412670047822C3955 -:04126800F79317FDE4 -:04126900C03E0FF77D -:04126A00DC35CBD9CB -:04126B00822347850E -:04126C00C09C00849E -:04126D00F0EF8526F3 -:04126E00157DE95FA2 -:04126F002A69C4889C -:041270004581A041D3 -:0412710007A00513BA -:04127200DD9FF0EF1D -:041273004591F57933 -:0412740022810028AB -:04127500008147832A -:04127600F793443175 -:04127700FFDD04078C -:04127800BF6D4411F1 -:041279000513458193 -:04127A00F0EF0E90F3 -:04127B000793DB7F7B -:04127C006563041092 -:04127D00440900A47C -:04127E000E90079334 -:04127F000FA00513A4 -:041280002A9DC23EA3 -:0412810047852A79FA -:0412820000F51763F9 -:04128300458145124A -:04128400D91FF0EF8F -:041285002271F96574 -:041286004401E1112D -:0412870045812A79FA -:0412880007B0051393 -:04128900D7DFF0EFCC -:04128A004401C11149 -:04128B0020000593A7 -:04128C000500051341 -:04128D00D6DFF0EFC9 -:04128E004401D13D09 -:04128F00F43DB78DE6 -:04129000351320CD25 -:041291005082001473 -:0412920044E244727C -:041293000241011300 -:04129400451C8082F3 -:04129500C822112139 -:04129600C626CA0698 -:04129700C03AC22E69 -:04129800E163440DBD -:04129900CF1902C7A0 -:04129A000045478341 -:04129B008BA184B6E9 -:04129C000626E391AE -:04129D00051385B2FE -:04129E00F0EF051058 -:04129F00C909D27F28 -:0412A0002045440998 -:0412A10040D2852290 -:0412A20044B24442CC -:0412A30080820161E3 -:0412A40006400513E8 -:0412A500458520D586 -:0412A60000B105137B -:0412A7004703289D34 -:0412A800079300B1F7 -:0412A90016630FF0C9 -:0412AA0028E500F73C -:0412AB0004E347858C -:0412AC002221FEF508 -:0412AD0000B1470342 -:0412AE000FE00793B3 -:0412AF00FCF712E353 -:0412B000041347825A -:0412B1008C05202068 -:0412B20004428C1D49 -:0412B300C481804131 -:0412B400450185A6C5 -:0412B5004582283D09 -:0412B6002825451290 -:0412B700450185A2C6 -:0412B8004401280DB8 -:0412B9002637BF799C -:0412BA0005B700066E -:0412BB001537019C46 -:0412BC000613000213 -:0412BD008593A80667 -:0412BE000513CC0543 -:0412BF00B06F020505 -:0412C000862EA75F70 -:0412C100153785AAAE -:0412C200051300020E -:0412C300B06F020501 -:0412C400862EB13F82 -:0412C500153785AAAA -:0412C600051300020A -:0412C700B06F0205FD -:0412C8008082AD7FF4 -:0412C9005783675D83 -:0412CA00F79345470A -:0412CB0007C2F7F768 -:0412CC001A2383C19D -:0412CD00173744F794 -:0412CE0020230002D7 -:0412CF0080820EF714 -:0412D0005783675D7C -:0412D100E793454713 -:0412D2001A230807CC -:0412D300173744F78E -:0412D40020230002D1 -:0412D50080820EF70E -:0412D600002936377E -:0412D700019C05B7BA -:0412D80000021537C4 -:0412D9002E060613C4 -:0412DA00CC05859327 -:0412DB0002050513F0 -:0412DC00A03FB06F10 -:0412DD00F73FF06F78 -:0412DE00278367619A -:0412DF00E38590878C -:0412E0008793679DEC -:0412E10005339787B3 -:0412E200115102F5AF -:0412E3002423C406F6 -:0412E400B0EF90A730 -:0412E50040A2D90F3B -:0412E600013145018C -:0412E70045058082B7 -:0412E800115180829E -:0412E900B0EFC40698 -:0412EA0067E1DA0FCF -:0412EB009087A783BE -:0412EC00353340A2B4 -:0412ED00013100F5D6 -:0412EE0067E18082B2 -:0412EF009007A4239D -:0412F00047018082B0 -:0412F10000E614639C -:0412F20080824501B0 -:0412F30000E507B358 -:0412F40086B30705B1 -:0412F500C78300E5C6 -:0412F600C6830007A4 -:0412F70083E3FFF698 -:0412F8008533FED765 -:0412F900808240D7D8 -:0412FA0000A5C7B3D1 -:0412FB0007338B8D9D -:0412FC00E78100C5C1 -:0412FD00E963478DCD -:0412FE0087AA02C7F2 -:0412FF000CE5716326 -:041300000005C6839B -:0413010005850785D2 -:04130200FED78FA3E0 -:04130300FEE7EAE334 -:04130400C68380829A -:041305000785000553 -:041306008FA3058527 -:04130700EAE3FED740 -:041308004402FEE7B6 -:0413090080820111CC -:04130A0000357693A1 -:04130B00CA9187AA52 -:04130C000005C6838F -:04130D0005850785C6 -:04130E00FED78FA3D4 -:04130F000037F6931A -:041310007693B7FD1C -:041311008613FFC779 -:04131200F563FE067B -:04131300117106C787 -:0413140049C0C022EA -:041315000005A30329 -:041316000085A38328 -:041317004D80CBC07A -:041318000067A023A7 -:041319000045A303E5 -:04131A004DC0CF8073 -:04131B000067A223A2 -:04131C0000C5A283E3 -:04131D000105A30320 -:04131E00024585936C -:04131F00A403CFC094 -:04132000A423FFC53E -:04132100A623007788 -:04132200A8230057A5 -:041323008793006745 -:04132400AE230247AB -:04132500EEE3FE876E -:04132600F2E3FAC72D -:041327004190F8D722 -:041328000591079193 -:04132900FEC7AE232A -:04132A004190BFCD62 -:04132B000591079190 -:04132C00FEC7AE2327 -:04132D00FED7EBE319 -:04132E00F4E7E4E319 -:04132F0080828082B6 -:04133000F7C10113ED -:04133100C13ED6A63D -:0413320067DDDA8613 -:04133300DCB6D8A2AA -:04133400A483DEBAF6 -:04133500DC633887B6 -:041336000793000514 -:04133700C09C08B09E -:0413380050D6557DB9 -:0413390054B654460C -:04133A000841011352 -:04133B000793808212 -:04133C0018232080D2 -:04133D00C22A00F1CF -:04133E004781CA2AEF -:04133F008793C19936 -:04134000C63EFFF5B1 -:0413410018B4CC3ED2 -:04134200842E57FDA1 -:04134300004C8526AF -:0413440000F1192378 -:041345002601C03687 -:04134600556357FD97 -:04134700079300F513 -:04134800C09C08B08D -:041349004792DC5D8E -:04134A0000078023F5 -:04134B00C605BF5DB7 -:04134C004701167DC2 -:04134D0000E507B3FD -:04134E0000E586B37D -:04134F000007C78349 -:041350000006C6834A -:0413510000D79663C8 -:0413520000C7046369 -:04135300F3FD07059A -:0413540040D78533C6 -:04135500450180824C -:0413560087AA808260 -:041357000585CA0935 -:04135800FFF5C703D3 -:04135900167D078571 -:04135A00FEE78FA378 -:04135B00963EFB655A -:04135C0000C79363D0 -:04135D0007858082FE -:04135E00FE078FA354 -:04135F0095AABFD5B7 -:04136000856387AA70 -:04136100C70300B707 -:04136200E701000798 -:0413630040A78533E7 -:0413640007858082F7 -:04136500C5D5B7FD36 -:04136600FFC5A78395 -:04136700C22211513C -:04136800C026C406D1 -:04136900FFC5841325 -:04136A000007D36342 -:04136B0084AA943E7E -:04136C0015F000EF89 -:04136D002783675D0E -:04136E00863A3F87F5 -:04136F002223EF81C5 -:041370002C23000426 -:0413710044123E875D -:04137200852640A2EA -:04137300013144827E -:041374001410006FE2 -:0413750002F47063AB -:0413760007334014E5 -:04137700966300D4A5 -:04137800439800E7AF -:04137900973643DC84 -:04137A00C05CC0187B -:04137B003E862C235B -:04137C0087BABFD994 -:04137D00C31943D875 -:04137E00FEE47DE329 -:04137F0086334394DA -:041380001F6300D710 -:041381004010008692 -:04138200C39496B2C8 -:0413830000D78633D6 -:04138400FAC71BE3A6 -:041385004358431076 -:04138600C39496B2C4 -:04138700B765C3D8AB -:0413880000C47563C5 -:04138900C09C47B10C -:04138A004010BF79D7 -:04138B0000C406B3E1 -:04138C0000D716630D -:04138D00435843146A -:04138E00C01496B23F -:04138F00C3C0C058BF -:041390008082B75947 -:04139100C22611411E -:04139200003584930B -:04139300C60698F101 -:0413940004A1C422CA -:04139500FB6347B1FE -:0413960044B104F466 -:0413970004B4EA634D -:0413980000EFC02A78 -:04139900675D0AD0B2 -:04139A003F872683E0 -:04139B00061347826C -:04139C0084363F87CD -:04139D00645DE43176 -:04139E003FC4041331 -:04139F00EB01401806 -:0413A0004581853EC0 -:0413A10000EFC03E5B -:0413A20047827F807F -:0413A300853EC008BB -:0413A400C03E85A61C -:0413A5007EA000EF37 -:0413A6004782577DA6 -:0413A70006E51563DF -:0413A800C39847316E -:0413A90000EF853E8E -:0413AA00A02906B0C0 -:0413AB00FA04D8E385 -:0413AC00C11C47B168 -:0413AD0040B2450104 -:0413AE0044924422FF -:0413AF0080820141F6 -:0413B0008F0540184D -:0413B10002074E637E -:0413B200F66345ADEC -:0413B300C01800E579 -:0413B400C004943AA3 -:0413B5004058A029D3 -:0413B60002869263B6 -:0413B700853EC21895 -:0413B800031000EF2F -:0413B90000B4051364 -:0413BA0000440713D1 -:0413BB0007B399617A -:0413BC00D3F140E544 -:0413BD008F09943EC2 -:0413BE00BF75C0181F -:0413BF00B7C5C2D814 -:0413C000404086A281 -:0413C1000413BF85CD -:0413C20098710035E9 -:0413C300FC8503E3BF -:0413C40040A405B389 -:0413C500C03E853E63 -:0413C600577D279D8B -:0413C7001AE347825C -:0413C800BFBDFAE5C6 -:0413C9000085A303F5 -:0413CA00C82611110F -:0413CB00CA22CC0660 -:0413CC0084AEC232F7 -:0413CD000866E36368 -:0413CE0000C5D6037D -:0413CF004806771342 -:0413D00082AACB3DE5 -:0413D100470D48C8B4 -:0413D200073340801D -:0413D300498C02A798 -:0413D40007B345090D -:0413D500C03E40B422 -:0413D60002A74433F3 -:0413D7000016851364 -:0413D8007363953E68 -:0413D900842A00A4BE -:0413DA004006761340 -:0413DB00C63DC6360F -:0413DC0085A285164B -:0413DD00F0EFC41653 -:0413DE0042A2ECFF3C -:0413DF00473146B29A -:0413E0004602CD2DC7 -:0413E100C636488C38 -:0413E200F0EFC42A3A -:0413E300D603C5FF69 -:0413E400432200C4DC -:0413E500761346B283 -:0413E6006613B7F6DD -:0413E700962308063B -:0413E800478200C474 -:0413E9000064A823D1 -:0413EA00933EC8C0A6 -:0413EB00A0238C1D92 -:0413EC00C480006455 -:0413ED00F3638336ED -:0413EE0083360066DC -:0413EF00408845925B -:0413F000C01A861A7F -:0413F10044982701F4 -:0413F200450143026C -:0413F3004067073315 -:0413F4004098C498C1 -:0413F500A023933A64 -:0413F600A80D0064DA -:0413F70086228516AF -:0413F8002F05C416E3 -:0413F90042A2832A5F -:0413FA00FD4546B2B5 -:0413FB008516488C7F -:0413FC00F0EFC01638 -:0413FD004282DA5FEF -:0413FE00A0234731B0 -:0413FF00D70300E22E -:04140000557D00C452 -:041401000407671362 -:0414020000E4962349 -:04140300445240E22D -:04140400017144C26C -:04140500D703808207 -:04140600011300C509 -:04140700C122F78186 -:041408000793DEA6C2 -:04140900C30601F124 -:04140A00C02EC22A04 -:04140B000807771344 -:04140C00F41384B29F -:04140D00CB1DFF876D -:04140E00EB0D499801 -:04140F00040005933D -:04141000F0EFC636FD -:041411004782E03FEF -:04141200C38846B293 -:04141300E919CB8880 -:0414140047B1471283 -:04141500C31C557D22 -:04141600440A409AAA -:04141700011354F673 -:041418008082088145 -:0414190007134782EC -:04141A00CBD8040027 -:04141B0002000713B1 -:04141C0000E40CA339 -:04141D0003000713AE -:04141E0000042A2379 -:04141F0000E40D23B5 -:041420008726CA361B -:04142100025006135C -:0414220000074683F6 -:041423009863C2996F -:0414240003330AC6BE -:041425000263409787 -:0414260045820203F6 -:04142700869A45124A -:04142800C83A862612 -:04142900F0EFC61A00 -:04142A0056FDE7FF85 -:04142B001CD5026367 -:04142C0043324854AB -:04142D00969A474202 -:04142E004683C854D5 -:04142F0089630007C6 -:0414300004931A0601 -:04143100577D0017CC -:04143200000420236F -:041433000004262368 -:041434002423C05855 -:0414350001A300040B -:041436002C2304045B -:04143700C583040461 -:0414380077B700047E -:041439004615000153 -:04143A00A40785136B -:04143B0087132B7D6B -:04143C004014001444 -:04143D00F613E53984 -:04143E00C6090106D4 -:04143F00020006138E -:0414400004C401A33C -:041441000086F61318 -:041442000613C609BE -:0414430001A302B04F -:04144400C58304C494 -:041445000613000486 -:04144600816302A01C -:04144700445404C540 -:04144800458187262D -:0414490042A945254A -:04144A00000746034E -:04144B000017031370 -:04144C00FD06061380 -:04144D0006C57963F4 -:04144E00C454C99524 -:04144F000705A805E0 -:0414500077B7B7A112 -:0414510086130001FD -:041452000633A407B2 -:04145300450540C546 -:0414540000C5153387 -:04145500C0148EC968 -:04145600B75184BA4C -:041457000593465261 -:0414580042100046F8 -:041459004963CA2EEB -:04145A00C450020672 -:04145B00000746033D -:04145C0002E0069311 -:04145D0006D611633B -:04145E00001746032A -:04145F0002A006934E -:0414600002D61B6332 -:04146100070946D25F -:0414620000468613A7 -:04146300CA324294B3 -:041464000206C16358 -:04146500A081C0544E -:0414660040C0063349 -:041467000026E693E2 -:04146800C014C45098 -:0414690086B3B7E1AE -:04146A00458502565C -:04146B0096B2871A94 -:04146C0056FDBFA5C5 -:04146D000705B7C5F3 -:04146E000004222331 -:04146F0046814581EC -:0414700042A9452523 -:041471000007460327 -:041472000017031349 -:04147300FD06061359 -:0414740006C57763CF -:041475004583F1E1D9 -:0414760074B7000740 -:04147700460D00011D -:04147800A4848513B0 -:0414790021D1C63A7D -:04147A00CD01473227 -:04147B00A48484932E -:04147C0006938D0541 -:04147D0096B304001E -:04147E00400800A67C -:04147F008D5507057B -:041480004583C008D8 -:0414810075370007B4 -:041482004619000106 -:04148300A4C50513E4 -:0414840000170493B6 -:0414850000B40C2380 -:04148600C52D2949FE -:041487000000073723 -:04148800000707133F -:041489004014E70D17 -:04148A00F69347523C -:04148B00CE911006E8 -:04148C00CA3A071140 -:04148D0047A24858D2 -:04148E00C858973E65 -:04148F0086B3B599D2 -:041490004585025636 -:0414910096B2871A6E -:041492000721BFB5BA -:041493004602B7DD79 -:0414940056B74512F0 -:0414950008580001F2 -:04149600F246869301 -:04149700009785A293 -:0414980000E7000069 -:04149900C42A000061 -:04149A00577D47A291 -:04149B00FCE794E3F3 -:04149C00557D4782B1 -:04149D0000C7D703AA -:04149E0004077713B5 -:04149F00DC071EE365 -:0414A000BBD9484824 -:0414A10045124602A8 -:0414A200000156B738 -:0414A30086930858CC -:0414A40085A2F246E5 -:0414A500BFC12A0594 -:0414A600CA26110140 -:0414A70084B6833252 -:0414A800499445908E -:0414A900CE06CC227D -:0414AA0087AE842A5B -:0414AB0000C6D36341 -:0414AC00202386B2C1 -:0414AD00C60300D39F -:0414AE00C601043738 -:0414AF00202306856B -:0414B000439400D38E -:0414B1000206F693A6 -:0414B2002683C69136 -:0414B30006890003A3 -:0414B40000D320231E -:0414B5000007A28307 -:0414B6000197839384 -:0414B7000062F2934A -:0414B8000602836342 -:0414B900C683439013 -:0414BA00761304376A -:0414BB0036B302063C -:0414BC00EA4100D031 -:0414BD000437861357 -:0414BE00852285A658 -:0414BF00C23EC41A4B -:0414C0009702C03A95 -:0414C100086356FD69 -:0414C200479206D572 -:0414C300451143226A -:0414C4002603439424 -:0414C50047CC00030D -:0414C60043018A99BB -:0414C70097634702DE -:0414C800833300A6C4 -:0414C900536340C564 -:0414CA0043010003D7 -:0414CB004B90479467 -:0414CC0000D654638F -:0414CD0093368E9133 -:0414CE0007E9428167 -:0414CF00065312634B -:0414D000A81D45010D -:0414D10047D4028575 -:0414D20000032603EA -:0414D300DBE38E9138 -:0414D4004685F8D27F -:0414D50085A6861E44 -:0414D600C81A852289 -:0414D700C416C63E33 -:0414D800C03AC21E36 -:0414D90056FD970223 -:0414DA0043924702F0 -:0414DB0047B242A230 -:0414DC0019E343428B -:0414DD00557DFCD568 -:0414DE00446240F232 -:0414DF00610544D28D -:0414E00085B38082CE -:0414E100051300D718 -:0414E20081A30300DF -:0414E300C58304A514 -:0414E4008613045710 -:0414E500963E001619 -:0414E60001A30689CF -:0414E700BF9904B6EF -:0414E800863E468571 -:0414E900852285A62D -:0414EA00C41AC61644 -:0414EB00C03AC23E03 -:0414EC0056FD970210 -:0414ED00FCD501E346 -:0414EE00432242B2A1 -:0414EF000285479299 -:0414F000BFAD470243 -:0414F100CC221101F7 -:0414F200C232842E50 -:0414F3000184460327 -:0414F400CE06CA2630 -:0414F500C02A84B6CF -:0414F60006E0069373 -:0414F70004358593A0 -:0414F8001ED6086391 -:0414F90006C6E463DC -:0414FA00063006931F -:0414FB000AD60463A6 -:0414FC0000C6ED63D6 -:0414FD00200604635E -:0414FE0005800693CC -:0414FF0016D60C638E -:0415000004240313A9 -:0415010004C40123FA -:041502000693A8792B -:041503000663064035 -:04150400069300D674 -:0415050015E3069054 -:041506004014FED6B9 -:0415070000072303B3 -:041508000806F613C8 -:041509000043051383 -:04150A002683C24929 -:04150B00C30800030E -:04150C00000176372D -:04150D000006D86399 -:04150E0002D00713ED -:04150F0040D006B30F -:0415100004E401A34B -:04151100A5460613D2 -:04151200A07D472948 -:041513000730069304 -:041514001AD60A6376 -:0415150000C6EF63BA -:0415160006F0069342 -:0415170006D6006391 -:04151800070006932F -:04151900F8D61EE3FF -:04151A00E693401400 -:04151B00C0140206F0 -:04151C000693A80981 -:04151D00036307500D -:04151E00069304D656 -:04151F0011E307804D -:041520000693F8D660 -:041521007637078092 -:0415220002A300011F -:04152300061304D4D3 -:04152400A0FDA686FA -:041525000313431455 -:041526008613042400 -:04152700C3100046A7 -:0415280001234298C1 -:04152900470504E48A -:04152A00F613AABD4D -:04152B002683040609 -:04152C00C3080003ED -:04152D0006C2DE35DF -:04152E00BF9D86C116 -:04152F004314400819 -:0415300008057293A5 -:0415310000468313DA -:0415320000028663CA -:04153300006720230A -:04153400A801429434 -:041535000405751321 -:041536000067202307 -:04153700D683D97509 -:041538000513000691 -:04153900773706F00A -:04153A000D6300013C -:04153B0006130CA6E1 -:04153C004729A5474F -:04153D00040401A3FE -:04153E00C408404855 -:04153F0000054863F8 -:04154000000423037D -:04154100FFB373136E -:0415420000642023FE -:04154300832EE29978 -:04154400832ECD1114 -:0415450002E6F53392 -:041546009532137D4A -:041547000005450353 -:0415480000A30023D9 -:0415490002E6D533AE -:04154A000AE6F26358 -:04154B001E6346A134 -:04154C00401800D76C -:04154D00CB118B052E -:04154E0048184054A5 -:04154F0000D7476317 -:04155000030007137A -:04155100FEE30FA303 -:0415520085B3137DCD -:04155300C80C40651B -:041554004502469274 -:0415550008108726CD -:04155600C41A85A28C -:04155700D3DFF0EFFF -:041558004322577D56 -:041559000CE5156325 -:04155A0040F2557D89 -:04155B0044D24462D0 -:04155C008082610523 -:04155D0004C402A31D -:04155E0000017637DB -:04155F00A546061384 -:041560002303400819 -:04156100729300077A -:0415620026830805CF -:04156300031100036D -:04156400020281639B -:0415650000672023D8 -:0415660000157713E2 -:041567006513C70140 -:04156800C0080205B0 -:04156900F6B9474147 -:04156A0075134008AD -:04156B00C008FDF5C2 -:04156C007293B7912E -:04156D00202304052E -:04156E008FE30067A0 -:04156F0006C2FC02B2 -:04157000BFD982C19C -:04157100A547061371 -:04157200B72D472129 -:04157300B79986AAF4 -:0415740043144010CC -:0415750072934848DD -:0415760083130806CD -:041577008763004640 -:04157800202300022A -:04157900429800672D -:04157A00A809C308F1 -:04157B0000672023C2 -:04157C0004067613D8 -:04157D00DA6D429849 -:04157E0000A710238F -:04157F000004282319 -:04158000B7B9832E46 -:041581004581431449 -:041582000046861386 -:04158300A303C310EB -:0415840040500006CD -:04158500C41A851AE5 -:04158600432228498B -:041587000533C50162 -:04158800C0484065B2 -:04158900C8184058E6 -:04158A00040401A3B1 -:04158B004814B71534 -:04158C00450245923D -:04158D009482861AA4 -:04158E0007E3577D9B -:04158F004018F2E529 -:04159000EB158B09C3 -:041591004448474241 -:04159200F2E551E34A -:04159300BF31853AA5 -:041594004502459235 -:04159500861A4685E7 -:04159600C41AC63A73 -:0415970056FD9482E7 -:04159800F0D504E3A3 -:041599004322473270 -:04159A0044540705A9 -:04159B008E914642A5 -:04159C00FED740E353 -:04159D004701BFC182 -:04159E00019403139E -:04159F001151B7FD32 -:0415A000C026C2227D -:0415A10084AA646153 -:0415A200C406852EC8 -:0415A3009004262367 -:0415A40057FD20FDD2 -:0415A50000F51663D4 -:0415A60090C4278343 -:0415A700C09CC39190 -:0415A800441240A207 -:0415A9000131448246 -:0415AA00F5938082B3 -:0415AB00962A0FF578 -:0415AC0000C51463FF -:0415AD0080824501F2 -:0415AE00000547836A -:0415AF00FEB78DE313 -:0415B000B7FD050579 -:0415B10004A5F36337 -:0415B20000C586B337 -:0415B30002D57F637B -:0415B400FFF6459366 -:0415B50017FD478156 -:0415B60000F5936346 -:0415B7008733808274 -:0415B800430300F6F3 -:0415B900873300076D -:0415BA00972A00C7A5 -:0415BB0000670023A2 -:0415BC008733B7DDDD -:0415BD00468300F56C -:0415BE0007330007E8 -:0415BF00078500F5A7 -:0415C00000D700232D -:0415C100FEF617E338 -:0415C200478180825B -:0415C3008082BFE57E -:0415C400E5818082BB -:0415C500F06F85B28C -:0415C6001131F2EFFE -:0415C700C622C8066A -:0415C800EA11C4263A -:0415C900E72FF0EF29 -:0415CA0040C2478153 -:0415CB0044A24432C0 -:0415CC000151853E06 -:0415CD00842E808266 -:0415CE0084AAC032F9 -:0415CF004602203D73 -:0415D00074E387A297 -:0415D10085B2FEC51C -:0415D200C232852676 -:0415D300EF8FF0EFB7 -:0415D400DD6187AAA4 -:0415D50085A2461293 -:0415D600F0EFC02A48 -:0415D70085A2C8EF32 -:0415D800F0EF852685 -:0415D9004782E34F13 -:0415DA00A783B7C963 -:0415DB008513FFC5B0 -:0415DC00D563FFC70D -:0415DD0095AA0007C4 -:0415DE00953E419C59 -:0415DF0011418082B4 -:0415E000645DC42260 -:0415E1004004278318 -:0415E200C226C60651 -:0415E3000413832A40 -:0415E400EB8540044F -:0415E50045814501F6 -:0415E60046814601F3 -:0415E7000293470123 -:0415E80000730D601F -:0415E90084AA0000D0 -:0415EA0000055C6339 -:0415EB0004B328819C -:0415EC00C104409066 -:0415ED0040B2557D36 -:0415EE0044924422BD -:0415EF0080820141B4 -:0415F0004008C008E7 -:0415F10046014581E9 -:0415F2004681951A7F -:0415F30047814701E4 -:0415F4000D600293F1 -:0415F500000000737F -:0415F600596384AA07 -:0415F700C01A000511 -:0415F8004302283151 -:0415F900409004B367 -:0415FA0054FDC104D7 -:0415FB00557D401CBE -:0415FC0092E3933EA5 -:0415FD00C004FC64C6 -:0415FE00BF75853EF2 -:0415FF00A50367DDFC -:041600008082388725 -:0416010000000000E5 -:0416020000000000E4 -:0416030000000000E3 -:0416040000000000E2 -:04160500FFFFFFFFE5 -:04160600FFFFFFFFE4 -:041607000000FFFFE1 -:041608000001052CAC -:0416090000010532A5 -:04160A00000105389E -:04160B000001053E97 -:04160C00000104E0F5 -:04160D0000010500D3 -:04160E0000010500D2 -:04160F0000010500D1 -:0416100000010500D0 -:0416110000010500CF -:0416120000010500CE -:0416130000010504C9 -:041614000001050AC2 -:041615000001231E8F -:04161600000123EEBE -:04161700000123EEBD -:0416180000012400A9 -:0416190000012400A8 -:04161A000001240A9D -:04161B000001240E98 -:04161C000001241C89 -:04161D000001241C88 -:04161E00000124346F -:04161F00000125722F -:041620000001257828 -:041621000001257827 -:04162200000125722C -:041623000001257C21 -:041624000001243469 -:041625000001257229 -:041626000001257822 -:0416270000015970F5 -:0416280000015980E4 -:041629000001598CD7 -:04162A0000015998CA -:04162B00000159A4BD -:04162C00000159B0B0 -:04162D00000159BCA3 -:04162E00000159C896 -:04162F00000159D489 -:04163000000159E07C -:04163100000159EC6F -:0416320074204B4F86 -:041633006E69206F4D -:0416340000007469D5 -:04163500736C61462B -:041636007274206545 -:041637006567676913 -:04163800000000723C -:04163900656D69541E -:04163A000074756F54 -:04163B00252E7525BE -:04163C006D75322E68 -:04163D000000007336 -:04163E00252E7525BB -:04163F006D75322E65 -:0416400075252F736A -:04164100322E252EF2 -:0416420000736D754F -:041643004353534F6B -:041644007766202085 -:041645007525202EB9 -:04164600322E252EED -:0416470000006175C9 -:0416480074696E490A -:041649007272652034 -:04164A002020726F7B -:04164B000000642512 -:04164C00202020201A -:04164D0053204F4E89 -:04164E0000434E59AE -:04164F0034313032D0 -:041650003130322DD6 -:041651006D202038B0 -:0416520073717261DD -:041653000000000093 -:0416540025207325B5 -:041655000063257594 -:04165600252E7525A3 -:041657006B75322E4F -:0416580025207A4887 -:041659002E252E7597 -:04165A007A48753223 -:04165B00000000008B -:04165C0074736554EA -:04165D007461702024 -:04165E006E726574CF -:04165F000000000087 -:041660003A31564184 -:04166100424752208A -:041662000000005331 -:041663003A31564181 -:041664007347522056 -:04166500000000423F -:041666003A3156417E -:041667006250592054 -:0416680000007250BC -:041669003A3256417A -:04166A006250592051 -:04166B0000007250B9 -:04166C003A32564177 -:04166D00734752204D -:04166E000000004236 -:04166F003A33564173 -:04167000424752207B -:0416710000005648D7 -:041672003A33564170 -:041673004247522078 -:04167400000000531F -:041675003A3356416D -:041676007347522044 -:04167700000000422D -:041678003A3356416A -:041679006250592042 -:04167A0000007250AA -:04167B007473614CD7 -:04167C0065737520FD -:04167D000000006405 +:040E730045854482EB +:040E7400C06F013119 +:040E75001141BEBFAA +:040E76001437C42247 +:040E7700C22600028D +:040E780084AEC02A5A +:040E79000593460196 +:040E7A00051304A0B8 +:040E7B00C60604049F +:040E7C00BADFC0EF2A +:040E7D004601478261 +:040E7E000404051350 +:040E7F00C0EF85BE7D +:040E80000513BD9FFA +:040E810044220404FF +:040E820085A640B24F +:040E8300460544924A +:040E8400C06F0141F9 +:040E85001151BC5FEC +:040E86004405C2223B +:040E870000A414337C +:040E88000FF47413DC +:040E8900451985A2E0 +:040E8A00F0EFC406BB +:040E8B0085A2FADF63 +:040E8C0040A244122A +:040E8D000131451DCD +:040E8E00F9FFF06F09 +:040E8F0005061151F2 +:040E90007413C222F3 +:040E910085A20FF532 +:040E9200C406450548 +:040E9300F8BFF0EFC5 +:040E9400441285A2DD +:040E9500450940A229 +:040E9600F06F0131C7 +:040E97001151F7DF1F +:040E9800C406451532 +:040E9900F2BFF0EFC5 +:040E9A0008600713D2 +:040E9B001363478115 +:040E9C00059304E5D1 +:040E9D000513090030 +:040E9E00F0EF02006F +:040E9F004581F5DFB5 +:040EA0000210051324 +:040EA100F53FF0EF3A +:040EA200051345816E +:040EA300F0EF02204A +:040EA400458DF49FE5 +:040EA50002300513FF +:040EA600F3FFF0EF77 +:040EA7000513458169 +:040EA800F0EF0280E5 +:040EA9000593F35F5B +:040EAA000513030029 +:040EAB00F0EF07104D +:040EAC004785F29FE5 +:040EAD00853E40A29C +:040EAE00808201310C +:040EAF0001A3114149 +:040EB000153700A151 +:040EB100C422000255 +:040EB200842E46053F +:040EB300040505131A +:040EB4000031059371 +:040EB500C0EFC606BE +:040EB6008522B4BF1E +:040EB700E22FC0EF77 +:040EB800442240B2DE +:040EB90080820141F1 +:040EBA00C2221151EE +:040EBB005783645D98 +:040EBC00C4064F8495 +:040EBD00F793C026C1 +:040EBE0007C2F9F777 +:040EBF001C2383C1AC +:040EC00014B74EF421 +:040EC100A023000268 +:040EC20045510EF494 +:040EC300DF2FC0EF6E +:040EC400051345D1FC +:040EC500F0EF0380C7 +:040EC60045D1FA7F99 +:040EC700039005137C +:040EC800F9DFF0EF6F +:040EC900455145D179 +:040ECA00F95FF0EFED +:040ECB00051345D1F5 +:040ECC00F0EF07102C +:040ECD0045D1F8BF54 +:040ECE0005E0051323 +:040ECF00F81FF0EF29 +:040ED000051345D1F0 +:040ED100F0EF06D068 +:040ED20045D1F77F90 +:040ED300F0EF4531C6 +:040ED4000593F6FF8D +:040ED500450532009D +:040ED600F65FF0EFE4 +:040ED700451945D1A3 +:040ED800F5DFF0EF63 +:040ED900320005934B +:040EDA00F0EF4509E7 +:040EDB005783F53F05 +:040EDC0040A24F845D +:040EDD000407E7938C +:040EDE004EF41C238F +:040EDF00A0234412F6 +:040EE00044820EF446 +:040EE10080820131D9 +:040EE200C8221121F0 +:040EE3005783645D70 +:040EE400CA064F8467 +:040EE500F793C62693 +:040EE60007C2F9F74F +:040EE700C02E83C1D5 +:040EE8004EF41C2385 +:040EE90000021737B5 +:040EEA000EF72023BC +:040EEB00059384AA3D +:040EEC004505320086 +:040EED00F09FF0EF93 +:040EEE004F84578353 +:040EEF0000021737AF +:040EF000E79345C17E +:040EF1001C230207B5 +:040EF20020234EF477 +:040EF30085260EF74B +:040EF400238010EF58 +:040EF5000FF577136B +:040EF60075B7EB11D0 +:040EF700464500016B +:040EF800AE858593AB +:040EF90010EF85264B +:040EFA0047051FE0A9 +:040EFB0086B34781F2 +:040EFC00C50300F436 +:040EFD0045D10006D5 +:040EFE00C23EC43AF2 +:040EFF00EC1FF0EF05 +:040F000047224792AB +:040F0100F6930785D7 +:040F0200E2E30FF720 +:040F03005783FEE62C +:040F040014B74F844B +:040F050045D10002D0 +:040F0600FDF7F79369 +:040F070083C107C2D9 +:040F08004EF41C2364 +:040F09000EF4A0231F +:040F0A000C000513BF +:040F0B00E91FF0EFFB +:040F0C004F84578334 +:040F0D0045C1450293 +:040F0E000207E7935C +:040F0F004EF41C235D +:040F10000EF4A02318 +:040F11001C4010EF81 +:040F12000FF57793CD +:040F13004502EB9117 +:040F1400000175B7AC +:040F15008593464535 +:040F160010EFAE85A5 +:040F1700478518A052 +:040F1800C23E448110 +:040F190045D14782F5 +:040F1A000097873382 +:040F1B000007450383 +:040F1C00F0EF048569 +:040F1D004792E4BF54 +:040F1E000FF4F713C2 +:040F1F00FEF763E393 +:040F20004F84578320 +:040F2100173740D26C +:040F2200E79300024F +:040F23001C23040780 +:040F240044424EF401 +:040F25000EF7202380 +:040F2600016144B26F +:040F27001151808262 +:040F28001437C22296 +:040F2900C0260002DC +:040F2A0084AA46014E +:040F2B0002C0059368 +:040F2C0004040513A1 +:040F2D00C0EFC40647 +:040F2E0085A68E7F87 +:040F2F00051346055B +:040F3000C0EF040406 +:040F31000593915F34 +:040F3200051302C0E1 +:040F33004605040467 +:040F34008CDFC0EF9F +:040F35000404051398 +:040F360040A244127F +:040F37004585448226 +:040F3800C06F013154 +:040F390011418DBF16 +:040F3A001437C42282 +:040F3B00C2260002C8 +:040F3C0084AEC02A95 +:040F3D0005934601D1 +:040F3E00051302C0D5 +:040F3F00C6060404DA +:040F400089DFC0EF96 +:040F4100460147829C +:040F4200040405138B +:040F4300C0EF85BEB8 +:040F440005138C9F66 +:040F4500442204043A +:040F460085A640B28A +:040F47004605449285 +:040F4800C06F014134 +:040F490015378B5F6E +:040F4A00115100023F +:040F4B004581460195 +:040F4C000405051380 +:040F4D00C0EFC40627 +:040F4E0045298A1F88 +:040F4F00BC2FC0EF04 +:040F5000450545E12D +:040F5100FA3FF0EF84 +:040F5200450945E127 +:040F5300F9BFF0EF03 +:040F5400450D45E121 +:040F5500F93FF0EF81 +:040F5600F0EF45056E +:040F570040A2F45F61 +:040F58003513152117 +:040F5900013100154D +:040F5A00115180822F +:040F5B00842AC22200 +:040F5C00C40645057D +:040F5D00F2BFF0EF00 +:040F5E00040E991DC7 +:040F5F0074138C4932 +:040F600085A20FF463 +:040F6100F0EF450563 +:040F620085A2F61F4F +:040F6300F0EF45095D +:040F640085A2F59FCE +:040F650040A2441250 +:040F66000131450D03 +:040F6700F4BFF06F74 +:040F6800C22211513F +:040F69004505842A8C +:040F6A00F0EFC406DA +:040F6B004789EF5F64 +:040F6C000D85751367 +:040F6D0002F4136314 +:040F6E0000156413F3 +:040F6F00450585A20D +:040F7000F27FF0EF2D +:040F7100450985A207 +:040F7200F1FFF0EFAC +:040F7300441285A2FD +:040F7400450D40A245 +:040F7500F06F0131E7 +:040F76000416F11F4D +:040F770074138C491A +:040F780064130FF4FB +:040F7900BFD9004498 +:040F7A00C22211512D +:040F7B000002143725 +:040F7C004601C02644 +:040F7D00059384AAAA +:040F7E00051305C092 +:040F7F00C40604049C +:040F8000F9CFC0EFF6 +:040F81000FF4F593E1 +:040F82000513460508 +:040F8300C0EF0404B3 +:040F84000593FC8F46 +:040F8500051305C08B +:040F86004605040414 +:040F8700F80FC0EFB0 +:040F88000404051345 +:040F890040A244122C +:040F8A0045854482D3 +:040F8B00C06F013101 +:040F8C001141F8EF28 +:040F8D001437C4222F +:040F8E00C226000275 +:040F8F0084AEC02A42 +:040F9000059346017E +:040F9100051305C07F +:040F9200C606040487 +:040F9300F50FC0EFA7 +:040F94004601478249 +:040F95000404051338 +:040F96000FF7F593C9 +:040F9700F7AFC0EF01 +:040F98000404051335 +:040F990040B24422FC +:040F9A00449285A652 +:040F9B0001414605C5 +:040F9C00F66FC06FBD +:040F9D0005131151D6 +:040F9E00C406022063 +:040F9F00C026C22284 +:040FA000F69FF0EFD9 +:040FA1000FF57413C1 +:040FA200455945CD9B +:040FA300FA7FF0EFF2 +:040FA40085136489C4 +:040FA500C0EF710424 +:040FA600458DA68F40 +:040FA700F0EF455DC5 +:040FA8008513F95F55 +:040FA900C0EF710420 +:040FAA006593A58F17 +:040FAB00051308041E +:040FAC00F0EF022040 +:040FAD000513F81F11 +:040FAE00C0EF3E80D2 +:040FAF007593A44F43 +:040FB000441207F4EC +:040FB100448240A294 +:040FB2000220051301 +:040FB300F06F0131A9 +:040FB4001151F65F82 +:040FB5006409C222E7 +:040FB60071040513AA +:040FB700C0EFC406BD +:040FB80045C5A20F7A +:040FB900F0EF4559B7 +:040FBA000513F4DF48 +:040FBB00C0EF71040E +:040FBC00455DA10FDF +:040FBD00F0EF458983 +:040FBE000513F3DF45 +:040FBF004412710463 +:040FC000013140A219 +:040FC1009FAFC06FAF +:040FC200C2221151E5 +:040FC30085AA842E49 +:040FC400C4064549D1 +:040FC500F1FFF0EF59 +:040FC600441285A2AA +:040FC700454D40A2B2 +:040FC800F06F013194 +:040FC90085AAF11FE5 +:040FCA0003D0051338 +:040FCB00F07FF06F54 +:040FCC00454585AA68 +:040FCD00EFFFF06FD3 +:040FCE00C4061151F3 +:040FCF004783C22270 +:040FD000842A00650A +:040FD1009593456D42 +:040FD2008DDD00476A +:040FD3000FF5F5938E +:040FD400EE3FF0EF0D +:040FD50000644583EC +:040FD600F0EF457182 +:040FD7004583ED9FC2 +:040FD8004529003473 +:040FD900ECFFF0EF4A +:040FDA000044458307 +:040FDB00F0EF4525C9 +:040FDC004583EC5FFE +:040FDD004521005456 +:040FDE00EBBFF0EF86 +:040FDF000004458342 +:040FE000F0EF4535B4 +:040FE1004583EB1F3A +:040FE2004531001481 +:040FE300EA7FF0EFC2 +:040FE400002445831D +:040FE50040A24412D0 +:040FE6000131452D63 +:040FE700E97FF06F3F +:040FE800C4221141CD +:040FE9004511842A00 +:040FEA00C032C22629 +:040FEB00C60684AE04 +:040FEC00E39FF0EFA0 +:040FED0075934602B0 +:040FEE00CE4D0F8550 +:040FEF007FF00793F5 +:040FF0000A87EA631F +:040FF100E59345112E +:040FF200F0EF001507 +:040FF3001513E69F4D +:040FF40014130014BE +:040FF5008041010531 +:040FF60000445593CB +:040FF7000FF5F5936A +:040FF800F0EF4505CC +:040FF9001593E51F48 +:040FFA00F593004427 +:040FFB0045090F0590 +:040FFC00E43FF0EFEF +:040FFD00019C073715 +:040FFE00CC07071302 +:040FFF0002975733CB +:04100000022556B7B8 +:041001000FF68693CD +:0410020007334781E8 +:04100300F06302870D +:0410040026B702E623 +:041005008693042C9E +:041006004785D7F64D +:0410070000E6F963A3 +:04100800080BF7B723 +:04100900FBF78793D7 +:04100A0000E7B7B391 +:04100B00675D07898D +:04100C004807071377 +:04100D004503973EC2 +:04100E0007130007BD +:04100F000533028023 +:04101000571302E58B +:04101100953A0014F8 +:0410120002854533DB +:041013007513471DED +:0410140075930FF5CC +:0410150073630FF5FD +:04101600459D00A74D +:0410170040B244227D +:04101800058E44926B +:041019008DDD079AC8 +:04101A000F85F593B6 +:04101B000141450D3D +:04101C00DC3FF06F56 +:04101D00F0EF45119A +:04101E00BFB9DBDF9C +:04101F00C222115187 +:041020004569842A70 +:04102100F0EFC40622 +:041022004785D63FE9 +:041023000FA575930D +:0410240000F41A6357 +:041025000025E5932A +:0410260040A244128E +:0410270001314569E5 +:04102800D93FF06F4D +:0410290000A5E593A6 +:04102A005583BFC566 +:04102B00115100A5BA +:04102C0081A1C222BA +:04102D000513842AF9 +:04102E00C40604B040 +:04102F00D77FF0EF88 +:0410300000A4458350 +:0410310004A00513FF +:04103200D6BFF0EF46 +:0410330000C455831D +:0410340004D00513CC +:04103500F0EF81A1B6 +:041036004583D5DF3A +:04103700051300C4D9 +:04103800F0EF04C011 +:041039005583D51FE7 +:04103A00051300E4B6 +:04103B0081A104F09B +:04103C00D43FF0EFBE +:04103D0000E4458303 +:04103E0004E00513B2 +:04103F00D37FF0EF7C +:041040000044558390 +:04104100051005137E +:04104200F0EF81A1A9 +:041043004583D29F70 +:04104400051300444C +:04104500F0EF0500C3 +:041046005583D1DF1E +:041047000513006429 +:0410480081A105304D +:04104900D0FFF0EFF5 +:04104A000064458376 +:04104B000520051364 +:04104C00D03FF0EFB2 +:04104D000084558343 +:04104E000550051331 +:04104F00F0EF81A19C +:041050004583CF5FA6 +:0410510005130084FF +:04105200F0EF054076 +:041053005583CE9F54 +:04105400051301047B +:0410550081A1057000 +:04105600CDBFF0EF2B +:0410570001044583C8 +:041058000560051317 +:04105900CCFFF0EFE9 +:04105A000124558395 +:04105B0005900513E4 +:04105C00F0EF81A18F +:04105D004583CC1FDC +:04105E000513012451 +:04105F00F0EF058029 +:041060005583CB5F8A +:04106100051301442E +:0410620081A105B0B3 +:04106300CA7FF0EF61 +:04106400014445837B +:0410650040A244124F +:0410660005A00513C9 +:04106700F06F0131F4 +:041068001151C95FFA +:04106900842AC222F1 +:04106A0003F0051377 +:04106B00F0EFC406D8 +:04106C007593C3BFF6 +:04106D008DC10F051D +:04106E0040A2441246 +:04106F0003F0051372 +:04107000F06F0131EB +:041071001151C71F33 +:04107200842AC222E8 +:04107300C406456901 +:04107400C19FF0EF39 +:04107500006415936B +:041076007513441298 +:0410770040A203F59B +:04107800F5938DC996 +:0410790045690FF5C1 +:04107A00F06F0131E1 +:04107B001131C49FCC +:04107C00461D65DDCB +:04107D00B2058593A0 +:04107E00C806850A11 +:04107F00277000EFE7 +:04108000C75FF0EF67 +:04108100F0EF450146 +:041082004585E77F3A +:0410830003500513FE +:04108400C23FF0EF88 +:041085000513655991 +:04108600F0EF6E0514 +:041087004501E91F17 +:04108800FA7FF0EF0C +:04108900F0EF451926 +:04108A000513D01F5B +:04108B00F0EF04403E +:04108C004581D03F8B +:04108D00F0EF450536 +:04108E00850ACD3FC3 +:04108F00CFDFF0EFD0 +:04109000015140C208 +:041091001141808207 +:04109200842AC422C6 +:04109300C606451137 +:04109400F0EFC02E8B +:041095004582B97F58 +:0410960002B4043369 +:0410970000757593D8 +:0410980077934511F4 +:04109900078E01F4C9 +:04109A00F0EF8DDD09 +:04109B005513BC9F8E +:04109C0040B24054CA +:04109D007513442261 +:04109E0001410FF508 +:04109F0011518082E9 +:0410A000842AC222BA +:0410A100C4064541FB +:0410A200B61FF0EF96 +:0410A300003415936D +:0410A400891D44124C +:0410A5008DC940A20F +:0410A6000FF5F593BA +:0410A700013145418D +:0410A800B93FF06FED +:0410A9001151C139E7 +:0410AA000513C02644 +:0410AB0084AE0260AD +:0410AC0008000593A0 +:0410AD00C406C22291 +:0410AE00F0EF8432A9 +:0410AF004785B79F1B +:0410B0000094059310 +:0410B10000F489635B +:0410B200059347A1BA +:0410B300846305A4A9 +:0410B400059300F4AC +:0410B500441201845C +:0410B600448240A28E +:0410B7000FF5F593A9 +:0410B8000310051309 +:0410B900F06F0131A2 +:0410BA004581B4DFD9 +:0410BB0002600513B7 +:0410BC001121BFDD62 +:0410BD00C626C82259 +:0410BE00C02ECA0670 +:0410BF00C436C2323F +:0410C000842A4785B2 +:0410C1000E6384BA7C +:0410C20047A100F54D +:0410C30004F50D63C0 +:0410C4000067059329 +:0410C5000FF5F5939B +:0410C600F0EF4515ED +:0410C70045C1B19FCF +:0410C8000593A809DB +:0410C900F593002774 +:0410CA0045150FF5C4 +:0410CB00B07FF0EF13 +:0410CC0045194599E4 +:0410CD00AFFFF0EF92 +:0410CE00862685A24B +:0410CF00F0EF4505F4 +:0410D00047C1F67F9F +:0410D100036345B1BF +:0410D20045A100F440 +:0410D30002200513DF +:0410D400AE3FF0EF4C +:0410D5004622444229 +:0410D60045024592F8 +:0410D70044B240D20D +:0410D800F06F016153 +:0410D9000593C3FFB9 +:0410DA00F593032760 +:0410DB0045150FF5B3 +:0410DC00AC3FF0EF46 +:0410DD000200059375 +:0410DE001151BF6D80 +:0410DF00FFE587930F +:0410E000C026C22242 +:0410E100F793C406B7 +:0410E20047050FF7B8 +:0410E300842E84AA29 +:0410E40006F769633F +:0410E50047814709EF +:0410E60000E51363AB +:0410E700971347898B +:0410E80095930024B8 +:0410E9008DD9004459 +:0410EA008DC5079A0F +:0410EB00F5938DDD0F +:0410EC0045650FF552 +:0410ED00A7FFF0EF7A +:0410EE00F0EF454199 +:0410EF00478DA2FF88 +:0410F0000F85759360 +:0410F10000F4146390 +:0410F2000055E5932D +:0410F300F0EF454194 +:0410F4004789A65F23 +:0410F50002F49D6301 +:0410F600EA634785DD +:0410F70005930287D4 +:0410F8000463052068 +:0410F900059300F467 +:0410FA00453905303F +:0410FB00A47FF0EFEF +:0410FC003E8005131A +:0410FD00D0BFB0EFC1 +:0410FE00F0EF455179 +:0410FF0045819EFF8A +:041100004789A03D3E +:0411010037B3FD49BA +:04110200078500B0AD +:041103000593BF4948 +:04110400453905B0B4 +:04110500A1FFF0EF67 +:041106003E8005130F +:04110700CE3FB0EF38 +:04110800F0EF45516E +:04110900478D9C7FF3 +:04110A001AE345C1DE +:04110B004561FCF44A +:04110C00A03FF0EF21 +:04110D0040A24412A6 +:04110E004581448251 +:04110F000360051361 +:04111000F06F01314A +:0411110011419F1FCA +:04111200842AC42245 +:04111300C02E455154 +:04111400F0EFC6062C +:041115004709997F6E +:041116000FF57793C7 +:041117001563458295 +:04111800470502E4A1 +:0411190000E59C63EE +:04111A00090575133B +:04111B00F7050513BC +:04111C000015351372 +:04111D00442240B276 +:04111E008082014189 +:04111F007513E591CE +:041120000513088526 +:04112100B7EDF785AA +:041122000017D513CA +:04112300B7DD8905A6 +:04112400FB81011337 +:04112500CA3E4791E6 +:04112600871367DDE7 +:04112700C2A25247C7 +:04112800C42EC0A66B +:0411290002074583F1 +:04112A004283462195 +:04112B00163303076D +:04112C00458300B641 +:04112D00661302172C +:04112E00CC32008639 +:04112F001000061393 +:0411300000B61633BC +:0411310002274583C9 +:041132006611CE3242 +:0411330000B61633B9 +:0411340002374583B6 +:041135000637D03277 +:041136001633000468 +:04113700D23200B6FA +:041138000131061368 +:04113900665DD432E9 +:04113A0054960613AE +:04113B00665DD632E5 +:04113C0054A606139C +:04113D00665DD832E1 +:04113E0054B606138A +:04113F00665DDA32DD +:0411400054C6061378 +:04114100665DDC32D9 +:0411420054D6061366 +:04114300DE32675DD4 +:041144006207061325 +:041145006207071323 +:041146006759C03AEB +:041147007107071312 +:0411480009A3C22A0B +:04114900430100015D +:04114A0052478793EE +:04114B001513C63A78 +:04114C000713018301 +:04114D0085610210A6 +:04114E0000E3146343 +:04114F00A0C9557D61 +:0411500001764703DA +:041151004583441579 +:041152006863016667 +:0411530044320EE430 +:0411540000271393CA +:041155004000941EA4 +:0411560004138402F8 +:04115700CE2208009C +:04115800D022640934 +:04115900C9F18DF556 +:04115A0093A20080DC +:04115B00FE83A383E9 +:04115C00C3834E0CEF +:04115D00038A0003FE +:04115E00A38393A232 +:04115F00F3B3FD43A6 +:041160008C63007527 +:0411610044220A0317 +:04116200FFF5C5933D +:041163009663898581 +:0411640055830A8520 +:041165004412010629 +:04116600E06305F944 +:0411670045850A852B +:0411680000B7882321 +:0411690000079723C1 +:04116A0000078923CE +:04116B000077AA233C +:04116C0080038593E4 +:04116D002205826372 +:04116E00859365857B +:04116F00E0638005B4 +:0411700005930E7560 +:041171008263040091 +:04117200EF631EB356 +:0411730045A1087515 +:0411740014B38863C5 +:041175000675ED63AB +:0411760090634591AC +:04117700962306B302 +:0411780077130007E2 +:0411790046850FD7C1 +:04117A0000D71C631B +:04117B000337C683ED +:04117C00120685636F +:04117D008823470973 +:04117E00470500E73A +:04117F0000E787A35B +:041180004486441647 +:0411810004810113D1 +:041182000413808250 +:04118300CE22100068 +:04118400BF816411B2 +:041185000106548388 +:0411860020D004135E +:04118700F48494E375 +:04118800FFB64483E7 +:041189009D63440D11 +:04118A0095630084E5 +:04118B00F5930002D6 +:04118C00BF0D0EF590 +:04118D0097E3440997 +:04118E000305F282E1 +:04118F00BDC5067163 +:041190000002956361 +:041191000FB5F5930E +:041192004405BF3120 +:041193004741B7ED2C +:0411940014E3886375 +:04119500020007133A +:04119600FEE391E300 +:0411970030100713FA +:0411980000E79623B3 +:04119900A2B947119F +:04119A0010000593A9 +:04119B0014B387639F +:04119C000075EC638B +:04119D00080007132C +:04119E00FCE391E3FA +:04119F002010071302 +:0411A00000E79623AB +:0411A100A23D47150F +:0411A200200007130F +:0411A30014E381636D +:0411A40040000713ED +:0411A500FAE393E3F3 +:0411A60020200713EB +:0411A70065C1A2215B +:0411A80014B38C638D +:0411A9000275E66382 +:0411AA008A63658966 +:0411AB00E86312B330 +:0411AC00670500755E +:0411AD00F8E393E3ED +:0411AE0020200713E3 +:0411AF006591B7D1BE +:0411B00012B38A6389 +:0411B1009AE3672135 +:0411B2000713F6E346 +:0411B300A8D9203067 +:0411B40000080737F1 +:0411B50012E3886356 +:0411B60002776063F9 +:0411B70000020737F4 +:0411B80010E38F634E +:0411B90000040737F0 +:0411BA00F4E399E3DE +:0411BB00962347111F +:0411BC00071300E72E +:0411BD00A8E507801A +:0411BE0000100737DF +:0411BF0010E38E6348 +:0411C00000200737CD +:0411C100F2E39BE3D7 +:0411C20020400713AF +:0411C30000E7962388 +:0411C400882347191C +:0411C500475100E7A7 +:0411C6008723A8D9FA +:0411C700BDF100E78F +:0411C80086234685AF +:0411C900C68300D702 +:0411CA00E2A903D7BC +:0411CB0006B346F130 +:0411CC00460202D302 +:0411CD00D60396B2FD +:0411CE00069300E69E +:0411CF00E76357700B +:0411D000061302C63A +:0411D1007613FFF79B +:0411D20046890FF645 +:0411D30002C6E0630D +:0411D40086A3460D9B +:0411D500882300C7A4 +:0411D60013E300D748 +:0411D700C703EAD789 +:0411D800EB1D0337D1 +:0411D900872347051C +:0411DA00BD5900E714 +:0411DB00FFE7069391 +:0411DC00000786A3DF +:0411DD000FD6F693A0 +:0411DE004695D2FD63 +:0411DF00E8D712E358 +:0411E000033347711D +:0411E100470202E3DC +:0411E2005683933A63 +:0411E300071300E30B +:0411E40076E34AF074 +:0411E500B5ADFCD7D1 +:0411E600000786A3D5 +:0411E700A8194709F3 +:0411E80020100713B9 +:0411E90000E7962362 +:0411EA000713BFD553 +:0411EB009623301007 +:0411EC00471900E7B8 +:0411ED0000E788236C +:0411EE004689B5A1D8 +:0411EF0000D796236C +:0411F0001FE3468D26 +:0411F100C703E2D777 +:0411F200DF49033797 +:0411F3000713BFC15E +:0411F400962310200E +:0411F500B52D00E72D +:0411F600202007139B +:0411F7000713B551D4 +:0411F80096232020FA +:0411F900471D00E7A7 +:0411FA0000E788235F +:0411FB0089234735C8 +:0411FC00B53900E71A +:0411FD00B7D9468D8B +:0411FE002030071383 +:0411FF000713B59588 +:04120000BDBD203020 +:04120100204007136F +:0412020000E7962348 +:041203008823470DE8 +:04120400071300E7E5 +:04120500BFE10280C3 +:04120600204007136A +:0412070000E7962343 +:0412080088234715DB +:04120900476100E752 +:04120A001111B7D92E +:04120B0001851793AF +:04120C00C826CA2204 +:04120D0087E1CC06A3 +:04120E0084AE842AFC +:04120F000007DD6394 +:0412100005134581FC +:0412110037D5077056 +:0412120000A101A393 +:04121300EE634785BA +:04121400741306A7A2 +:041215002E9507F417 +:0412160045014591B8 +:041217002E8126817D +:041218000184D793E3 +:0412190000F102A33B +:04121A000104D79361 +:04121B0000F10323B8 +:04121C000084D793E0 +:04121D0000F103A336 +:04121E000081022326 +:04121F000091042313 +:0412200004000713AC +:0412210009500793D6 +:0412220000E4096378 +:041223000480071329 +:041224001463478583 +:04122500079300E447 +:04122600459908706E +:0412270004A30048D4 +:0412280024ED00F1C0 +:041229002EB1451588 +:04122A004585842A48 +:04122B000031051376 +:04122C00078324F51B +:04122D00D663003153 +:04122E002E8D0007FA +:04122F0006E3478506 +:04123000E011FEF5D6 +:04123100450326410A +:0412320040E2003165 +:0412330044C244521B +:041234008082017142 +:04123500FD81011323 +:041236004581C02A04 +:041237000490051307 +:04123800D022D206E8 +:04123900F0EFCE26DE +:04123A00C901F45F93 +:04123B005092450187 +:04123C0044F2540222 +:04123D000281011316 +:04123E00842A8082FC +:04123F00261145151A +:04124000458584AAB2 +:041241000071051320 +:0412420047032C51E1 +:04124300079300719C +:0412440016630FF02E +:041245002E1900F767 +:0412460004E34785F1 +:04124700E091FEF53F +:04124800470326151D +:041249000793007196 +:04124A0011E30FE0BD +:04124B0045C9FCF79E +:04124C0024AD0028A5 +:04124D00C78347828A +:04124E00F71300474B +:04124F00CF15002790 +:0412500000E1450371 +:0412510000F14783DE +:04125200012147032C +:041253000522890DDA +:0412540047838D5DE2 +:04125500050A010184 +:0412560000D144037C +:041257008D5D83998D +:0412580001114783B6 +:04125900883D831D2C +:04125A008B990786DF +:04125B00943E8FD955 +:04125C00146505050B +:04125D0000851533C0 +:04125E008B91BF9D14 +:04125F004503CB89EF +:0412600047830101BE +:041261000522011150 +:0412620047C58D5D92 +:041263004781B7CD3B +:04126400BFF1450190 +:04126500FDC10113B3 +:04126600CC2665D954 +:0412670084AA4629E6 +:041268008A058593DB +:04126900D006006843 +:04126A0024E1CE228B +:04126B00C03E478DAD +:04126C002C2122C14E +:04126D0045A924A9C2 +:04126E002AC9006821 +:04126F00A0234581F2 +:04127000051300045E +:04127100F0EF040096 +:041272004785E65F67 +:041273001B63842A4B +:0412740005930EF5DB +:0412750005131AA0A3 +:04127600F0EF048011 +:04127700C22AE51F83 +:04127800088517636B +:041279000028459173 +:04127A0047032A55A7 +:04127B00479200A1F5 +:04127C000CF71A63EE +:04127D0000B1470372 +:04127E000AA0079328 +:04127F000CF71463F1 +:041280003E80051394 +:04128100241D2AFD01 +:041282001963478520 +:0412830005B700F5B6 +:04128400051340000E +:04128500F0EF0E90E8 +:04128600F575E15FBA +:0412870047852C016A +:0412880002F5066302 +:041289002C394401B7 +:04128A0017FD478283 +:04128B000FF7F793CF +:04128C00CBD9C03EBC +:04128D004785DC3580 +:04128E000084822333 +:04128F008526C09C54 +:04129000E95FF0EF33 +:04129100C488157D7B +:04129200A0412A69E4 +:041293000513458179 +:04129400F0EF07A0D0 +:04129500F579DD9F6B +:041296000028459156 +:0412970047832281E6 +:04129800443100815C +:041299000407F793BC +:04129A004411FFDD1F +:04129B004581BF6D5D +:04129C000E90051398 +:04129D00DB7FF0EF14 +:04129E00041007939E +:04129F0000A46563DF +:0412A0000793440963 +:0412A10005130E9093 +:0412A200C23E0FA099 +:0412A3002A792A9DDD +:0412A4001763478500 +:0412A500451200F5F9 +:0412A600F0EF45819F +:0412A700F965D91FED +:0412A800E1112271BD +:0412A9002A79440159 +:0412AA000513458162 +:0412AB00F0EF07B0A9 +:0412AC00C111D7DFB6 +:0412AD000593440160 +:0412AE000513200004 +:0412AF00F0EF050057 +:0412B000D13DD6DF77 +:0412B100B78D4401B0 +:0412B20020CDF43D1A +:0412B30000143513DB +:0412B40044725082AE +:0412B500011344E2FB +:0412B60080820241EF +:0412B7001121451CA0 +:0412B800CA06C82278 +:0412B900C22EC62655 +:0412BA00440DC03AE5 +:0412BB0002C7E16322 +:0412BC004783CF197C +:0412BD0084B60045AE +:0412BE00E3918BA18C +:0412BF0085B20626C8 +:0412C00005100513FD +:0412C100D27FF0EFF9 +:0412C2004409C90909 +:0412C300852220451B +:0412C400444240D28E +:0412C500016144B2CD +:0412C600051380820A +:0412C70020D50640E8 +:0412C8000513458540 +:0412C900289D00B1AB +:0412CA0000B1470325 +:0412CB000FF0079386 +:0412CC0000F71663AE +:0412CD00478528E544 +:0412CE00FEF504E342 +:0412CF00470322218E +:0412D000079300B1CF +:0412D10012E30FE035 +:0412D2004782FCF75C +:0412D30020200413C0 +:0412D4008C1D8C05DC +:0412D500804104420E +:0412D60085A6C481A4 +:0412D700283D450168 +:0412D80045124582F4 +:0412D90085A228259D +:0412DA00280D450195 +:0412DB00BF79440192 +:0412DC0000062637AB +:0412DD00019C05B7B4 +:0412DE0000021537BE +:0412DF00A806061344 +:0412E000CC05859321 +:0412E10002050513EA +:0412E2009EBFB06F8C +:0412E30085AA862E24 +:0412E40000021537B8 +:0412E50002050513E6 +:0412E600A89FB06F9E +:0412E70085AA862E20 +:0412E80000021537B4 +:0412E90002050513E2 +:0412EA00A4DFB06F5E +:0412EB00675D808239 +:0412EC004F8757834E +:0412ED00F7F7F79385 +:0412EE0083C107C2EF +:0412EF004EF71C2377 +:0412F00000021737AA +:0412F1000EF72023B1 +:0412F200675D808232 +:0412F3004F87578347 +:0412F4000807E7936D +:0412F5004EF71C2371 +:0412F60000021737A4 +:0412F7000EF72023AB +:0412F8003637808283 +:0412F90005B700290C +:0412FA001537019C07 +:0412FB0006130002D4 +:0412FC0085932E06A2 +:0412FD000513CC0504 +:0412FE00B06F0205C6 +:0412FF00F06F979F56 +:041300006761F73FEB +:041301009BC72783DC +:04130200679DE3857B +:0413030097878793AE +:0413040002F50533B6 +:04130500C4061151B8 +:041306009AA72E2351 +:04130700D06FB0EF04 +:04130800450140A2B9 +:0413090080820131AC +:04130A008082450593 +:04130B00C4061151B2 +:04130C00D16FB0EFFE +:04130D00A78367E16A +:04130E0040A29BC797 +:04130F0000F535337D +:0413100080820131A5 +:04131100AE2367E1BF +:0413120080829A0734 +:041313001463470117 +:04131400450100E6A9 +:0413150007B3808218 +:04131600070500E5E2 +:0413170000E586B3B4 +:041318000007C78380 +:04131900FFF6C68392 +:04131A00FED783E394 +:04131B0040D78533FF +:04131C00C7B3808251 +:04131D008B8D00A50F +:04131E0000C50733CC +:04131F00478DE7818E +:0413200002C7E963B4 +:04132100716387AAC3 +:04132200C6830CE58D +:041323000785000535 +:041324008FA3058509 +:04132500EAE3FED722 +:041326008082FEE7DC +:041327000005C68374 +:0413280005850785AB +:04132900FED78FA3B9 +:04132A00FEE7EAE30D +:04132B000111440266 +:04132C0076938082B2 +:04132D0087AA003556 +:04132E00C683CA9117 +:04132F000785000529 +:041330008FA30585FD +:04133100F693FED75A +:04133200B7FD0037CC +:04133300FFC77693E7 +:04133400FE06861318 +:0413350006C7F5638F +:04133600C02211714F +:04133700A30349C003 +:04133800A383000586 +:04133900CBC00085A0 +:04133A00A0234D801F +:04133B00A3030067A1 +:04133C00CF80004519 +:04133D00A2234DC0DA +:04133E00A28300671F +:04133F00A30300C53F +:04134000859301058B +:04134100CFC00245D2 +:04134200FFC5A4033C +:041343000077A42368 +:041344000057A62385 +:041345000067A82372 +:041346000247879340 +:04134700FE87AE234C +:04134800FAC7EEE30F +:04134900F8D7F2E3FC +:04134A000791419036 +:04134B00AE23059137 +:04134C00BFCDFEC74C +:04134D000791419033 +:04134E00AE23059134 +:04134F00EBE3FEC707 +:04135000E4E3FED7FD +:041351008082F4E7BB +:041352000113808281 +:04135300D6A6F7C162 +:04135400DA86C13E36 +:04135500D8A267DDD6 +:04135600DEBADCB669 +:0413570042C7A48362 +:041358000005DC634D +:0413590008B007933E +:04135A00557DC09C61 +:04135B00544650D6CE +:04135C00011354B66F +:04135D008082084141 +:04135E002080079351 +:04135F0000F118235E +:04136000CA2AC22AA9 +:04136100C199478166 +:04136200FFF5879379 +:04136300CC3EC63E78 +:0413640057FD18B465 +:041365008526842E27 +:041366001923004CFB +:04136700C03600F19B +:0413680057FD260106 +:0413690000F55563D3 +:04136A0008B007932D +:04136B00DC5DC09CE9 +:04136C008023479201 +:04136D00BF5D000759 +:04136E00167DC6051D +:04136F0007B3470178 +:0413700086B300E55B +:04137100C78300E549 +:04137200C683000727 +:041373009663000677 +:04137400046300D737 +:04137500070500C7A1 +:041376008533F3FDCB +:04137700808240D759 +:041378008082450129 +:04137900CA0987AA6C +:04137A00C70305851B +:04137B000785FFF5EE +:04137C008FA3167DA8 +:04137D00FB65FEE727 +:04137E009363963EA1 +:04137F00808200C7A1 +:041380008FA30785AB +:04138100BFD5FE07CF +:0413820087AA95AAF7 +:0413830000B78563C7 +:041384000007C70394 +:041385008533E701C4 +:04138600808240A77A +:04138700B7FD078522 +:04138800A783C5D59D +:041389001151FFC53A +:04138A00C406C222B1 +:04138B008413C026E1 +:04138C00D363FFC563 +:04138D00943E000783 +:04138E0000EF84AA3E +:04138F00675D15F091 +:0413900049C727839F +:04139100EF81863A28 +:04139200000422230E +:0413930048872E2336 +:0413940040A244121D +:0413950044828526E3 +:04139600006F0131B2 +:04139700706314105B +:04139800401402F407 +:0413990000D4073342 +:04139A0000E796636F +:04139B0043DC439854 +:04139C00C0189736A8 +:04139D002E23C05CDF +:04139E00BFD94886E5 +:04139F0043D887BAEE +:0413A0007DE3C3190D +:0413A1004394FEE48F +:0413A20000D78633B7 +:0413A30000861F633E +:0413A40096B24010AD +:0413A5008633C39434 +:0413A6001BE300D76E +:0413A7004310FAC72E +:0413A80096B243585E +:0413A900C3D8C3944E +:0413AA007563B7654B +:0413AB0047B100C482 +:0413AC00BF79C09CA9 +:0413AD0006B3401033 +:0413AE00166300C4FE +:0413AF00431400D70C +:0413B00096B2435856 +:0413B100C058C0144C +:0413B200B759C3C0A4 +:0413B30011418082E2 +:0413B4008493C22636 +:0413B50098F1003576 +:0413B600C422C60681 +:0413B70047B104A195 +:0413B80004F4FB63DB +:0413B900EA6344B1EE +:0413BA00C02A04B48D +:0413BB000AD000EF65 +:0413BC002683675DC0 +:0413BD00478249C753 +:0413BE0049C7061302 +:0413BF00E43184365B +:0413C0000413645D51 +:0413C10040184A0482 +:0413C200853EEB0178 +:0413C300C03E458162 +:0413C4007F8000EF37 +:0413C500C008478293 +:0413C60085A6853E35 +:0413C70000EFC03E35 +:0413C800577D7EA02F +:0413C90015634782DF +:0413CA00473106E5BC +:0413CB00853EC39800 +:0413CC0006B000EF78 +:0413CD00D8E3A02998 +:0413CE0047B1FA0425 +:0413CF004501C11CF7 +:0413D000442240B2C1 +:0413D1000141449200 +:0413D20040188082BD +:0413D3004E638F05D1 +:0413D40045AD02071A +:0413D50000E5F663D6 +:0413D600943AC0186D +:0413D700A029C00485 +:0413D8009263405884 +:0413D900C2180286AE +:0413DA0000EF853E5D +:0413DB0005130310E3 +:0413DC00071300B43F +:0413DD0099610044CE +:0413DE0040E507B32C +:0413DF00943ED3F174 +:0413E000C0188F0999 +:0413E100C2D8BF753A +:0413E20086A2B7C563 +:0413E300BF85404042 +:0413E40000350413B9 +:0413E50003E3987115 +:0413E60005B3FC85CA +:0413E700853E40A45B +:0413E800279DC03E3F +:0413E9004782577D63 +:0413EA00FAE51AE323 +:0413EB00A303BFBDDC +:0413EC001111008556 +:0413ED00CC06C8263C +:0413EE00C232CA221B +:0413EF00E36384AE82 +:0413F000D6030866B2 +:0413F100771300C5A9 +:0413F200CB3D4806A1 +:0413F30048C882AABA +:0413F4004080470DE1 +:0413F50002A7073311 +:0413F6004509498CD0 +:0413F70040B407B344 +:0413F8004433C03E7C +:0413F900851302A7AF +:0413FA00953E001606 +:0413FB0000A4736374 +:0413FC007613842AB6 +:0413FD00C6364006AA +:0413FE008516C63D4D +:0413FF00C41685A2E9 +:04140000ECFFF0EF1E +:0414010046B242A20B +:04140200CD2D473174 +:04140300488C4602C9 +:04140400C42AC636FA +:04140500C5FFF0EF40 +:0414060000C4D60345 +:0414070046B2432284 +:04140800B7F67613AA +:041409000806661358 +:04140A0000C4962361 +:04140B00A823478249 +:04140C00C8C00064F0 +:04140D008C1D933E61 +:04140E000064A023B3 +:04140F008336C480DC +:041410000066F3631C +:041411004592833647 +:04141200861A40886E +:041413002701C01AD3 +:0414140043024498B3 +:041415000733450153 +:04141600C4984067CF +:04141700933A40982C +:041418000064A023A9 +:041419008516A80D7F +:04141A00C41686224C +:04141B00832A2F05EC +:04141C0046B242A2F0 +:04141D00488CFD45B5 +:04141E00C016851659 +:04141F00DA5FF0EFB1 +:04142000473142828C +:0414210000E2A02322 +:0414220000C4D70328 +:041423006713557D79 +:041424009623040700 +:0414250040E200E4BD +:0414260044C2445226 +:04142700808201714D +:0414280000C5D70321 +:04142900F781011333 +:04142A00DEA6C12257 +:04142B0001F1079331 +:04142C00C22AC30607 +:04142D007713C02E43 +:04142E0084B2080775 +:04142F00FF87F4132C +:041430004998CB1DEF +:041431000593EB0D27 +:04143200C6360400B6 +:04143300E03FF0EFB7 +:0414340046B24782F3 +:04143500CB88C38815 +:041436004712E91957 +:04143700557D47B1E7 +:04143800409AC31CF7 +:0414390054F6440A17 +:04143A000881011311 +:04143B0047828082E2 +:04143C00040007138E +:04143D000713CBD8EE +:04143E000CA30200F9 +:04143F00071300E4AB +:041440002A23030058 +:041441000D23000473 +:04144200CA3600E4C2 +:0414430006138726DF +:041444004683025089 +:04144500C299000741 +:041446000AC69863D7 +:041447004097033394 +:041448000203026336 +:041449004512458281 +:04144A008626869AD2 +:04144B00C61AC83ABB +:04144C00E7FFF0EFD7 +:04144D00026356FDE3 +:04144E0048541CD50D +:04144F00474243329B +:04145000C854969A4C +:0414510000074683C7 +:041452001A0689638A +:0414530000170493E7 +:041454002023577D7D +:041455002623000446 +:04145600C058000476 +:041457000004242346 +:04145800040401A3E4 +:0414590004042C2338 +:04145A000004C58342 +:04145B00000177B75E +:04145C008513461599 +:04145D002B7DAE47EE +:04145E0000148713DC +:04145F00E539401417 +:041460000106F61378 +:041461000613C6099F +:0414620001A30200E0 +:04146300F61304C4B4 +:04146400C60900862F +:0414650002B00613B8 +:0414660004C401A316 +:041467000004C58335 +:0414680002A00613C5 +:0414690004C58163D2 +:04146A008726445439 +:04146B00452545814D +:04146C00460342A948 +:04146D00031300075E +:04146E00061300174A +:04146F007963FD069A +:04147000C99506C54F +:04147100A805C454B2 +:04147200B7A1070512 +:04147300000177B746 +:04147400AE478613E6 +:0414750040C5063335 +:0414760015334505E0 +:041477008EC900C555 +:0414780084BAC0145E +:041479004652B751CF +:04147A000046059390 +:04147B00CA2E421023 +:04147C0002064963B8 +:04147D004603C4500E +:04147E0006930007CA +:04147F00116302E013 +:04148000460306D643 +:0414810006930017B7 +:041482001B6302A046 +:0414830046D202D675 +:0414840086130709BB +:041485004294004647 +:04148600C163CA3242 +:04148700C054020645 +:041488000633A08106 +:04148900E69340C0E6 +:04148A00C450002624 +:04148B00B7E1C014F1 +:04148C00025686B3CB +:04148D00871A4585F0 +:04148E00BFA596B2AE +:04148F00B7C556FD8A +:041490002223070507 +:04149100458100048D +:041492004525468125 +:04149300460342A921 +:041494000313000737 +:041495000613001723 +:041496007763FD0675 +:04149700F1E106C5B4 +:041498000007458381 +:04149900000174B723 +:04149A008513460D63 +:04149B00C63AAEC4DB +:04149C00473221D1E1 +:04149D008493CD0166 +:04149E008D05AEC446 +:04149F0004000693AC +:0414A00000A696B359 +:0414A10007054008F3 +:0414A200C0088D559C +:0414A3000007458376 +:0414A4000001753797 +:0414A50005134619CC +:0414A6000493AF05F7 +:0414A7000C230017FB +:0414A800294900B41A +:0414A9000737C52D0F +:0414AA000713000024 +:0414AB00E70D000742 +:0414AC00475240144F +:0414AD001006F6939C +:0414AE000711CE91C3 +:0414AF004858CA3A95 +:0414B000973E47A27A +:0414B100B599C858C9 +:0414B200025686B3A5 +:0414B300871A4585CA +:0414B400BFB596B278 +:0414B500B7DD072177 +:0414B6004512460293 +:0414B700000156B723 +:0414B80086930858B7 +:0414B90085A2FAE628 +:0414BA000000009797 +:0414BB00000000E746 +:0414BC0047A2C42A55 +:0414BD0094E3577DE0 +:0414BE004782FCE77E +:0414BF00D703557D7D +:0414C000771300C7D7 +:0414C1001EE304071B +:0414C2004848DC07B3 +:0414C3004602BBD949 +:0414C40056B74512C0 +:0414C50008580001C2 +:0414C600FAE6869329 +:0414C7002A0585A2CB +:0414C8001101BFC18E +:0414C9008332CA267A +:0414CA00459084B60F +:0414CB00CC22499452 +:0414CC00842ACE069A +:0414CD00D36387AEB0 +:0414CE0086B200C61C +:0414CF0000D3202303 +:0414D0000437C60314 +:0414D1000685C601C5 +:0414D20000D3202300 +:0414D300F6934394B5 +:0414D400C6910206B5 +:0414D5000003268367 +:0414D6002023068940 +:0414D700A28300D319 +:0414D80083930007F3 +:0414D900F2930197F2 +:0414DA0083630062C6 +:0414DB004390060232 +:0414DC000437C68388 +:0414DD00020676137A +:0414DE0000D036B351 +:0414DF008613EA4145 +:0414E00085A60437A2 +:0414E100C41A852282 +:0414E200C03AC23E0C +:0414E30056FD970219 +:0414E40006D50863BE +:0414E50043224792C5 +:0414E60043944511D5 +:0414E70000032603D5 +:0414E8008A9947CCCA +:0414E9004702430172 +:0414EA0000A697635E +:0414EB0040C5833342 +:0414EC000003536343 +:0414ED0047944301DC +:0414EE0054634B9068 +:0414EF008E9100D604 +:0414F000428193366C +:0414F100126307E992 +:0414F2004501065357 +:0414F3000285A81DA9 +:0414F400260347D4B0 +:0414F5008E910003D1 +:0414F600F8D2DBE36A +:0414F700861E468582 +:0414F800852285A61E +:0414F900C63EC81A09 +:0414FA00C21EC41634 +:0414FB009702C03A5A +:0414FC00470256FD50 +:0414FD0042A2439232 +:0414FE00434247B26C +:0414FF00FCD519E31C +:0415000040F2557DE3 +:0415010044D244622A +:04150200808261057D +:0415030000D785B3D5 +:0415040003000513C8 +:0415050004A581A315 +:041506000457C5833E +:041507000016861331 +:041508000689963E7C +:0415090004B601A380 +:04150A004685BF99BA +:04150B0085A6863EED +:04150C00C616852258 +:04150D00C23EC41AFC +:04150E009702C03A46 +:04150F0001E356FDA1 +:0415100042B2FCD512 +:041511004792432298 +:041512004702028505 +:041513001101BFAD56 +:04151400842ECC2233 +:041515004603C23295 +:04151600CA2601845C +:0415170084B6CE06C2 +:041518000693C02A4C +:04151900859306E0D0 +:04151A000863043529 +:04151B00E4631ED691 +:04151C00069306C666 +:04151D00046306302D +:04151E00ED630AD699 +:04151F00046300C69B +:041520000693200608 +:041521000C630580D2 +:04152200031316D6C3 +:041523000123042478 +:04152400A87904C4DA +:0415250006400693E3 +:0415260000D6066382 +:041527000690069391 +:04152800FED615E3F3 +:041529002303401444 +:04152A00F6130007AD +:04152B000513080696 +:04152C00C24900436D +:04152D00000326830E +:04152E007637C30841 +:04152F00D86300017C +:041530000713000697 +:0415310006B302D02B +:0415320001A340D001 +:04153300061304E4B3 +:041534004729AF860E +:041535000693A07DFC +:041536000A6307300D +:04153700EF631AD66E +:04153800069300C650 +:04153900006306F055 +:04153A00069306D638 +:04153B001EE30700A4 +:04153C004014F8D689 +:04153D000206E69329 +:04153E00A809C01424 +:04153F0007500693B8 +:0415400004D6036367 +:041541000780069386 +:04154200F8D611E3E3 +:041543000780069384 +:0415440000017637F5 +:0415450004D402A325 +:04154600B0C6061312 +:041547004314A0FDAC +:041548000424031361 +:0415490000468613BF +:04154A004298C310F0 +:04154B0004E4012390 +:04154C00AABD4705E8 +:04154D000406F61387 +:04154E0000032683ED +:04154F00DE35C308BA +:0415500086C106C288 +:041551004008BF9DF2 +:041552007293431439 +:0415530083130805F1 +:041554008663004664 +:04155500202300024D +:041556004294006754 +:041557007513A8015F +:041558002023040543 +:04155900D9750067D9 +:04155A000006D6832E +:04155B0006F005137E +:04155C0000017737DC +:04155D000CA60D6368 +:04155E00AF8706133A +:04155F0001A3472974 +:0415600040480404F7 +:041561004863C4080F +:04156200230300055A +:0415630073130004FA +:041564002023FFB38E +:04156500E2990064A3 +:04156600CD11832EF2 +:04156700F533832EA7 +:04156800137D02E607 +:04156900450395326F +:04156A000023000555 +:04156B00D53300A3D1 +:04156C00F26302E63E +:04156D0046A10AE6A3 +:04156E0000D71E6321 +:04156F008B05401890 +:041570004054CB1107 +:04157100476348186C +:04157200071300D784 +:041573000FA30300BF +:04157400137DFEE302 +:04157500406585B395 +:041576004692C80CC5 +:04157700872645027C +:0415780085A2081030 +:04157900F0EFC41AB1 +:04157A00577DD3DFE7 +:04157B00156343228F +:04157C00557D0CE5A8 +:04157D00446240F292 +:04157E00610544D2ED +:04157F0002A38082C1 +:04158000763704C4F2 +:04158100061300014C +:041582004008AF86E8 +:041583000007230337 +:041584000805729351 +:0415850000032683B6 +:041586008163031169 +:041587002023020219 +:04158800771300676E +:04158900C701001581 +:04158A0002056513DE +:04158B004741C0080C +:04158C004008F6B964 +:04158D00FDF57513E0 +:04158E00B791C00849 +:04158F00040572934A +:0415900000672023AD +:04159100FC028FE3E6 +:0415920082C106C24A +:041593000613BFD9A3 +:041594004721AF87B5 +:0415950086AAB72D3E +:041596004010B799B1 +:041597004848431469 +:04159800080672933C +:041599000046831372 +:04159A000002876361 +:04159B0000672023A2 +:04159C00C3084298A6 +:04159D002023A80956 +:04159E007613006759 +:04159F004298040664 +:0415A0001023DA6DCD +:0415A100282300A754 +:0415A200832E000490 +:0415A3004314B7B97D +:0415A40086134581E4 +:0415A500C310004629 +:0415A6000006A30395 +:0415A700851A405011 +:0415A8002849C41AF0 +:0415A900C501432213 +:0415AA004065053360 +:0415AB004058C0489C +:0415AC0001A3C818B7 +:0415AD00B715040466 +:0415AE004592481406 +:0415AF00861A450251 +:0415B000577D94824D +:0415B100F2E507E375 +:0415B2008B09401849 +:0415B3004742EB15AB +:0415B40051E3444873 +:0415B500853AF2E59C +:0415B6004592BF316A +:0415B700468545021E +:0415B800C63A861A8F +:0415B9009482C41A3A +:0415BA0004E356FDF3 +:0415BB004732F0D5EE +:0415BC0007054322BA +:0415BD00464244540A +:0415BE0040E38E91E7 +:0415BF00BFC1FED7D3 +:0415C00003134701C9 +:0415C100B7FD0194DD +:0415C200C2221151DF +:0415C3006461C02679 +:0415C400852E84AA42 +:0415C5002023C40615 +:0415C60020FD9C0464 +:0415C700166357FD53 +:0415C800278300F580 +:0415C900C3919C042A +:0415CA0040A2C09CDF +:0415CB004482441200 +:0415CC0080820131E7 +:0415CD000FF5F5938E +:0415CE001463962AE2 +:0415CF00450100C50D +:0415D000478380824B +:0415D1008DE30005A1 +:0415D2000505FEB756 +:0415D300F363B7FD0A +:0415D40086B304A531 +:0415D5007F6300C56B +:0415D600459302D562 +:0415D7004781FFF653 +:0415D800936317FD05 +:0415D900808200F517 +:0415DA0000F687335D +:0415DB0000074303BF +:0415DC0000C787338A +:0415DD000023972A26 +:0415DE00B7DD00670E +:0415DF0000F5873359 +:0415E0000007468337 +:0415E10000F50733D7 +:0415E2000023078556 +:0415E30017E300D733 +:0415E4008082FEF60D +:0415E500BFE5478196 +:0415E60080828082FD +:0415E70085B2E58163 +:0415E800F2EFF06FBF +:0415E900C8061131EE +:0415EA00C426C6222B +:0415EB00F0EFEA1122 +:0415EC004781E72F1D +:0415ED00443240C282 +:0415EE00853E44A250 +:0415EF0080820151A4 +:0415F000C032842E53 +:0415F100203D84AA6B +:0415F20087A2460284 +:0415F300FEC574E3DA +:0415F400852685B211 +:0415F500F0EFC2321F +:0415F60087AAEF8F42 +:0415F7004612DD615A +:0415F800C02A85A2DE +:0415F900C8EFF0EF58 +:0415FA00852685A21B +:0415FB00E34FF0EFDB +:0415FC00B7C94782A2 +:0415FD00FFC5A783FC +:0415FE00FFC785138B +:0415FF000007D563A9 +:04160000419C95AACA +:041601008082953E10 +:04160200C4221141AC +:041603002783645D78 +:04160400C6064A4488 +:04160500832AC2264C +:041606004A4404133B +:041607004501EB8529 +:0416080046014581D1 +:0416090047014681CE +:04160A000D600293DA +:04160B000000007368 +:04160C005C6384AAED +:04160D00288100052B +:04160E00409004B351 +:04160F00557DC10440 +:04161000442240B27E +:0416110001414492BD +:04161200C00880820A +:0416130045814008C5 +:04161400951A4601DC +:0416150047014681C2 +:041616000293478173 +:0416170000730D60EF +:0416180084AA0000A0 +:04161900000559630C +:04161A002831C01A99 +:04161B0004B34302CF +:04161C00C104409035 +:04161D00401C54FD1C +:04161E00933E557D25 +:04161F00FC6492E3F2 +:04162000853EC0043F +:0416210067DDBF754D +:0416220042C7A50313 +:0416230000008082C1 +:0416240000000000C2 +:0416250000000000C1 +:0416260000000000C0 +:0416270000000000BF +:04162800FFFFFFFFC2 +:04162900FFFFFFFFC1 +:04162A000000FFFFBE +:04162B000001052C89 +:04162C000001053282 +:04162D00000105387B +:04162E000001053E74 +:04162F00000104E0D2 +:0416300000010500B0 +:0416310000010500AF +:0416320000010500AE +:0416330000010500AD +:0416340000010500AC +:0416350000010500AB +:0416360000010504A6 +:041637000001050A9F +:041638000001232466 +:04163900000123F693 +:04163A00000123F692 +:04163B00000124087E +:04163C00000124087D +:04163D000001241272 +:04163E00000124166D +:04163F00000124245E +:04164000000124245D +:041641000001243C44 +:041642000001257A04 +:0416430000012580FD +:0416440000012580FC +:041645000001257A01 +:0416460000012584F6 +:041647000001243C3E +:041648000001257AFE +:0416490000012580F7 +:04164A00000159FC46 +:04164B0000015A0C34 +:04164C0000015A1827 +:04164D0000015A241A +:04164E0000015A300D +:04164F0000015A3C00 +:0416500000015A48F3 +:0416510000015A54E6 +:0416520000015A60D9 +:0416530000015A6CCC +:0416540000015A78BF +:0416550074204B4F63 +:041656006E69206F2A +:0416570000007469B2 +:04165800736C614608 +:041659007274206522 +:04165A0065676769F0 +:04165B000000007219 +:04165C00656D6954FB +:04165D000074756F31 +:04165E00252E75259B +:04165F006D75322E45 +:041660000000007313 +:04166100252E752598 +:041662006D75322E42 +:0416630075252F7347 +:04166400322E252ECF +:0416650000736D752C +:041666004353534F48 +:041667007766202062 +:041668007525202E96 +:04166900322E252ECA +:04166A0000006175A6 +:04166B0074696E49E7 +:04166C007272652011 +:04166D002020726F58 +:04166E0000006425EF +:04166F0020202020F7 +:0416700053204F4E66 +:0416710000434E598B +:0416720034313032AD +:041673003130322DB3 +:041674006D2020388D +:0416750073717261BA +:041676000000000070 +:041677002520732592 +:041678000063257571 +:04167900252E752580 +:04167A006B75322E2C +:04167B0025207A4864 +:04167C002E252E7574 +:04167D007A48753200 :04167E000000000068 -:04167F000000000067 -:041680000000000165 -:041681000001010162 -:041682000000000163 -:041683000000000063 -:041684000000011051 -:041685000044060B0C -:04168600000001005F -:04168700000100005E -:041688008080800CD2 -:04168900081A1A1A07 -:04168A000000000A52 -:04168B000001283002 -:04168C00000128C66B -:04168D00000128CE62 -:04168E00000128BE71 -:04168F00000128CA64 -:04169000000128D25B -:04169100000128C26A -:04169200000129002A -:04169300000128D654 -:04169400000128DA4F -:04169500000128DE4A -:041696000001290026 -:041697000001290025 -:041698000001290024 -:041699000001290023 -:04169A000001290022 -:04169B0000012BB46B -:04169C000001290A16 -:04169D000001299C83 -:04169E00000129AC72 -:04169F00000129C657 -:0416A00000012A0417 -:0416A100000129E833 -:0416A20000012A1AFF -:0416A30000012AFA1E -:0416A40000012B1CFA -:0416A50000012B32E3 -:0416A600666F7250A9 -:0416A7002075252E57 -:0416A800007339256D -:0416A900756C342503 -:0416AA00632563252C -:0416AB006C2520206A -:0416AC0000000075C5 -:0416AD00656E694CB1 -:0416AE00746C756D76 -:0416AF00646F6D20D7 -:0416B00000003A6597 -:0416B100736572707B -:0416B2002D31207343 -:0416B30000000035FE -:0416B4002078752500 -:0416B50075736E7566 -:0416B600726F70706F -:0416B70000646574F2 -:0416B800666F725097 -:0416B90020656C69D3 -:0416BA0064616F6C8C -:0416BB000000003AF1 -:0416BC007365727070 -:0416BD002D30207339 -:0416BE0000000039EF -:0416BF0000015BC00B -:0416C00000015BC406 -:0416C10000015BC801 -:0416C20000015BCCFC -:0416C30000015ACCFC -:0416C40000015BD0F6 -:0416C50000015BD4F1 -:0416C60000015BD8EC -:0416C70000015AF8CC -:0416C800000164F8C1 -:0416C90000015BDCE5 -:0416CA0000015BE4DC -:0416CB0000015BE8D7 -:0416CC0000015BF0CE -:0416CD0000015BF4C9 -:0416CE0000015BFCC0 -:0416CF0000015C04B6 -:0416D00000015C0CAD -:0416D10000015C14A4 -:0416D20000015C2493 -:0416D30000015C3482 -:0416D40000015C4471 -:0416D50000015C5460 -:0416D60000015C644F -:0416D70000015C743E -:0416D80000015C7C35 -:0416D90000015C842C -:0416DA003EA93E29BE -:0416DB003EE93E693D -:0416DC003E993E19DC -:0416DD003ED93E595B -:0416DE003EC93E398A -:0416DF003E1D3E4D21 -:0416E0003E2D3EED70 -:0416E1003EAD3ECD0F -:0416E2003E653E6DB6 -:0416E3001C483E0160 -:0416E4001C501C1862 -:0416E5001CC81CD031 -:0416E6005ED85E5814 -:0416E70000003EB908 -:0416E8007365725064 -:0416E900000000738A -:0416EA00666E6F4376 -:0416EB00006D7269B3 -:0416EC006D73694D64 -:0416ED006863746159 -:0416EE006572202CD5 -:0416EF000079727498 -:0416F00000000031C5 -:0416F10000000032C3 -:0416F20000000033C1 -:0416F30000000034BF -:0416F40000000036BC -:0416F50000000037BA -:0416F60000000038B8 -:0416F700554E454DBA -:0416F80000000000EE -:0416F90000004B4F53 -:0416FA004B434142DB -:0416FB0000000000EB -:0416FC000000505545 -:0416FD004E574F44B1 -:0416FE0000000000E8 -:0416FF005446454CBC -:0417000000000000E5 -:0417010048474952BA -:04170200000000548F -:041703004F464E49B6 -:0417040000000000E1 -:041705005F44434CAE -:041706004B434142CE -:041707004847494CBA -:041708000000005489 -:041709004E414353B7 -:04170A00454E494CB3 -:04170B00444F4D5F9B -:04170C000000004594 -:04170D004E414353B3 -:04170E00454E494CAF -:04170F005059545F7A -:041710000000004590 -:041711004E414353AF -:04171200454E494CAB -:04171300544E495F88 -:041714000000002BA6 -:041715004E414353AB -:04171600454E494CA7 -:04171700544E495F84 -:041718000000002DA0 -:04171900454E494CA4 -:04171A00544C554D89 -:04171B00444F4D5F8B -:04171C000000004584 -:04171D00534148509C -:04171E0000002B4557 -:04171F00534148509A -:0417200000002D4553 -:04172100464F52508D -:041722005F454C498A -:041723004B544F488C -:041724000000594523 -:041725006F72724528 -:04172600000000724D -:0417270053206F4E8E -:041728006163204495 -:041729006420647262 -:04172A00002E7465B4 -:04172B0061766E492C -:04172C002064696C60 -:04172D006461656826 -:04172E0000007265E0 -:04172F0061766E4928 -:041730002064696C5C -:041731002072646856 -:0417320000435243DB -:0417330061766E4924 -:041734002064696C58 -:041735006174616416 -:0417360043524320B7 -:0417370000000000AE -:041738006164705523 -:041739006320657450 -:04173A0065636E6114 -:04173B0064656C6C09 -:04173C0000000000A9 -:04173D0073616C4622 -:04173E006572206848 -:04173F00652064615C -:0417400000007272C1 -:0417410073616C461E -:041742007265206844 -:041743002065736149 -:041744000072726558 -:0417450073616C461A -:04174600727720682E -:04174700206574693C -:041748000072726554 -:0417490073616C4616 -:04174A006576206838 -:04174B002066697239 -:04174C006C696166FD -:04174D000000000098 +:04167F0074736554C7 +:041680007461702001 +:041681006E726574AC +:041682000000000064 +:041683003A31564161 +:041684004247522067 +:04168500000000530E +:041686003A3156415E +:041687007347522033 +:04168800000000421C +:041689003A3156415B +:04168A006250592031 +:04168B000000725099 +:04168C003A32564157 +:04168D00625059202E +:04168E000000725096 +:04168F003A32564154 +:04169000734752202A +:041691000000004213 +:041692003A33564150 +:041693004247522058 +:0416940000005648B4 +:041695003A3356414D +:041696004247522055 +:0416970000000053FC +:041698003A3356414A +:041699007347522021 +:04169A00000000420A +:04169B003A33564147 +:04169C00625059201F +:04169D000000725087 +:04169E007473614CB4 +:04169F0065737520DA +:0416A00000000064E2 +:0416A1000000000045 +:0416A2000000000044 +:0416A3000000000142 +:0416A400000101013F +:0416A5000000000140 +:0416A6000000000040 +:0416A700000001102E +:0416A8000044060BE9 +:0416A900000001003C +:0416AA00000100003B +:0416AB008080800CAF +:0416AC00081A1A1AE4 +:0416AD000000000A2F +:0416AE0000012838D7 +:0416AF00000128CE40 +:0416B000000128D637 +:0416B100000128C646 +:0416B200000128D239 +:0416B300000128DA30 +:0416B400000128CA3F +:0416B50000012908FF +:0416B600000128DE29 +:0416B700000128E224 +:0416B800000128E61F +:0416B90000012908FB +:0416BA0000012908FA +:0416BB0000012908F9 +:0416BC0000012908F8 +:0416BD0000012908F7 +:0416BE0000012BBC40 +:0416BF0000012912EB +:0416C000000129A458 +:0416C100000129B447 +:0416C200000129CE2C +:0416C30000012A0CEC +:0416C400000129F008 +:0416C50000012A22D4 +:0416C60000012B02F2 +:0416C70000012B24CF +:0416C80000012B3AB8 +:0416C900666F725086 +:0416CA002075252E34 +:0416CB00007339254A +:0416CC00756C3425E0 +:0416CD006325632509 +:0416CE006C25202047 +:0416CF0000000075A2 +:0416D000656E694C8E +:0416D100746C756D53 +:0416D200646F6D20B4 +:0416D30000003A6574 +:0416D4007365727058 +:0416D5002D31207320 +:0416D60000000035DB +:0416D70020787525DD +:0416D80075736E7543 +:0416D900726F70704C +:0416DA0000646574CF +:0416DB00666F725074 +:0416DC0020656C69B0 +:0416DD0064616F6C69 +:0416DE000000003ACE +:0416DF00736572704D +:0416E0002D30207316 +:0416E10000000039CC +:0416E20000015C4C5B +:0416E30000015C5056 +:0416E40000015C5451 +:0416E50000015C584C +:0416E60000015B584C +:0416E70000015C5C46 +:0416E80000015C6041 +:0416E90000015C643C +:0416EA0000015B841C +:0416EB000001659005 +:0416EC0000015C6835 +:0416ED0000015C702C +:0416EE0000015C7427 +:0416EF0000015C7C1E +:0416F00000015C8019 +:0416F10000015C8810 +:0416F20000015C9007 +:0416F30000015C98FE +:0416F40000015CA0F5 +:0416F50000015CB0E4 +:0416F60000015CC0D3 +:0416F70000015CD0C2 +:0416F80000015CE0B1 +:0416F90000015CF0A0 +:0416FA0000015D008E +:0416FB0000015D0885 +:0416FC0000015D107C +:0416FD003EA93E299B +:0416FE003EE93E691A +:0416FF003E993E19B9 +:041700003ED93E5937 +:041701003EC93E3966 +:041702003E1D3E4DFD +:041703003E2D3EED4C +:041704003EAD3ECDEB +:041705003E653E6D92 +:041706001C483E013C +:041707001C501C183E +:041708001CC81CD00D +:041709005ED85E58F0 +:04170A0000003EB9E4 +:04170B007365725040 +:04170C000000007366 +:04170D00666E6F4352 +:04170E00006D72698F +:04170F006D73694D40 +:041710006863746135 +:041711006572202CB1 +:041712000079727474 +:0417130000000031A1 +:04171400000000329F +:04171500000000339D +:04171600000000349B +:041717000000003698 +:041718000000003796 +:041719000000003894 +:04171A00554E454D96 +:04171B0000000000CA +:04171C0000004B4F2F +:04171D004B434142B7 +:04171E0000000000C7 +:04171F000000505521 +:041720004E574F448D +:0417210000000000C4 +:041722005446454C98 +:0417230000000000C2 +:041724004847495297 +:04172500000000546C +:041726004F464E4993 +:0417270000000000BE +:041728005F44434C8B +:041729004B434142AB +:04172A004847494C97 +:04172B000000005466 +:04172C004E41435394 +:04172D00454E494C90 +:04172E00444F4D5F78 +:04172F000000004571 +:041730004E41435390 +:04173100454E494C8C +:041732005059545F57 +:04173300000000456D +:041734004E4143538C +:04173500454E494C88 +:04173600544E495F65 +:041737000000002B83 +:041738004E41435388 +:04173900454E494C84 +:04173A00544E495F61 +:04173B000000002D7D +:04173C00454E494C81 +:04173D00544C554D66 +:04173E00444F4D5F68 +:04173F000000004561 +:041740005341485079 +:0417410000002B4534 +:041742005341485077 +:0417430000002D4530 +:04174400464F52506A +:041745005F454C4967 +:041746004B544F4869 +:041747000000594500 +:041748006F72724505 +:04174900000000722A +:04174A0053206F4E6B +:04174B006163204472 +:04174C00642064723F +:04174D00002E746591 :04174E0061766E4909 :04174F002064696C3D -:0417500067616D69F7 -:04175100000000652F -:041752004353534F5B -:041753000000000092 -:04175400696C615605 -:0417550069746164EE -:041756006420676E36 -:041757000061746158 -:041758006220752571 -:0417590073657479C7 +:041750006461656803 +:0417510000007265BD +:0417520061766E4905 +:041753002064696C39 +:041754002072646833 +:0417550000435243B8 +:0417560061766E4901 +:041757002064696C35 +:0417580061746164F3 +:041759004352432094 :04175A00000000008B -:04175B00252E75259D -:04175C002575322E8F -:04175D00007325737D -:04175E0061647055FD -:04175F00676E6974D4 -:0417600000574620C8 -:0417610061656C70E2 -:041762007720657314 -:041763002E74696116 -:0417640000002E2E25 -:0417650069726556EA -:041766006E697966C9 -:041767006C66206725 -:041768000068736141 -:0417690061656C70DA -:04176A007220657311 -:04176B0061747365CD -:04176C000000747293 -:04176D0072746552DB -:04176E00676E6979C0 -:04176F00647075200D -:04177000006574613B -:0417710061647055EA -:04177200203F65743B -:041773002C593D317F -:041774004E3D322094 -:041775000000000070 -:041776006D726946E1 -:0417770065726177BF -:041778006470752004 -:0417790064657461CE -:04177A00000000006B -:04177B000001320A2D -:04177C00000131EA4D -:04177D00000131C472 -:04177E00000131DC59 -:04177F00000132BC77 -:04178000000132BC76 -:0417810000013370C0 -:041782000001339699 -:04178300000133A28C -:04178400000133E24B -:04178500000133B676 -:04178600000132D05C -:04178700000132D05B -:041788000001331415 -:0417890000013340E8 -:04178A0000013340E7 -:04178B00642064254D -:04178C000000004217 -:04178D00656E6F44D2 -:04178E000000000057 -:04178F006C696146DA -:04179000000064658C -:0417910000007325BC -:04179200252E752566 -:041793002075322E5D -:041794000000737569 -:041795006C2075252A -:0417960073656E69A0 -:04179700000000004E -:041798006C206F4E04 -:04179900006B6E690A -:04179A0000017427AF -:04179B000000000248 -:04179C00000130FC1C -:04179D00000163B034 -:04179E00000163BC27 -:04179F00000163C41E -:0417A000702075251B -:0417A1006C65786992 -:0417A20000000073D0 -:0417A30000017429A4 -:0417A4000000000E33 -:0417A50000012FAE62 -:0417A6006420642532 -:0417A7000000676572 -:0417A8002525752559 -:0417A900000000003C -:0417AA0065646956B3 -:0417AB006E69206FD4 -:0417AC006F727020C8 -:0417AD003E20206357 -:0417AE000000000037 -:0417AF00706D6153A5 -:0417B000676E696C8B -:0417B10074706F20C1 -:0417B2003E20202E87 -:0417B3000000000032 -:0417B400636E795394 -:0417B50074706F20BD -:0417B6002020202EA1 -:0417B7003E20202090 -:0417B800000000002D -:0417B9007074754F84 -:0417BA006F207475B3 -:0417BB00202E7470F8 -:0417BC003E2020208B -:0417BD000000000028 -:0417BE0074736F5081 -:0417BF006F72702DA8 -:0417C00020202E6354 -:0417C1003E20202086 -:0417C2000000000023 -:0417C300706D6F4393 -:0417C4006269746181 -:0417C50074696C696E -:0417C6003E20207928 -:0417C700000000001E -:0417C800696475419A -:0417C900706F206FAE -:0417CA006E6F697461 -:0417CB003E20207329 -:0417CC000000000019 -:0417CD007474655378 -:0417CE0073676E6966 -:0417CF0074706F20A3 -:0417D0003E20202077 -:0417D1000000000014 -:0417D200616F4C3CBB -:0417D30072702064AC -:0417D4006C69666F67 -:0417D500003E20654D -:0417D6007661533CA9 -:0417D70072702065A7 -:0417D8006C69666F63 -:0417D900003E206549 -:0417DA007365523CA5 -:0417DB00732074659E -:0417DC006974746553 -:0417DD003E73676E82 +:04175B006164705500 +:04175C00632065742D +:04175D0065636E61F1 +:04175E0064656C6CE6 +:04175F000000000086 +:0417600073616C46FF +:041761006572206825 +:041762006520646139 +:04176300000072729E +:0417640073616C46FB +:041765007265206821 +:041766002065736126 +:041767000072726535 +:0417680073616C46F7 +:04176900727720680B +:04176A002065746919 +:04176B000072726531 +:04176C0073616C46F3 +:04176D006576206815 +:04176E002066697216 +:04176F006C696166DA +:041770000000000075 +:0417710061766E49E6 +:041772002064696C1A +:0417730067616D69D4 +:04177400000000650C +:041775004353534F38 +:04177600000000006F +:04177700696C6156E2 +:0417780069746164CB +:041779006420676E13 +:04177A000061746135 +:04177B00622075254E +:04177C0073657479A4 +:04177D000000000068 +:04177E00252E75257A +:04177F002575322E6C +:04178000007325735A +:0417810061647055DA +:04178200676E6974B1 +:0417830000574620A5 +:0417840061656C70BF +:0417850077206573F1 +:041786002E746961F3 +:0417870000002E2E02 +:0417880069726556C7 +:041789006E697966A6 +:04178A006C66206702 +:04178B00006873611E +:04178C0061656C70B7 +:04178D0072206573EE +:04178E0061747365AA +:04178F000000747270 +:0417900072746552B8 +:04179100676E69799D +:0417920064707520EA +:041793000065746118 +:0417940061647055C7 +:04179500203F657418 +:041796002C593D315C +:041797004E3D322071 +:04179800000000004D +:041799006D726946BE +:04179A00657261779C +:04179B0064707520E1 +:04179C0064657461AB +:04179D000000000048 +:04179E000001324ACA +:04179F000001322AE9 +:0417A000000132040E +:0417A1000001321CF5 +:0417A200000132FC14 +:0417A300000132FC13 +:0417A400000133B05D +:0417A500000133D636 +:0417A600000133E229 +:0417A70000013422E7 +:0417A800000133F613 +:0417A90000013310F8 +:0417AA0000013310F7 +:0417AB0000013354B2 +:0417AC000001338085 +:0417AD000001338084 +:0417AE00642064252A +:0417AF0000000042F4 +:0417B000656E6F44AF +:0417B1000000000034 +:0417B2006C696146B7 +:0417B3000000646569 +:0417B400252E752544 +:0417B5002075322E3B +:0417B6000000737547 +:0417B7006C20752508 +:0417B80073656E697E +:0417B900000000002C +:0417BA006C206F4EE2 +:0417BB00006B6E69E8 +:0417BC00000174CBE9 +:0417BD000000000226 +:0417BE0000013104F1 +:0417BF000001644879 +:0417C000000164546C +:0417C1000001645C63 +:0417C20070207525F9 +:0417C3006C65786970 +:0417C40000000073AE +:0417C500000174CDDE +:0417C6000000000E11 +:0417C70000013148A4 +:0417C800706D653C9F +:0417C900003E7974F1 +:0417CA00203A752527 +:0417CB000000732582 +:0417CC00642064250C +:0417CD00000067654C +:0417CE002525752533 +:0417CF000000000016 +:0417D000656469568D +:0417D1006E69206FAE +:0417D2006F727020A2 +:0417D3003E20206331 +:0417D4000000000011 +:0417D500706D61537F +:0417D600676E696C65 +:0417D70074706F209B +:0417D8003E20202E61 +:0417D900000000000C +:0417DA00636E79536E +:0417DB0074706F2097 +:0417DC002020202E7B +:0417DD003E2020206A :0417DE000000000007 -:0417DF006B6E694C78 -:0417E0006F72702094 -:0417E100693E2D66CA -:0417E2007475706E3C +:0417DF007074754F5E +:0417E0006F2074758D +:0417E100202E7470D2 +:0417E2003E20202065 :0417E3000000000002 -:0417E4006B6E694C73 -:0417E500706E692099 -:0417E6003E2D7475AB -:0417E700666F727047 +:0417E40074736F505B +:0417E5006F72702D82 +:0417E60020202E632E +:0417E7003E20202060 :0417E80000000000FD -:0417E90074696E4968 -:0417EA00206C6169A5 -:0417EB0075706E693E -:0417EC000000007485 -:0417ED006F7475415F -:0417EE006574656455 -:0417EF006920746396 -:0417F0007475706E2E -:0417F10000000000F4 -:0417F2006F7475415A -:0417F300315641200A -:0417F400472F592002 -:0417F500000000737D -:0417F6006F74754156 -:0417F7003256412005 -:0417F800472F5920FE -:0417F9000000007379 -:0417FA006F74754152 -:0417FB003356412000 -:0417FC00472F5920FA -:0417FD000000007375 -:0417FE002044434CF4 -:0417FF0074204C42C4 -:041800006F656D693A -:0418010000007475FA -:04180200706D493C80 -:041803002074726F6C -:041804007474657320 -:041805003E20202E33 -:0418060000000000DE -:041807002E77463CB6 -:041808006470752073 -:041809002065746181 -:04180A003E2020203C -:04180B0000000000D9 -:04180C006E776F4440 -:04180D006D61732D69 -:04180E006E696C7023 -:04180F00000000676E -:041810007061775339 -:0418110066656C207C -:0418120069722F7454 -:04181300007468678E -:041814002D6572507C -:0418150020434441E7 -:041816006E6961672F +:0417E900706D6F436D +:0417EA00626974615B +:0417EB0074696C6948 +:0417EC003E20207902 +:0417ED0000000000F8 +:0417EE006964754174 +:0417EF00706F206F88 +:0417F0006E6F69743B +:0417F1003E20207303 +:0417F20000000000F3 +:0417F3007474655352 +:0417F40073676E6940 +:0417F50074706F207D +:0417F6003E20202051 +:0417F70000000000EE +:0417F800616F4C3C95 +:0417F9007270206486 +:0417FA006C69666F41 +:0417FB00003E206527 +:0417FC007661533C83 +:0417FD007270206581 +:0417FE006C69666F3D +:0417FF00003E206523 +:041800007365523C7E +:041801007320746577 +:04180200697474652C +:041803003E73676E5B +:0418040000000000E0 +:041805006B6E694C51 +:041806006F7270206D +:04180700693E2D66A3 +:041808007475706E15 +:0418090000000000DB +:04180A006B6E694C4C +:04180B00706E692072 +:04180C003E2D747584 +:04180D00666F727020 +:04180E0000000000D6 +:04180F0074696E4941 +:04181000206C61697E +:0418110075706E6917 +:04181200000000745E +:041813006F74754138 +:04181400657465642E +:04181500692074636F +:041816007475706E07 :0418170000000000CD -:041818006C6C754639 -:0418190020585420DF -:04181A007574657309 -:04181B000000007059 -:04181C0020335641DE -:04181D0065746E6917 -:04181E0063616C7224 -:04181F007869666519 -:0418200000000000C4 -:041821006E6163533E -:04182200656E696C1A +:041818006F74754133 +:0418190031564120E3 +:04181A00472F5920DB +:04181B000000007356 +:04181C006F7475412F +:04181D0032564120DE +:04181E00472F5920D7 +:04181F000000007352 +:041820006F7475412B +:0418210033564120D9 +:04182200472F5920D3 :04182300000000734E -:041824006E6163533B -:04182500656E696C17 -:041826007274732045 -:041827000000002E8F -:04182800202E6C53AF -:041829007262796806 -:04182A00732064695A -:04182B00002E7274A5 -:04182C006E61635333 -:04182D00656E696C0F -:04182E0074656D2050 -:04182F0000646F687A -:041830006E6163532F -:04183100656E696C0B -:041832007079742035 -:04183300000000654C -:041834006E6163532B -:04183500656E696C07 -:04183600696C612058 -:041837002E6D6E673D -:0418380000000000AC -:0418390069726F4819 -:04183A00746E6F7ADF -:04183B006D206C614F -:04183C00006B736169 -:04183D007472655606 -:04183E006C6163690D -:04183F0073616D2044 -:041840000000006B39 -:041841006B73614D17 -:041842006972622045 -:041843006E746867F0 -:041844000073736555 -:04184500657665520D -:041846002065737234 -:041847000046504CBB -:041848005949443C7A -:0418490074616C203A -:04184A006574202E73 -:04184B00003E747374 -:04184C007030343292 -:04184D003838322FC6 -:04184E007270207024 -:04184F000000636FC3 -:041850007034383385 -:041851006F72702022 -:04185200000000632F -:04185300693038348C -:041854003637352FBF -:041855007270206924 -:041856000000636FBC -:041857007030383481 -:041858003637352FBB -:041859007270207019 -:04185A000000636FB8 -:04185B006930363981 -:04185C003830312FC0 -:04185D00702069305E -:04185E0000636F7242 -:04185F00656E694CFD -:041860006D2078324D -:041861000065646F4B -:04186200656E694CFA -:041863006D20783349 -:041864000065646F48 -:04186500656E694CF7 -:041866006D20783445 -:041867000065646F45 -:04186800656E694CF4 -:041869006D20783541 -:04186A000065646F42 -:04186B00656E694CF1 -:04186C006620783545 -:04186D00616D726FC8 -:04186E000000007402 -:04186F007836353260 -:0418700020303432BE -:0418710065707361CA -:04187200000074639B -:041873006D20585438 -:041874000065646F38 -:04187500494D44484D -:04187600435449206E -:04187700000000006D -:041878006C616E41F0 -:041879007320676F02 -:04187A0020636E7900 -:04187B000046504C87 -:04187C006C616E41EC -:04187D007320676FFE -:04187E0020636E79FC -:04187F000068745633 -:041880006E797348C2 -:041881006F742063FD -:041882006172656CBE -:041883000065636E2B -:041884006E797356B0 -:041885006874206300 -:0418860068736572AC -:0418870000646C6F1E -:041888004C502D484B -:041889007250204C2D -:04188A006F432D6516 -:04188B000074736111 -:04188C004C502D4847 -:04188D006F50204C2C -:04188E00432D7473FF -:04188F007473616F9E -:041890000000000054 -:04189100706D6153C2 -:04189200676E696CA8 -:0418930061687020F8 -:041894000000657378 -:041895007030383443 -:04189600206E692037 -:04189700706D61739C -:041898000072656C09 -:041899006F6C6C41C3 -:04189A005654207709 -:04189B005048205041 -:04189C0078324C4C06 +:041824002044434CCD +:0418250074204C429D +:041826006F656D6914 +:0418270000007475D4 +:04182800706D493C5A +:041829002074726F46 +:04182A0074746573FA +:04182B003E20202E0D +:04182C0000000000B8 +:04182D002E77463C90 +:04182E00647075204D +:04182F00206574615B +:041830003E20202016 +:0418310000000000B3 +:041832006E776F441A +:041833006D61732D43 +:041834006E696C70FD +:041835000000006748 +:041836007061775313 +:0418370066656C2056 +:0418380069722F742E +:041839000074686768 +:04183A002D65725056 +:04183B0020434441C1 +:04183C006E69616709 +:04183D0000000000A7 +:04183E006C6C754613 +:04183F0020585420B9 +:0418400075746573E3 +:041841000000007033 +:0418420020335641B8 +:0418430065746E69F1 +:0418440063616C72FE +:0418450078696665F3 +:04184600000000009E +:041847006E61635318 +:04184800656E696CF4 +:041849000000007328 +:04184A006E61635315 +:04184B00656E696CF1 +:04184C00727473201F +:04184D000000002E69 +:04184E00202E6C5389 +:04184F0072627968E0 +:041850007320646934 +:04185100002E72747F +:041852006E6163530D +:04185300656E696CE9 +:0418540074656D202A +:0418550000646F6854 +:041856006E61635309 +:04185700656E696CE5 +:04185800707974200F +:041859000000006526 +:04185A006E61635305 +:04185B00656E696CE1 +:04185C00696C612032 +:04185D002E6D6E6717 +:04185E000000000086 +:04185F0069726F48F3 +:04186000746E6F7AB9 +:041861006D206C6129 +:04186200006B736143 +:0418630074726556E0 +:041864006C616369E7 +:0418650073616D201E +:041866000000006B13 +:041867006B73614DF1 +:04186800697262201F +:041869006E746867CA +:04186A00007373652F +:04186B0065766552E7 +:04186C00206573720E +:04186D000046504C95 +:04186E005949443C54 +:04186F0074616C2014 +:041870006574202E4D +:04187100003E74734E +:04187200703034326C +:041873003838322FA0 +:0418740072702070FE +:041875000000636F9D +:04187600703438335F +:041877006F727020FC +:041878000000006309 +:041879006930383466 +:04187A003637352F99 +:04187B0072702069FE +:04187C000000636F96 +:04187D00703038345B +:04187E003637352F95 +:04187F0072702070F3 +:041880000000636F92 +:04188100693036395B +:041882003830312F9A +:041883007020693038 +:0418840000636F721C +:04188500656E694CD7 +:041886006D20783227 +:041887000065646F25 +:04188800656E694CD4 +:041889006D20783323 +:04188A000065646F22 +:04188B00656E694CD1 +:04188C006D2078341F +:04188D000065646F1F +:04188E00656E694CCE +:04188F006D2078351B +:041890000065646F1C +:04189100656E694CCB +:04189200662078351F +:04189300616D726FA2 +:0418940000000074DC +:04189500783635323A +:041896002030343298 +:0418970065707361A4 +:041898000000746375 +:041899006D20585412 +:04189A000065646F12 +:04189B00494D444827 +:04189C004354492048 :04189D000000000047 -:04189E006F6C6C41BE -:04189F0070752077C9 -:0418A000706D617393 -:0418A1007832656CC8 -:0418A2000000000042 -:0418A3007664413CEA -:0418A4006974202E15 -:0418A500676E696D94 -:0418A6003E202020A0 -:0418A700000000003D -:0418A80065646956B4 -:0418A900504C206F10 -:0418AA0000000046F4 -:0418AB0050625059DE -:0418AC006E692072CF -:0418AD006C6F4320F9 -:0418AE000061705312 -:0418AF0072502F52F2 -:0418B00066666F20D9 -:0418B10000746573E7 -:0418B20020592F4743 -:0418B3007366666F83 -:0418B4000000746557 -:0418B50062502F420C -:0418B60066666F20D3 -:0418B70000746573E1 -:0418B80072502F52E9 -:0418B90069616720DA -:0418BA000000006EBC -:0418BB0020592F473A -:0418BC006E69616789 -:0418BD000000000027 -:0418BE0062502F4203 -:0418BF0069616720D4 -:0418C0000000006EB6 -:0418C1002D657250CF -:0418C200204344413A -:0418C3006E696147A2 -:0418C4000000000020 -:0418C50073202E4816 -:0418C6006C706D6174 -:0418C7007461726571 -:0418C80000000065B7 -:0418C90073202E4812 -:0418CA006C636E7964 -:0418CB0000006E6546 -:0418CC0062202E4820 -:0418CD00706B636178 -:0418CE006863726F6A -:0418CF000000000015 -:0418D00061202E481D -:0418D100766974635D -:0418D20000000065AD -:0418D30073202E56FA -:0418D4006C636E795A -:0418D50000006E653C -:0418D60062202E5608 -:0418D700706B63616E -:0418D8006863726F60 -:0418D900000000000B -:0418DA0061202E5605 -:0418DB007669746353 -:0418DC0000000065A3 -:0418DD000066664FEC -:0418DE00727275436A -:0418DF0020746E659E -:0418E00075706E6948 -:0418E100000000748F -:0418E200206C6C41C9 -:0418E30075706E6945 -:0418E4000000737419 -:0418E50042734752B1 -:0418E60000000000FE -:0418E70050625059A2 -:0418E800000000728A -:0418E9000000733355 -:0418EA000073303126 -:0418EB000073303323 -:0418EC002D706F5498 -:0418ED007466656C4C -:0418EE0000000000F6 -:0418EF00746E65436B -:0418F000000072651D -:0418F10074746F425A -:0418F200722D6D6F77 -:0418F3007468676945 -:0418F40000000000F0 -:0418F5002066664FB4 -:0418F60020736628CD -:0418F7003639203D21 -:0418F800297A486B96 -:0418F90000000000EB -:0418FA002020783200 -:0418FB0020736628C8 -:0418FC003834203D1F -:0418FD00297A486B91 -:0418FE0000000000E6 -:0418FF0000706F54B2 -:0419000074746F424A -:0419010000006D6F06 -:0419020069726F484F -:04190300746E6F7A15 -:0419040000006C6112 -:04190500747265563D -:041906006C61636944 -:0419070000000000DC -:0419080065746C4155 -:0419090074616E7225 -:04190A0000676E699B -:04190B00746C754D36 -:04190C00696C706929 -:04190D006974616335 -:04190E0000006E6FF8 -:04190F007462755336 -:041910007463617229 -:04191100006E6F698C -:041912006F74754138 -:0419130000000000D0 -:04191400756E614D3E -:0419150000006C6101 -:04191600494D4448AB -:0419170000000000CC -:0419180000495644E8 -:0419190000373A3821 -:04191A007373615032 -:04191B007572687405 -:04191C0000000000C7 -:04191D00656E694C3E -:04191E0028207832D3 -:04191F0029626F6268 -:0419200000000000C3 -:04192100656E694C3A -:041922000000783217 -:04192300656E694C38 -:0419240032207832C3 -:0419250033783034AF -:041926000000303657 -:04192700656E694C34 -:0419280032207833BE -:0419290033783034AB -:04192A000000303653 -:04192B00656E694C30 -:04192C0028207833C4 -:04192D006563616C21 -:04192E000000296428 -:04192F00656E694C2C -:0419300028207834BF -:0419310029626F6256 -:0419320000000000B1 -:04193300656E694C28 -:041934000000783304 -:04193500656E694C26 -:041936000000783401 -:04193700656E694C24 -:0419380000007835FE -:0419390030323931DE -:04193A003830317898 -:04193B000000003078 -:04193C0030303631E0 -:04193D00303231789B -:04193E000000003075 -:04193F0030323931D8 -:041940003032317898 -:041941000000003072 -:04194200656E654722 -:041943002063697242 -:0419440000333A34FE -:04194500783231358E -:0419460020303432E7 -:041947006974706FE0 -:0419480000002E6D00 -:04194900783032338D -:04194A0020303432E3 -:04194B006974706FDC -:04194C0000002E6DFC -:04194D007836353281 -:04194E0020303432DF -:04194F006974706FD8 -:0419500000002E6DF8 -:04195100656E654713 -:041952002063697233 -:04195300393A3631B6 -:04195400000000008F -:041955004D352E32AC -:0419560028207A4883 -:041957002978616D1D +:04189E006C616E41CA +:04189F007320676FDC +:0418A00020636E79DA +:0418A1000046504C61 +:0418A2006C616E41C6 +:0418A3007320676FD8 +:0418A40020636E79D6 +:0418A500006874560D +:0418A6006E7973489C +:0418A7006F742063D7 +:0418A8006172656C98 +:0418A9000065636E05 +:0418AA006E7973568A +:0418AB0068742063DA +:0418AC006873657286 +:0418AD0000646C6FF8 +:0418AE004C502D4825 +:0418AF007250204C07 +:0418B0006F432D65F0 +:0418B10000747361EB +:0418B2004C502D4821 +:0418B3006F50204C06 +:0418B400432D7473D9 +:0418B5007473616F78 +:0418B600000000002E +:0418B700706D61539C +:0418B800676E696C82 +:0418B90061687020D2 +:0418BA000000657352 +:0418BB00703038341D +:0418BC00206E692011 +:0418BD00706D617376 +:0418BE000072656CE3 +:0418BF006F6C6C419D +:0418C00056542077E3 +:0418C100504820501B +:0418C20078324C4CE0 +:0418C3000000000021 +:0418C4006F6C6C4198 +:0418C50070752077A3 +:0418C600706D61736D +:0418C7007832656CA2 +:0418C800000000001C +:0418C9007664413CC4 +:0418CA006974202EEF +:0418CB00676E696D6E +:0418CC003E2020207A +:0418CD000000000017 +:0418CE00656469568E +:0418CF00504C206FEA +:0418D00000000046CE +:0418D10050625059B8 +:0418D2006E692072A9 +:0418D3006C6F4320D3 +:0418D40000617053EC +:0418D50072502F52CC +:0418D60066666F20B3 +:0418D70000746573C1 +:0418D80020592F471D +:0418D9007366666F5D +:0418DA000000746531 +:0418DB0062502F42E6 +:0418DC0066666F20AD +:0418DD0000746573BB +:0418DE0072502F52C3 +:0418DF0069616720B4 +:0418E0000000006E96 +:0418E10020592F4714 +:0418E2006E69616763 +:0418E3000000000001 +:0418E40062502F42DD +:0418E50069616720AE +:0418E6000000006E90 +:0418E7002D657250A9 +:0418E8002043444114 +:0418E9006E6961477C +:0418EA0000000000FA +:0418EB0073202E48F0 +:0418EC006C706D614E +:0418ED00746172654B +:0418EE000000006591 +:0418EF0073202E48EC +:0418F0006C636E793E +:0418F10000006E6520 +:0418F20062202E48FA +:0418F300706B636152 +:0418F4006863726F44 +:0418F50000000000EF +:0418F60061202E48F7 +:0418F7007669746337 +:0418F8000000006587 +:0418F90073202E56D4 +:0418FA006C636E7934 +:0418FB0000006E6516 +:0418FC0062202E56E2 +:0418FD00706B636148 +:0418FE006863726F3A +:0418FF0000000000E5 +:0419000061202E56DE +:04190100766974632C +:04190200000000657C +:041903000066664FC5 +:041904007272754343 +:0419050020746E6577 +:0419060075706E6921 +:041907000000007468 +:04190800206C6C41A2 +:0419090075706E691E +:04190A0000007374F2 +:04190B00427347528A +:04190C0000000000D7 +:04190D00506250597B +:04190E000000007263 +:04190F00000073332E +:0419100000733031FF +:0419110000733033FC +:041912002D706F5471 +:041913007466656C25 +:0419140000000000CF +:04191500746E654344 +:0419160000007265F6 +:0419170074746F4233 +:04191800722D6D6F50 +:04191900746867691E +:04191A0000000000C9 +:04191B002066664F8D +:04191C0020736628A6 +:04191D003639203DFA +:04191E00297A486B6F +:04191F0000000000C4 +:0419200020207832D9 +:0419210020736628A1 +:041922003834203DF8 +:04192300297A486B6A +:0419240000000000BF +:0419250000706F548B +:0419260074746F4224 +:0419270000006D6FE0 +:0419280069726F4829 +:04192900746E6F7AEF +:04192A0000006C61EC +:04192B007472655617 +:04192C006C6163691E +:04192D0000000000B6 +:04192E0065746C412F +:04192F0074616E72FF +:0419300000676E6975 +:04193100746C754D10 +:04193200696C706903 +:04193300697461630F +:0419340000006E6FD2 +:041935007462755310 +:041936007463617203 +:04193700006E6F6966 +:041938006F74754112 +:0419390000000000AA +:04193A00756E614D18 +:04193B0000006C61DB +:04193C00494D444885 +:04193D0000000000A6 +:04193E0000495644C2 +:04193F0000373A38FB +:04194000737361500C +:0419410075726874DF +:0419420000000000A1 +:04194300656E694C18 +:0419440028207832AD +:0419450029626F6242 +:04194600000000009D +:04194700656E694C14 +:0419480000007832F1 +:04194900656E694C12 +:04194A00322078329D +:04194B003378303489 +:04194C000000303631 +:04194D00656E694C0E +:04194E003220783398 +:04194F003378303485 +:04195000000030362D +:04195100656E694C0A +:04195200282078339E +:041953006563616CFB +:041954000000296402 +:04195500656E694C06 +:041956002820783499 +:0419570029626F6230 :04195800000000008B -:04195900484D303194 -:04195A006D28207A5A -:04195B000029646596 -:04195C00484D33338C -:04195D006D28207A57 -:04195E0000296E6985 -:04195F002056544476 -:041960007030383477 -:041961000000000082 -:041962004153455652 -:0419630030343620C6 -:04196400303834786B -:0419650000303640D8 -:041966002E63655235 -:0419670031303620C5 -:04196800000000007B -:041969002E63655232 -:04196A0039303720B9 -:04196B000000000078 -:04196C00484D353974 -:04196D004828207A6C -:04196E002056544467 -:04196F0000294949B9 -:04197000484D353376 -:041971004828207A68 -:041972002056544463 -:0419730000002949FE -:04197400484D363173 -:041975004528207A67 -:041976002956544456 -:04197700000000006C -:041978007A484D3923 -:04197900445328208B -:04197A000029565496 -:04197B0000006E4FAB -:04197C006D20642551 -:04197D000000005610 -:04197E0020202020E5 -:04197F0000007525CA -:0419800000017426C8 -:041981000000002042 -:04198200000130E24E -:041983006F706D49CB -:04198400203F74721A -:041985002C593D316B -:041986004E3D322080 +:04195900656E694C02 +:04195A0000007833DE +:04195B00656E694C00 +:04195C0000007834DB +:04195D00656E694CFE +:04195E0000007835D8 +:04195F0030323931B8 +:041960003830317872 +:041961000000003052 +:0419620030303631BA +:041963003032317875 +:04196400000000304F +:0419650030323931B2 +:041966003032317872 +:04196700000000304C +:04196800656E6547FC +:04196900206369721C +:04196A0000333A34D8 +:04196B007832313568 +:04196C0020303432C1 +:04196D006974706FBA +:04196E0000002E6DDA +:04196F007830323367 +:0419700020303432BD +:041971006974706FB6 +:0419720000002E6DD6 +:04197300783635325B +:0419740020303432B9 +:041975006974706FB2 +:0419760000002E6DD2 +:04197700656E6547ED +:04197800206369720D +:04197900393A363190 +:04197A000000000069 +:04197B004D352E3286 +:04197C0028207A485D +:04197D002978616DF7 +:04197E000000000065 +:04197F00484D30316E +:041980006D28207A34 +:041981000029646570 +:04198200484D333366 +:041983006D28207A31 +:0419840000296E695F +:041985002056544450 +:041986007030383451 :04198700000000005C -:0419880064616F4CDB -:0419890020676E69FC -:04198A007474657399 -:04198B0073676E69A7 -:04198C000000000057 -:04198D004452535518 -:04198E00004154417F -:04198F000001659856 -:041990000000200033 -:0419910020002CE521 -:04199200E926F4FD51 -:0419930038BC20003C -:04199400000000004F -:04199500000165A444 -:04199600000020002D -:041997002000323EBC -:04199800F113FA0449 -:041999003B6120008E -:04199A000000000049 -:04199B00000144DA29 -:04199C00000144DA28 -:04199D00000144D031 -:04199E00000145807F -:04199F000001458A74 -:0419A000000144DA24 -:0419A100303036317B -:0419A2003034327833 -:0419A30006400000FA -:0419A40007FE00F04A -:0419A5000FCA01065E -:0419A6000112039691 -:0419A7000004000236 -:0419A8003038323170 -:0419A900303432782C -:0419AA000500000034 -:0419AB00061800F02A -:0419AC000FAA010677 -:0419AD0001120348D8 -:0419AE0000004102F2 -:0419AF00783036391D -:0419B000003034329D -:0419B10003C000006F -:0419B200049200F0AB -:0419B3000F8001069A -:0419B40001120336E3 -:0419B500000002022A -:0419B600783231351D -:0419B7000030343296 -:0419B8000200000029 -:0419B90002AA00F08E -:0419BA000E4D0106C7 -:0419BB0001120332E0 -:0419BC00000884108B -:0419BD007830323319 -:0419BE00003034328F -:0419BF0001400000E3 -:0419C00001AA00F088 -:0419C1000E310106DC -:0419C2000112031FEC -:0419C30000110820E7 -:0419C400783635320A -:0419C5000030343288 -:0419C600010000001C -:0419C700015500F0D6 -:0419C8000E270106DF -:0419C90001120319EB -:0419CA0000221040A7 -:0419CB007030343212 -:0419CC000000000017 -:0419CD0002D0000044 -:0419CE00035A00F0C8 -:0419CF000F390106C5 -:0419D0000112033EBF -:0419D1000000000E04 -:0419D200303036314A -:0419D3003034327802 -:0419D4000640004C7D -:0419D50007FE00F019 -:0419D60029CA0138E1 -:0419D7000112039660 -:0419D8000004000205 -:0419D900303832313F -:0419DA0038383278EF -:0419DB000500000003 -:0419DC0006180120C8 -:0419DD000FAA013814 -:0419DE0001120348A7 -:0419DF0000004102C1 -:0419E00078303639EC -:0419E1000038383260 -:0419E20003C000003E -:0419E3000492012049 -:0419E4000F80013837 -:0419E50001120336B2 -:0419E60000000202F9 -:0419E70078323135EC -:0419E8004C30343219 -:0419E90002000042B6 -:0419EA0002AA00F05D -:0419EB00294D013849 -:0419EC0001120332AF -:0419ED00000884105A -:0419EE0078303233E8 -:0419EF004C30343212 -:0419F0000140004270 -:0419F10001AA00F057 -:0419F200293101385E -:0419F3000112031FBB -:0419F40000110820B6 -:0419F50078363532D9 -:0419F6004C3034320B -:0419F70001000042A9 -:0419F800015500F0A5 -:0419F9002927013861 -:0419FA0001120319BA -:0419FB000022104076 -:0419FC0070383832D5 -:0419FD0000000000E6 -:0419FE0002D0000013 -:0419FF000360012060 -:041A00001345013851 -:041A01000112033F8C -:041A02000000000ED2 -:041A030078303834CB -:041A04000030363345 -:041A050001E00000FC -:041A06000258016819 -:041A07000A3F01771A -:041A080002040326AB -:041A09000000000ECB -:041A0A0078303432CA -:041A0B00003036333E -:041A0C0001000000D5 -:041A0D00012C01683F -:041A0E000A1801773A -:041A0F0002040312B8 -:041A10000000208032 -:041A110070343833C2 -:041A120000000000D0 -:041A130001F00000DE -:041A140002800180CB -:041A15001D3201A7D6 -:041A16000204033E85 -:041A17000000000EBD -:041A180078303436B8 -:041A19000030303435 -:041A1A000280000046 -:041A1B000320019013 -:041A1C00243001C1B0 -:041A1D000210026051 -:041A1E000000000CB8 -:041A1F0078303436B1 -:041A20000034383323 -:041A2100028000003F -:041A2200032001801C -:041A23003F3001EC63 -:041A2400021002604A -:041A25000000000EAF -:041A260069303834B7 -:041A270000000000BB -:041A280002D00000E8 -:041A2900035A00F06C -:041A2A000F39020D61 -:041A2B000312033E61 -:041A2C000000410F66 -:041A2D0070303834A9 -:041A2E0000000000B4 -:041A2F0002D00000E1 -:041A3000035A01E074 -:041A31001E3C020D48 -:041A32000414063E54 -:041A33000000000CA3 -:041A3400783034369C -:041A35000030383411 -:041A3600028000002A -:041A3700032001E0A7 -:041A38002130020D4A -:041A3900041402602F -:041A3A000000000C9C -:041A3B007830343695 -:041A3C00003231350E -:041A3D000280000023 -:041A3E00032002007F -:041A3F001C3002381D -:041A40000414026028 -:041A41000000000C95 -:041A42006936373595 -:041A4300000000009F -:041A440002D00000CC -:041A45000360012019 -:041A460013450271D1 -:041A47000312033F44 -:041A48000000410F4A -:041A49007036373587 -:041A4A000000000098 -:041A4B0002D00000C5 -:041A4C0003600240F1 -:041A4D0027440271B7 -:041A4E000404054047 -:041A4F000000000C87 -:041A50007830303882 -:041A510000303036FB -:041A5200032000006D -:041A53000420025811 -:041A540017580274A9 -:041A550000100480F9 -:041A56000000000488 -:041A57007030323782 -:041A5800000000008A -:041A59000500000084 -:041A5A00067202D03E -:041A5B0014DC02EEA7 -:041A5C000018052841 -:041A5D000000000481 -:041A5E0034323031BD -:041A5F003836377866 -:041A6000040000007E -:041A61000540030039 -:041A62001DA003269A -:041A630000100688E1 -:041A6400000000047A -:041A650030383231B2 -:041A66003230317871 -:041A67000500003442 -:041A680006980400D8 -:041A690026F8042A2D -:041A6A0000100370F5 -:041A6B000000000473 -:041A6C007830343664 -:041A6D00693036396D -:041A6E0002800000F2 -:041A6F00032001E06F -:041A70002130041A03 -:041A710005140260F6 -:041A72000000000D63 -:041A730030383031A6 -:041A74000000006905 -:041A750007800000E6 -:041A76000898021CAE -:041A7700109404655E -:041A78000518052C1C -:041A79000000000D5C -:041A7A00303830319F -:041A7B0000000070F7 -:041A7C0007800000DF -:041A7D000898043889 -:041A7E002494046543 -:041A7F000018052C1A -:041A8000000000045E -:041A8100303036319A -:041A82003032317855 -:041A830006400030E9 -:041A8400087004B032 -:041A85002EFF04E24A -:041A8600001003F158 -:041A87000000000457 -:041A88007665642FEC -:041A89006370652FF2 -:041A8A006F635F71B6 -:041A8B006F72746E94 -:041A8C0072656C6CA7 -:041A8D00615F305F06 -:041A8E006D5F6C76A6 -:041A8F0000006D6581 -:041A90002B302D23A7 -:041A91000000002031 -:041A9200004C6C6830 -:041A930045676665D8 -:041A940000004746C1 -:041A95003332313087 -:041A96003736353476 -:041A97004241393857 -:041A98004645444338 -:041A99000000000049 -:041A9A003332313082 -:041A9B003736353471 -:041A9C006261393812 -:041A9D0066656463B3 -:041A9E000000000044 -:041A9F001A808080A9 -:041AA00000081A1A06 -:041AA1000000000041 -:041AA2000000000040 -:041AA30000016A20B4 -:041AA400000000003E -:041AA500000000003D -:041AA6000001035CDC -:041AA7000001041C1A -:041AA800000101CE6A -:041AA900000101EA4D -:041AAA0000010236FF -:041AAB0000800000B7 -:041AAC0000800000B6 -:041AAD000000000035 -:041AAE000000000034 -:041AAF000000000033 -:041AB0000000000032 -:041AB1000000000031 -:041AB2000000000030 -:041AB300000000002F -:041AB400000000002E -:041AB500000000002D -:041AB600000000002C -:041AB700000000002B -:041AB800000000002A -:041AB9000000000029 -:041ABA000000000028 -:041ABB000000000027 -:041ABC000000000026 -:041ABD000000000025 -:041ABE000000000024 -:041ABF000000000023 -:041AC0000000000022 -:041AC1000000000021 +:04198800415345562C +:0419890030343620A0 +:04198A003038347845 +:04198B0000303640B2 +:04198C002E6365520F +:04198D00313036209F +:04198E000000000055 +:04198F002E6365520C +:041990003930372093 +:041991000000000052 +:04199200484D35394E +:041993004828207A46 +:041994002056544441 +:041995000029494993 +:04199600484D353350 +:041997004828207A42 +:04199800205654443D +:0419990000002949D8 +:04199A00484D36314D +:04199B004528207A41 +:04199C002956544430 +:04199D000000000046 +:04199E007A484D39FD +:04199F004453282065 +:0419A0000029565470 +:0419A10000006E4F85 +:0419A2006D2064252B +:0419A30000000056EA +:0419A40020202020BF +:0419A50000007525A4 +:0419A600000174CAFE +:0419A700000000201C +:0419A800000130EA20 +:0419A900652064252C +:0419AA006972746E7C +:0419AB000000736560 +:0419AC006F706D6982 +:0419AD006465747287 +:0419AE000000000035 +:0419AF006F706D499F +:0419B000203F7472EE +:0419B1002C593D313F +:0419B2004E3D322054 +:0419B3000000000030 +:0419B40044525355F1 +:0419B5000041544158 +:0419B6006573753CA4 +:0419B70000003E648A +:0419B8000001663094 +:0419B900000020000A +:0419BA0020002CE5F8 +:0419BB00E926F4FD28 +:0419BC0038BC200013 +:0419BD000000000026 +:0419BE000001663C82 +:0419BF000000200004 +:0419C0002000323E93 +:0419C100F113FA0420 +:0419C2003B61200065 +:0419C3000000000020 +:0419C4000001456475 +:0419C5000001456474 +:0419C6000001455A7D +:0419C7000001460ACB +:0419C80000014614C0 +:0419C9000001456470 +:0419CA003030363152 +:0419CB00303432780A +:0419CC0006400000D1 +:0419CD0007FE00F021 +:0419CE000FCA010635 +:0419CF000112039668 +:0419D000000400020D +:0419D1003038323147 +:0419D2003034327803 +:0419D300050000000B +:0419D400061800F001 +:0419D5000FAA01064E +:0419D60001120348AF +:0419D70000004102C9 +:0419D80078303639F4 +:0419D9000030343274 +:0419DA0003C0000046 +:0419DB00049200F082 +:0419DC000F80010671 +:0419DD0001120336BA +:0419DE000000020201 +:0419DF0078323135F4 +:0419E000003034326D +:0419E1000200000000 +:0419E20002AA00F065 +:0419E3000E4D01069E +:0419E40001120332B7 +:0419E5000008841062 +:0419E60078303233F0 +:0419E7000030343266 +:0419E80001400000BA +:0419E90001AA00F05F +:0419EA000E310106B3 +:0419EB000112031FC3 +:0419EC0000110820BE +:0419ED0078363532E1 +:0419EE00003034325F +:0419EF0001000000F3 +:0419F000015500F0AD +:0419F1000E270106B6 +:0419F20001120319C2 +:0419F300002210407E +:0419F40070303432E9 +:0419F50000000000EE +:0419F60002D000001B +:0419F700035A00F09F +:0419F8000F3901069C +:0419F9000112033E96 +:0419FA000000000EDB +:0419FB003030363121 +:0419FC0030343278D9 +:0419FD000640004C54 +:0419FE0007FE00F0F0 +:0419FF0029CA0138B8 +:041A00000112039636 +:041A010000040002DB +:041A02003038323115 +:041A030038383278C5 +:041A040005000000D9 +:041A0500061801209E +:041A06000FAA0138EA +:041A0700011203487D +:041A08000000410297 +:041A090078303639C2 +:041A0A000038383236 +:041A0B0003C0000014 +:041A0C00049201201F +:041A0D000F8001380D +:041A0E000112033688 +:041A0F0000000202CF +:041A100078323135C2 +:041A11004C303432EF +:041A1200020000428C +:041A130002AA00F033 +:041A1400294D01381F +:041A15000112033285 +:041A16000008841030 +:041A170078303233BE +:041A18004C303432E8 +:041A19000140004246 +:041A1A0001AA00F02D +:041A1B002931013834 +:041A1C000112031F91 +:041A1D00001108208C +:041A1E0078363532AF +:041A1F004C303432E1 +:041A2000010000427F +:041A2100015500F07B +:041A22002927013837 +:041A23000112031990 +:041A2400002210404C +:041A250070383832AB +:041A260000000000BC +:041A270002D00000E9 +:041A28000360012036 +:041A29001345013828 +:041A2A000112033F63 +:041A2B000000000EA9 +:041A2C0078303834A2 +:041A2D00003036331C +:041A2E0001E00000D3 +:041A2F0002580168F0 +:041A30000A3F0177F1 +:041A31000204032682 +:041A32000000000EA2 +:041A330078303432A1 +:041A34000030363315 +:041A350001000000AC +:041A3600012C016816 +:041A37000A18017711 +:041A3800020403128F +:041A39000000208009 +:041A3A007034383399 +:041A3B0000000000A7 +:041A3C0001F00000B5 +:041A3D0002800180A2 +:041A3E001D3201A7AD +:041A3F000204033E5C +:041A40000000000E94 +:041A4100783034368F +:041A4200003030340C +:041A4300028000001D +:041A440003200190EA +:041A4500243001C187 +:041A46000210026028 +:041A47000000000C8F +:041A48007830343688 +:041A490000343833FA +:041A4A000280000016 +:041A4B0003200180F3 +:041A4C003F3001EC3A +:041A4D000210026021 +:041A4E000000000E86 +:041A4F00693038348E +:041A50000000000092 +:041A510002D00000BF +:041A5200035A00F043 +:041A53000F39020D38 +:041A54000312033E38 +:041A55000000410F3D +:041A56007030383480 +:041A5700000000008B +:041A580002D00000B8 +:041A5900035A01E04B +:041A5A001E3C020D1F +:041A5B000414063E2B +:041A5C000000000C7A +:041A5D007830343673 +:041A5E0000303834E8 +:041A5F000280000001 +:041A6000032001E07E +:041A61002130020D21 +:041A62000414026006 +:041A63000000000C73 +:041A6400783034366C +:041A650000323135E5 +:041A660002800000FA +:041A67000320020056 +:041A68001C300238F4 +:041A690004140260FF +:041A6A000000000C6C +:041A6B00693637356C +:041A6C000000000076 +:041A6D0002D00000A3 +:041A6E0003600120F0 +:041A6F0013450271A8 +:041A70000312033F1B +:041A71000000410F21 +:041A7200703637355E +:041A7300000000006F +:041A740002D000009C +:041A750003600240C8 +:041A7600274402718E +:041A7700040405401E +:041A78000000000C5E +:041A79007830303859 +:041A7A0000303036D2 +:041A7B000320000044 +:041A7C0004200258E8 +:041A7D001758027480 +:041A7E0000100480D0 +:041A7F00000000045F +:041A80007030323759 +:041A81000000000061 +:041A8200050000005B +:041A8300067202D015 +:041A840014DC02EE7E +:041A85000018052818 +:041A86000000000458 +:041A87003432303194 +:041A8800383637783D +:041A89000400000055 +:041A8A000540030010 +:041A8B001DA0032671 +:041A8C0000100688B8 +:041A8D000000000451 +:041A8E003038323189 +:041A8F003230317848 +:041A90000500003419 +:041A910006980400AF +:041A920026F8042A04 +:041A930000100370CC +:041A9400000000044A +:041A9500783034363B +:041A96006930363944 +:041A970002800000C9 +:041A9800032001E046 +:041A99002130041ADA +:041A9A0005140260CD +:041A9B000000000D3A +:041A9C00303830317D +:041A9D0000000069DC +:041A9E0007800000BD +:041A9F000898021C85 +:041AA0001094046535 +:041AA1000518052CF3 +:041AA2000000000D33 +:041AA3003038303176 +:041AA40000000070CE +:041AA50007800000B6 +:041AA6000898043860 +:041AA700249404651A +:041AA8000018052CF1 +:041AA9000000000435 +:041AAA003030363171 +:041AAB00303231782C +:041AAC0006400030C0 +:041AAD00087004B009 +:041AAE002EFF04E221 +:041AAF00001003F12F +:041AB000000000042E +:041AB1007665642FC3 +:041AB2006370652FC9 +:041AB3006F635F718D +:041AB4006F72746E6B +:041AB50072656C6C7E +:041AB600615F305FDD +:041AB7006D5F6C767D +:041AB80000006D6558 +:041AB9002B302D237E +:041ABA000000002008 +:041ABB00004C6C6807 +:041ABC0045676665AF +:041ABD000000474698 +:041ABE00333231305E +:041ABF00373635344D +:041AC000424139382E +:041AC100464544430F :041AC2000000000020 -:041AC300000000001F -:041AC400000000001E -:041AC500000000001D -:041AC600000000001C +:041AC3003332313059 +:041AC4003736353448 +:041AC50062613938E9 +:041AC600666564638A :041AC700000000001B -:041AC800000000001A -:041AC9000000000019 +:041AC8001A80808080 +:041AC90000081A1ADD :041ACA000000000018 :041ACB000000000017 -:041ACC000000000016 +:041ACC0000016AC4E7 :041ACD000000000015 -:041ACE00000101749E -:041ACF000080000093 -:041AD0000100000011 -:041AD100000201000E -:041AD2000080000090 -:041AD300000000010E -:041AD400000000808E -:041AD500000100000C -:041AD600000001000B +:041ACE000000000014 +:041ACF000001035CB3 +:041AD0000001041CF1 +:041AD100000101CE41 +:041AD200000101EA24 +:041AD30000010236D6 +:041AD400008000008E +:041AD500008000008D +:041AD600000000000C :041AD700000000000B -:041AD800020E0409ED -:041AD9003D0E00C8F6 -:041ADA003F6E0383D5 -:041ADB003ED03DAC10 -:041ADC000000038380 -:041ADD00026404B2E9 -:041ADE003C9300E94C -:041ADF003F56041654 -:041AE0003E9F3D499F -:041AE10000000416E7 -:041AE200017804E59E -:041AE3003CCE008174 -:041AE4003FAE03838B -:041AE5003F333D4905 -:041AE6000000038376 -:041AE70001B405B889 -:041AE8003C490093E2 -:041AE9003F9F041601 -:041AEA003F103CD994 -:041AEB0000000416DD -:041AEC00000163741E -:041AED000001637819 -:041AEE000001638808 -:041AEF000001597029 -:041AF0000001598018 -:041AF1000001598C0B -:041AF20000015998FE -:041AF300000159A4F1 -:041AF400000159B0E4 -:041AF500000159BCD7 -:041AF600000159C8CA -:041AF700000159D4BD -:041AF800000159E0B0 -:041AF900000159ECA3 -:041AFA00000165087A -:041AFB00000165146D -:041AFC00000165245C -:041AFD00000165344B -:041AFE00000165443A -:041AFF000001650875 -:041B00000001651467 -:041B01000001652456 -:041B02000001653445 -:041B0300000164E495 -:041B0400000164F088 -:041B0500000164FC7B -:041B06000001637403 -:041B0700000163A4D2 -:041B0800000163A8CD -:041B0900000163ACC8 -:041B0A00000163145F -:041B0B0000000002D4 -:041B0C0000017468F8 -:041B0D000AF0012CAD -:041B0E0000011E169E -:041B0F00000163244A -:041B100000000002CF -:041B1100000174E873 -:041B120000FF000AC6 -:041B130000011E1699 -:041B14000001633039 -:041B150000000002CA -:041B16000001742432 -:041B170000FF0001CA -:041B180000011E1694 -:041B19000001634024 -:041B1A0000000002C5 -:041B1B000001745AF7 -:041B1C00078000C876 -:041B1D0000011E168F -:041B1E000001634C13 -:041B1F0000000002C0 -:041B200000017452FA -:041B210000070001B8 -:041B220000011E168A -:041B23000001635802 -:041B240000000002BB -:041B2500000174E265 -:041B2600003F00017B -:041B270000011E1685 -:041B280000016368ED -:041B290000000002B6 -:041B2A0000017456EC -:041B2B0004B000C83A -:041B2C0000011E1680 -:041B2D000001603023 -:041B2E0000000000B3 -:041B2F00000175122A -:041B300000010001AF -:041B310000017340FC -:041B3200000160400E -:041B330000000000AE -:041B34000001751324 -:041B350000010001AA -:041B360000017348EF -:041B370000016050F9 -:041B380000000001A8 -:041B3900000175141E -:041B3A00001800008F -:041B3B00000130C6AF -:041B3C0000016060E4 -:041B3D0000000000A4 -:041B3E000001750F1E -:041B3F0000010001A0 -:041B400000017348E5 -:041B410000016070CF -:041B4200000000009F -:041B43000001751018 -:041B4400000100019B -:041B450000017348E0 -:041B460000015EA894 -:041B47000000000397 -:041B4800000173D451 -:041B49000000000098 -:041B4A000000000097 -:041B4B0000015EBC7B -:041B4C000000000392 -:041B4D00000173BC64 -:041B4E000000000093 -:041B4F000000000092 -:041B500000015ED062 -:041B5100000000038D -:041B5200000173CC4F -:041B5300000000008E -:041B5400000000008D -:041B550000015EE449 -:041B56000000000388 -:041B5700000173AC6A -:041B58000000000089 -:041B59000000000088 -:041B5A0000015EF830 -:041B5B000000000383 -:041B5C00000173B45D -:041B5D000000000084 -:041B5E000000000083 -:041B5F0000015F0C16 -:041B6000000000037E -:041B61000001739C70 -:041B6200000000007F -:041B6300000000007E -:041B640000015F20FD -:041B65000000000379 -:041B66000001739473 -:041B6700000000007A -:041B68000000000079 -:041B690000015F34E4 -:041B6A000000000374 -:041B6B00000173C43E -:041B6C000000000075 -:041B6D000000000074 -:041B6E0000016130E1 -:041B6F000000000072 -:041B7000000174F804 -:041B7100000400016B -:041B720000017244B8 -:041B730000016140CC -:041B7400000000006D -:041B7500000174F9FE -:041B76000003000167 -:041B7700000172589F -:041B78000001614CBB -:041B79000000000068 -:041B7A00000174FAF8 -:041B7B000003000162 -:041B7C00000172688A -:041B7D000001615CA6 -:041B7E000000000063 -:041B7F00000174FBF2 -:041B8000000100015F -:041B81000001735894 -:041B82000001616C91 -:041B8300000000005E -:041B8400000174FCEC -:041B8500000100015A -:041B86000001735097 -:041B87000001617C7C -:041B88000000000059 -:041B8900000174F3F0 -:041B8A000003000153 -:041B8B0000016BE802 -:041B8C00000161886B -:041B8D000000000054 -:041B8E00000174F4EA -:041B8F00000400014D -:041B900000016BF8ED -:041B9100000161945A -:041B9200000000004F -:041B9300000174F5E4 -:041B94000003000149 -:041B950000016BE8F8 -:041B9600000161A049 -:041B9700000000004A -:041B9800000174F6DE -:041B99000003000144 -:041B9A0000016BE8F3 -:041B9B00000161AC38 -:041B9C000000000045 -:041B9D00000174F7D8 -:041B9E000002000140 -:041B9F0000016C0CC9 -:041BA000000161BC23 -:041BA1000000000040 -:041BA200000174FDCD -:041BA300000100013C -:041BA4000001733891 -:041BA500000161CC0E -:041BA600000000003B -:041BA70000017501C3 -:041BA8000001000137 -:041BA900000173784C -:041BAA00000161D401 -:041BAB000000000036 -:041BAC0000017502BD -:041BAD000001000132 -:041BAE000001734877 -:041BAF00000160844D -:041BB0000000000031 -:041BB100000174ECCF -:041BB200000200012C -:041BB3000001728437 -:041BB400000160903C -:041BB500000000012B -:041BB600000174F0C6 -:041BB700000F00001B -:041BB800000130A058 -:041BB900000160A027 -:041BBA000000000126 -:041BBB00000174EEC3 -:041BBC00001C000009 -:041BBD000001307C77 -:041BBE00000160B012 -:041BBF000000000022 -:041BC000000174EFBD -:041BC100000100011E -:041BC200000173703B -:041BC300000160C0FD -:041BC400000000001D -:041BC500000174EDBA -:041BC6000002000118 -:041BC7000001729017 -:041BC800000160D0E8 -:041BC9000000000018 -:041BCA00000174F1B1 -:041BCB000001000114 -:041BCC000001736839 -:041BCD00000160E4CF -:041BCE000000000112 -:041BCF00000174FE9F -:041BD00000FF000012 -:041BD1000001306679 -:041BD200000160F4BA -:041BD300000000010D -:041BD400000174FF99 -:041BD500003F0000CD -:041BD6000001306674 -:041BD70000016104A4 -:041BD8000000000108 -:041BD9000001750092 -:041BDA00000F0000F8 -:041BDB0000012FAE28 -:041BDC00000161148F -:041BDD000000000103 -:041BDE00000175117C -:041BDF00001F0000E3 -:041BE00000012FAE23 -:041BE100000161207E -:041BE20000000004FB -:041BE30000011FF8E6 -:041BE40000015E6836 -:041BE50000000000FC -:041BE6000001624454 -:041BE70000000001F9 -:041BE800000175047F -:041BE900001F0001D8 -:041BEA0000012FC403 -:041BEB00000162543F -:041BEC0000000000F5 -:041BED00000175037B -:041BEE0000020001F0 -:041BEF000001727807 -:041BF000000162642A -:041BF10000000000F0 -:041BF2000001750574 -:041BF30000010001EC -:041BF4000001734831 -:041BF5000001627811 -:041BF60000000000EB -:041BF700000175066E -:041BF80000010001E7 -:041BF900000173482C -:041BFA000001628CF8 -:041BFB0000000003E3 -:041BFC000001738CE5 -:041BFD00000166007D -:041BFE0000011DB411 -:041BFF0000015F483A -:041C000000000004DC -:041C010000011D06BB -:041C020000015E8CF3 -:041C030000000000DD -:041C040000015F5824 -:041C050000000004D7 -:041C060000011D6656 -:041C070000015E8CEE -:041C080000000000D8 -:041C090000015F680F -:041C0A0000000004D2 -:041C0B000001260CA2 -:041C0C0000000000D4 -:041C0D0000000000D3 -:041C0E0000015F7CF6 -:041C0F0000000001D0 -:041C10000001751C3E -:041C1100000A0101C3 -:041C12000001311686 -:041C130000015F90DD -:041C140000000000CC -:041C15000001747ED8 -:041C160000010001C8 -:041C1700000173480D -:041C180000015FA4C4 -:041C190000000000C7 -:041C1A000001742829 -:041C1B00000A0001BA -:041C1C0000016BBC9C -:041C1D0000015FB4AF -:041C1E0000000000C2 -:041C1F00000174E765 -:041C200000020001BD -:041C210000016BB0A3 -:041C220000015FC896 -:041C230000000000BD -:041C240000017458EF -:041C250000010001B9 -:041C260000017360E6 -:041C270000015FD881 -:041C280000000000B8 -:041C2900000173370C -:041C2A0000010001B4 -:041C2B0000017360E1 -:041C2C0000015FE86C -:041C2D0000000000B3 -:041C2E000001742A13 -:041C2F0000010001AF -:041C300000017360DC -:041C310000015FF857 -:041C320000000000AE -:041C330000017466D2 -:041C340000030001A8 -:041C350000016C1826 -:041C36000001600841 -:041C370000000004A5 -:041C38000001371C54 -:041C390000000000A7 -:041C3A0000000000A6 -:041C3B000001601C28 -:041C3C0000000004A0 -:041C3D0000012BC8AF -:041C3E0000000000A2 -:041C3F0000000000A1 -:041C4000000161E05E -:041C4100000000009F -:041C42000001750B1D -:041C43000003000199 -:041C44000001729C8D -:041C4500000161F049 -:041C46000000000199 -:041C4700000175081B -:041C4800001F000079 -:041C49000001304224 -:041C4A000001620033 -:041C4B000000000194 -:041C4C000001750915 -:041C4D0000FF000094 -:041C4E0000012FFE64 -:041C4F00000162101E -:041C5000000000018F -:041C51000001750A0F -:041C520000C80A00BC -:041C530000012FFE5F -:041C54000001622009 -:041C5500000000018A -:041C56000001750D07 -:041C57000005000084 -:041C580000012FE870 -:041C590000016230F4 -:041C5A000000000185 -:041C5B000001750E01 -:041C5C00000500007F -:041C5D0000012FE86B -:041C5E00000162A07F -:041C5F000000000081 -:041C60000001750CFE -:041C61000005000179 -:041C6200000172AC5F -:041C6300000162AC6E -:041C6400000000007C -:041C650000017507FE -:041C66000001000178 -:041C67000001738085 -:041C6800000162BC59 -:041C69000000000176 -:041C6A0000017515EB -:041C6B0000FF000076 -:041C6C0000012FAE96 -:041C6D00000162C848 -:041C6E000000000171 -:041C6F0000017516E5 -:041C700000FF000071 -:041C710000012FAE91 -:041C7200000162D437 -:041C7300000000016C -:041C740000017517DF -:041C750000FF00006C -:041C760000012FAE8C -:041C7700000162E026 -:041C78000000000167 -:041C790000017518D9 -:041C7A0000FF000067 -:041C7B0000012FAE87 -:041C7C00000162EC15 -:041C7D000000000162 -:041C7E0000017519D3 -:041C7F0000FF000062 -:041C800000012FAE82 -:041C8100000162F804 -:041C8200000000015D -:041C83000001751ACD -:041C840000FF00005D -:041C850000012FAE7D -:041C860000016304F2 -:041C87000000000158 -:041C88000001751BC7 -:041C8900000F000048 -:041C8A0000012FAE78 -:041C8B00000173A43D -:041C8C000000000054 +:041AD800000000000A +:041AD9000000000009 +:041ADA000000000008 +:041ADB000000000007 +:041ADC000000000006 +:041ADD000000000005 +:041ADE000000000004 +:041ADF000000000003 +:041AE0000000000002 +:041AE1000000000001 +:041AE2000000000000 +:041AE30000000000FF +:041AE40000000000FE +:041AE50000000000FD +:041AE60000000000FC +:041AE70000000000FB +:041AE80000000000FA +:041AE90000000000F9 +:041AEA0000000000F8 +:041AEB0000000000F7 +:041AEC0000000000F6 +:041AED0000000000F5 +:041AEE0000000000F4 +:041AEF0000000000F3 +:041AF00000000000F2 +:041AF10000000000F1 +:041AF20000000000F0 +:041AF30000000000EF +:041AF40000000000EE +:041AF50000000000ED +:041AF60000000000EC +:041AF7000001017475 +:041AF800008000006A +:041AF90001000000E8 +:041AFA0000020100E5 +:041AFB000080000067 +:041AFC0000000001E5 +:041AFD000000008065 +:041AFE0000010000E3 +:041AFF0000000100E2 +:041B000000000000E1 +:041B0100020E0409C3 +:041B02003D0E00C8CC +:041B03003F6E0383AB +:041B04003ED03DACE6 +:041B05000000038356 +:041B0600026404B2BF +:041B07003C9300E922 +:041B08003F5604162A +:041B09003E9F3D4975 +:041B0A0000000416BD +:041B0B00017804E574 +:041B0C003CCE00814A +:041B0D003FAE038361 +:041B0E003F333D49DB +:041B0F00000003834C +:041B100001B405B85F +:041B11003C490093B8 +:041B12003F9F0416D7 +:041B13003F103CD96A +:041B140000000416B3 +:041B15000001640C5B +:041B16000001641056 +:041B17000001642045 +:041B1800000159FC73 +:041B190000015A0C61 +:041B1A0000015A1854 +:041B1B0000015A2447 +:041B1C0000015A303A +:041B1D0000015A3C2D +:041B1E0000015A4820 +:041B1F0000015A5413 +:041B200000015A6006 +:041B210000015A6CF9 +:041B220000015A78EC +:041B2300000165A0B8 +:041B2400000165ACAB +:041B2500000165BC9A +:041B2600000165CC89 +:041B2700000165DC78 +:041B2800000165A0B3 +:041B2900000165ACA6 +:041B2A00000165BC95 +:041B2B00000165CC84 +:041B2C000001657CD3 +:041B2D0000016588C6 +:041B2E0000016594B9 +:041B2F000001640C41 +:041B30000001643C10 +:041B3100000164400B +:041B32000001644406 +:041B3300000163AC9E +:041B340000000002AB +:041B35000001750C2A +:041B36000AF0012C84 +:041B370000011E1873 +:041B3800000163BC89 +:041B390000000002A6 +:041B3A000001758CA5 +:041B3B0000FF000A9D +:041B3C0000011E186E +:041B3D00000163C878 +:041B3E0000000002A1 +:041B3F00000174C865 +:041B400000FF0001A1 +:041B410000011E1869 +:041B4200000163D863 +:041B4300000000029C +:041B4400000174FE2A +:041B4500078000C84D +:041B460000011E1864 +:041B4700000163E452 +:041B48000000000297 +:041B4900000174F62D +:041B4A00000700018F +:041B4B0000011E185F +:041B4C00000163F041 +:041B4D000000000292 +:041B4E000001758697 +:041B4F00003F000152 +:041B500000011E185A +:041B5100000164002B +:041B5200000000028D +:041B5300000174FA1F +:041B540004B000A039 +:041B550000011E1855 +:041B5600000160C862 +:041B5700000000008A +:041B5800000175B65D +:041B59000001000186 +:041B5A00000173E42F +:041B5B00000160D84D +:041B5C000000000085 +:041B5D00000175B757 +:041B5E000001000181 +:041B5F00000173EC22 +:041B6000000160E838 +:041B6100000000017F +:041B6200000175B851 +:041B63000018000066 +:041B6400000130CE7E +:041B6500000160F823 +:041B6600000000007B +:041B6700000175B351 +:041B68000001000177 +:041B6900000173EC18 +:041B6A00000161080D +:041B6B000000000076 +:041B6C00000175B44B +:041B6D000001000172 +:041B6E00000173EC13 +:041B6F0000015F40D2 +:041B7000000000036E +:041B71000001747883 +:041B7200000000006F +:041B7300000000006E +:041B740000015F54B9 +:041B75000000000369 +:041B76000001746096 +:041B7700000000006A +:041B78000000000069 +:041B790000015F68A0 +:041B7A000000000364 +:041B7B000001747081 +:041B7C000000000065 +:041B7D000000000064 +:041B7E0000015F7C87 +:041B7F00000000035F +:041B8000000174509C +:041B81000000000060 +:041B8200000000005F +:041B830000015F906E +:041B8400000000035A +:041B8500000174588F +:041B8600000000005B +:041B8700000000005A +:041B880000015FA455 +:041B89000000000355 +:041B8A0000017440A2 +:041B8B000000000056 +:041B8C000000000055 +:041B8D0000015FB83C +:041B8E000000000350 +:041B8F0000017438A5 +:041B90000000000051 +:041B91000000000050 +:041B920000015FCC23 +:041B9300000000034B +:041B94000001746870 +:041B9500000000004C +:041B9600000000004B +:041B9700000161C820 +:041B98000000000049 +:041B99000001759C36 +:041B9A000004000142 +:041B9B00000172E8EB +:041B9C00000161D80B +:041B9D000000000044 +:041B9E000001759D30 +:041B9F00000300013E +:041BA000000172FCD2 +:041BA100000161E4FA +:041BA200000000003F +:041BA3000001759E2A +:041BA4000003000139 +:041BA5000001730CBC +:041BA600000161F4E5 +:041BA700000000003A +:041BA8000001759F24 +:041BA9000001000136 +:041BAA00000173FCC7 +:041BAB0000016204CF +:041BAC000000000035 +:041BAD00000175A01E +:041BAE000001000131 +:041BAF00000173F4CA +:041BB00000016214BA +:041BB1000000000030 +:041BB2000001759722 +:041BB300000300012A +:041BB40000016C8C34 +:041BB50000016220A9 +:041BB600000000002B +:041BB700000175981C +:041BB8000004000124 +:041BB90000016C9C1F +:041BBA000001622C98 +:041BBB000000000026 +:041BBC000001759916 +:041BBD000003000120 +:041BBE0000016C8C2A +:041BBF000001623887 +:041BC0000000000021 +:041BC1000001759A10 +:041BC200000300011B +:041BC30000016C8C25 +:041BC4000001624476 +:041BC500000000001C +:041BC6000001759B0A +:041BC7000002000117 +:041BC80000016CB0FC +:041BC9000001625461 +:041BCA000000000017 +:041BCB00000175A1FF +:041BCC000001000113 +:041BCD00000173DCC4 +:041BCE00000162644C +:041BCF000000000012 +:041BD000000175A5F6 +:041BD100000100010E +:041BD2000001741C7E +:041BD3000001626C3F +:041BD400000000000D +:041BD500000175A6F0 +:041BD6000001000109 +:041BD700000173ECAA +:041BD8000001611C8B +:041BD9000000000008 +:041BDA000001759001 +:041BDB000002000103 +:041BDC000001732869 +:041BDD00000161287A +:041BDE000000000102 +:041BDF0000017594F8 +:041BE000000F0000F2 +:041BE100000130A827 +:041BE2000001613865 +:041BE30000000001FD +:041BE40000017592F5 +:041BE500001C0000E0 +:041BE6000001308446 +:041BE7000001614850 +:041BE80000000000F9 +:041BE90000017593EF +:041BEA0000010001F5 +:041BEB00000174146D +:041BEC00000161583B +:041BED0000000000F4 +:041BEE0000017591EC +:041BEF0000020001EF +:041BF0000001733449 +:041BF1000001616826 +:041BF20000000000EF +:041BF30000017595E3 +:041BF40000010001EB +:041BF5000001740C6B +:041BF6000001617C0D +:041BF70000000001E9 +:041BF800000175A2D1 +:041BF90000FF0000E9 +:041BFA000001306E48 +:041BFB000001618CF8 +:041BFC0000000001E4 +:041BFD00000175A3CB +:041BFE00003F0000A4 +:041BFF000001306E43 +:041C00000001619CE2 +:041C010000000001DE +:041C0200000175A4C4 +:041C0300000F0000CE +:041C040000012FB6F6 +:041C0500000161ACCD +:041C060000000001D9 +:041C0700000175B5AE +:041C0800001F0000B9 +:041C090000012FB6F1 +:041C0A00000161B8BC +:041C0B0000000004D1 +:041C0C0000011FFEB6 +:041C0D0000015EF084 +:041C0E0000000000D2 +:041C0F00000162DC92 +:041C100000000001CF +:041C1100000175A8B1 +:041C1200001F0001AE +:041C130000012FCCD1 +:041C1400000162EC7D +:041C150000000000CB +:041C1600000175A7AD +:041C170000020001C6 +:041C18000001731C38 +:041C1900000162FC68 +:041C1A0000000000C6 +:041C1B00000175A9A6 +:041C1C0000010001C2 +:041C1D00000173EC63 +:041C1E00000163104E +:041C1F0000000000C1 +:041C2000000175AAA0 +:041C210000010001BD +:041C2200000173EC5E +:041C23000001632435 +:041C240000000003B9 +:041C25000001743016 +:041C260000016698BB +:041C270000011DB6E5 +:041C280000015FE078 +:041C290000000004B3 +:041C2A0000011D0692 +:041C2B0000015F1441 +:041C2C0000000000B4 +:041C2D0000015FF063 +:041C2E0000000004AE +:041C2F0000011D682B +:041C300000015F143C +:041C310000000000AF +:041C3200000160004D +:041C330000000004A9 +:041C34000001261471 +:041C350000000000AB +:041C360000000000AA +:041C37000001601434 +:041C380000000001A7 +:041C3900000175C071 +:041C3A00000A01019A +:041C3B000001311E55 +:041C3C00000160281B +:041C3D0000000000A3 +:041C3E00000175220A +:041C3F00000100019F +:041C4000000173EC40 +:041C41000001603C02 +:041C4200000000009E +:041C4300000174CC5C +:041C4400000A000191 +:041C450000016C60CE +:041C46000001604CED +:041C47000000000099 +:041C48000001758B97 +:041C49000002000194 +:041C4A0000016C54D5 +:041C4B0000016060D4 +:041C4C000000000094 +:041C4D00000174FC22 +:041C4E000001000190 +:041C4F000001740418 +:041C500000016070BF +:041C5100000000008F +:041C5200000173DB3F +:041C5300000100018B +:041C54000001740413 +:041C550000016080AA +:041C5600000000008A +:041C5700000174CE46 +:041C58000001000186 +:041C5900000174040E +:041C5A000001609095 +:041C5B000000000085 +:041C5C000001750A04 +:041C5D00000300017F +:041C5E0000016CBC59 +:041C5F00000160A080 +:041C6000000000047C +:041C61000001378ABD +:041C6200000000007E +:041C6300000000007D +:041C6400000160B467 +:041C65000000000477 +:041C660000012BD07E +:041C67000000000079 +:041C68000000000078 +:041C6900000162789C +:041C6A000000000076 +:041C6B00000175AF50 +:041C6C000003000170 +:041C6D0000017340BF +:041C6E000001628887 +:041C6F000000000170 +:041C7000000175AC4E +:041C7100001F000050 +:041C72000001304AF3 +:041C73000001629872 +:041C7400000000016B +:041C7500000175AD48 +:041C760000FF00006B +:041C77000001300632 +:041C7800000162A85D +:041C79000000000166 +:041C7A00000175AE42 +:041C7B0000C80A0093 +:041C7C00000130062D +:041C7D00000162B848 +:041C7E000000000161 +:041C7F00000175B13A +:041C8000000500005B +:041C810000012FF03F +:041C8200000162C833 +:041C8300000000015C +:041C8400000175B234 +:041C85000005000056 +:041C860000012FF03A +:041C870000016338BD +:041C88000000000058 +:041C8900000175B031 +:041C8A000005000150 +:041C8B000001735091 +:041C8C0000016344AC :041C8D000000000053 -:041C8E000000000052 -:041C8F000000000051 -:041C90000000000050 -:041C91000001646882 -:041C92000001648465 -:041C9300000164CC1C -:041C9400000164D413 -:041C9500000164DC0A -:041C9600000164687D -:041C97000001648460 -:041C98000001648C57 -:041C99000001649C46 -:041C9A000001646879 -:041C9B00000164746C -:041C9C00000164AC33 -:041C9D00000164BC22 -:041C9E000001644895 -:041C9F000001657C5F -:041CA0000001658852 -:041CA1000001637467 -:041CA2000001644891 -:041CA3000001645088 -:041CA40000016408CF -:041CA50000016414C2 -:041CA60000016420B5 -:041CA700000165547F -:041CA800000165646E -:041CA9000001657061 -:041CAA00000163745E -:041CAB000001644888 -:041CAC00000163745C -:041CAD00000165B01D -:041CAE00000165C00C -:041CAF00000165D0FB -:041CB000000165E0EA -:041CB100000000002F -:041CB200000000002E -:041CB300000000002D -:041CB400000000002C +:041C8E00000175AB31 +:041C8F00000100014F +:041C900000017424B7 +:041C91000001635497 +:041C9200000000014D +:041C9300000175B91E +:041C940000FF00004D +:041C950000012FB665 +:041C96000001636086 +:041C97000000000148 +:041C9800000175BA18 +:041C990000FF000048 +:041C9A0000012FB660 +:041C9B000001636C75 +:041C9C000000000143 +:041C9D00000175BB12 +:041C9E0000FF000043 +:041C9F0000012FB65B +:041CA0000001637864 +:041CA100000000013E +:041CA200000175BC0C +:041CA30000FF00003E +:041CA40000012FB656 +:041CA5000001638453 +:041CA6000000000139 +:041CA700000175BD06 +:041CA80000FF000039 +:041CA90000012FB651 +:041CAA000001639042 +:041CAB000000000134 +:041CAC00000175BE00 +:041CAD0000FF000034 +:041CAE0000012FB64C +:041CAF000001639C31 +:041CB000000000012F +:041CB100000175BFFA +:041CB200000F00001F +:041CB30000012FB647 +:041CB400000174486F :041CB500000000002B :041CB600000000002A :041CB7000000000029 :041CB8000000000028 :041CB9000000000027 -:041CBA000000000026 -:041CBB000000000025 -:041CBC000000000024 -:041CBD000000000023 -:041CBE000000000022 -:041CBF000000000021 -:041CC0000000000020 -:041CC100000000001F -:041CC200000000001E -:041CC300000000001D -:041CC400000000001C -:041CC500000000001B -:041CC600000000001A -:041CC7000000000019 -:041CC8000000000018 -:041CC900000157FCC3 -:041CCA00000173287A -:041CCB000001732879 -:041CCC000010801074 -:041CCD000100800092 -:041CCE00000165109C -:041CCF000001646448 -:041CD000000163D4D8 -:041CD100000163E8C3 -:041CD2000001637436 -:041CD300000165ECBB -:041CD400000164683F -:041CD5000001647432 -:041CD600000164683D -:041CD7000001648420 -:041CD8000001639410 -:041CD9000001639C07 -:041CDA00000163FCA6 -:041CDB0000016400A0 -:041CDC000001642C73 -:041CDD000001643C62 -:041CDE000001645845 -:041CDF00000164603C -:041CE0000001659802 -:041CE100000165A4F5 -:041CE200000172C4C7 -:041CE30000000007F6 -:041CE40000016C2867 -:041CE50000000003F8 -:041CE60000016CB4D9 -:041CE70000000002F7 -:041CE80000016CF09B -:041CE90000000008EF -:041CEA0000016D1870 -:041CEB000000000DE8 -:041CEC0000016DB8CE -:041CED000000000BE8 -:041CEE0000016EBCC7 -:041CEF0000000005EC -:041CF00000016F98E8 -:041CF1000000000DE2 -:041CF20000016FFC82 -:041CF30000000006E7 -:041CF400000171007A -:041CF50000000009E2 -:041CF6000001717800 -:041CF700C896554BEB +:041CBA0000016500C0 +:041CBB000001651CA3 +:041CBC00000165645A +:041CBD000001656C51 +:041CBE000001657448 +:041CBF0000016500BB +:041CC0000001651C9E +:041CC1000001652495 +:041CC2000001653484 +:041CC30000016500B7 +:041CC4000001650CAA +:041CC5000001654471 +:041CC6000001655460 +:041CC700000164E0D4 +:041CC800000166149D +:041CC9000001662090 +:041CCA000001640CA5 +:041CCB00000164E0D0 +:041CCC00000164E8C7 +:041CCD00000164A00E +:041CCE00000164AC01 +:041CCF00000164B8F4 +:041CD000000165ECBE +:041CD100000165FCAD +:041CD200000166089F +:041CD3000001640C9C +:041CD400000164E0C7 +:041CD5000001640C9A +:041CD600000166485B +:041CD700000166584A +:041CD8000001666839 +:041CD9000001667828 +:041CDA000000000006 +:041CDB000000000005 +:041CDC000000000004 +:041CDD000000000003 +:041CDE000000000002 +:041CDF000000000001 +:041CE0000000000000 +:041CE10000000000FF +:041CE20000000000FE +:041CE30000000000FD +:041CE40000000000FC +:041CE50000000000FB +:041CE60000000000FA +:041CE70000000000F9 +:041CE80000000000F8 +:041CE90000000000F7 +:041CEA0000000000F6 +:041CEB0000000000F5 +:041CEC0000000000F4 +:041CED0000000000F3 +:041CEE0000000000F2 +:041CEF0000000000F1 +:041CF00000000000F0 +:041CF10000000000EF +:041CF200000158860F +:041CF300000173CCAD +:041CF400000173CCAC +:041CF500001080104B +:041CF6000100800069 +:041CF700000165A8DB +:041CF800000164FC87 +:041CF9000001646C16 +:041CFA000001648001 +:041CFB000001640C74 +:041CFC0000016684F9 +:041CFD00000165007D +:041CFE000001650C70 +:041CFF00000165007B +:041D00000001651C5D +:041D01000001642C4D +:041D02000001643444 +:041D030000016494E3 +:041D040000016498DE +:041D0500000164C4B1 +:041D0600000164D4A0 +:041D0700000164F083 +:041D0800000164F87A +:041D0900000166303F +:041D0A000001663C32 +:041D0B0000017368F8 +:041D0C0000000007CC +:041D0D0000016CCC99 +:041D0E0000000003CE +:041D0F0000016D580A +:041D100000000002CD +:041D110000016D94CC +:041D120000000008C5 +:041D130000016DBCA2 +:041D14000000000DBE +:041D150000016E5CFF +:041D16000000000BBE +:041D170000016F60F8 +:041D180000000005C2 +:041D19000001703C19 +:041D1A000000000DB8 +:041D1B00000170A0B3 +:041D1C0000000006BD +:041D1D00000171A4AC +:041D1E0000000009B8 +:041D1F000001721C31 +:041D2000C896554BC1 :00000001FF diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 33839a7..496ac21 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -548,7 +548,7 @@ void program_mode() int load_profile() { int retval; - retval = read_userdata(profile_sel_menu); + retval = read_userdata(profile_sel_menu, 0); if (retval == 0) { profile_sel = profile_sel_menu; @@ -681,8 +681,8 @@ int init_hw() memcpy(rc_keymap, rc_keymap_default, sizeof(rc_keymap)); // Load initconfig and profile - read_userdata(INIT_CONFIG_SLOT); - read_userdata(profile_sel); + read_userdata(INIT_CONFIG_SLOT, 0); + read_userdata(profile_sel, 0); // Setup remote keymap if (!(IORD_ALTERA_AVALON_PIO_DATA(PIO_1_BASE) & PB1_BIT)) @@ -882,7 +882,7 @@ int main() // input->profile link is enabled if (profile_link && (profile_sel != input_profiles[target_input])) { profile_sel = input_profiles[target_input]; - read_userdata(profile_sel); + read_userdata(profile_sel, 0); } auto_input_changed = 0; diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index 352b9a5..4ae250c 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -43,6 +43,7 @@ extern alt_u32 remote_code; extern alt_u16 rc_keymap[REMOTE_MAX_KEYS]; extern alt_u8 vm_sel, profile_sel_menu, lt_sel, def_input, profile_link, lcd_bl_timeout; extern alt_u8 auto_input, auto_av1_ypbpr, auto_av2_ypbpr, auto_av3_ypbpr; +extern char target_profile_name[PROFILE_NAME_LEN+1]; alt_u8 menu_active; @@ -84,10 +85,11 @@ static void lt_disp(alt_u8 v) { strncpy(menu_row2, lt_desc[v], LCD_ROW_LEN+1); } static void aud_db_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%d dB", ((alt_8)v-AUDIO_GAIN_0DB)); } static void vm_display_name (alt_u8 v) { strncpy(menu_row2, video_modes[v].name, LCD_ROW_LEN+1); } static void link_av_desc (avinput_t v) { strncpy(menu_row2, v == AV_LAST ? "No link" : avinput_str[v], LCD_ROW_LEN+1); } +static void profile_disp(alt_u8 v) { read_userdata(v, 1); sniprintf(menu_row2, LCD_ROW_LEN+1, "%u: %s", v, (target_profile_name[0] == 0) ? "" : target_profile_name); } //static void coarse_gain_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%u.%u", ((v*10)+50)/100, (((v*10)+50)%100)/10); } static const arg_info_t vm_arg_info = {&vm_sel, VIDEO_MODES_CNT-1, vm_display_name}; -static const arg_info_t profile_arg_info = {&profile_sel_menu, MAX_PROFILE, value_disp}; +static const arg_info_t profile_arg_info = {&profile_sel_menu, MAX_PROFILE, profile_disp}; static const arg_info_t lt_arg_info = {<_sel, (sizeof(lt_desc)/sizeof(char*))-1, lt_disp}; diff --git a/software/sys_controller/ossc/userdata.c b/software/sys_controller/ossc/userdata.c index bf41926..e2f2fe9 100644 --- a/software/sys_controller/ossc/userdata.c +++ b/software/sys_controller/ossc/userdata.c @@ -42,6 +42,8 @@ extern alt_u8 auto_input, auto_av1_ypbpr, auto_av2_ypbpr, auto_av3_ypbpr; extern SD_DEV sdcard_dev; extern char menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; +char target_profile_name[PROFILE_NAME_LEN+1]; + int write_userdata(alt_u8 entry) { alt_u8 databuf[PAGESIZE]; @@ -85,6 +87,11 @@ int write_userdata(alt_u8 entry) ((ude_profile*)databuf)->avc_data_len = sizeof(avconfig_t); ((ude_profile*)databuf)->vm_data_len = vm_to_write; + if (target_profile_name[0] == 0) + sniprintf(target_profile_name, PROFILE_NAME_LEN+1, ""); + + strncpy(((ude_profile*)databuf)->name, target_profile_name, PROFILE_NAME_LEN+1); + pageoffset = offsetof(ude_profile, avc); // assume that sizeof(avconfig_t) << PAGESIZE @@ -110,7 +117,7 @@ int write_userdata(alt_u8 entry) return 0; } -int read_userdata(alt_u8 entry) +int read_userdata(alt_u8 entry, int dry_run) { int retval, i; alt_u8 databuf[PAGESIZE]; @@ -118,6 +125,8 @@ int read_userdata(alt_u8 entry) alt_u16 pageoffset, dstoffset; alt_u8 pageno; + target_profile_name[0] = 0; + if (entry > MAX_USERDATA_ENTRY) { printf("invalid entry\n"); return -1; @@ -142,6 +151,9 @@ int read_userdata(alt_u8 entry) switch (((ude_hdr*)databuf)->type) { case UDE_INITCFG: if (((ude_initcfg*)databuf)->data_len == sizeof(ude_initcfg) - offsetof(ude_initcfg, last_profile)) { + if (dry_run) + return 0; + for (i = 0; i < sizeof(input_profiles)/sizeof(*input_profiles); ++i) if (((ude_initcfg*)databuf)->last_profile[i] <= MAX_PROFILE) input_profiles[i] = ((ude_initcfg*)databuf)->last_profile[i]; @@ -163,6 +175,10 @@ int read_userdata(alt_u8 entry) break; case UDE_PROFILE: if ((((ude_profile*)databuf)->avc_data_len == sizeof(avconfig_t)) && (((ude_profile*)databuf)->vm_data_len == VIDEO_MODES_SIZE)) { + strncpy(target_profile_name, ((ude_profile*)databuf)->name, PROFILE_NAME_LEN+1); + if (dry_run) + return 0; + vm_to_read = ((ude_profile*)databuf)->vm_data_len; pageno = 0; @@ -204,6 +220,7 @@ int read_userdata(alt_u8 entry) int import_userdata() { int retval; + int n, entries_imported=0; char *errmsg; alt_u8 databuf[SD_BLK_SIZE]; ude_hdr header; @@ -231,12 +248,8 @@ int import_userdata() usleep(WAITLOOP_SLEEP_US); } - strncpy(menu_row1, "Loading settings", LCD_ROW_LEN+1); - strncpy(menu_row2, "please wait...", LCD_ROW_LEN+1); - lcd_write_menu(); - // Import the userdata - for (int n=0; n<=MAX_USERDATA_ENTRY; ++n) { + for (n=0; n<=MAX_USERDATA_ENTRY; ++n) { retval = SD_Read(&sdcard_dev, &header, (512+n*SECTORSIZE)/SD_BLK_SIZE, 0, sizeof(header)); if (retval != 0) { printf("Failed to read SD card\n"); @@ -266,13 +279,20 @@ int import_userdata() printf("Copy from SD to flash failed (error %d)\n", retval); goto failure; } + + entries_imported++; } SPI_CS_High(); - read_userdata(INIT_CONFIG_SLOT); + read_userdata(INIT_CONFIG_SLOT, 0); profile_sel = input_profiles[target_input]; - read_userdata(profile_sel); + read_userdata(profile_sel, 0); + + sniprintf(menu_row1, LCD_ROW_LEN+1, "%d entries", entries_imported); + strncpy(menu_row2, "imported", LCD_ROW_LEN+1); + lcd_write_menu(); + usleep(1000000); return 0; diff --git a/software/sys_controller/ossc/userdata.h b/software/sys_controller/ossc/userdata.h index f565a68..ede1a44 100644 --- a/software/sys_controller/ossc/userdata.h +++ b/software/sys_controller/ossc/userdata.h @@ -28,6 +28,8 @@ #include "video_modes.h" #include "flash.h" +#define PROFILE_NAME_LEN 12 + #define MAX_PROFILE (MAX_USERDATA_ENTRY-1) #define INIT_CONFIG_SLOT MAX_USERDATA_ENTRY @@ -62,6 +64,7 @@ typedef struct { typedef struct { ude_hdr hdr; + char name[PROFILE_NAME_LEN+1]; alt_u16 avc_data_len; alt_u16 vm_data_len; avconfig_t avc; @@ -69,7 +72,7 @@ typedef struct { } __attribute__((packed, __may_alias__)) ude_profile; int write_userdata(alt_u8 entry); -int read_userdata(alt_u8 entry); +int read_userdata(alt_u8 entry, int dry_run); int import_userdata(); #endif