diff --git a/ossc.qsf b/ossc.qsf index 8ff87f9..51963a5 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -225,7 +225,7 @@ set_global_assignment -name USE_SIGNALTAP_FILE output_files/ossc_la.stp set_global_assignment -name FITTER_EFFORT "AUTO FIT" -set_global_assignment -name SEED 2 +set_global_assignment -name SEED 5 diff --git a/rtl/scanconverter.v b/rtl/scanconverter.v index a1b0d1e..bc2dc81 100644 --- a/rtl/scanconverter.v +++ b/rtl/scanconverter.v @@ -42,6 +42,9 @@ `define SCANLINES_V 2'h2 `define SCANLINES_ALT 2'h3 +`define SCANLINES_CONTRAST_LOW 2'h1 +`define SCANLINES_CONTRAST_HIGH 2'h2 + `define VSYNCGEN_LEN 6 `define VSYNCGEN_GENMID_BIT 0 `define VSYNCGEN_CHOPMID_BIT 1 @@ -100,6 +103,8 @@ reg [7:0] R_in_L, G_in_L, B_in_L, R_in_LL, G_in_LL, B_in_LL, R_1x, G_1x, B_1x; reg [7:0] R_pp3, G_pp3, B_pp3, R_pp4, G_pp4, B_pp4, R_pp5, G_pp5, B_pp5, R_pp6, G_pp6, B_pp6; reg [7:0] R_prev_pp2, G_prev_pp2, B_prev_pp2, R_prev_pp3, G_prev_pp3, B_prev_pp3, R_prev_pp4, G_prev_pp4, B_prev_pp4; reg signed [14:0] R_diff_pp3, G_diff_pp3, B_diff_pp3, R_diff_pp4, G_diff_pp4, B_diff_pp4; +reg [7:0] R_sl_contrast_pp4, G_sl_contrast_pp4, B_sl_contrast_pp4, R_scanline_str_pp5, G_scanline_str_pp5, B_scanline_str_pp5; + //H+V syncs + data enable signals®isters wire HSYNC_act, VSYNC_act, DE_act; @@ -163,8 +168,10 @@ reg [9:0] H_L5BORDER; reg [3:0] X_MASK_BR; reg [7:0] X_SCANLINESTR; reg [5:0] X_REV_LPF_STR; +reg [1:0] H_SL_CONTRAST; reg X_REV_LPF_ENABLE; + //clk27 related registers reg VSYNC_in_cc_L, VSYNC_in_cc_LL, VSYNC_in_cc_LLL; reg [21:0] clk27_ctr; // min. 6.5Hz @@ -175,6 +182,20 @@ assign pclk_1x = PCLK_in; assign PCLK_out = pclk_act; assign pclk_lock = {pclk_2x_lock, pclk_3x_lock}; +//Scanline contrast. Bright pixels decrease scanline strength. +function [7:0] apply_scanline_strength; + input [7:0] str; + input [7:0] data; + input [1:0] contrast; + + begin + if (contrast) + apply_scanline_strength = (str > data) ? (str - data) : 8'h00; + else + apply_scanline_strength = str; + end + endfunction + //Scanline generation function [7:0] apply_scanlines; input [1:0] mode; @@ -184,6 +205,7 @@ function [7:0] apply_scanlines; input [2:0] line_id; input [2:0] col_id; input fid; + begin if ((mode == `SCANLINES_H) && (mask & (5'h1< str) ? (data-str) : 8'h00; @@ -492,6 +514,10 @@ begin R_diff_pp4 <= (R_diff_pp3 * X_REV_LPF_STR); G_diff_pp4 <= (G_diff_pp3 * X_REV_LPF_STR); B_diff_pp4 <= (B_diff_pp3 * X_REV_LPF_STR); + // Scanline contrast Low (62%) and High (87%) setting. + R_sl_contrast_pp4 <= (H_SL_CONTRAST == `SCANLINES_CONTRAST_HIGH) ? (R_pp3 - (R_pp3 >> 3)) : ((R_pp3 >> 1) + (R_pp3 >> 3)); + G_sl_contrast_pp4 <= (H_SL_CONTRAST == `SCANLINES_CONTRAST_HIGH) ? (G_pp3 - (G_pp3 >> 3)) : ((G_pp3 >> 1) + (G_pp3 >> 3)); + B_sl_contrast_pp4 <= (H_SL_CONTRAST == `SCANLINES_CONTRAST_HIGH) ? (B_pp3 - (B_pp3 >> 3)) : ((B_pp3 >> 1) + (B_pp3 >> 3)); R_pp5 <= apply_reverse_lpf(X_REV_LPF_ENABLE, R_pp4, R_prev_pp4, R_diff_pp4); G_pp5 <= apply_reverse_lpf(X_REV_LPF_ENABLE, G_pp4, G_prev_pp4, G_diff_pp4); @@ -503,10 +529,13 @@ begin col_id_pp5 <= col_id_pp4; border_enable_pp5 <= border_enable_pp4; lt_box_enable_pp5 <= lt_box_enable_pp4; + R_scanline_str_pp5 <= apply_scanline_strength(X_SCANLINESTR, R_sl_contrast_pp4, H_SL_CONTRAST); + G_scanline_str_pp5 <= apply_scanline_strength(X_SCANLINESTR, G_sl_contrast_pp4, H_SL_CONTRAST); + B_scanline_str_pp5 <= apply_scanline_strength(X_SCANLINESTR, B_sl_contrast_pp4, H_SL_CONTRAST); - R_pp6 <= apply_scanlines(V_SCANLINEMODE, R_pp5, X_SCANLINESTR, V_SCANLINEID, line_id_pp5, col_id_pp5, FID_1x); - G_pp6 <= apply_scanlines(V_SCANLINEMODE, G_pp5, X_SCANLINESTR, V_SCANLINEID, line_id_pp5, col_id_pp5, FID_1x); - B_pp6 <= apply_scanlines(V_SCANLINEMODE, B_pp5, X_SCANLINESTR, V_SCANLINEID, line_id_pp5, col_id_pp5, FID_1x); + R_pp6 <= apply_scanlines(V_SCANLINEMODE, R_pp5, R_scanline_str_pp5, V_SCANLINEID, line_id_pp5, col_id_pp5, FID_1x); + G_pp6 <= apply_scanlines(V_SCANLINEMODE, G_pp5, G_scanline_str_pp5, V_SCANLINEID, line_id_pp5, col_id_pp5, FID_1x); + B_pp6 <= apply_scanlines(V_SCANLINEMODE, B_pp5, B_scanline_str_pp5, V_SCANLINEID, line_id_pp5, col_id_pp5, FID_1x); HSYNC_pp6 <= HSYNC_pp5; VSYNC_pp6 <= VSYNC_pp5; DE_pp6 <= DE_pp5; @@ -688,6 +717,8 @@ begin H_OPT_SAMPLE_MULT <= h_info2[12:10]; H_OPT_STARTOFF <= h_info2[9:0]; + H_SL_CONTRAST <= extra_info[14:13]; + X_REV_LPF_ENABLE <= (extra_info[12:8] != 5'b00000); X_REV_LPF_STR <= (extra_info[12:8] + 6'd16); diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 7d87cf3..8cb651b 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex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diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 68c2f11..a642a40 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -307,7 +307,8 @@ status_t get_status(tvp_input_t input, video_format format) (tc.v_mask != cm.cc.v_mask) || (tc.mask_br != cm.cc.mask_br) || (tc.ar_256col != cm.cc.ar_256col) || - (tc.reverse_lpf != cm.cc.reverse_lpf)) + (tc.reverse_lpf != cm.cc.reverse_lpf) || + (tc.sl_contrast != cm.cc.sl_contrast)) status = (status < INFO_CHANGE) ? INFO_CHANGE : status; if (tc.sampler_phase != cm.cc.sampler_phase) { @@ -365,8 +366,8 @@ status_t get_status(tvp_input_t input, video_format format) // v_info: [31:29] [28:27] [26] [25:20] [19:17] [16:11] [10:0] // | V_MULTMODE[2:0] | V_SCANLINEMODE[1:0] | V_SCANLINEID | V_MASK[5:0] | V_SYNCLEN[2:0] | V_BACKPORCH[5:0] | V_ACTIVE[10:0] | // -// extra: [31:13] [12:8] [7:4] [3:0] -// | | X_REV_LPF_STR | H_MASK_BR[3:0] | H_SCANLINESTR[3:0] | +// extra: [31:15] [14:13] [12:8] [7:4] [3:0] +// | | H_SL_CONTRAST | X_REV_LPF_STR | H_MASK_BR[3:0] | H_SCANLINESTR[3:0] | // void set_videoinfo() { @@ -461,7 +462,8 @@ void set_videoinfo() (video_modes[cm.id].v_synclen<<17) | (v_backporch<<11) | v_active); - IOWR_ALTERA_AVALON_PIO_DATA(PIO_6_BASE, (cm.cc.reverse_lpf<<8) | + IOWR_ALTERA_AVALON_PIO_DATA(PIO_6_BASE, (cm.cc.sl_contrast<<13) | + (cm.cc.reverse_lpf<<8) | (cm.cc.mask_br<<4) | cm.cc.sl_str); } diff --git a/software/sys_controller/ossc/avconfig.h b/software/sys_controller/ossc/avconfig.h index 1b68504..556aa76 100644 --- a/software/sys_controller/ossc/avconfig.h +++ b/software/sys_controller/ossc/avconfig.h @@ -79,6 +79,7 @@ typedef struct { alt_u8 full_tx_setup; alt_u8 vga_ilace_fix; alt_u8 reverse_lpf; + alt_u8 sl_contrast; #ifdef ENABLE_AUDIO alt_u8 audio_dw_sampl; alt_u8 audio_swap_lr; diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index 11e09b1..96d2077 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -64,6 +64,7 @@ static const char *sl_type_desc[] = { LNG("Horizontal","ヨコ"), LNG("Vertical" static const char *sl_id_desc[] = { LNG("Top","ウエ"), LNG("Bottom","シタ") }; static const char *audio_dw_sampl_desc[] = { LNG("Off (fs = 96kHz)","オフ (fs = 96kHz)"), "2x (fs = 48kHz)" }; static const char *lt_desc[] = { "Top-left", "Center", "Bottom-right" }; +static const char *sl_contrast_desc[] = { LNG("Off","オフ"), "Low", "High" }; static void sampler_phase_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, LNG("%d deg","%d ド"), (v*1125)/100); } static void sync_vth_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%d mV", (v*1127)/100); } @@ -141,6 +142,7 @@ MENU(menu_postproc, P99_PROTECT({ \ { LNG("Scanline str.","スキャンラインツヨサ"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sl_str, OPT_NOWRAP, 0, SCANLINESTR_MAX, sl_str_disp } } }, { LNG("Scanline type","スキャンラインルイ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.sl_type, OPT_WRAP, SETTING_ITEM(sl_type_desc) } } }, { LNG("Scanline alignm.","スキャンラインポジション"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.sl_id, OPT_WRAP, SETTING_ITEM(sl_id_desc) } } }, + { "ScanlineContrast", OPT_AVCONFIG_SELECTION, { .sel = { &tc.sl_contrast, OPT_WRAP, SETTING_ITEM(sl_contrast_desc) } } }, { LNG("Horizontal mask","スイヘイマスク"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.h_mask, OPT_NOWRAP, 0, HV_MASK_MAX, pixels_disp } } }, { LNG("Vertical mask","スイチョクマスク"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.v_mask, OPT_NOWRAP, 0, HV_MASK_MAX, pixels_disp } } }, { LNG("Mask brightness","マスクアカルサ"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.mask_br, OPT_NOWRAP, 0, HV_MASK_MAX_BR, value_disp } } },