diff --git a/ip/altera_epcq_controller_mod/altera_epcq_controller_hw.tcl b/ip/altera_epcq_controller_mod/altera_epcq_controller_hw.tcl index 1b33fd3..13a9cfe 100644 --- a/ip/altera_epcq_controller_mod/altera_epcq_controller_hw.tcl +++ b/ip/altera_epcq_controller_mod/altera_epcq_controller_hw.tcl @@ -34,7 +34,7 @@ package require -exact qsys 14.1 # set_module_property DESCRIPTION "This component is a serial flash controller which allows user to access Altera EPCQ devices" set_module_property NAME altera_epcq_controller_core -set_module_property VERSION 16.1 +set_module_property VERSION 19.1 set_module_property INTERNAL true set_module_property OPAQUE_ADDRESS_MAP true set_module_property AUTHOR "Altera Corporation" diff --git a/ip/altera_epcq_controller_mod/altera_epcq_controller_wrapper_hw.tcl b/ip/altera_epcq_controller_mod/altera_epcq_controller_wrapper_hw.tcl index 2b8a9d8..380f695 100644 --- a/ip/altera_epcq_controller_mod/altera_epcq_controller_wrapper_hw.tcl +++ b/ip/altera_epcq_controller_mod/altera_epcq_controller_wrapper_hw.tcl @@ -20,7 +20,7 @@ package require -exact altera_terp 1.0 # set_module_property DESCRIPTION "This component is a serial flash controller which allows user to access Altera EPCQ devices" set_module_property NAME altera_epcq_controller_mod -set_module_property VERSION 17.1 +set_module_property VERSION 19.1 set_module_property INTERNAL false set_module_property OPAQUE_ADDRESS_MAP true set_module_property GROUP "Basic Functions/Configuration and Programming" diff --git a/ip/altera_jtag_avalon_master_mod/altera_jtag_avalon_master_mod_hw.tcl b/ip/altera_jtag_avalon_master_mod/altera_jtag_avalon_master_mod_hw.tcl index 6fe19b6..4c483fe 100644 --- a/ip/altera_jtag_avalon_master_mod/altera_jtag_avalon_master_mod_hw.tcl +++ b/ip/altera_jtag_avalon_master_mod/altera_jtag_avalon_master_mod_hw.tcl @@ -5,7 +5,7 @@ package require -exact sopc 9.1 # | set_module_property NAME altera_jtag_avalon_master_mod set_module_property DESCRIPTION "The JTAG to Avalon Master Bridge is a collection of pre-wired components that provide an Avalon Master using the new JTAG channel." -set_module_property VERSION "17.1" +set_module_property VERSION "19.1" set_module_property GROUP "Basic Functions/Bridges and Adaptors/Memory Mapped" set_module_property AUTHOR "Altera Corporation" set_module_property DISPLAY_NAME "JTAG to Avalon Master Bridge (customized)" diff --git a/ip/osd_generator/inc/osd_generator_regs.h b/ip/osd_generator/inc/osd_generator_regs.h index 17354d5..1701c78 100644 --- a/ip/osd_generator/inc/osd_generator_regs.h +++ b/ip/osd_generator/inc/osd_generator_regs.h @@ -1,5 +1,5 @@ // -// Copyright (C) 2019 Markus Hiienkari +// Copyright (C) 2019-2020 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -20,32 +20,42 @@ #ifndef OSD_GENERATOR_REGS_H_ #define OSD_GENERATOR_REGS_H_ -#include +#define OSD_CHAR_ROWS 30 +#define OSD_CHAR_COLS 16 +#define OSD_CHAR_SECTIONS 2 + +#include typedef union { struct { - alt_u8 enable:1; - alt_u8 status_refresh:1; - alt_u8 menu_active:1; - alt_u8 status_timeout:2; - alt_u8 x_offset:3; - alt_u8 y_offset:3; - alt_u8 x_size:2; - alt_u8 y_size:2; - alt_u32 osd_rsv:17; + uint8_t enable:1; + uint8_t status_refresh:1; + uint8_t menu_active:1; + uint8_t status_timeout:2; + uint8_t x_offset:3; + uint8_t y_offset:3; + uint8_t x_size:2; + uint8_t y_size:2; + uint8_t border_color:2; + uint32_t osd_rsv:15; } __attribute__((packed, __may_alias__)); - alt_u32 data; + uint32_t data; } osd_config_reg; // char regs typedef struct { - char row1[16]; - char row2[16]; -} osd_char_regs; + char data[OSD_CHAR_ROWS][OSD_CHAR_SECTIONS][OSD_CHAR_COLS]; +} osd_char_array; typedef struct { + uint32_t mask; +} osd_enable_color_reg; + +typedef struct { + osd_char_array osd_array; osd_config_reg osd_config; - osd_char_regs osd_chars; + osd_enable_color_reg osd_sec_enable[OSD_CHAR_SECTIONS]; + osd_enable_color_reg osd_row_color; } __attribute__((packed, __may_alias__)) osd_regs; #endif //OSD_GENERATOR_REGS_H_ diff --git a/ip/osd_generator/osd_generator_hw.tcl b/ip/osd_generator/osd_generator_hw.tcl index 7ec49f3..10d37a8 100644 --- a/ip/osd_generator/osd_generator_hw.tcl +++ b/ip/osd_generator/osd_generator_hw.tcl @@ -23,11 +23,11 @@ set_module_property REPORT_HIERARCHY false # # parameters # -add_parameter USE_MEMORY_BLOCKS INTEGER 1 -set_parameter_property USE_MEMORY_BLOCKS DISPLAY_NAME "Use memory blocks for character array" -set_parameter_property USE_MEMORY_BLOCKS DISPLAY_HINT boolean -set_parameter_property USE_MEMORY_BLOCKS UNITS None -set_parameter_property USE_MEMORY_BLOCKS HDL_PARAMETER true +#add_parameter USE_MEMORY_BLOCKS INTEGER 1 +#set_parameter_property USE_MEMORY_BLOCKS DISPLAY_NAME "Use memory blocks for character array" +#set_parameter_property USE_MEMORY_BLOCKS DISPLAY_HINT boolean +#set_parameter_property USE_MEMORY_BLOCKS UNITS None +#set_parameter_property USE_MEMORY_BLOCKS HDL_PARAMETER true # # file sets @@ -109,7 +109,7 @@ set_interface_property avalon_s PORT_NAME_MAP "" set_interface_property avalon_s CMSIS_SVD_VARIABLES "" set_interface_property avalon_s SVD_ADDRESS_GROUP "" -add_interface_port avalon_s avalon_s_address address Input 4 +add_interface_port avalon_s avalon_s_address address Input 8 add_interface_port avalon_s avalon_s_writedata writedata Input 32 add_interface_port avalon_s avalon_s_readdata readdata Output 32 add_interface_port avalon_s avalon_s_byteenable byteenable Input 4 @@ -156,4 +156,4 @@ add_interface_port osd_if vclk vclk Input 1 add_interface_port osd_if xpos xpos Input 11 add_interface_port osd_if ypos ypos Input 11 add_interface_port osd_if osd_enable osd_enable Output 1 -add_interface_port osd_if osd_color osd_color Output 1 +add_interface_port osd_if osd_color osd_color Output 2 diff --git a/ip/osd_generator/osd_generator_sw.tcl b/ip/osd_generator/osd_generator_sw.tcl index 004e931..de982b7 100644 --- a/ip/osd_generator/osd_generator_sw.tcl +++ b/ip/osd_generator/osd_generator_sw.tcl @@ -18,7 +18,7 @@ set_sw_property version 1.0 # # Multiple-Version compatibility was introduced in version 7.1; # prior versions are therefore excluded. -set_sw_property min_compatible_hw_version 7.1 +set_sw_property min_compatible_hw_version 1.0 # Initialize the driver in alt_sys_init() set_sw_property auto_initialize false diff --git a/ip/osd_generator/osd_generator_top.sv b/ip/osd_generator/osd_generator_top.sv index 838d682..9516ad3 100644 --- a/ip/osd_generator/osd_generator_top.sv +++ b/ip/osd_generator/osd_generator_top.sv @@ -1,5 +1,5 @@ // -// Copyright (C) 2019 Markus Hiienkari +// Copyright (C) 2019-2020 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -17,16 +17,14 @@ // along with this program. If not, see . // -module osd_generator_top #( - parameter USE_MEMORY_BLOCKS = 0 -) ( +module osd_generator_top ( // common input clk_i, input rst_i, // avalon slave input [31:0] avalon_s_writedata, output [31:0] avalon_s_readdata, - input [3:0] avalon_s_address, + input [7:0] avalon_s_address, input [3:0] avalon_s_byteenable, input avalon_s_write, input avalon_s_read, @@ -37,21 +35,33 @@ module osd_generator_top #( input [10:0] xpos, input [10:0] ypos, output reg osd_enable, - output reg osd_color + output reg [1:0] osd_color ); -localparam CHAR_ROWS = 2; +localparam CHAR_ROWS = 30; localparam CHAR_COLS = 16; +localparam CHAR_SECTIONS = 2; +localparam CHAR_SEC_SEPARATOR = 2; -localparam OSD_CONFIG_REGNUM = 4'h0; +localparam BG_BLACK = 2'h0; +localparam BG_BLUE = 2'h1; +localparam BG_YELLOW = 2'h2; +localparam BG_WHITE = 2'h3; + +localparam OSD_CONFIG_REGNUM = 8'hf0; +localparam OSD_ROW_LSEC_ENABLE_REGNUM = 8'hf1; +localparam OSD_ROW_RSEC_ENABLE_REGNUM = 8'hf2; +localparam OSD_ROW_COLOR_REGNUM = 8'hf3; reg [31:0] osd_config; +reg [31:0] config_reg[OSD_ROW_LSEC_ENABLE_REGNUM:OSD_ROW_COLOR_REGNUM] /* synthesis ramstyle = "logic" */; reg [10:0] xpos_osd_area_scaled, xpos_text_scaled; reg [10:0] ypos_osd_area_scaled, ypos_text_scaled; reg [7:0] x_ptr[2:5], y_ptr[2:5] /* synthesis ramstyle = "logic" */; -reg osd_text_act_pp[2:5], osd_act_pp[3:5]; +reg osd_text_act_pp[2:6], osd_act_pp[3:6]; reg [14:0] to_ctr, to_ctr_ms; +reg char_px; wire render_enable = osd_config[0]; wire status_refresh = osd_config[1]; @@ -61,34 +71,28 @@ wire [2:0] x_offset = osd_config[7:5]; wire [2:0] y_offset = osd_config[10:8]; wire [1:0] x_size = osd_config[12:11]; wire [1:0] y_size = osd_config[14:13]; +wire [1:0] border_color = osd_config[16:15]; wire [10:0] xpos_scaled_w = (xpos >> x_size)-({3'h0, x_offset} << 3); wire [10:0] ypos_scaled_w = (ypos >> y_size)-({3'h0, y_offset} << 3); wire [7:0] rom_rdaddr; wire [0:7] char_data[7:0]; -wire [4:0] char_idx = CHAR_COLS*(ypos_text_scaled >> 3) + (xpos_text_scaled >> 3); +wire [4:0] char_row = (ypos_text_scaled >> 3); +wire [5:0] char_col = (xpos_text_scaled >> 3) - (((xpos_text_scaled >> 3) >= CHAR_COLS) ? CHAR_SEC_SEPARATOR : 0); +wire [9:0] char_idx = 32*char_row + char_col; assign avalon_s_waitrequest_n = 1'b1; -generate - if (USE_MEMORY_BLOCKS == 1) begin - char_array char_array_inst ( - .byteena_a(avalon_s_byteenable), - .data(avalon_s_writedata), - .rdaddress(char_idx), - .rdclock(vclk), - .wraddress(avalon_s_address-1'b1), - .wrclock(clk_i), - .wren(avalon_s_chipselect && avalon_s_write && (avalon_s_address > 4'h0)), - .q(rom_rdaddr) - ); - end else begin - reg [7:0] char_ptr[CHAR_ROWS*CHAR_COLS-1:0], char_ptr_pp3[7:0] /* synthesis ramstyle = "logic" */; - reg [4:0] char_idx_pp[2:3]; - - assign rom_rdaddr = char_ptr_pp3[char_idx_pp[3][2:0]]; - end -endgenerate +char_array char_array_inst ( + .byteena_a(avalon_s_byteenable), + .data(avalon_s_writedata), + .rdaddress(char_idx), + .rdclock(vclk), + .wraddress(avalon_s_address), + .wrclock(clk_i), + .wren(avalon_s_chipselect && avalon_s_write && (avalon_s_address < CHAR_ROWS*CHAR_COLS*CHAR_SECTIONS)), + .q(rom_rdaddr) +); char_rom char_rom_inst ( .clock(vclk), @@ -97,13 +101,13 @@ char_rom char_rom_inst ( ); // Pipeline structure -// | 0 | 1 | 2 | 3 | 4 | 5 | -// |----------|----------|---------|---------|---------|--------| -// > POS_TEXT | POS_AREA | | | | | -// > | PTR | PTR | PTR | PTR | | -// > | ENABLE | ENABLE | ENABLE | ENABLE | ENABLE | -// > | INDEX | INDEX | | | | -// > | | | CHARROM | CHARROM | COLOR | +// | 0 | 1 | 2 | 3 | 4 | 5 | 6 | +// |----------|----------|---------|---------|---------|---------|--------| +// > POS_TEXT | POS_AREA | | | | | | +// > | PTR | PTR | PTR | PTR | | | +// > | ENABLE | ENABLE | ENABLE | ENABLE | ENABLE | ENABLE | +// > | INDEX | INDEX | | | | | +// > | | | CHARROM | CHARROM | CHAR_PX | COLOR | integer idx, pp_idx; always @(posedge vclk) begin xpos_text_scaled <= xpos_scaled_w; @@ -119,32 +123,38 @@ always @(posedge vclk) begin y_ptr[pp_idx] <= y_ptr[pp_idx-1]; end - osd_text_act_pp[2] <= render_enable & (menu_active || (to_ctr_ms > 0)) & ((xpos_text_scaled < 8*CHAR_COLS) && (ypos_text_scaled < 8*CHAR_ROWS)); - for(pp_idx = 3; pp_idx <= 5; pp_idx = pp_idx+1) begin + osd_text_act_pp[2] <= render_enable & + (menu_active || (to_ctr_ms > 0)) & + (((xpos_text_scaled < 8*CHAR_COLS) & config_reg[OSD_ROW_LSEC_ENABLE_REGNUM][ypos_text_scaled/8]) | + ((xpos_text_scaled >= 8*(CHAR_COLS+CHAR_SEC_SEPARATOR)) & (xpos_text_scaled < 8*(2*CHAR_COLS+CHAR_SEC_SEPARATOR)) & config_reg[OSD_ROW_RSEC_ENABLE_REGNUM][ypos_text_scaled/8])) & + (ypos_text_scaled < 8*CHAR_ROWS); + for(pp_idx = 3; pp_idx <= 6; pp_idx = pp_idx+1) begin osd_text_act_pp[pp_idx] <= osd_text_act_pp[pp_idx-1]; end - osd_act_pp[3] <= render_enable & (menu_active || (to_ctr_ms > 0)) & ((xpos_osd_area_scaled < 8*(CHAR_COLS+1)) && (ypos_osd_area_scaled < 8*(CHAR_ROWS+1))); - for(pp_idx = 4; pp_idx <= 5; pp_idx = pp_idx+1) begin + osd_act_pp[3] <= render_enable & + (menu_active || (to_ctr_ms > 0)) & + (((xpos_osd_area_scaled/8 < (CHAR_COLS+1)) & config_reg[OSD_ROW_LSEC_ENABLE_REGNUM][(ypos_osd_area_scaled/8) ? ((ypos_osd_area_scaled/8)-1) : 0]) | + ((xpos_osd_area_scaled/8 >= (CHAR_COLS+1)) & (xpos_osd_area_scaled/8 < (2*CHAR_COLS+CHAR_SEC_SEPARATOR+1)) & (config_reg[OSD_ROW_RSEC_ENABLE_REGNUM][(ypos_osd_area_scaled/8)-1] | config_reg[OSD_ROW_RSEC_ENABLE_REGNUM][ypos_osd_area_scaled/8]))) & + (ypos_osd_area_scaled < 8*(CHAR_ROWS+1)); + for(pp_idx = 4; pp_idx <= 6; pp_idx = pp_idx+1) begin osd_act_pp[pp_idx] <= osd_act_pp[pp_idx-1]; end - osd_enable <= osd_act_pp[5]; - osd_color = osd_text_act_pp[5] ? char_data[y_ptr[5]][x_ptr[5]] : 1'b0; -end + char_px <= char_data[y_ptr[5]][x_ptr[5]]; -generate - if (USE_MEMORY_BLOCKS == 0) begin - always @(posedge vclk) begin - char_idx_pp[2] <= char_idx; - char_idx_pp[3] <= char_idx_pp[2]; + osd_enable <= osd_act_pp[6]; - for(idx = 0; idx <= 7; idx = idx+1) begin - char_ptr_pp3[idx] <= char_ptr[{char_idx_pp[2][4:3], 3'(idx)}]; - end + if (osd_text_act_pp[6]) begin + if (char_px) begin + osd_color <= config_reg[OSD_ROW_COLOR_REGNUM][char_row] ? BG_YELLOW : BG_WHITE; + end else begin + osd_color <= BG_BLUE; end + end else begin // border + osd_color <= border_color; end -endgenerate +end // OSD status timeout counters always @(posedge clk_i) @@ -188,39 +198,37 @@ always @(posedge clk_i or posedge rst_i) begin end end - genvar i; generate - if (USE_MEMORY_BLOCKS == 0) begin - for (i = 0; i < (CHAR_ROWS*CHAR_COLS); i = i + 4) begin : genreg - always @(posedge clk_i or posedge rst_i) begin - if (rst_i) begin - char_ptr[i] <= 0; - char_ptr[i+1] <= 0; - char_ptr[i+2] <= 0; - char_ptr[i+3] <= 0; - end else begin - if (avalon_s_chipselect && avalon_s_write && (avalon_s_address==1+(i/4))) begin - if (avalon_s_byteenable[3]) - char_ptr[i+3] <= avalon_s_writedata[31:24]; - if (avalon_s_byteenable[2]) - char_ptr[i+2] <= avalon_s_writedata[23:16]; - if (avalon_s_byteenable[1]) - char_ptr[i+1] <= avalon_s_writedata[15:8]; - if (avalon_s_byteenable[0]) - char_ptr[i] <= avalon_s_writedata[7:0]; - end + for (i=OSD_ROW_LSEC_ENABLE_REGNUM; i <= OSD_ROW_COLOR_REGNUM; i++) begin : gen_reg + always @(posedge clk_i or posedge rst_i) begin + if (rst_i) begin + config_reg[i] <= 0; + end else begin + if (avalon_s_chipselect && avalon_s_write && (avalon_s_address==i)) begin + if (avalon_s_byteenable[3]) + config_reg[i][31:24] <= avalon_s_writedata[31:24]; + if (avalon_s_byteenable[2]) + config_reg[i][23:16] <= avalon_s_writedata[23:16]; + if (avalon_s_byteenable[1]) + config_reg[i][15:8] <= avalon_s_writedata[15:8]; + if (avalon_s_byteenable[0]) + config_reg[i][7:0] <= avalon_s_writedata[7:0]; end end end end endgenerate + always @(*) begin if (avalon_s_chipselect && avalon_s_read) begin case (avalon_s_address) - OSD_CONFIG_REGNUM: avalon_s_readdata = osd_config; - default: avalon_s_readdata = 32'h00000000; + OSD_CONFIG_REGNUM: avalon_s_readdata = osd_config; + OSD_ROW_LSEC_ENABLE_REGNUM: avalon_s_readdata = config_reg[OSD_ROW_LSEC_ENABLE_REGNUM]; + OSD_ROW_RSEC_ENABLE_REGNUM: avalon_s_readdata = config_reg[OSD_ROW_RSEC_ENABLE_REGNUM]; + OSD_ROW_COLOR_REGNUM: avalon_s_readdata = config_reg[OSD_ROW_COLOR_REGNUM]; + default: avalon_s_readdata = 32'h00000000; endcase end else begin avalon_s_readdata = 32'h00000000; diff --git a/ossc.qsf b/ossc.qsf index 539082b..4719f80 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -218,7 +218,7 @@ set_global_assignment -name ENABLE_SIGNALTAP OFF set_global_assignment -name USE_SIGNALTAP_FILE output_files/ossc_la.stp set_global_assignment -name FITTER_EFFORT "AUTO FIT" -set_global_assignment -name SEED 2 +set_global_assignment -name SEED 6 diff --git a/rtl/ossc.v b/rtl/ossc.v index 4a2312c..5d3a948 100644 --- a/rtl/ossc.v +++ b/rtl/ossc.v @@ -104,7 +104,8 @@ reg remove_event_prev; reg [14:0] to_ctr, to_ctr_ms; wire lcd_bl_timeout; -wire osd_color, osd_enable_pre; +wire [1:0] osd_color; +wire osd_enable_pre; wire osd_enable = osd_enable_pre & ~lt_active; wire [10:0] xpos, xpos_sc, xpos_vg; wire [10:0] ypos, ypos_sc, ypos_vg; @@ -189,9 +190,22 @@ assign ypos = enable_sc ? ypos_sc : ypos_vg; assign HDMI_TX_PCLK = PCLK_out; always @(posedge PCLK_out) begin - HDMI_TX_RD <= osd_enable ? {8{osd_color}} : (enable_sc ? R_out_sc : R_out_vg); - HDMI_TX_GD <= osd_enable ? {8{osd_color}} : (enable_sc ? G_out_sc : G_out_vg); - HDMI_TX_BD <= osd_enable ? 8'hff : (enable_sc ? B_out_sc : B_out_vg); + if (osd_enable) begin + if (osd_color == 2'h0) begin + {HDMI_TX_RD, HDMI_TX_GD, HDMI_TX_BD} <= 24'h000000; + end else if (osd_color == 2'h1) begin + {HDMI_TX_RD, HDMI_TX_GD, HDMI_TX_BD} <= 24'h0000ff; + end else if (osd_color == 2'h2) begin + {HDMI_TX_RD, HDMI_TX_GD, HDMI_TX_BD} <= 24'hffff00; + end else begin + {HDMI_TX_RD, HDMI_TX_GD, HDMI_TX_BD} <= 24'hffffff; + end + end else if (enable_sc) begin + {HDMI_TX_RD, HDMI_TX_GD, HDMI_TX_BD} <= {R_out_sc, G_out_sc, B_out_sc}; + end else begin + {HDMI_TX_RD, HDMI_TX_GD, HDMI_TX_BD} <= {R_out_vg, G_out_vg, B_out_vg}; + end + HDMI_TX_HS <= enable_sc ? HSYNC_out_sc : HSYNC_out_vg; HDMI_TX_VS <= enable_sc ? VSYNC_out_sc : VSYNC_out_vg; HDMI_TX_DE <= enable_sc ? DE_out_sc : DE_out_vg; diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index e8bf042..736656d 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -44,10 +44,10 @@ :04002B008786870637 :04002C000000A11718 :04002D00F5010113C5 -:04002E000000871730 -:04002F0078470713F4 +:04002E000000971720 +:04002F00EB47071381 :04003000000097979E -:04003100D647879394 +:04003100504787931A :0400320000F7576319 :04003300000720237F :04003400DDE30711F0 @@ -56,41 +56,41 @@ :04003700C4064501B5 :04003800200520057A :04003900A60367E5CE -:04003A0067E583C72C -:04003B008407A5830E +:04003A0067E5F6C7B9 +:04003B00F707A5839B :04003C0040A267E592 -:04003D008447A5034C +:04003D00F747A503D9 :04003E00206F0131FD -:04003F00A3A174C045 +:04003F00A3A10E90DB :0400400067E580826E :04004100000207377B -:0400420084E7A62386 +:04004200F6E7AE230C :0400430007B7656135 :040044006765019C4F :04004500CC078793CA -:04004600C3C5051316 -:0400470084F72423F3 +:0400460035C50513A4 +:04004700F6F72C2379 :040048006765AE49F1 -:04004900848727037E +:04004900F78727030B :04004A00A78367E53C -:04004B00557D84C794 +:04004B00557DF7C721 :04004C004721CB116C :04004D006741C3D86C :04004E00C798177DBB :04004F004711C7D8B6 :040050004501C3D8CB :0400510067E580825D -:040052008487A78375 +:04005200F787A78302 :04005300CF91557D77 :04005400A70367E5B2 -:04005500282384C711 +:040055002823F7C79E :040056004B1C000738 :0400570007C24B4849 :0400580083C1054219 :0400590045138D5D61 :04005A008082FFF5AC :04005B00A50367E5AD -:04005C008082848793 +:04005C008082F78720 :04005D002703C93973 :04005E0011510C052B :04005F0000859793EE @@ -174,7 +174,7 @@ :0400AD00953E8626D0 :0400AE00CC3ACE1A60 :0400AF00C836CA166F -:0400B000718050EF1C +:0400B0005AF050EFC3 :0400B10007B3101071 :0400B200C03E0096B6 :0400B3008793678543 @@ -273,7 +273,7 @@ :04011000C222853A48 :040111008436C40666 :0401120050EFC026C4 -:04011300872A58E0FF +:04011300872A4250A5 :0401140025B76605A0 :04011500652100025E :040116000613468105 @@ -306,9 +306,9 @@ :04013100771346B149 :0401320007930FF729 :04013300E963FEB7C7 -:0401340066D902F690 +:0401340066DD02F68C :040135008693078A1C -:0401360097B6610611 +:0401360097B6CA8628 :040137008782439CDC :040138002000079309 :040139000D05268307 @@ -337,11 +337,11 @@ :04015000B74D100097 :04015100C40611517E :040152000CE52C2369 -:04015300470565E116 +:04015300470565E512 :04015400D918DD5C7D :0401550002052A2352 :04015600C134DD10C3 -:0401570076C5859351 +:04015700E98585931E :0401580040A222019E :04015900853E478117 :04015A00808201316D @@ -407,8 +407,8 @@ :040196000001808262 :04019700BFD5078544 :04019800451CC11928 -:0401990067E1EF919A -:04019A007687A7833A +:0401990067E5EF9196 +:04019A00EA07A78346 :04019B001151C395A6 :04019C009782C4067C :04019D0047D940A25C @@ -419,8302 +419,8762 @@ :0401A200C188C3C885 :0401A3008082450110 :0401A4000513656575 -:0401A50047D9E2054F +:0401A50047D95C05D5 :0401A6005529C11CFA -:0401A70067338082B8 -:0401A80053FD00B54E -:0401A900E7798B0D5A -:0401AA007F7F87B715 -:0401AB00F7F7879348 -:0401AC004194411029 -:0401AD0000F672B333 -:0401AE0000F66333C1 -:0401AF00E2B392BE67 -:0401B00097630062EF -:0401B10018630C7251 -:0401B200415006D6DC -:0401B30072B341D40E -:0401B400633300F6BB -:0401B50092BE00F600 -:0401B6000062E2B34E -:0401B7000A729863CD -:0401B80004D61B63EB -:0401B9004594451014 -:0401BA0000F672B326 -:0401BB0000F66333B4 -:0401BC00E2B392BE5A -:0401BD0091630062E8 -:0401BE001E630A7240 -:0401BF00455002D6CF -:0401C00072B345D4FD -:0401C100633300F6AE -:0401C20092BE00F6F3 -:0401C3000062E2B341 -:0401C40008729A63C0 -:0401C50002D61163EA -:0401C60049944910FF -:0401C70000F672B319 -:0401C80000F66333A7 -:0401C900E2B392BE4D -:0401CA0093630062D9 -:0401CB000551087260 -:0401CC000FE305D167 -:0401CD001713F6D638 -:0401CE0097930106FC -:0401CF001C630106A6 -:0401D000571300F7CA -:0401D100D7930106B9 -:0401D20005330106EA -:0401D300759340F7E9 -:0401D400E9910FF5A9 -:0401D5008341808260 -:0401D600053383C1A9 -:0401D700759340F7E5 -:0401D800E1910FF5AD -:0401D9007713808296 -:0401DA00F7930FF791 -:0401DB0005330FF7E2 -:0401DC00808240F7E6 -:0401DD0000054603D0 -:0401DE000005C683CF -:0401DF000585050588 -:0401E00000D61363CF -:0401E1000533FA6583 -:0401E200808240D601 -:0401E300059105116C -:0401E400FED612E34E -:0401E50080824501CE -:0401E60005A1052149 -:0401E700FCD61CE343 -:0401E80080824501CB -:0401E90005B1053126 -:0401EA00FCD616E346 -:0401EB0080824501C8 -:0401EC0005C1054103 -:0401ED00FCD610E349 -:0401EE0080824501C5 -:0401EF0006131111D1 -:0401F0001023282090 -:0401F100463500C1CE -:0401F20000C1012324 -:0401F3004605CC06EB -:0401F40004C58A6351 -:0401F5009A634609BA -:0401F600061304C523 -:0401F70001A305005B -:0401F800460300C1F9 -:0401F9006613003158 -:0401FA0001A3002637 -:0401FB00061300C126 -:0401FC00C2990400A0 -:0401FD00F8000613ED -:0401FE000323071EB2 -:0401FF00661300A1E2 -:0402000067130086FA -:040201008B8D00875A -:040202004505858A9F -:0402030000C1022311 -:0402040000E102A370 -:0402050000F103A35E -:04020600C602C40266 -:0402070040E22E455E -:04020800808201717E -:0402090003000613D5 -:04020A004641BF5D4D -:04020B001111BF4DC1 -:04020C001040079304 -:04020D0000F11023C9 -:04020E00012347A9D8 -:04020F00878A00F1E9 -:040210000713CC06FE -:0402110085BE00A105 -:04021200000781A3BD -:040213009DE30785DB -:040214004783FEE737 -:04021500450500316A -:04021600E7939BE1EE -:0402170001A3001728 -:04021800218900F147 -:04021900017140E24D -:04021A00115180827C -:04021B001437C222B0 -:04021C00C0260002F6 -:04021D0084AA460168 -:04021E0004C0059380 -:04021F0004040513BB -:040220003B29C406AC -:040221000FF4F5934E -:040222000513460179 -:0402230033A10404FB -:0402240004C005937A -:0402250004040513B5 -:04022600330946054D -:0402270004040513B3 -:0402280040A244129A -:040229004585448241 -:04022A00BB090131DA -:04022B00C422114197 -:04022C000002143781 -:04022D00C02AC226FB -:04022E00460184AE53 -:04022F0004C005936F -:0402300004040513AA -:0402310039D9C606EB -:0402320046014782B8 -:0402330004040513A7 -:040234000FF7F59338 -:040235000513330971 -:040236004422040456 -:0402370085A640B2A6 -:0402380046054492A1 -:04023900B9C5014101 -:04023A00C406115194 -:04023B0040A23FBDE1 -:04023C000FF5751332 -:04023D008082013189 -:04023E00C406115190 -:04023F0040A23F4555 -:040240000131450142 -:040241001131808275 -:04024200C426C622E6 -:0402430084AAC806BB -:04024400440187AE3C -:0402450000C4486346 -:04024600443240C23C -:04024700450144A287 -:04024800808201515E -:040249000087873370 -:04024A0000074583E1 -:04024B000084853373 -:04024C000FF5751322 -:04024D00C03EC232BB -:04024E0004053F95CF -:04024F00478246128A -:040250000793BFD180 -:0402510005333E80B3 -:04025200F06F02F552 -:040253001151FB4FFB -:04025400453D45815E -:04025500374DC40657 -:04025600458140A2FC -:040257000610051375 -:04025800BF59013158 -:04025900C22211515B -:04025A0004136465C0 -:04025B00458386044D -:04025C004515002420 -:04025D003749C40653 -:04025E0000244783AE -:04025F0003D0059330 -:04026000839D451124 -:0402610000279713C8 -:0402620000C447830A -:040263008FD99BEDA7 -:0402640000F4062379 -:04026500450537957F -:0402660045F5376DB6 -:040267003FA9451155 -:0402680003000593F7 -:040269000610051363 -:04026A0005933F8138 -:04026B0045250B20FA -:04026C00059337A11E -:04026D0045290F8090 -:04026E00059337813C -:04026F00452D0370A6 -:0402700045813F2560 -:040271003F0D453DBB -:0402720005134581AA -:04027300372D0C9087 -:0402740005134581A8 -:04027500370D0CA095 -:0402760005134581A6 -:040277003F290CB05F -:0402780005134581A4 -:040279003F090CC06D -:04027A0005134581A2 -:04027B0037290CD043 -:04027C0005134581A0 -:04027D0037090CE051 -:04027E00051345819E -:04027F003DED0CF055 -:04028000051345819C -:040281003DCD0D0062 -:04028200004445836C -:0402830040A244123F -:040284000E10051340 -:04028500B5CD0131C1 -:04028600C822112158 -:040287000413C62670 -:04028800CA063E80E4 -:04028900872A4685F5 -:04028A00543387AEB4 -:04028B00648D0285F7 -:04028C0000D59563A1 -:04028D008493648969 -:04028E00458580041E -:04028F00C432453DF3 -:04029000C03AC23E70 -:0402910045813D5511 -:04029200033005131D -:04029300D593357555 -:0402940005130084CA -:04029500354D0340A0 -:040296000513458186 -:040297003D6903506A -:040298000FF4759357 -:040299000300051346 -:04029A0055933D41FA -:04029B00F593008453 -:04029C0005130FF542 -:04029D0035490310CC -:04029E00010455936F -:04029F000FF5F593CF -:0402A000032005131F -:0402A10045813D95C1 -:0402A20035BD453DE4 -:0402A3000513458179 -:0402A400359D0C5028 -:0402A50064E54702C3 -:0402A6008693479262 -:0402A700C69886046B -:0402A80084934705EF -:0402A900440986047A -:0402AA008363462202 -:0402AB00442900E7FB -:0402AC00822347055D -:0402AD0083A300E443 -:0402AE0046850084FD -:0402AF000363473D61 -:0402B000470100D62C -:0402B10000E482A340 -:0402B2000C000593A4 -:0402B3000E00051321 -:0402B400351DC03EF6 -:0402B5004705478230 -:0402B6000590051397 -:0402B7000AE7976358 -:0402B80075933521E4 -:0402B900E5930FC5F5 -:0402BA000513001513 -:0402BB00352905904C -:0402BC003BDD4511D0 -:0402BD000EB5759372 -:0402BE003BFD4511AE -:0402BF000044C583AF -:0402C0000E10051304 -:0402C10005933BD591 -:0402C20005130E40D2 -:0402C30033ED0E20E9 -:0402C4000054C5839A -:0402C5000E300513DF -:0402C6003BF989BDBA -:0402C700051345A135 -:0402C8003BD90E40D0 -:0402C900453D4585E5 -:0402CA0045A13BC14E -:0402CB0009100513FE -:0402CC00458133E154 -:0402CD0009200513EC -:0402CE00458533C16E -:0402CF0009300513DA -:0402D00045813B65C4 -:0402D10009400513C8 -:0402D20085A23B4581 -:0402D3000980051386 -:0402D40045933365B6 -:0402D50005920FF48B -:0402D60000B5E593F7 -:0402D7000FB5F593D7 -:0402D8000990051371 -:0402D90045813B51CF -:0402DA003379453DF2 -:0402DB000C1005936B -:0402DC000E000513F8 -:0402DD0007933351FF -:0402DE008323FC106A -:0402DF0040D200F415 -:0402E00044B244429E -:0402E100016145056D -:0402E2003BB9808222 -:0402E3000FC575933B -:0402E4001151BFA94C -:0402E500C4064511F5 -:0402E60065933B8160 -:0402E700F593008506 -:0402E80045110FF5B8 -:0402E90040A23B9163 -:0402EA000300059375 -:0402EB0006100513E1 -:0402EC00B399013190 -:0402ED004511115155 -:0402EE00333DC406D2 -:0402EF00659340A231 -:0402F000F59300453D -:0402F10045110FF5AF -:0402F200B33D0131E6 -:0402F300C4061151DB -:0402F400E911C22228 -:0402F5000513458127 -:0402F6003B390CD0B4 -:0402F70040A24505D7 -:0402F800013144127A -:0402F900842E80824D -:0402FA00D9F54501EC -:0402FB00453D4585B3 -:0402FC0045833321E2 -:0402FD0005130034B1 -:0402FE0039FD058041 -:0402FF0000444583EF -:04030000059005134C -:04030100458339D522 -:04030200051300548B -:0403030031ED05A033 -:0403040000644583C9 -:0403050005B0051327 -:04030600458331C535 -:040307000513007466 -:0403080039D905C01A -:0403090000844583A4 -:04030A0005E00513F2 -:04030B00458331F104 -:04030C000513009441 -:04030D0031C905F0FD -:04030E0000A445837F -:04030F0006000513CC -:040310004583396583 -:04031100051300B41C -:04031200317D061023 -:0403130000C445835A -:0403140006200513A7 -:040315004583315596 -:04031600051300D4F7 -:04031700396906300A -:0403180000E4458335 -:040319000640051382 -:04031A00458339419D -:04031B00051300F4D2 -:04031C0031590650FD -:04031D00003407930E -:04031E0004414581D0 -:04031F000007C70309 -:040320008D99078527 -:040321000FF5F5934C -:04032200FEF41AE3E8 -:0403230006F58593C3 -:040324000FF5F59349 -:0403250005D00513E7 -:040326004581318557 -:0403270039A9453D6E -:04032800BF15458D2B -:04032900C4061151A4 -:04032A00E911C222F1 -:04032B0005134581F0 -:04032C0031990CE017 -:04032D0040A24505A0 -:04032E000131441243 -:04032F00842E808216 -:04033000D9F54501B5 -:04033100453D45857C -:0403320045833905C1 -:04033300051300347A -:04033400311D0680F1 -:0403350000444583B8 -:040336000690051315 -:040337004583393190 -:040338000513006445 -:04033900390906B0C8 -:04033A000074458383 -:04033B0006C00513E0 -:04033C0045833121A3 -:04033D0047830034BE -:04033E00051300445F -:04033F0097AE06D09F -:04034000071005930A -:0403410047838D9DC4 -:040342008D9D005439 -:040343000064478388 -:0403440047838D9DC1 -:040345008D9D007416 -:040346000FF5F59327 -:0403470045813EF1BD -:040348003ED9453D18 -:04034900B761458DC6 -:04034A00C222115169 -:04034B00842A45813A -:04034C00C406453D61 -:04034D00051336D18D -:04034E00367D0C10DC -:04034F0000803433C3 -:040350000FE57593AD -:0403510005138DC142 -:04035200367D0C10D8 -:0403530040A244126E -:040354000513458DBB -:0403550001310C6006 -:040356001121B64576 -:04035700C22AC626CA -:04035800451184AE19 -:04035900C82245F57C -:04035A008432C036F3 -:04035B003669CA062F -:04035C006765468209 -:04035D0086070613F6 -:04035E0097938A8562 -:04035F0046830016BB -:040360009AF500C644 -:0403610007938EDD93 -:0403620006238607E1 -:04036300C03E00D6C2 -:04036400C2998A8927 -:040365003F494505C2 -:0403660005134782B2 -:04036700C783070041 -:04036800C43E003758 -:04036900F7133691BF -:04036A004685003490 -:04036B000035759351 -:04036C00076347A23A -:04036D00468908D7DE -:04036E0008D7076342 -:04036F000087F6937A -:04037000E593C299B6 -:04037100F6930205F8 -:04037200C299001715 -:040373000105E59308 -:040374000027F693D5 -:04037500E593C299B1 -:040376008B910085E2 -:04037700E593C399AE -:040378000513004524 -:04037900C43A07007B -:04037A0047223E01D7 -:04037B001A071863E2 -:04037C004785880D1C -:04037D0004F40C6315 -:04037E001163478937 -:04037F0097931AF442 -:0403800087E101848C -:04038100D4634401FC -:040382000413000759 -:04038300F4930A00E5 -:0403840007930304D4 -:040385008A63020085 -:04038600079314F4D1 -:040387008363030089 -:0403880047C104F471 -:040389009F6365E128 -:04038A00460D14F414 -:04038B00774585939A -:04038C00073005131E -:04038D0065E13CC921 -:04038E0085934649C4 -:04038F00A835D405B4 -:040390000405E593E8 -:04039100E593BFA58C -:04039200BF8D08050E -:0403930001849793B7 -:04039400F41387E1F6 -:04039500DCE304049D -:040396006413FA07EB -:040397007413FA04DD -:04039800B7750FF432 -:04039900460D65E1C7 -:04039A00778585934B -:04039B00073005130F -:04039C0065E13C5982 -:04039D0085934649B5 -:04039E000513D54529 -:04039F00F0EF076014 -:0403A0004489A89F45 -:0403A1000720051319 -:0403A200A61FF0EFB3 -:0403A30001C5759388 -:0403A4008DC18C4536 -:0403A5000720051315 -:0403A600A61FF0EFAF -:0403A70045854782BF -:0403A80000C7C78340 -:0403A900E3918B89C8 -:0403AA000513458171 -:0403AB00F0EF0C0063 -:0403AC0045D5A4BFD0 -:0403AD00F0EF451117 -:0403AE0045C1A43F62 -:0403AF00061005131C -:0403B000A39FF0EF28 -:0403B100478947121F -:0403B2000CF71D63C4 -:0403B3000880059326 -:0403B4000620051307 -:0403B500A25FF0EF64 -:0403B600051345C125 -:0403B700F0EF06302D -:0403B8000593A1BF49 -:0403B90005130840E0 -:0403BA00F0EF06401A -:0403BB004505A0FF55 -:0403BC00A53FF0EF7A -:0403BD00F0EF451107 -:0403BE0075939F3F55 -:0403BF00451101D50E -:0403C0009F9FF0EF1C -:0403C10006400513DA -:0403C200A3BFF0EFF6 -:0403C300F0EF451101 -:0403C40075939DBFD1 -:0403C5004511015588 -:0403C6009E1FF0EF97 -:0403C70006400413D5 -:0403C800F0EF4539D4 -:0403C90089419C7F4B -:0403CA00147DE901B4 -:0403CB00450504429E -:0403CC00F0EF80418D -:0403CD00F46DA11F0B -:0403CE0045314581EF -:0403CF009BDFF0EFD1 -:0403D000040005938D -:0403D100F0EF4535CF -:0403D20045859B3F83 -:0403D300F0EF4539C9 -:0403D40045819ABF06 -:0403D500F0EF4539C7 -:0403D600F0EF9A3F6B -:0403D70040D29F5F12 -:0403D80044B24442A5 -:0403D9000161450574 -:0403DA0065E18082D7 -:0403DB008593460DB3 -:0403DC000513778509 -:0403DD00F0EF073006 -:0403DE0065E1991F1D -:0403DF008593464973 -:0403E000BDE5D2C5E0 -:0403E1008593460DAD -:0403E2000513774543 -:0403E300F0EF073000 -:0403E40065E1979F99 -:0403E500859346496D -:0403E600B5C5D18543 -:0403E7004481440108 -:0403E80045E1B5D561 -:0403E90006200513D2 -:0403EA00951FF0EF7C -:0403EB00051345C1F0 -:0403EC00F0EF0630F8 -:0403ED0045B1947F03 -:0403EE001151B73DB5 -:0403EF00C026C22240 -:0403F00084AE842A29 -:0403F1004581453DC0 -:0403F200F0EFC4065E -:0403F300051392FF5D -:0403F400F0EF059091 -:0403F5007593917FEC -:0403F600E49902F58F -:0403F7008DC1041A96 -:0403F8000FF5F59375 -:0403F9000105E59382 -:0403FA0040A24412C7 -:0403FB000513448220 -:0403FC000131059036 -:0403FD00905FF06FAE -:0403FE00879367E199 -:0403FF006765C3C7A4 -:0404000086F7282330 -:040401000C87A703BA -:04040200F38005136B -:04040300A703CB017F -:0404040007930D4706 -:04040500136310006D -:04040600450100F7B5 -:0404070067E58082A3 -:0404080085AA86AE8D -:040409008707A503B9 -:04040A00C4061151C2 -:04040B00BF0FF0EF40 -:04040C000513C119FA -:04040D0040A2F370A6 -:04040E0080820131B6 -:04040F00C8221121CD -:04041000C626843246 -:040411007793CA060D -:0404120086AA0FF4B3 -:040413000622872E08 -:04041400C38564E553 -:040415008704A503B0 -:04041600F0047593E6 -:04041700F0EF05A25B -:04041800C1199D8FDA -:04041900F350051384 -:04041A00444240D246 -:04041B00016144B285 -:04041C00C22A8082EE -:04041D008704A503A8 -:04041E0085B2C42EB1 -:04041F00F0EFC03208 -:04042000460296CF2B -:040421004722469296 -:040422000513D57178 -:04042300BFE9F360DA -:04042400C6221131AA -:04042500C426C8061B -:04042600842E87AAEF -:04042700E41987321B -:0404280040C2450188 -:0404290044A2443273 -:04042A00808201517A -:04042B001000069324 -:04042C00F46384A24F -:04042D0004930086AE -:04042E00863A1000FA -:04042F00853E85A6DB -:04043000C03EC23ACE -:04043100FD713FA575 -:0404320047124782A4 -:0404330097A68C05F7 -:04043400B7F1070510 -:04043500C8221121A7 -:04043600CA06C62606 -:04043700C032842E1D -:0404380047014481B3 -:040439000084ED63EB -:04043A0045014782AF -:04043B0000F704635F -:04043C00F340051371 -:04043D00444240D223 -:04043E00016144B262 -:04043F00073380827D -:0404400006134094CB -:0404410074631000D0 -:04044200071300E6B6 -:0404430086361000E9 -:04044400852685BACA -:04044500C23AC436BD -:04044600FD693719FC -:0404470046A2471270 -:040448000014B613D3 -:04044900853685BAB5 -:04044A0030EFC23697 -:04044B00872A40A01C -:04044C001004849381 -:04044D00B77D46929F -:04044E00C422114172 -:04044F00C02A6465F6 -:0404500087440513C5 -:0404510040EFC606AC -:0404520045825B4044 -:040453000713E90999 -:0404540046812000BD -:040455000513460144 -:0404560040EF8744A8 -:0404570040B26E80C1 -:0404580001414422F8 -:04045900112180826B -:04045A00C626C822C8 -:04045B00842ACA061F -:04045C0084B283AE35 -:04045D00E099C0362C -:04045E00A815450197 -:04045F00200007135F -:040460007463832618 -:0404610003130097EA -:0404620045822000AF -:040463000103171367 -:04046400834167E584 -:040465008622468124 -:04046600874785132C -:04046700C21AC41ED3 -:040468006A2040EFD7 -:0404690043A2431255 -:04046A000533C9018C -:04046B0040D240A09B -:04046C0044B2444210 -:04046D008082016127 -:04046E00861E45029F -:04046F00C41E859A88 -:0404700035F9C21A7E -:040471004312F56DD0 -:04047200040543A298 -:040473000083571398 -:0404740084B393BA00 -:04047500B7454064E3 -:04047600A78367E110 -:04047700114177C7F1 -:040478006465C422D1 -:0404790088C405939B -:04047A00851346415F -:04047B00C60600476A -:04047C00C03EC22696 -:04047D00157040EFC7 -:04047E0064E5478268 -:04047F008C44859391 -:040480008513464159 -:0404810040EF014700 -:0404820005131450FA -:04048300442288C4C3 -:04048400859340B26A -:0404850044928C44CD -:04048600306F014191 -:0404870067E1584091 -:0404880077C7A78308 -:04048900C422114137 -:04048A00059364650D -:04048B0046418A0458 -:04048C00004785138D -:04048D00C226C606B7 -:04048E0040EFC03E3D -:04048F00478211107F -:04049000859364E507 -:040491004641940448 -:040492000147851386 -:040493000FF040EF37 -:040494008A040513BE -:0404950040B244220B -:0404960094048593B2 -:040497000141449249 -:0404980053E0306F8E -:04049900C406115133 -:04049A00842AC222CC -:04049B00949FF0EF4B -:04049C004501458150 -:04049D00A31FF0EFBA -:04049E000563478922 -:04049F00646502F49A -:0404A0009584041328 -:0404A100460367E5C2 -:0404A2004583038407 -:0404A300A503037436 -:0404A400F0EF8847A6 -:0404A5004503F86FA4 -:0404A6004412037485 -:0404A700013140A23D -:0404A800D8EFF06F2A -:0404A900441240A217 -:0404AA00808201311A -:0404AB00C026115105 -:0404AC00450584AAD4 -:0404AD00C222C4069D -:0404AE00A71FF0EFA5 -:0404AF008D7FF0EF5E -:0404B000450145813C -:0404B100909FF0EF39 -:0404B20007936465E3 -:0404B300C5038D846C -:0404B4004785010770 -:0404B5008D8404131B -:0404B60000A035333A -:0404B70046010505F0 -:0404B80000F4936356 -:0404B90086934609D7 -:0404BA0036B3FFE472 -:0404BB00458100D0A7 -:0404BC00A6BFF0EFF8 -:0404BD00896347897F -:0404BE00636502F47C -:0404BF00958303130B -:0404C00000F447837A -:0404C10002434703A8 -:0404C20001144503D9 -:0404C300009035B3BD -:0404C4004601468126 -:0404C500F0EF0586C9 -:0404C60067E5CA6FAD -:0404C700958783137F -:0404C8000243478321 -:0404C90004F4012313 -:0404CA003F2D852617 -:0404CB0040A24412F5 -:0404CC004501448220 -:0404CD00F06F01319A -:0404CE0047899F3F7C -:0404CF000EA7EA6327 -:0404D000C4221141F0 -:0404D10027836461B8 -:0404D200C60678449E -:0404D300C703C22673 -:0404D40004130037D6 -:0404D500830D7844D7 -:0404D60006638B3DF1 -:0404D70047510CA7D6 -:0404D80002E50733FF -:0404D900859365D9C9 -:0404DA0084AA74C5B7 -:0404DB0085134651EE -:0404DC00C03E0047D7 -:0404DD0040EF95BA9D -:0404DE0047826620CB -:0404DF0000F4F5131D -:0404E000C483050AC2 -:0404E100F493000789 -:0404E2008D45FC3414 -:0404E300802364E529 -:0404E400879300A753 -:0404E500C7838D84B8 -:0404E600849301C733 -:0404E700C3858D84B8 -:0404E800578367656A -:0404E90045298B47CF -:0404EA0007C29BF5B5 -:0404EB001A2383C18C -:0404EC0017378AF73D -:0404ED0020230002C6 -:0404EE00E0EF0EF736 -:0404EF0017B7D45F08 -:0404F000A7830002DC -:0404F10097130D0749 -:0404F200406300C79C -:0404F300401C04079E -:0404F4000037C70303 -:0404F500CB11831D87 -:0404F6000007C70331 -:0404F700671345053D -:0404F8008023001746 -:0404F900E0EF00E749 -:0404FA004018D19F36 -:0404FB0047834529C5 -:0404FC009BF9000761 -:0404FD0000F70023E1 -:0404FE0047834018D8 -:0404FF00E793000778 -:0405000000230027AD -:04050100E0EF00F730 -:04050200C783CF9F3D -:04050300CF8101C4DF -:04050400578367654D -:04050500E7938B47A6 -:040506001A2300278D -:0405070017378AF721 -:0405080020230002AA -:0405090040B20EF7F7 -:04050A0044924422B1 -:04050B0080820141A8 -:04050C001151808287 -:04050D00C222C4063C -:04050E0067E5E5595F -:04050F008D878793BA -:04051000043743D495 -:040511000413019C32 -:040512005433CC048E -:04051300868302D405 -:04051400467100A785 -:04051500879367E57C -:0405160086B3A10700 -:0405170097B602C6CB -:0405180000E7D7839E -:04051900043346A1C0 -:04051A0067E502F49B -:04051B008B27C783E0 -:04051C0002D78D6312 -:04051D00896346C1E7 -:04051E00471100D7AA -:04051F0004E79163F9 -:04052000147030EF34 -:04052100A8294505BB -:0405220001C9C7B78D -:0405230037F787938C -:04052400E363872ADC -:04052500473D0087C7 -:0405260030EF853AF3 -:04052700450D12D09C -:0405280040A2441297 -:04052900306F0131FD -:04052A0030EF4E2040 -:04052B00B53711D0FF -:04052C00051304C4EB -:04052D0035333FF52E -:04052E000509008536 -:04052F0030EFB7D51D -:0405300045011090E1 -:0405310067E5BFF1CA -:04053200958787130F -:0405330002F7468302 -:0405340084134709DC -:04053500453D958724 -:0405360000E68363F5 -:0405370030EF45015B -:0405380047830E9057 -:04053900470902F478 -:04053A007BE3450D0D -:04053B004515FAF771 -:04053C0075138D1D89 -:04053D00B76D0FF592 -:04053E00F863478592 -:04053F0067E500A7C5 -:040540008B27C5033D -:0405410035131561F8 -:0405420047E1001578 -:0405430002F5053385 -:04054400879367DD55 -:04054500953E774721 -:040546007B60306F37 -:0405470026036761BF -:04054800110178879E -:04054900CE0667E192 -:04054A00CA26CC22CF -:04054B006A07879321 -:04054C0000364683AC -:04054D00E299829D10 -:04054E00FBFD17FD9D -:04054F00343030EF25 -:04055000869367E542 -:04055100C5838D874A -:04055200C23E00B6EF -:040553008D87849379 -:0405540082AA67E12F -:040555007887871309 -:040556004314C591F4 -:040557000026C78330 -:040558000037F593E0 -:040559000370051313 -:04055A00C62EC816CB -:04055B0049A030EF94 -:04055C000513C02A99 -:04055D0030EF0380F8 -:04055E00430249000B -:04055F001693660584 -:0405600006130085F9 -:040561008EF1F00621 -:0405620000655613C7 -:040563000066E6B395 -:04056400842A8A0556 -:0405650003900513E7 -:0405660084A3C03674 -:0405670030EF00C4AD -:04056800C42A4680DB -:0405690003A00513D3 -:04056A0045E030EF49 -:04056B00871367E1AA -:04056C004310788739 -:04056D00468245B2CB -:04056E000026470319 -:04056F00531342C21E -:040570004703002716 -:040571008B7D003648 -:0405720000671613F5 -:040573000066673384 -:04057400876346094A -:04057500C60302C5F2 -:04057600E60900B4DE -:0405770018F006135F -:0405780002D67063D4 -:040579009A63460536 -:04057A00069300C51F -:04057B00B59300171D -:04057C00C593190604 -:04057D00478100159D -:04057E007713A8093E -:04057F00DB65020432 -:040580000C86B5939D -:040581000015C59309 -:04058200C3034785E3 -:04058300666500B4F5 -:04058400061367658E -:0405850004138506D0 -:0405860018639587DA -:04058700836328035F -:0405880081634C023D -:0405890005834C0595 -:04058A00430900061B -:04058B0026B35A63D6 -:04058C000006002342 -:04058D0046054711C7 -:04058E0000C485A37D -:04058F001313C03A48 -:040590004722008579 -:0405910005136505E4 -:040592007333F005CA -:04059300633300A32B -:04059400471200E327 -:04059500260365E5EF -:0405960005138D8735 -:040597006D6300167A -:04059800851300D5F2 -:04059900696300167C -:04059A0040D000C588 -:04059B00006616637D -:04059C000084C6030E -:04059D0046F60B63B0 -:04059E0092058E2311 -:04059F0001944583FB -:0405A0000374C60317 -:0405A1000AC596638E -:0405A20001A44583E8 -:0405A3000384C60304 -:0405A4000AC5906391 -:0405A50001B44583D5 -:0405A6000394C603F1 -:0405A70008C59A6386 -:0405A80001C44583C2 -:0405A90003A4C603DE -:0405AA0008C5946389 -:0405AB0001D44583AF -:0405AC0003B4C603CB -:0405AD0006C59E637E -:0405AE00014445833C -:0405AF000324C60358 -:0405B00006C5986381 -:0405B1000154458329 -:0405B2000334C60345 -:0405B30006C5926384 -:0405B4000164458316 -:0405B5000344C60332 -:0405B60004C59C6379 -:0405B7000174458303 -:0405B8000354C6031F -:0405B90004C596637C -:0405BA0001844583F0 -:0405BB000364C6030C -:0405BC0004C590637F -:0405BD0002744583FC -:0405BE000454C60318 -:0405BF0002C59A6374 -:0405C00002844583E9 -:0405C1000464C60305 -:0405C20002C5946377 -:0405C3000334458335 -:0405C4000514C60351 -:0405C50000C59E636C -:0405C60003A44583C2 -:0405C7000584C603DE -:0405C80000C598636F -:0405C90003B44583AF -:0405CA000594C603CB -:0405CB0000C58C6378 -:0405CC004589470214 -:0405CD0000014603E0 -:0405CE0000B773639C -:0405CF00771346094F -:0405D000C03A0FF628 -:0405D1000254458308 -:0405D2000434C60324 -:0405D30002C58D636D -:0405D40000A48603F6 -:0405D500063345F1B3 -:0405D60065E502B61F -:0405D700A105859362 -:0405D8004A10962E01 -:0405D90020D0059396 -:0405DA0076138215FD -:0405DB001C637FF628 -:0405DC00470200B61C -:0405DD004603458903 -:0405DE007363000142 -:0405DF00460900B712 -:0405E0000FF6771388 -:0405E1004583C03A54 -:0405E200C6030264E6 -:0405E3008D630444DC -:0405E400860302C5C3 -:0405E50045F100A438 -:0405E60002B6063320 -:0405E700859365E5AE -:0405E800962EA105A5 -:0405E90005934A101C -:0405EA0082151C104A -:0405EB007FF676130E -:0405EC0000B61C63D6 -:0405ED0045894702F3 -:0405EE0000014603BF -:0405EF0000B773637B -:0405F000771346092E -:0405F100C03A0FF607 -:0405F2002603666115 -:0405F300C5837846FE -:0405F400460301D4E5 -:0405F500820D00363D -:0405F6008C638A3D4B -:0405F700470200C5F2 -:0405F80046034589E8 -:0405F9007363000127 -:0405FA00460900B7F7 -:0405FB000FF677136D -:0405FC006765C03A35 -:0405FD0095274603F5 -:0405FE008383CE41E4 -:0405FF00467100A49D -:0406000083B362E579 -:04060100861302C397 -:04060200C583A10209 -:040603004515012474 -:040604000454C08357 -:04060500A60393B203 -:040606008A7D0103E5 -:0406070002B60633FE -:0406080002A606330D -:04060900064005138F -:04060A0003260613AA -:04060B0002A64633CA -:04060C0000E3D5032F -:04060D0002A585338A -:04060E00010315933C -:04060F00953281C1DE -:0406100081410542DD -:0406110000A49B2383 -:0406120086634601B4 -:04061300A60300003A -:0406140082050183D7 -:04061500C61A8A0572 -:04061600C83EC436E0 -:04061700362030EF6A -:0406180000A48603B1 -:0406190067E545F15B -:04061A0002B60633EB -:04061B00A10782931E -:04061C000124C5836D -:04061D00C50392B2CD -:04061E0030EF016256 -:04061F00470261A08D -:0406200000A489A306 -:04062100433246A278 -:04062200E31947C2CF -:04062300C03A47058D -:04062400A2234712B4 -:0406250084230064C6 -:040626002C2300F48D -:04062700A03D8CD78F -:040628000023058521 -:04062900C00200B655 -:04062A008463BB59D1 -:04062B009B630002CB -:04062C00030322059D -:04062D0053F100067F -:04062E00227351637F -:04062F00002347114C -:0406300085A3000698 -:04063100C03A0004C7 -:04063200D6059BE36B -:04063300C60367E5AE -:04063400C68395875D -:040635001A6301E45F -:0406360046030CD695 -:04063700C683001462 -:04063800146301F452 -:0406390046030CD692 -:04063A00C68300244F -:04063B001E63020434 -:04063C0046030AD691 -:04063D00C68300343C -:04063E001863021427 -:04063F0046030AD68E -:04064000C683006409 -:0406410012630244FA -:0406420065E50AD68A -:04064300461565658E -:040644008FE5859326 -:0406450096050513FE -:0406460009A040EFD8 -:0406470065E5E55927 -:040648004619656585 -:0406490090358593D0 -:04064A0096550513A9 -:04064B00086040EF14 -:04064C004603ED2D47 -:04064D00C68300441C -:04064E001863022407 -:04064F00460306D682 -:04065000C683005409 -:0406510012630234FA -:04065200460306D67F -:04065300C6830074E6 -:040654001C630254CD -:04065500460304D67E -:04065600C68301F462 -:04065700166303D44F -:04065800460304D67B -:04065900C68302044E -:04065A00106303E442 -:04065B00460304D678 -:04065C00C68302143B -:04065D001A6303F425 -:04065E00460302D677 -:04065F00C683022428 -:040660001463040417 -:04066100460302D674 -:04066200C68301E466 -:040663001E6303C44B -:04066400460300D673 -:04066500C6830364E1 -:0406660018630544CC -:04066700460300D670 -:04066800C6830354EE -:0406690006630534EB -:04066A00478200D6ED -:04066B004785E39943 -:04066C004503C03E44 -:04066D00C68302A49A -:04066E008463048419 -:04066F0030EF00A6C2 -:04067000450350E00E -:04067100C68302B486 -:040672008463049405 -:0406730030EF00A6BE -:0406740045031760C3 -:04067500C68302C472 -:04067600846304A4F1 -:0406770030EF00A6BA -:04067800450317001F -:04067900C68303042D -:04067A00458304E4CC -:04067B00966303146B -:04067C00C68300A68B -:04067D00846304F49A -:04067E0030EF00B6A3 -:04067F00450312C05D -:04068000C683029497 -:040681008463047416 -:04068200F0EF00A6EF -:040683004503AEFF7E -:04068400C68302F433 -:04068500846304D4B2 -:04068600F0EF00A6EB -:040687004503A19FE7 -:04068800C68302D44F -:04068900846304B4CE -:04068A0030EF00A6A7 -:04068B0045033C00E7 -:04068C00C68302E43B -:04068D00846304C4BA -:04068E0030EF00A6A3 -:04068F00458343203C -:04069000C68303C456 -:04069100450305A474 -:04069200966303D494 -:04069300C68300B664 -:04069400846305B4C2 -:0406950030EF00A69C -:0406960066E54EA027 -:04069700851365E57D -:04069800461D9966FC -:04069900934585936D -:04069A0074B030EF19 -:04069B00C50966E542 -:04069C0099668513C3 -:04069D000E2030EF0C -:04069E000374460398 -:04069F000554C683B5 -:0406A00000D6186305 -:0406A1000384460385 -:0406A2000564C683A2 -:0406A30000D6066314 -:0406A40002344503D4 -:0406A500FD0FF0EF66 -:0406A600C68366E5BC -:0406A700CE81953635 -:0406A800039445036F -:0406A9000574C7030A -:0406AA0000A707633B -:0406AB00056215517E -:0406AC0020EF856155 -:0406AD0067E53A10B3 -:0406AE009587859314 -:0406AF0001E48513CA -:0406B00004600613C9 -:0406B100715030EF65 -:0406B200446240F26C -:0406B300892367E54B -:0406B4004502940760 -:0406B500610544D2C5 -:0406B600137D8082AE -:0406B70000660023B6 -:0406B800B3DDC002EC -:0406B9000006002314 -:0406BA00C603BFE5CF -:0406BB00450593C599 -:0406BC00B8A606E3F3 -:0406BD0076130605A5 -:0406BE008E230FF682 -:0406BF001FE392C5DE -:0406C0004702B6A691 -:0406C100460345891E -:0406C200736300015D -:0406C300460900B72D -:0406C4000FF67713A3 -:0406C500B69DC03AE4 -:0406C600FC8101139F -:0406C700DA2267E5E7 -:0406C8008713D82696 -:0406C90004838D8792 -:0406CA00467100A7CE -:0406CB0086336565A8 -:0406CC00069302C4CB -:0406CD004583A105BB -:0406CE00428301F76B -:0406CF0087930127E5 -:0406D00003138D87FC -:0406D10096B2A10537 -:0406D20000C6D60385 -:0406D3000146C40315 -:0406D400C683CC32DB -:0406D500C002013628 -:0406D6004683CE3653 -:0406D700470302379C -:0406D800D43600C74D -:0406D900F713C43A15 -:0406DA00EB150FD538 -:0406DB000257C703F8 -:0406DC004685C299F4 -:0406DD004622A0050C -:0406DE000B63468DD7 -:0406DF00452200D6DA -:0406E0000963461153 -:0406E100468910C571 -:0406E200FED515E349 -:0406E300B7D507067A -:0406E400070646A21D -:0406E50000E6973361 -:0406E6000FF7771380 -:0406E7008693C03AFC -:0406E800F693FFF591 -:0406E90047050FF6BC -:0406EA006063C20285 -:0406EB00469102D75B -:0406EC000257C603E8 -:0406ED000E56E3635F -:0406EE00FFF28693FE -:0406EF0002C686B306 -:0406F00000D716B366 -:0406F1000FF6F713F6 -:0406F200C703C23A3E -:0406F30046810247F3 -:0406F400CA3AC60236 -:0406F5009513438D89 -:0406F6009A630026DD -:0406F70087330C75C4 -:0406F800470300D7DD -:0406F900061302677B -:0406FA008A3DFFF73F -:0406FB0000A616330C -:0406FC003733453219 -:0406FD00173300E0CF -:0406FE008E4900D74A -:0406FF004602C632B7 -:0407000077138F518B -:04070100C03A0FF7F4 -:04070200471506850C -:04070300FCE695E398 -:04070400C802468160 -:040705009513438D78 -:0407060091630026D5 -:0407070087330A75B5 -:04070800470300D7CC -:04070900061302B71A -:04070A008A3DFFF72E -:04070B0000A61633FB -:04070C0037334542F8 -:04070D00173300E0BE -:04070E008E4900D739 -:04070F004612C83294 -:0407100077138F517B -:04071100C23A0FF7E2 -:0407120047190685F8 -:04071300FCE695E388 -:04071400873347716F -:04071500971A02E449 -:04071600D0024F18A6 -:04071700CB018B0582 -:04071800C71147229C -:040719000227C703E9 -:04071A00001737137A -:04071B00C703D03A06 -:04071C00468501E726 -:04071D0004D71B637F -:04071E00CB11472292 -:04071F008733477164 -:04072000469102E418 -:040721004B58971A80 -:040722001163837567 -:04072300C20204D733 -:04072400A82DC0023A -:0407250002D70733BD -:040726008513BDF585 -:040727000633FFE2B4 -:04072800450D02C5B4 -:0407290000C51633BE -:04072A000FF677133C -:04072B004752BF3141 -:04072C0000A71533DA -:04072D008F49473277 -:04072E00B7B9C63A57 -:04072F0015334752E5 -:04073000474200A795 -:04073100C83A8F49EA -:04073200D371B74187 -:0407330067114F8C6F -:0407340030E58B63BE -:0407350002B766633E -:040736001000069316 -:040737008D63471176 -:04073800668902D5F7 -:040739008963470D7C -:04073A00069302D54B -:04073B009A630800B5 -:04073C00C68332D569 -:04073D008F1503C74A -:04073E007713070620 -:04073F00A8290FF7DF -:040740000010073767 -:040741002EE58663B8 -:040742000200073773 -:040743002EE58663B6 -:04074400471966A14A -:0407450030D59763B1 -:04074600C60366E59B -:0407470046A18B2616 -:0407480000D615635F -:04074900044204065C -:04074A00C6838041A1 -:04074B004605014717 -:04074C00F763CA3253 -:04074D0006B3008669 -:04074E0006C240D4CB -:04074F00CA3682C163 -:040750008533457137 -:0407510086B302A4C5 -:04075200D63640E275 -:04075300C38346090D -:0407540074E10367E2 -:0407550044D295A64F -:0407560033B313FDA9 -:04075700951A00707F -:0407580000852303F2 -:0407590084B303F66C -:04075A0053130292A1 -:04075B00B5930153FE -:04075C0005F200158D -:04075D0002D306B30A -:04075E000FF4F4930D -:04075F00C6B304D247 -:0407600006C202C605 -:04076100D23682C149 -:0407620003D7C68370 -:0407630044035612E3 -:0407640006B30125B2 -:040765008B1D02D70F -:0407660096B20742FE -:0407670000D7C603EE -:040768000253033302 -:040769007FF6F6938E -:04076A006633067A72 -:04076B0006CE007640 -:04076C008ED98DD1C4 -:04076D008DC547529D -:04076E000137C60386 -:04076F00028283B3CC -:040770007FF373138D -:0407710006368A1DA1 -:0407720046038ED1DB -:04077300C503015564 -:040774008A1D05478E -:04077500F393064EA6 -:0407760003AE1FF3BC -:040777000075E5B371 -:040778000065E33302 -:040779000072F59382 -:04077A00008702B33F -:04077B0005AA573242 -:04077C0082B38ECDE9 -:04077D00571202E22B -:04077E00051E897D4E -:04077F00F29392BAA5 -:04078000C7033FF27A -:04078100E2B303E7F5 -:0407820046A2005635 -:0407830003F77713EE -:0407840006F6075A14 -:0407850046838F55C3 -:040786008F5101C1CD -:0407870003F7C603AB -:0407880000B695938F -:040789008F4D46E268 -:04078A00F6938A3D1B -:04078B0064337FF65E -:04078C00C68300D749 -:04078D008D5104077F -:04078E000207C58316 -:04078F0006928A9DA7 -:0407900046B28D558B -:040791000537C7035E -:04079200961389FD34 -:04079300468200C6D4 -:0407940005E68231C3 -:0407950006D28AFD01 -:04079600C6038ED137 -:040797008B050217B5 -:040798008A05073295 -:0407990001E61793CB -:04079A0000B6E6338C -:04079B0057828E5D96 -:04079C0005378F4945 -:04079D004513800080 -:04079E0007FEFFF55E -:04079F008E5D8E6974 -:0407A000769347C243 -:0407A10094930FF32B -:0407A20047920087F3 -:0407A300F79380A1A7 -:0407A40007E203F76E -:0407A50057A28CDDEE -:0407A60007FE8CE9D5 -:0407A70067E18CDD9D -:0407A8007887A78324 -:0407A90000C7C5833D -:0407AA0000D78623CB -:0407AB0000835693DE -:0407AC000FF6F693BB -:0407AD0000D7C58329 -:0407AE0000D786A347 -:0407AF000103569359 -:0407B0000FF6F693B7 -:0407B10000E7C58315 -:0407B20000D78723C2 -:0407B30000F7C68302 -:0407B4000183531357 -:0407B500006787A3AF -:0407B6000FF2F693B5 -:0407B7000107C583EE -:0407B80000D78823BB -:0407B9000082D69351 -:0407BA000FF6F693AD -:0407BB000117C583DA -:0407BC0000D788A337 -:0407BD000102D693CC -:0407BE000FF6F693A9 -:0407BF000127C583C6 -:0407C00000D78923B2 -:0407C1000137C683B3 -:0407C2000182D2934B -:0407C300005789A3AF -:0407C4000FF4769325 -:0407C5000147C583A0 -:0407C60000D78A23AB -:0407C70000845693C1 -:0407C8000FF6F6939F -:0407C9000157C5838C -:0407CA0000D78AA327 -:0407CB00010456933C -:0407CC000FF6F6939B -:0407CD000167C58378 -:0407CE0000D78B23A2 -:0407CF000177C68365 -:0407D0008BA3806116 -:0407D1007693008794 -:0407D200C5830FF7D5 -:0407D3008C230187EB -:0407D400569300D761 -:0407D500C583008751 -:0407D6008CA3019758 -:0407D700C68300D7FE -:0407D800836101A791 -:0407D90000078D2365 -:0407DA0001B7C6831A -:0407DB0000E78DA303 -:0407DC000FF677138A -:0407DD0001C7C68307 -:0407DE0000E78E237F -:0407DF000086571326 -:0407E0000FF7771385 -:0407E10001D7C683F3 -:0407E20000E78EA3FB -:0407E30001065713A1 -:0407E4000FF7771381 -:0407E50001E7C683DF -:0407E60000E78F2376 -:0407E70001F7C7034C -:0407E8008FA38261F8 -:0407E900F71300C73B -:0407EA00C6830FF4BF -:0407EB00802302075E -:0407EC00D71302E736 -:0407ED0077130084FA -:0407EE00C6830FF7B8 -:0407EF0080A30217CA -:0407F000D71302E732 -:0407F1007713010475 -:0407F200C6830FF7B4 -:0407F30080E1022778 -:0407F40002E7812374 -:0407F5000237C703FD -:0407F600029781A342 -:0407F70054C2545242 -:0407F8000381011365 -:0407F900C6838082B1 -:0407FA00471103C7D9 -:0407FB00B3318F1572 -:0407FC0003C7C683E6 -:0407FD00BFDD471500 -:0407FE0003C7C683E4 -:0407FF008F154719F2 -:040800000FF7771364 -:040801000367C68340 -:04080200D00698E3A1 -:0408030016A146E212 -:0408040082C106C2E5 -:0408050046F2CC36B5 -:0408060006C206B16F -:04080700CE3682C1A6 -:0408080006B7B9E591 -:04080900871600202E -:04080A00FCD5FEE338 -:04080B0067E5B1F5F7 -:04080C008E234705EB -:04080D0067E592E722 -:04080E00871311013A -:04080F00CC228D87E3 -:04081000CA26434071 -:04081100C23ECE060F -:040812008D878493B7 -:040813002E040C6340 -:040814008D87A70322 -:040815002E0708633F -:04081600A0EEC7B7D2 -:04081700B00787930C -:0408180002E7D7B369 -:040819000084C7038D -:04081A00019C02B784 -:04081B00CC028293F6 -:04081C000282D2B3CF -:04081D002C0706633B -:04081E000287D43346 -:04081F0003B005130A -:0408200020EFC416EB -:04082100C62A18507B -:0408220003C00513F7 -:0408230017B020EFFB -:0408240001C4C783C1 -:040825000084C60382 -:04082600971342A240 -:0408270067D9002766 -:0408280069878793C2 -:04082900439497BAA3 -:04082A00A703479247 -:04082B0007938D871B -:04082C00E2190700C6 -:04082D000690079397 -:04082E000001663728 -:04082F0006136565E2 -:0408300045C57886BC -:040831008A0505131C -:0408320030EFC816C5 -:0408330042C21E702F -:0408340006400793E0 -:040835003E80069368 -:0408360045C56565EA -:0408370002F457333D -:04083800940505130B -:0408390002D2F633BE -:04083A007433C43A15 -:04083B0087BA02F482 -:04083C0057334729BE -:04083D00663702E632 -:04083E00C0220001D3 -:04083F00790606131D -:0408400004136461D8 -:04084100D6B377C4EF -:0408420030EF02D2BF -:0408430067E51A70DB -:040844009EF7C783D1 -:040845004018EB91DB -:0408460000074783DD -:040847000027E7930C -:0408480000F7002392 -:040849008FAFF0EF8E -:04084A006765479205 -:04084B009517468334 -:04084C008D87A503EC -:04084D00C5834622F7 -:04084E0020EF008413 -:04084F0057FD789049 -:04085000086367656D -:04085100852320F5E6 -:04085200878300A4F4 -:0408530066E500A4B2 -:04085400872346713F -:0408550087B39EF6D1 -:04085600636502C70D -:04085700A1030613E0 -:040858000124C6832E -:0408590095174703A5 -:04085A000454C283FD -:04085B004B8C97B279 -:04085C0089FD4615B7 -:04085D0002D585B388 -:04085E0002C585B397 -:04085F000640061336 -:040860000325859354 -:0408610002C5C5B354 -:0408620000E7D603D2 -:0408630002C686B390 -:0408640095B640D035 -:0408650081C105C286 -:0408660000B49B231C -:040867000177C5034D -:04086800A10306934F -:04086900897DC2368D -:04086A0067658D79B8 -:04086B008AA709232C -:04086C004681473248 -:04086D000FF77713F7 -:04086E0002B7073393 -:04086F0002C7573332 -:040870008241064279 -:040871000002856399 -:0408720082854F9498 -:04087300C7838A8528 -:04087400771305945D -:0408750087930FF75F -:0408760007E2F80796 -:0408770020EF87E106 -:04087800C50357302D -:04087900F0EF04D4C4 -:04087A00C503A4CF3F -:04087B00F0EF047422 -:04087C008783B0AF0F -:04087D00477100A41B -:04087E000124C58309 -:04087F0002E787B352 -:0408800097BA4712CA -:040881000167C50343 -:0408820048D020EF4B -:04088300A68367E100 -:04088400C783784767 -:0408850089A300C47F -:04088600B71300A400 -:04088700C78300170C -:040888009BF90006D2 -:0408890080238FD960 -:04088A00C78300F62A -:04088B00470D00C451 -:04088C0012F7656397 -:04088D00F4634709C0 -:04088E00470512E721 -:04088F0000E7956386 -:040890008EA3478567 -:04089100C50300F4A7 -:04089200F0EF01D4AE -:04089300C7038F0FF9 -:04089400196300C420 -:04089500878310073E -:04089600477100A402 -:0408970002E787B33A -:0408980097BA4712B2 -:0408990000C7D7833A -:04089A002BD7B7930E -:04089B000017C793E8 -:04089C00401086BEC4 -:04089D00078E8B8DAA -:04089E0000164703F6 -:04089F009B1D069601 -:0408A00000A38FD949 -:0408A100401800F605 -:0408A2000017478371 -:0408A300F9F7F793D7 -:0408A40000A38FD549 -:0408A500F0EF00F779 -:0408A600C703883FBD -:0408A7004789041465 -:0408A80000E4C503A0 -:0408A900076345811B -:0408AA00C58300F70B -:0408AB008D8900F43F -:0408AC000015B593EB -:0408AD00D07FE0EF29 -:0408AE0007B740D870 -:0408AF008793019C8E -:0408B000D7B3CC07E7 -:0408B100D70302E780 -:0408B200C603016414 -:0408B300468D00D49A -:0408B40002E787B31D -:0408B50000C4C703B1 -:0408B60087B30705F8 -:0408B700C70302E78A -:0408B800070500E44C -:0408B90002E787B318 -:0408BA002223676529 -:0408BB001E6388F739 -:0408BC00838508D652 -:0408BD0088F7222373 -:0408BE0088472683BE -:0408BF0005110737E1 -:0408C000F40707131F -:0408C1006B63478599 -:0408C200773700D7AD -:0408C300071304789B -:0408C40047818BF7E6 -:0408C50000D7746381 -:0408C6000104C783DF -:0408C7000504C7035A -:0408C8000414C583CC -:0408C900C703E70971 -:0408CA0007630104BB -:0408CB00446206F786 -:0408CC0000F4882389 -:0408CD0044D240F2DF -:0408CE006105852E0D -:0408CF00F71FE06FC0 -:0408D000BB1D0786BF -:0408D10062916405C7 -:0408D2007704041390 -:0408D300D5428293F5 -:0408D4004791B33560 -:0408D50000F4852383 -:0408D6004711BBCD3E -:0408D7008EA3B5C572 -:0408D800B5D500E4AE -:0408D90000D4C783FD -:0408DA0000E4C683ED -:0408DB00B79317F5C3 -:0408DC008F950017DD -:0408DD0000178693E7 -:0408DE000037379315 -:0408DF000017C793A4 -:0408E000F79397B63D -:0408E10046810FF746 -:0408E2004585B5EDA6 -:0408E300F6B616E36C -:0408E400D7B3078AF5 -:0408E500BFB902D7BE -:0408E6008263478959 -:0408E700C50302F54E -:0408E800C1910114A5 -:0408E9004462458997 -:0408EA0000F4C783CC -:0408EB000424C70317 -:0408EC0044D240F2C0 -:0408ED0046014681F9 -:0408EE00E06F610551 -:0408EF0040F2C02FE4 -:0408F00044D2446248 -:0408F100808261059B -:0408F200C0261151BA -:0408F300C50364E5F0 -:0408F400458188248E -:0408F500C406C22251 -:0408F60056F010EFB9 -:0408F700E121842A4D -:0408F8008824C68307 -:0408F900472967E53F -:0408FA008CD78AA36A -:0408FB00C78367E563 -:0408FC00856399D7A0 -:0408FD00676500E744 -:0408FE0088F704A3D0 -:0408FF00C70367E5DF -:0409000047818D6737 -:0409010067E5C701DE -:040902008897C78388 -:04090300071367650A -:0409040097BA8B878C -:040905008023453DC9 -:0409060010EF00D717 -:0409070085223A907B -:04090800441240A2B3 -:0409090001314482F2 -:04090A001151808285 -:04090B0064E5C026B9 -:04090C008824C50373 -:04090D00C406C22238 -:04090E0038B010EFFE -:04090F00E51D842A34 -:040910008824C683EE -:040911008AA367E569 -:0409120067E58CD732 -:040913008D67C70322 -:04091400C70147814F -:04091500C78367E548 -:0409160067658F473B -:040917008B870713B0 -:04091800453D97BA08 -:0409190000D7802360 -:04091A0035B010EFF5 -:04091B0040A285224F -:04091C0044824412BB -:04091D0080820131A2 -:04091E00C4061151A9 -:04091F00C026C2220A -:040920000002143786 -:04092100202347C583 -:0409220067E10EF487 -:040923007887A783A7 -:040924000E0420237A -:04092500C703650996 -:04092600862300C75D -:04092700C7030007FB -:0409280086A300D7CB -:04092900C7030007F9 -:04092A00872300E738 -:04092B00C7030007F7 -:04092C0087A300F7A6 -:04092D00C7030007F5 -:04092E008A230147D0 -:04092F00C7030007F3 -:040930008AA301573E -:04093100C7030007F1 -:040932008B230167AB -:04093300C7030007EF -:04093400051301772F -:040935008BA371051A -:04093600D0EF0007F7 -:040937000713C25F81 -:0409380067E51D1042 -:040939008AE79A238C -:04093A001D100793F2 -:04093B000EF4202373 -:04093C00E0EF4501A2 -:04093D001537E49FE7 -:04093E00051300039A -:04093F00D0EFD4051C -:040940002637C01F77 -:0409410005B70006F0 -:040942000513019CFC -:04094300061304048F -:040944008593A806E9 -:04094500E0EFCC050E -:0409460020EF85CF4A -:0409470020EF1E403F -:04094800547942603C -:040949001205076329 -:04094A0020EF450154 -:04094B0007934DC001 -:04094C0054750FF0DF -:04094D0010F50F632F -:04094E000DB020EFD9 -:04094F00E0EF450987 -:0409500047CDBAAF26 -:040951001663547164 -:04095200E0EF10F5CD -:0409530020EFC1AF21 -:04095400C50912605F -:04095500470567E506 -:0409560094E789A3F6 -:04095700A9DFE0EF45 -:040958001E63842A6C -:0409590000EF0E0598 -:04095A0064E50570DB -:04095B008D848513EF -:04095C00061365DD3C -:04095D00859304601A -:04095E00057983058F -:04095F0045C030EF70 -:04096000656565DD87 -:040961000360061316 -:040962009C45859398 -:040963009A050513D9 -:04096400448030EFAC -:04096500453D458146 -:040966003AF010EF64 -:04096700C50367E578 -:0409680045818D57E1 -:040969008D84849362 -:04096A0039F010EF61 -:04096B00C78367E1F6 -:04096C00666578073D -:04096D0008A366E590 -:04096E0067E18AF6BD -:04096F007817C783AB -:040970008CF68BA3D3 -:04097100879367E120 -:04097200438C77C774 -:040973000015C703A1 -:0409740080A39B1DA4 -:04097500438C00E5CA -:040976000015C7039E -:04097700F9F7771302 -:0409780000E580A373 -:04097900C703438CE1 -:04097A008B7D00056C -:04097B0006076713F1 -:04097C0000E58023EF -:04097D00C703438CDD -:04097E009B61001564 -:04097F0000376713C3 -:0409800000E580A36B -:040981004703438C59 -:0409820076138B1647 -:04098300C70300178F -:040984009B79000556 -:0409850080238F51EB -:04098600439000E5B5 -:040987008D76C7831F -:0409880097138B8DA9 -:040989004783003769 -:04098A009B9D00062B -:04098B0000238FD9DD -:04098C0017B700F6A3 -:04098D00A78300023A -:04098E0097130D07A7 -:04098F00446300E7D6 -:0409900000EF00076D -:0409910047897B60B7 -:0409920088A34501F0 -:04099300E0EF00F49D -:040994008522C5FFF4 -:04099500441240A226 -:040996000131448265 -:04099700547D808289 -:0409980067E5BFCD83 -:040999008807C78381 -:04099A00FDC1011387 -:04099B000785CE22DC -:04099C000FF7F793C7 -:04099D0007C207B2D4 -:04099E00C83E83C10B -:04099F0057836465B1 -:0409A00047428B44FB -:0409A100CC26D0068A -:0409A20067218FD961 -:0409A3001A238FD9AB -:0409A40017378AF483 -:0409A500C202000288 -:0409A6006637C402EA -:0409A70064E5000102 -:0409A8000EF7202303 -:0409A9006C4606137F -:0409AA00851345C5A7 -:0409AB0030EF8C4459 -:0409AC00E0EF402018 -:0409AD006741B27F6D -:0409AE004785177DE5 -:0409AF001737CA3AF2 -:0409B0002683000298 -:0409B10046520D0796 -:0409B200C6368EF1C6 -:0409B300C6F1E7F9A9 -:0409B400869366E5DB -:0409B500D6039A06C5 -:0409B60045B20166DF -:0409B7008B44578393 -:0409B80012B61463FC -:0409B90016FD76F5BC -:0409BA001A238FF578 -:0409BB0020238AF477 -:0409BC0082230EF78D -:0409BD00E0EF8C04D7 -:0409BE002537AE3FEC -:0409BF000513000616 -:0409C000D0EFA805C7 -:0409C10057839FDFDA -:0409C20047428B44D9 -:0409C3000513669121 -:0409C4008FD93E8009 -:0409C5001A238FD58D -:0409C60017378AF461 -:0409C70020230002E7 -:0409C80030EF0EF707 -:0409C90030EF246087 -:0409CA00478526C077 -:0409CB001C6366E162 -:0409CC00A60302F587 -:0409CD00478378865E -:0409CE004703008655 -:0409CF000722009665 -:0409D00047838F5D6D -:0409D10007C200A6B3 -:0409D20047838F5D6B -:0409D30007E200B681 -:0409D40097138FD90D -:0409D5008341010752 -:0409D6009713C23A77 -:0409D7008351004701 -:0409D800D2E3C43A68 -:0409D90030EFFC07F8 -:0409DA0047922440DC -:0409DB006637EF95F7 -:0409DC0006130001FD -:0409DD0045C56D0699 -:0409DE008C448513AD -:0409DF00334030EF82 -:0409E000A59FE0EF00 -:0409E1008B445703E9 -:0409E20017FD77F195 -:0409E3001A238FF94B -:0409E40017378AF443 -:0409E50020230002C9 -:0409E60065090EF79A -:0409E700710505137E -:0409E80095FFD0EFB8 -:0409E900BF2147B231 -:0409EA004752479297 -:0409EB0000E7976327 -:0409EC000001663769 -:0409ED006E06061379 -:0409EE004712BF7D70 -:0409EF00660545A2B2 -:0409F0000640079323 -:0409F10056B3167D66 -:0409F200773302F75E -:0409F3009C6302F708 -:0409F400663700C59D -:0409F50006130001E4 -:0409F60045C56E86FF -:0409F7008C44851394 -:0409F8002D0030EFAF -:0409F9004622BF7162 -:0409FA00851345C557 -:0409FB0076338C447F -:0409FC00C03202F60D -:0409FD0057B3462284 -:0409FE00663702F660 -:0409FF0006130001DA -:040A000030EF6F461E -:040A0100BFAD2AE07B -:040A02000186D68310 -:040A03009BE34632F9 -:040A040007D2F6C659 -:040A05001A2383D15C -:040A060050828AF49C -:040A070020234472F2 -:040A080044E20EF7BF -:040A0900011345018F -:040A0A0080820241A3 -:040A0B00C4061151BB -:040A0C002C0020EFAB -:040A0D00FFAFF0EF58 -:040A0E0040A267E5B6 -:040A0F0097B7C503CD -:040A1000E06F013161 -:040A11000113A6BF68 -:040A1200D806FCC145 -:040A1300D426D622ED -:040A140091DFD0EFAF -:040A1500F0EF842A50 -:040A16006761C23F13 -:040A170077C7079303 -:040A1800C03E86AAAC -:040A190064E56565C6 -:040A1A001206CD6390 -:040A1B000001663739 -:040A1C0007134681F5 -:040A1D000613056057 -:040A1E0045C57086D4 -:040A1F008A0505132C -:040A2000230030EF90 -:040A2100000165B7B4 -:040A2200859346452D -:040A2300851373853F -:040A240030EF940417 -:040A25004782146090 -:040A26004398802948 -:040A270000074783FA -:040A28000027E79329 -:040A290000F70023AF -:040A2A00977FE0EFE3 -:040A2B000007A537E4 -:040A2C001205051397 -:040A2D0084BFD0EFC3 -:040A2E0086BFD0EFC0 -:040A2F0012C0079357 -:040A300002F407B312 -:040A3100C80264652E -:040A3200C402C60232 -:040A33000413C202E4 -:040A3400CE3E8D84A1 -:040A3500CA3E4799D5 -:040A3600000217B7EC -:040A37000D07A7837D -:040A38006565666525 -:040A39000107971307 -:040A3A00C69383419B -:040A3B002023FFF77E -:040A3C0083E19EE6CE -:040A3D000003073774 -:040A3E00F5938F7528 -:040A3F0066E50FF762 -:040A40009EE6A22369 -:040A41009EB5042337 -:040A4200863685323D -:040A4300CF8166E514 -:040A4400FFE58793B0 -:040A45000FF7F7931D -:040A46007663430D83 -:040A4700C78300F36E -:040A480094639DC650 -:040A4900202300B7AF -:040A4A0067E59E05B9 -:040A4B009CB68E23A4 -:040A4C009D87A68359 -:040A4D009CE7AC2353 -:040A4E006765E2D521 -:040A4F0095474783FD -:040A5000CBF164E59D -:040A510001C4478312 -:040A520066E5C7F995 -:040A530000B4478321 -:040A54009EF6C683C1 -:040A5500E3E18FD575 -:040A5600FEEFD0EFF0 -:040A57006C6347F293 -:040A580047420AF512 -:040A590006300793C9 -:040A5A000AE7E7635D -:040A5B004683676502 -:040A5C0047859547EE -:040A5D00876347055F -:040A5E0047D200F685 -:040A5F00FFA7871353 -:040A600000E0373348 -:040A610001C4478302 -:040A620017FD46A195 -:040A63000FF7F793FF -:040A640006F6E1634E -:040A6500078A66D9BD -:040A660064468693C9 -:040A6700439C97B65F -:040A680066378782E4 -:040A6900061300016F -:040A6A0045C571C647 -:040A6B008A050513E0 -:040A6C00100030EF57 -:040A6D00000175B758 -:040A6E0085934645E1 -:040A6F008513D00516 -:040A700030EF9404CB -:040A71004782188020 -:040A720047834398DB -:040A7300E7930007FE -:040A74000023002734 -:040A7500E0EF00F7B7 -:040A7600A001849FB8 -:040A77009E06222392 -:040A780067E5BFA9C6 -:040A79008B67C7833D -:040A7A0000F037B39E -:040A7B0084A30789C0 -:040A7C0047C288F4F1 -:040A7D000785475250 -:040A7E000FF7F793E4 -:040A7F004799C83E8D -:040A800000F7076311 -:040A810000170793C0 -:040A82000FF7F793E0 -:040A8300D0EFCA3EA8 -:040A84004785F14F62 -:040A85002305C63E41 -:040A8600C78367E5D6 -:040A8700CC2A9EF7E0 -:040A88004501C781DC -:040A89002D6010EFDD -:040A8A008894C78302 -:040A8B0001C4470358 -:040A8C0000F7046308 -:040A8D00E7114762C4 -:040A8E00CB1547320B -:040A8F0000B4470365 -:040A90006765C71DB2 -:040A91008D67470323 -:040A9200C315C63A88 -:040A93000713676579 -:040A940097BA8B87FB -:040A95000007C5038E -:040A9600C70367E546 -:040A9700C6028D57AF -:040A980000A7076349 -:040A99008AA3458166 -:040A9A0010EF8CA726 -:040A9B00C7836DC0E0 -:040A9C0047038894F0 -:040A9D0064E501C447 -:040A9E009584849324 -:040A9F0000F71C63DD -:040AA000470946922A -:040AA10018D7786387 -:040AA2000344C683C0 -:040AA30005244703DC -:040AA40018E682636B -:040AA5006365473D01 -:040AA60094E308A32A -:040AA7007863470D1C -:040AA800C70308F781 -:040AA9004685034437 -:040AAA0000D71863F6 -:040AAB00FFA7869388 -:040AAC000FF6F693B8 -:040AAD0006D77D6388 -:040AAE00F063469516 -:040AAF00468908F676 -:040AB00006D71B63E7 -:040AB100FFA7871301 -:040AB2000FF77713B0 -:040AB300F66346851B -:040AB400450906E604 -:040AB50085934709D5 -:040AB600F613FFF73D -:040AB70042A10FF554 -:040AB80006C2E1632E -:040AB900060A62D9EE -:040ABA00668282933B -:040ABB004210961639 -:040ABC0047858602E2 -:040ABD00EE071DE340 -:040ABE00C78367E1A2 -:040ABF00B79377B7BB -:040AC0000791001783 -:040AC1004799B5EDAF -:040AC200EE0703E355 -:040AC3008894C783C9 -:040AC400479DBDF994 -:040AC50067E5BDE93B -:040AC6008887C783D3 -:040AC70000F037B351 -:040AC800B5F107A1DC -:040AC90014E3479952 -:040ACA004785EC0769 -:040ACB00468DB5C9D6 -:040ACC004701450594 -:040ACD004685B74D56 -:040ACE004689BF692D -:040ACF00BFD5450149 -:040AD000F593C402D4 -:040AD10046210FF5B6 -:040AD2006963C20290 -:040AD300665900B6AA -:040AD40068C60613D7 -:040AD5004603962E10 -:040AD600C232000622 -:040AD70000F40E23F6 -:040AD80004D4478378 -:040AD900000405A36D -:040ADA00458D4605FB -:040ADB0000F6766348 -:040ADC008D9D459512 -:040ADD000FF5F59389 -:040ADE00D03AD23602 -:040ADF00643010EF80 -:040AE000713010EF72 -:040AE100830FE0EFB0 -:040AE200C78367E57A -:040AE30057029537EA -:040AE400C7895692D6 -:040AE50010EF853653 -:040AE600570229701A -:040AE70045924622CC -:040AE80020EF853A3C -:040AE90047834400FB -:040AEA00656501C479 -:040AEB0097134645D2 -:040AEC0067D900279F -:040AED0069878793FB -:040AEE00438C97BAE4 -:040AEF008A0505135C -:040AF00000042223B9 -:040AF100787020EF0A -:040AF200000165B7E3 -:040AF30046456565AA -:040AF40072C58593AF -:040AF500940505134C -:040AF600773020EF46 -:040AF700C78367E565 -:040AF800EB999EF7E1 -:040AF9004398478255 -:040AFA000007478327 -:040AFB000027E79356 -:040AFC0000F70023DC -:040AFD00E2AFE0EF95 -:040AFE00CF8947E273 -:040AFF00C70367E5DD -:040B000047A9881762 -:040B010000F7156381 -:040B020010EF453D6E -:040B0300D0EF3B8074 -:040B0400C802D14F03 -:040B05000234C703EC -:040B06000414478309 -:040B070002F7056389 -:040B0800011445038C -:040B090047014781D8 -:040B0A0046014681D9 -:040B0B00D0EF458161 -:040B0C00C503B8FF66 -:040B0D00E0EF0234DF -:040B0E00C783E76F43 -:040B0F002223023467 -:040B100000A300043A -:040B1100C58304F4A0 -:040B120047890234D9 -:040B130002F58663FE -:040B14000244C703CD -:040B150004244783EA -:040B160002E780630F -:040B1700011445037D -:040B18004589C191B9 -:040B190000F447831A -:040B1A0046014681C9 -:040B1B00B51FD0EF43 -:040B1C000244C78345 -:040B1D0004F40123B8 -:040B1E000344C78342 -:040B1F00052447035F -:040B200000F7046373 -:040B210004F40923AC -:040B220067E16761BF -:040B23007817468376 -:040B2400C7836765B7 -:040B25004583780785 -:040B260066658B175E -:040B270000F59663DC -:040B28008D764583FE -:040B290002D58D6301 -:040B2A008AF708A39B -:040B2B000BA34702CF -:040B2C0043148CD60C -:040B2D000017F713A3 -:040B2E000006C78373 -:040B2F008FD99BF9C6 -:040B300000F6802328 -:040B31004394478220 -:040B32008D764783F2 -:040B330097138B8DFC -:040B3400C78300373C -:040B35009B9D00067E -:040B360080238FD9B0 -:040B3700478300F6FA -:040B3800C3B501C47C -:040B3900E0EF451292 -:040B3A004789837FE5 -:040B3B000CF5026350 -:040B3C000A63479170 -:040B3D00478502F5F1 -:040B3E0004F5176340 -:040B3F0000B4478334 -:040B4000E0EFC3B966 -:040B4100A081E17F2F -:040B4200C43246096A -:040B4300460DBD1D81 -:040B4400C603BFED38 -:040B4500428503449E -:040B460014E3C416DA -:040B47004641E2063B -:040B480094C308A3A7 -:040B49004783BD39E8 -:040B4A00C39D00B493 -:040B4B005783676500 -:040B4C00E7938B4759 -:040B4D001A23002740 -:040B4E0017378AF7D4 -:040B4F00202300025D -:040B5000F0EF0EF7BD -:040B51000513AEBF1B -:040B5200D0EF12C00E -:040B5300B669BB4F75 -:040B54000004222354 -:040B550053F010EF5A -:040B560001C447830C -:040B57004645656545 -:040B580000279713C8 -:040B5900879367D93E -:040B5A0097BA698756 -:040B5B000513438CAF -:040B5C0020EF8A05F7 -:040B5D0065B75D908B -:040B5E0065650001C8 -:040B5F0085934645EF -:040B6000051372C542 -:040B610020EF9405E8 -:040B620067E55C5097 -:040B63009EF7C783AF -:040B64004782EB9940 -:040B650047834398E7 -:040B6600E79300070A -:040B67000023002740 -:040B6800E0EF00F7C3 -:040B6900D0EFC7CF33 -:040B6A00CA02B7CF35 -:040B6B00BF61C8029C -:040B6C0000B4478307 -:040B6D00F0EFDBC901 -:040B6E00B771A78F25 -:040B6F00656565DD76 -:040B70000613115106 -:040B71008593046004 -:040B720005138305DF -:040B7300C40695859A -:040B7400409020EF9E -:040B7500656565DD70 -:040B760040C0061362 -:040B77007CC5859321 -:040B7800A1050513BB -:040B79003F5020EFDA -:040B7A0067E540A249 -:040B7B00892347057E -:040B7C00450194E7B4 -:040B7D008082013140 -:040B7E001111675D8D -:040B7F0095870793BC -:040B8000CC06C826B1 -:040B8100C002CA22C2 -:040B8200C43E4481A8 -:040B830075B7646579 -:040B840046450001E1 -:040B85009FC58593F0 -:040B860088C4051307 -:040B870052F020EF19 -:040B8800971347A2D6 -:040B8900656500247A -:040B8A00430C973E43 -:040B8B0005134645C3 -:040B8C0020EF8C4585 -:040B8D00E0EF5190B4 -:040B8E004681BA2FB3 -:040B8F001737C22230 -:040B900025830002B7 -:040B910067C10D0724 -:040B9200F63317FD22 -:040B9300646500F5A0 -:040B94009EC42023B8 -:040B95000D0727031E -:040B9600000305371C -:040B9700471367E5B4 -:040B98008F69FFF76B -:040B99009EE7A2230E -:040B9A00CA15C63E74 -:040B9B000863478222 -:040B9C00676502F691 -:040B9D000014951398 -:040B9E009A07071398 -:040B9F00EABD972AEA -:040BA0001023479245 -:040BA10075B700B76D -:040BA20046450001C3 -:040BA300A045859351 -:040BA40088C7851366 -:040BA5004B7020EF82 -:040BA600B40FE0EFB9 -:040BA70067654685B3 -:040BA800260347B227 -:040BA900A3039D877E -:040BAA0087BA9E4721 -:040BAB006741E605B3 -:040BAC0002E31263EB -:040BAD0065DDE0BD65 -:040BAE000613656560 -:040BAF0085930360C7 -:040BB00005139C4548 -:040BB100C01A9A05C7 -:040BB200311020EFEF -:040BB300468943022A -:040BB40067E544EDC0 -:040BB5009E04270370 -:040BB6009C67AC2369 -:040BB700C03AC63644 -:040BB8008F634709F7 -:040BB900650902E6E2 -:040BBA0071050513A9 -:040BBB00A12FD0EFA7 -:040BBC00B7B146B2D5 -:040BBD0000075703D3 -:040BBE0002E346897F -:040BBF004792FAE679 -:040BC000000175B704 -:040BC100859346458D -:040BC2008513A0C532 -:040BC30020EF88C7D0 -:040BC400E0EF43D04B -:040BC5004681AC6F4A -:040BC60014F9B7590E -:040BC700BF5D46893F -:040BC80047690485F0 -:040BC900EE9754E36C -:040BCA0040E244526F -:040BCB00453D44C29E -:040BCC00106F017134 -:040BCD000113090007 -:040BCE000793FBC1CD -:040BCF00CE3E0131E4 -:040BD000879367E5BB -:040BD100D03E971764 -:040BD200879367E5B9 -:040BD300D23E972750 -:040BD400879367E5B7 -:040BD500D43E97373C -:040BD600879367E5B5 -:040BD700D63E974728 -:040BD80067E5D83EB7 -:040BD9009757879310 -:040BDA0027B7DA3E21 -:040BDB0087930F03EA -:040BDC00CA3EF01706 -:040BDD00303007931A -:040BDE001C2363650C -:040BDF00478D00F14D -:040BE00000F10D23F0 -:040BE1008D83079366 -:040BE20001C7C783FD -:040BE300C086DE22C8 -:040BE40009A3DC265F -:040BE500472500019F -:040BE6008D830313E5 -:040BE70086634405D8 -:040BE800841300E78B -:040BE900741300176A -:040BEA0067E50FF4B8 -:040BEB00A603676591 -:040BEC0007139E0746 -:040BED0047819A079B -:040BEE00568345E9FC -:040BEF001C6300077C -:040BF000476900D67B -:040BF10010F7626334 -:040BF200078A675DAA -:040BF30087870713D6 -:040BF400439C97BACD -:040BF50007098782E3 -:040BF60050B78C6305 -:040BF700BFF10785BE -:040BF80066E54785E2 -:040BF9008B46D7034D -:040BFA001007471386 -:040BFB008AE69A23C9 -:040BFC0027036765FF -:040BFD0016939E4766 -:040BFE00D36300F7C6 -:040BFF0087A20006C3 -:040C000000E7169360 -:040C01000006DE63A8 -:040C02004683676559 -:040C03004585958707 -:040C0400E56346015D -:040C0500068500D58B -:040C06000FF6F613DC -:040C070094C70C235F -:040C08004501472932 -:040C090000E7866317 -:040C0A0004A3676573 -:040C0B00450588F71C -:040C0C00D70367E5BE -:040C0D0066E58B47C6 -:040C0E009EF6C68305 -:040C0F0007429B4DB0 -:040C10009A2383415F -:040C1100EA818AE703 -:040C1200C68366E54A -:040C1300068A8C269B -:040C14009A238F553B -:040C1500D7038AE790 -:040C160040868B4742 -:040C170017B7547245 -:040C1800A023000213 -:040C190054E20EE7AC -:040C1A00044101137D -:040C1B004789808203 -:040C1C00478DBF8DB4 -:040C1D004791B7BD87 -:040C1E004795B7AD92 -:040C1F004799B79D9D -:040C2000479DB78DA8 -:040C210047A1BFB96F -:040C220047A5BFA97A -:040C230066E5BF992A -:040C24009EF6C783EE -:040C250026036761DA -:040C2600B79377C742 -:040C270087A3001788 -:040C280047039EF6EA -:040C2900078A000630 -:040C2A008FD99B6D56 -:040C2B0000F60023AC -:040C2C00C70367E5AE -:040C2D0067E58D5793 -:040C2E0088E78123AF -:040C2F009EF6C783E3 -:040C30004505C7911E -:040C3100437000EF1D -:040C3200BF2147A9EE -:040C3300952FE0EF2A -:040C340067E1BFE5D0 -:040C35007887A70312 -:040C3600000176370C -:040C37004483656528 -:040C380047830007E7 -:040C39000613001787 -:040C3A0045C58F46D7 -:040C3B008FC507A2B8 -:040C3C0000274483C6 -:040C3D0088C505134E -:040C3E008FC504C298 -:040C3F0000374483B3 -:040C40008CDD04E261 -:040C410000B4D69392 -:040C42000016F7930E -:040C43007FF4F393B4 -:040C4400C61EC43EC6 -:040C4500004746839B -:040C4600005742838E -:040C4700E6B302A26C -:040C4800428300D211 -:040C490047030067F6 -:040C4A0002C200776B -:040C4B0000D2E2B33E -:040C4C0000A3068378 -:040C4D0002B2477137 -:040C4E0002E686B381 -:040C4F0007136765BB -:040C5000D293A10793 -:040C5100C21600C205 -:040C520066E5973686 -:040C53008D56C68371 -:040C5400161020EF67 -:040C5500831367E5B9 -:040C560047038D873C -:040C5700C72100B3FE -:040C580047A243B2BA -:040C590006900713E7 -:040C5A00001386936A -:040C5B0000F696B356 -:040C5C00979396BE16 -:040C5D00429201447A -:040C5E000007C46364 -:040C5F000700071370 -:040C6000000307B7CF -:040C610007938CFD6C -:040C6200E09902A073 -:040C630002000793F1 -:040C640000017637DE -:040C6500C0166565EB -:040C660090060613DB -:040C6700051345C567 -:040C680020EF8C45A8 -:040C690067E110F03F -:040C6A0077C7A7039E -:040C6B0000074783B4 -:040C6C000047E793C3 -:040C6D0000F7002369 -:040C6E00820FE0EF22 -:040C6F006765B731CD -:040C70008B475783D4 -:040C71000107C7931D -:040C72008AF71A23C0 -:040C730067E5BDF57F -:040C74009587C70396 -:040C75004681460569 -:040C760000E66563CC -:040C77007693070564 -:040C78008C230FF7C3 -:040C7900B5CD94D78A -:040C7A00871367E590 -:040C7B00470395870F -:040C7C004605001712 -:040C7D00958787933D -:040C7E0065634681E3 -:040C7F00070500E67F -:040C80000FF7769361 -:040C810000D780A375 -:040C82006765B5C12C -:040C830095870793B7 -:040C84000067C783BB -:040C85009587071335 -:040C860017FDC7810E -:040C87000FF7F793D9 -:040C880000F703234B -:040C89006765B55591 -:040C8A009587071330 -:040C8B000067478334 -:040C8C00F36346B90F -:040C8D0047B900F66D -:040C8E00B7DD078542 -:040C8F00000175B734 -:040C9000464565650B -:040C910091058593B1 -:040C920088C50513F9 -:040C93000FF020EF4F -:040C940075B762E5E9 -:040C950085130001C2 -:040C960046458C4201 -:040C970092058593AA -:040C98000EB020EF8B -:040C9900A68364E1E9 -:040C9A00C78377C4D1 -:040C9B00E7930006D5 -:040C9C00802300476A -:040C9D00D0EF00F69E -:040C9E006765F63F51 -:040C9F0062E567E5BE -:040CA000A10707138E -:040CA1008D878313A5 -:040CA200000217B77E -:040CA3000D07A60390 -:040CA400879367E5E6 -:040CA50006429A0762 -:040CA60046818241C0 -:040CA700D50345ED3F -:040CA80006630007D8 -:040CA900068500C5F7 -:040CAA009AE3078939 -:040CAB000783FEB607 -:040CAC00467100A3EA -:040CAD0002C787B340 -:040CAE0097BA461596 -:040CAF0083F54BDCA2 -:040CB00002F6646381 -:040CB1004163461144 -:040CB200183806D612 -:040CB3004703973E1E -:040CB4005733FDC7EE -:040CB5008B0540D794 -:040CB600078AC70DD5 -:040CB70097BA183898 -:040CB800FE47A783C9 -:040CB90000D78023BD -:040CBA0077C4A70351 -:040CBB000007478364 -:040CBC0000239BED89 -:040CBD00D0EF00F77D -:040CBE0067E5F29F55 -:040CBF009E0787A362 -:040CC0007637B3E1EF -:040CC10006850001A3 -:040CC20092C60613BD -:040CC300851345C58B -:040CC40020EF8C424F -:040CC500D0EF79E013 -:040CC600A537EC3F23 -:040CC700051300070A -:040CC800C0EF120562 -:040CC900B7C9DDDFEB -:040CCA008FE347B1BC -:040CCB006509FAF6C7 -:040CCC007105051396 -:040CCD00DCBFC0EFD9 -:040CCE00871367E53C -:040CCF0067E5A1072D -:040CD0008D87831376 -:040CD100B78962E598 -:040CD20000A30683F2 -:040CD30067E546711A -:040CD40002C686339B -:040CD500A1078713D9 -:040CD600879345813A -:040CD7009732A107A8 -:040CD8000167470366 -:040CD9006563467990 -:040CDA00070500E624 -:040CDB000FF7759307 -:040CDC0086334671A4 -:040CDD00676502C67F -:040CDE008B2397B21B -:040CDF00460500B70F -:040CE00094C7092389 -:040CE10047036765F9 -:040CE2009FE39F8766 -:040CE300C703D2E68B -:040CE40067E5016758 -:040CE5009EE79623CD -:040CE6000683BB05C1 -:040CE700467100A3AF -:040CE800863367E503 -:040CE900871302C6A5 -:040CEA0045FDA1071C -:040CEB00A107879343 -:040CEC0047039732F1 -:040CED00DF4D01676F -:040CEE00BF4D177D62 -:040CEF004481478570 -:040CF0006565C23E36 -:040CF100000177B7D0 -:040CF200859346455B -:040CF300051393C78B -:040CF40020EF88C5A0 -:040CF50046A9778015 -:040CF60002D486B3EB -:040CF70047924615C5 -:040CF8005363873685 -:040CF900471500D6C5 -:040CFA00763762E502 -:040CFB00851300015C -:040CFC0007258C42FA -:040CFD0094C6061380 -:040CFE00C63E45C5E4 -:040CFF006B4020EF37 -:040D0000A60366E1FF -:040D0100470377C667 -:040D0200671300066D -:040D03000023004782 -:040D0400D0EF00E646 -:040D050066E1DC7F48 -:040D0600C23647B2F8 -:040D070066C162E57A -:040D080016FDC416FA -:040D09000002173796 -:040D0A000D072703A7 -:040D0B00EF998F7558 -:040D0C0067E5CF11B7 -:040D0D009A078613A8 -:040D0E00478145EDE7 -:040D0F000006550382 -:040D100002E5026393 -:040D11000609078543 -:040D1200FEB79AE3AB -:040D13000513650956 -:040D1400C63A710565 -:040D1500CABFC0EFA2 -:040D160087BA47321F -:040D17000693674197 -:040D1800B7C9FFF761 -:040D19008763462581 -:040D1A00461100C7B7 -:040D1B004625E091F8 -:040D1C0004C7DE63C7 -:040D1D0007854729D6 -:040D1E0002E7E7B34E -:040D1F0002E4833334 -:040D2000979A6765D2 -:040D210088F701232B -:040D2200F41FE0EFEB -:040D230076B7C91DB9 -:040D240086930001B1 -:040D250047A28EC68D -:040D2600000176371B -:040D2700CF060613DA -:040D2800851345C525 -:040D290020EF8C47E4 -:040D2A00D0EF60A006 -:040D2B00A537D2FF17 -:040D2C0005130007A4 -:040D2D00C0EF1205FC -:040D2E004792C49F85 -:040D2F0077C7A703D8 -:040D300076B7B535A8 -:040D310086930001A4 -:040D3200B7F18E4641 -:040D33009663466914 -:040D3400C49300C79D -:040D350087BA001465 -:040D36004631B5E5A8 -:040D3700F6C798E380 -:040D380067E5BFE9C3 -:040D39009EF7C783D7 -:040D3A00BE0790E37D -:040D3B00BCD587A2FA -:040D3C00BCFD47A90A -:040D3D00DBC1011302 -:040D3E00202318282E -:040D3F002E2324112A -:040D40002C232281BD -:040D4100D0EF22913C -:040D4200C22AC33FBF -:040D4300424020EF1B -:040D4400440D479281 -:040D45004611EBC5A3 -:040D46000828182C35 -:040D470062E020EF57 -:040D4800000175B77A -:040D49008593461137 -:040D4A000828BA8536 -:040D4B005F2020EF16 -:040D4C0006400793C3 -:040D4D005783E9419E -:040D4E00059303C145 -:040D4F00462103E155 -:040D500001E10513A5 -:040D510000F11E236C -:040D5200602020EF0E -:040D5300046157835D -:040D5400020102A3F3 -:040D550000F11A236C -:040D5600048157833A -:040D570000F11B2369 -:040D580000EF455211 -:040D590057837AF052 -:040D5A00D42A04A1F2 -:040D5B0000F11A2366 -:040D5C0004C15783F4 -:040D5D0000F11B2363 -:040D5E0000EF45520B -:040D5F0057837970CD -:040D6000D62A04E1AA -:040D610000F11A2360 -:040D620005015783AD -:040D630000F11B235D -:040D640000EF455205 -:040D6500D82A77F021 -:040D660023412503FD -:040D670000EFCA2AA5 -:040D680055A27730E9 -:040D69000793DA2AE8 -:040D6A0087131E20AD -:040D6B00E063FE65DE -:040D6C00460514E73D -:040D6D0000EF182853 -:040D6E0057D277F0F1 -:040D6F0006F50B6317 -:040D7000066007937F -:040D710020EFC23E6F -:040D7200479236A0CE -:040D73008963470544 -:040D740047632AE7C0 -:040D7500071326F743 -:040D76008863F3504B -:040D770044632AE7C0 -:040D7800071324F742 -:040D79008763F34059 -:040D7A0075B72AE738 -:040D7B00859300015B -:040D7C00C23EAF457F -:040D7D00851367E58E -:040D7E0046458C4713 -:040D7F0054E020EF2D -:040D8000BD9FD0EF54 -:040D8100000F4537E3 -:040D8200240505132C -:040D8300AF3FC0EFCF -:040D8400DA63479255 -:040D850058632A077E -:040D860076372A8012 -:040D8700656500019D -:040D8800C146061347 -:040D8900051345C544 -:040D8A0020EF88C509 -:040D8B00147D48602B -:040D8C006465A2B93F -:040D8D0000017637B4 -:040D8E00BB06061387 -:040D8F00051345C53E -:040D900020EF88C404 -:040D910056B246E030 -:040D9200763767E564 -:040D930085130001C3 -:040D940006138C476F -:040D950045C5BC068E -:040D9600458020EF85 -:040D9700B7DFD0EF03 -:040D980054B257C238 -:040D9900C23E430112 -:040D9A00C422450129 -:040D9B0008936463F2 -:040D9C009263479285 -:040D9D0047831AA7C7 -:040D9E00468301E1A6 -:040D9F00470301C144 -:040DA000CFD501D1D9 -:040DA100000177B71F -:040DA200AC07879380 -:040DA30006134522CC -:040DA400C03201E177 -:040DA500000176379C -:040DA600BCC60613AE -:040DA700051345C526 -:040DA80020EF88C5EB -:040DA90067E540E0DA -:040DAA00000175B718 -:040DAB0085934645A1 -:040DAC008513C245A4 -:040DAD0020EF8C4760 -:040DAE00644132204A -:040DAF00B1DFD0EFF1 -:040DB00014B7147DE3 -:040DB100A783000212 -:040DB20067650D0460 -:040DB3009A075683C2 -:040DB4008B638FE1DD -:040DB500071306F624 -:040DB60057039A073E -:040DB70000630027AE -:040DB800650914F7BE -:040DB90071050513A8 -:040DBA00A17FC0EF66 -:040DBB000793BFD902 -:040DBC00BDC9065057 -:040DBD00406482B359 -:040DBE002000079377 -:040DBF000057F46382 -:040DC000200002937A -:040DC100041367E5CB -:040DC2009713200360 -:040DC3008513010291 -:040DC4008341874799 -:040DC50056134681FA -:040DC600182C009451 -:040DC700C616C81A6A -:040DC800122020EFE6 -:040DC900166387AA7C -:040DCA0043420E058D -:040DCB00182842B2F0 -:040DCC0000133613C7 -:040DCD0000EF859618 -:040DCE0083225FF02D -:040DCF0077B7BF052E -:040DD0008793000104 -:040DD100B799D007F7 -:040DD20034A010EF4A -:040DD3005783676576 -:040DD40065098B47DB -:040DD500710505138C -:040DD6000027E79378 -:040DD7008AF71A235A -:040DD8000EF4A02352 -:040DD90099BFC0EF0F -:040DDA00000175B7E8 -:040DDB0046456565BF -:040DDC00BD858593B9 -:040DDD0088C50513AD -:040DDE003D2020EFA5 -:040DDF0067E5440D73 -:040DE000000174B7E3 -:040DE1008C478513A3 -:040DE200859346456A -:040DE30020EFBE44FB -:040DE400D0EF3BC051 -:040DE5005632A47F5F -:040DE60045811834F7 -:040DE700D0EF4505FF -:040DE80087AA9C9F9B -:040DE900E20510E32C -:040DEA0075B767650D -:040DEB0005130001EB -:040DEC00464588C729 -:040DED00BF458593E6 -:040DEE00392020EF99 -:040DEF00BE448593E6 -:040DF000464564E52B -:040DF1008C44851396 -:040DF200382020EF96 -:040DF300A0DFD0EFBE -:040DF40055B256425C -:040DF5004501183468 -:040DF6008FDFD0EFCC -:040DF70013E387AAD1 -:040DF80020EFDE0505 -:040DF900676514E036 -:040DFA00000175B7C8 -:040DFB008593464551 -:040DFC000513C38593 -:040DFD0020EF88C794 -:040DFE0075B71E2087 -:040DFF004645000164 -:040E0000C0458593D1 -:040E01008C44851385 -:040E0200342020EF89 -:040E03009CDFD0EFB1 -:040E0400440DA001F8 -:040E0500440DBB4598 -:040E060006700793D8 -:040E0700440DB3657E -:040E080006800793C6 -:040E09000713B345D3 -:040E0A008A63F360A4 -:040E0B00071306E7DC -:040E0C009CE3F37000 -:040E0D0075B7DAE7F4 -:040E0E0085930001C7 -:040E0F00BB55B545D5 -:040E1000066007135E -:040E110006E782630B -:040E120000F74F6333 -:040E1300064007137B -:040E140006E7816309 -:040E15000650071369 -:040E1600D8E799E39D -:040E1700000175B7AA -:040E1800B0C5859349 -:040E19000713B3798F -:040E1A008963067072 -:040E1B00071304E7CE -:040E1C009CE30680CD -:040E1D0075B7D6E7E8 -:040E1E0085930001B7 -:040E1F00BB95B405C6 -:040E2000000175B7A1 -:040E2100AFC5859341 -:040E220075B7B3AD40 -:040E230085930001B2 -:040E2400B385B74596 -:040E2500000175B79C -:040E2600B8458593B3 -:040E270075B7BB9947 -:040E280085930001AD -:040E2900B3B1B64566 -:040E2A00000175B797 -:040E2B00B1C5859335 -:040E2C0075B7B3895A -:040E2D0085930001A8 -:040E2E00BB25B985A2 -:040E2F00000175B792 -:040E3000B2C585932F -:040E31002083B33D2A -:040E32002403240170 -:040E3300248323C130 -:040E3400557D238144 -:040E35002441011340 -:040E360067E580826A -:040E37009EE7C70368 -:040E380067E546F133 -:040E39009EE78C2381 -:040E3A0002D70733A1 -:040E3B00879367E54D -:040E3C0097BAA107B9 -:040E3D0000E7D68371 -:040E3E001B236765A6 -:040E3F004B989ED757 -:040E40008B7D66E55B -:040E41009EE69E2368 -:040E42000147C6831B -:040E43001F2367659D -:040E4400C6839ED7EC -:040E450067650127B5 -:040E46009ED71523FB -:040E470066E547987D -:040E48009A23835511 -:040E4900C6839EE6D8 -:040E4A006765015780 -:040E4B009ED71823F3 -:040E4C000137C68321 -:040E4D001D23676595 -:040E4E00D6839ED7D2 -:040E4F00676500C70C -:040E50009ED71923ED -:040E51000167C7036B -:040E5200962367E597 -:040E530080829EE714 -:040E5400C58367E506 -:040E550067E59F8727 -:040E56009F67D68339 -:040E5700D28367E5F6 -:040E580067E59FC7E4 -:040E59009FE7D783B5 -:040E5A00CA26111182 -:040E5B0067E5C03E49 -:040E5C009EA7C78303 -:040E5D006765CC22D7 -:040E5E0067E5C23E44 -:040E5F009F47D7834F -:040E6000A1070713CC -:040E610067E5C43E3F -:040E62009F07D7838C -:040E630067E5C63E3B -:040E64009FA7C783FA -:040E650067E5C83E37 -:040E66009F27D4836B -:040E6700D30367E565 -:040E680067E59EC7D5 -:040E69008D87879357 -:040E6A0000B7C60304 -:040E6B008603C241F7 -:040E6C001D6300A75B -:040E6D0047F106B68D -:040E6E0002F607B3CE -:040E6F00D38397BAD8 -:040E7000916300E7A3 -:040E7100A38306D37E -:040E7200F41301076D -:040E7300F3930FF2F4 -:040E7400996301F38A -:040E7500C3830483AC -:040E760044020147EA -:040E770004839463F9 -:040E78000127C38308 -:040E79009F6344121D -:040E7A00A3830283C9 -:040E7B004422008786 -:040E7C000153D393B8 -:040E7D0002839863F1 -:040E7E000157C383D2 -:040E7F009363443203 -:040E8000C3830283A3 -:040E810044420137AF -:040E820000839E63E8 -:040E830000C7D7834A -:040E840000979A63D6 -:040E8500063347F1F8 -:040E8600963A02F6A0 -:040E87000166478336 -:040E88000067866316 -:040E8900460567E5CE -:040E8A0094C789235D -:040E8B0087B347F1F1 -:040E8C00F29302F5E6 -:040E8D0097BA01F21D -:040E8E000107D6037F -:040E8F0000D79723CE -:040E900062B39A01AE -:040E9100982300564C -:040E920046020057BD -:040E930000C78A23E7 -:040E94008923461256 -:040E9500462200C72A -:040E9600005612935D -:040E970000A7D603D7 -:040E980066338A7DB6 -:040E99009523005647 -:040E9A00463200C715 -:040E9B0000C78AA35F -:040E9C009623464211 -:040E9D008B2300970C -:040E9E0089A30067BD -:040E9F00666500C7BD -:040EA0009EC60613D1 -:040EA100166367E588 -:040EA200069302C5EC -:040EA300033346507F -:040EA400069302D3DC -:040EA5007637064056 -:040EA600061300012E -:040EA70046B3714697 -:040EA800446202D3CB -:040EA90045C544D225 -:040EAA008C478513D9 -:040EAB00206F017142 -:040EAC006665002057 -:040EAD009F66061323 -:040EAE0000C5076311 -:040EAF00061366655B -:040EB00017639FC65F -:040EB100467102C5BF -:040EB20002C585B33D -:040EB300446246153A -:040EB400851344D28C -:040EB500972E8C47A1 -:040EB60045C54B18CB -:040EB70007338B7DF5 -:040EB800763702C7C0 -:040EB900061300011B -:040EBA00017171C68B -:040EBB007C50106FE8 -:040EBC000001763784 -:040EBD000005568353 -:040EBE007046061361 -:040EBF000693B75D82 -:040EC00006B327103E -:040EC100079302D5BC -:040EC2007637064039 -:040EC3006565000160 -:040EC400CFC606137C -:040EC500051345C507 -:040EC600C6B38C45DE -:040EC700106F02F6B0 -:040EC800F06F79301E -:040EC9000505FDDF3F -:040ECA0096B3468510 -:040ECB00763700A6D0 -:040ECC006565000157 -:040ECD00C8C606137A -:040ECE00051345C5FE -:040ECF00106F8C45CF -:040ED00046857730AC -:040ED10000A696B32E -:040ED200000176376E -:040ED3000613656538 -:040ED40045C5C986C1 -:040ED5008C45051330 -:040ED6007590106F94 -:040ED700F805069381 -:040ED800763706E281 -:040ED900656500014A -:040EDA00061386E194 -:040EDB0045C5CF46F4 -:040EDC008C45051329 -:040EDD0073D0106F4F -:040EDE00763786AA33 -:040EDF006565000144 -:040EE000700606137F -:040EE100051345C5EB -:040EE200106F8C45BC -:040EE30086AA7270F9 -:040EE400000176375C -:040EE5000613656526 -:040EE60045C5C986AF -:040EE7008C4505131E -:040EE8007110106F06 -:040EE900000F46B7F9 -:040EEA0024068693C1 -:040EEB0002D50533F4 -:040EEC0087936789F8 -:040EED00071396470A -:040EEE0036B73E8055 -:040EEF008693006383 -:040EF0007637EA0661 -:040EF10006130001E3 -:040EF20045C5CAC662 -:040EF30002F557B3FA -:040EF40002E7F7B367 -:040EF50056B3472980 -:040EF600656502D557 -:040EF7008C4505130E -:040EF80002E7D73303 -:040EF9006CD0106F3A -:040EFA0046700693A5 -:040EFB0002D506B363 -:040EFC000640079312 -:040EFD000001763743 -:040EFE00061365650D -:040EFF0045C56F86F0 -:040F00008C45051304 -:040F010002F6C6B37B -:040F02006A90106F72 -:040F0300271006931A -:040F040005330505A7 -:040F0500069302D578 -:040F060076370640F4 -:040F070006130001CC -:040F080045C5CFC646 -:040F090002D546B314 -:040F0A000513656501 -:040F0B00106F8C4592 -:040F0C0086AA683019 -:040F0D000001763732 -:040F0E0006136565FC -:040F0F0045C5C8C646 -:040F10008C450513F4 -:040F110066D0106F27 -:040F120001851693AC -:040F1300763786E1C6 -:040F1400656500010E -:040F1500061316D1D8 -:040F160045C5CA46BD -:040F17008C450513ED -:040F18006510106FE1 -:040F1900053345F166 -:040F1A0065E502B5D2 -:040F1B00A105859314 -:040F1C0095AA464507 -:040F1D0005136565EE -:040F1E00106F8C457F -:040F1F0017936D10A7 -:040F2000655D0025E6 -:040F2100CCC5051323 -:040F2200410C953EAB -:040F23004645656575 -:040F24008C450513E0 -:040F25006B70106F6E -:040F26000F6347A965 -:040F2700179300F527 -:040F280065610025DA -:040F2900D8050513CF -:040F2A00410C953EA3 -:040F2B00464565656D -:040F2C008C450513D8 -:040F2D006970106F68 +:0401A700433D8082D2 +:0401A8007363872ACC +:0401A900779302C383 +:0401AA00EFBD00F7AE +:0401AB007693E5ADB5 +:0401AC008A3DFF0683 +:0401AD00C30C96BA2F +:0401AE00C70CC34C6B +:0401AF000741C74CF1 +:0401B000FED76BE328 +:0401B1008082E21155 +:0401B20040C306B38D +:0401B3000297068A1F +:0401B400969600001B +:0401B50000A68067B9 +:0401B60000B7072364 +:0401B70000B706A3E4 +:0401B80000B7062363 +:0401B90000B705A3E3 +:0401BA0000B7052362 +:0401BB0000B704A3E2 +:0401BC0000B7042361 +:0401BD0000B703A3E1 +:0401BE0000B7032360 +:0401BF0000B702A3E0 +:0401C00000B702235F +:0401C10000B701A3DF +:0401C20000B701235E +:0401C30000B700A3DE +:0401C40000B700235D +:0401C500F5938082AC +:0401C60096930FF508 +:0401C7008DD500854D +:0401C8000105969304 +:0401C900B7618DD5B8 +:0401CA0000279693E1 +:0401CB000000029797 +:0401CC0082869696FB +:0401CD00FA8680E747 +:0401CE0017C180963F +:0401CF00963E8F1DAC +:0401D000F8C374E319 +:0401D1006733B7A534 +:0401D20053FD00B524 +:0401D300E7798B0D30 +:0401D4007F7F87B7EB +:0401D500F7F787931E +:0401D60041944110FF +:0401D70000F672B309 +:0401D80000F6633397 +:0401D900E2B392BE3D +:0401DA0097630062C5 +:0401DB0018630C7227 +:0401DC00415006D6B2 +:0401DD0072B341D4E4 +:0401DE00633300F691 +:0401DF0092BE00F6D6 +:0401E0000062E2B324 +:0401E1000A729863A3 +:0401E20004D61B63C1 +:0401E30045944510EA +:0401E40000F672B3FC +:0401E50000F663338A +:0401E600E2B392BE30 +:0401E70091630062BE +:0401E8001E630A7216 +:0401E900455002D6A5 +:0401EA0072B345D4D3 +:0401EB00633300F684 +:0401EC0092BE00F6C9 +:0401ED000062E2B317 +:0401EE0008729A6396 +:0401EF0002D61163C0 +:0401F00049944910D5 +:0401F10000F672B3EF +:0401F20000F663337D +:0401F300E2B392BE23 +:0401F40093630062AF +:0401F5000551087236 +:0401F6000FE305D13D +:0401F7001713F6D60E +:0401F80097930106D2 +:0401F9001C6301067C +:0401FA00571300F7A0 +:0401FB00D79301068F +:0401FC0005330106C0 +:0401FD00759340F7BF +:0401FE00E9910FF57F +:0401FF008341808236 +:04020000053383C17E +:04020100759340F7BA +:04020200E1910FF582 +:04020300771380826B +:04020400F7930FF766 +:0402050005330FF7B7 +:04020600808240F7BB +:0402070000054603A5 +:040208000005C683A4 +:04020900058505055D +:04020A0000D61363A4 +:04020B000533FA6558 +:04020C00808240D6D6 +:04020D000591051141 +:04020E00FED612E323 +:04020F0080824501A3 +:0402100005A105211E +:04021100FCD61CE318 +:0402120080824501A0 +:0402130005B10531FB +:04021400FCD616E31B +:04021500808245019D +:0402160005C10541D8 +:04021700FCD610E31E +:04021800808245019A +:0402190006131111A6 +:04021A001023282065 +:04021B00463500C1A3 +:04021C0000C10123F9 +:04021D004605CC06C0 +:04021E0004C58A6326 +:04021F009A6346098F +:04022000061304C5F8 +:0402210001A3050030 +:04022200460300C1CE +:04022300661300312D +:0402240001A300260C +:04022500061300C1FB +:04022600C299040075 +:04022700F8000613C2 +:040228000323071E87 +:04022900661300A1B7 +:04022A0067130086D0 +:04022B008B8D008730 +:04022C004505858A75 +:04022D0000C10223E7 +:04022E0000E102A346 +:04022F0000F103A334 +:04023000C602C4023C +:0402310040E22E4534 +:040232008082017154 +:0402330003000613AB +:040234004641BF5D23 +:040235001111BF4D97 +:0402360010400793DA +:0402370000F110239F +:04023800012347A9AE +:04023900878A00F1BF +:04023A000713CC06D4 +:04023B0085BE00A1DB +:04023C00000781A393 +:04023D009DE30785B1 +:04023E004783FEE70D +:04023F004505003140 +:04024000E7939BE1C4 +:0402410001A30017FE +:04024200218900F11D +:04024300017140E223 +:040244001151808252 +:040245001437C22286 +:04024600C0260002CC +:0402470084AA46013E +:0402480004C0059356 +:040249000404051391 +:04024A00398DC40620 +:04024B000FF4F59324 +:04024C00051346014F +:04024D00314504042F +:04024E0004C0059350 +:04024F00040405138B +:0402500039A946057D +:040251000404051389 +:0402520040A2441270 +:040253004585448217 +:04025400B1AD013116 +:04025500C42211416D +:040256000002143757 +:04025700C02AC226D1 +:04025800460184AE29 +:0402590004C0059345 +:04025A000404051380 +:04025B00313DC60665 +:04025C00460147828E +:04025D00040405137D +:04025E000FF7F5930E +:04025F00051339A9A1 +:04026000442204042C +:0402610085A640B27C +:040262004605449277 +:04026300B1A1014103 +:04026400C40611516A +:0402650040A23FBDB7 +:040266000FF5751308 +:04026700808201315F +:04026800C406115166 +:0402690040A23F452B +:04026A000131450118 +:04026B00113180824B +:04026C00C426C622BC +:04026D0084AAC80691 +:04026E00440187AE12 +:04026F0000C448631C +:04027000443240C212 +:04027100450144A25D +:040272008082015134 +:040273000087873346 +:0402740000074583B7 +:040275000084853349 +:040276000FF57513F8 +:04027700C03EC23291 +:0402780004053F95A5 +:040279004782461260 +:04027A000793BFD156 +:04027B0005333E8089 +:04027C00F06F02F528 +:04027D001151F0CF5C +:04027E00453D458134 +:04027F00374DC4062D +:04028000458140A2D2 +:04028100061005134B +:04028200BF5901312E +:04028300C222115131 +:040284000413646596 +:040285004583F904B0 +:0402860045150024F6 +:040287003749C40629 +:040288000024478384 +:0402890003D0059306 +:04028A00839D4511FA +:04028B00002797139E +:04028C0000C44783E0 +:04028D008FD99BED7D +:04028E0000F406234F +:04028F004505379555 +:0402900045F5376D8C +:040291003FA945112B +:0402920003000593CD +:040293000610051339 +:0402940005933F810E +:0402950045250B20D0 +:04029600059337A1F4 +:0402970045290F8066 +:040298000593378112 +:04029900452D03707C +:04029A0045813F2536 +:04029B003F0D453D91 +:04029C000513458180 +:04029D00372D0C905D +:04029E00051345817E +:04029F00370D0CA06B +:0402A000051345817C +:0402A1003F290CB035 +:0402A200051345817A +:0402A3003F090CC043 +:0402A4000513458178 +:0402A50037290CD019 +:0402A6000513458176 +:0402A70037090CE027 +:0402A8000513458174 +:0402A9003DED0CF02B +:0402AA000513458172 +:0402AB003DCD0D0038 +:0402AC000044458342 +:0402AD0040A2441215 +:0402AE000E10051316 +:0402AF00B5CD013197 +:0402B000C82211212E +:0402B1000413C62646 +:0402B200CA063E80BA +:0402B300872A4685CB +:0402B400543387AE8A +:0402B500648D0285CD +:0402B60000D5956377 +:0402B700849364893F +:0402B80045858004F4 +:0402B900C432453DC9 +:0402BA00C03AC23E46 +:0402BB0045813D55E7 +:0402BC0003300513F3 +:0402BD00D59335752B +:0402BE0005130084A0 +:0402BF00354D034076 +:0402C000051345815C +:0402C1003D69035040 +:0402C2000FF475932D +:0402C300030005131C +:0402C40055933D41D0 +:0402C500F593008429 +:0402C60005130FF518 +:0402C70035490310A2 +:0402C8000104559345 +:0402C9000FF5F593A5 +:0402CA0003200513F5 +:0402CB0045813D9597 +:0402CC0035BD453DBA +:0402CD00051345814F +:0402CE00359D0C50FE +:0402CF0064E5470299 +:0402D0008693479238 +:0402D100C698F904CE +:0402D20084934705C5 +:0402D3004409F904DD +:0402D40083634622D8 +:0402D500442900E7D1 +:0402D6008223470533 +:0402D70083A300E419 +:0402D80046850084D3 +:0402D9000363473D37 +:0402DA00470100D602 +:0402DB0000E482A316 +:0402DC000C0005937A +:0402DD000E000513F7 +:0402DE00351DC03ECC +:0402DF004705478206 +:0402E000059005136D +:0402E1000AE797632E +:0402E20075933521BA +:0402E300E5930FC5CB +:0402E40005130015E9 +:0402E5003529059022 +:0402E6003BDD4511A6 +:0402E7000EB5759348 +:0402E8003BFD451184 +:0402E9000044C58385 +:0402EA000E100513DA +:0402EB0005933BD567 +:0402EC0005130E40A8 +:0402ED0033ED0E20BF +:0402EE000054C58370 +:0402EF000E300513B5 +:0402F0003BF989BD90 +:0402F100051345A10B +:0402F2003BD90E40A6 +:0402F300453D4585BB +:0402F40045A13BC124 +:0402F50009100513D4 +:0402F600458133E12A +:0402F70009200513C2 +:0402F800458533C144 +:0402F90009300513B0 +:0402FA0045813B659A +:0402FB00094005139E +:0402FC0085A23B4557 +:0402FD00098005135C +:0402FE00459333658C +:0402FF0005920FF461 +:0403000000B5E593CC +:040301000FB5F593AC +:040302000990051346 +:0403030045813B51A4 +:040304003379453DC7 +:040305000C10059340 +:040306000E000513CD +:0403070007933351D4 +:040308008323FC103F +:0403090040D200F4EA +:04030A0044B2444273 +:04030B000161450542 +:04030C003BB98082F7 +:04030D000FC5759310 +:04030E001151BFA921 +:04030F00C4064511CA +:0403100065933B8135 +:04031100F5930085DB +:0403120045110FF58D +:0403130040A23B9138 +:04031400030005934A +:0403150006100513B6 +:04031600B399013165 +:04031700451111512A +:04031800333DC406A7 +:04031900659340A206 +:04031A00F593004512 +:04031B0045110FF584 +:04031C00B33D0131BB +:04031D00C4061151B0 +:04031E00E911C222FD +:04031F0005134581FC +:040320003B390CD089 +:0403210040A24505AC +:04032200013144124F +:04032300842E808222 +:04032400D9F54501C1 +:04032500453D458588 +:0403260045833321B7 +:040327000513003486 +:0403280039FD058016 +:0403290000444583C4 +:04032A000590051322 +:04032B00458339D5F8 +:04032C000513005461 +:04032D0031ED05A009 +:04032E00006445839F +:04032F0005B00513FD +:04033000458331C50B +:04033100051300743C +:0403320039D905C0F0 +:04033300008445837A +:0403340005E00513C8 +:04033500458331F1DA +:040336000513009417 +:0403370031C905F0D3 +:0403380000A4458355 +:0403390006000513A2 +:04033A004583396559 +:04033B00051300B4F2 +:04033C00317D0610F9 +:04033D0000C4458330 +:04033E00062005137D +:04033F00458331556C +:04034000051300D4CD +:0403410039690630E0 +:0403420000E445830B +:040343000640051358 +:040344004583394173 +:04034500051300F4A8 +:0403460031590650D3 +:0403470000340793E4 +:0403480004414581A6 +:040349000007C703DF +:04034A008D990785FD +:04034B000FF5F59322 +:04034C00FEF41AE3BE +:04034D0006F5859399 +:04034E000FF5F5931F +:04034F0005D00513BD +:04035000458131852D +:0403510039A9453D44 +:04035200BF15458D01 +:04035300C40611517A +:04035400E911C222C7 +:0403550005134581C6 +:0403560031990CE0ED +:0403570040A2450576 +:040358000131441219 +:04035900842E8082EC +:04035A00D9F545018B +:04035B00453D458552 +:04035C004583390597 +:04035D000513003450 +:04035E00311D0680C7 +:04035F00004445838E +:0403600006900513EB +:040361004583393166 +:04036200051300641B +:04036300390906B09E +:040364000074458359 +:0403650006C00513B6 +:040366004583312179 +:040367004783003494 +:040368000513004435 +:0403690097AE06D075 +:04036A0007100593E0 +:04036B0047838D9D9A +:04036C008D9D00540F +:04036D00006447835E +:04036E0047838D9D97 +:04036F008D9D0074EC +:040370000FF5F593FD +:0403710045813EF193 +:040372003ED9453DEE +:04037300B761458D9C +:04037400C22211513F +:04037500842A458110 +:04037600C406453D37 +:04037700051336D163 +:04037800367D0C10B2 +:040379000080343399 +:04037A000FE5759383 +:04037B0005138DC118 +:04037C00367D0C10AE +:04037D0040A2441244 +:04037E000513458D91 +:04037F0001310C60DC +:040380001121B6454C +:04038100C22AC626A0 +:04038200451184AEEF +:04038300C82245F552 +:040384008432C036C9 +:040385003669CA0605 +:0403860067654682DF +:04038700F907061359 +:0403880097938A8538 +:040389004683001691 +:04038A009AF500C61A +:04038B0007938EDD69 +:04038C000623F90744 +:04038D00C03E00D698 +:04038E00C2998A89FD +:04038F003F49450598 +:040390000513478288 +:04039100C783070017 +:04039200C43E00372E +:04039300F713369195 +:040394004685003466 +:040395000035759327 +:04039600076347A210 +:04039700468908D7B4 +:0403980008D7076318 +:040399000087F69350 +:04039A00E593C2998C +:04039B00F6930205CE +:04039C00C2990017EB +:04039D000105E593DE +:04039E000027F693AB +:04039F00E593C29987 +:0403A0008B910085B8 +:0403A100E593C39984 +:0403A20005130045FA +:0403A300C43A070051 +:0403A40047223E01AD +:0403A5001A071863B8 +:0403A6004785880DF2 +:0403A70004F40C63EB +:0403A800116347890D +:0403A90097931AF418 +:0403AA0087E1018462 +:0403AB00D4634401D2 +:0403AC00041300072F +:0403AD00F4930A00BB +:0403AE0007930304AA +:0403AF008A6302005B +:0403B000079314F4A7 +:0403B100836303005F +:0403B20047C104F447 +:0403B3009F6365E5FA +:0403B400460D14F4EA +:0403B500EA458593FD +:0403B60007300513F4 +:0403B70065E13CC9F7 +:0403B800859346499A +:0403B900A835460518 +:0403BA000405E593BE +:0403BB00E593BFA562 +:0403BC00BF8D0805E4 +:0403BD00018497938D +:0403BE00F41387E1CC +:0403BF00DCE3040473 +:0403C0006413FA07C1 +:0403C1007413FA04B3 +:0403C200B7750FF408 +:0403C300460D65E599 +:0403C400EA858593AE +:0403C50007300513E5 +:0403C60065E13C5958 +:0403C700859346498B +:0403C800051347458D +:0403C900F0EF0760EA +:0403CA004489A89F1B +:0403CB0007200513EF +:0403CC00A61FF0EF89 +:0403CD0001C575935E +:0403CE008DC18C450C +:0403CF0007200513EB +:0403D000A61FF0EF85 +:0403D1004585478295 +:0403D20000C7C78316 +:0403D300E3918B899E +:0403D4000513458147 +:0403D500F0EF0C0039 +:0403D60045D5A4BFA6 +:0403D700F0EF4511ED +:0403D80045C1A43F38 +:0403D90006100513F2 +:0403DA00A39FF0EFFE +:0403DB0047894712F5 +:0403DC000CF71D639A +:0403DD0008800593FC +:0403DE0006200513DD +:0403DF00A25FF0EF3A +:0403E000051345C1FB +:0403E100F0EF063003 +:0403E2000593A1BF1F +:0403E30005130840B6 +:0403E400F0EF0640F0 +:0403E5004505A0FF2B +:0403E600A53FF0EF50 +:0403E700F0EF4511DD +:0403E80075939F3F2B +:0403E900451101D5E4 +:0403EA009F9FF0EFF2 +:0403EB0006400513B0 +:0403EC00A3BFF0EFCC +:0403ED00F0EF4511D7 +:0403EE0075939DBFA7 +:0403EF00451101555E +:0403F0009E1FF0EF6D +:0403F10006400413AB +:0403F200F0EF4539AA +:0403F30089419C7F21 +:0403F400147DE9018A +:0403F5004505044274 +:0403F600F0EF804163 +:0403F700F46DA11FE1 +:0403F80045314581C5 +:0403F9009BDFF0EFA7 +:0403FA000400059363 +:0403FB00F0EF4535A5 +:0403FC0045859B3F59 +:0403FD00F0EF45399F +:0403FE0045819ABFDC +:0403FF00F0EF45399D +:04040000F0EF9A3F40 +:0404010040D29F5FE7 +:0404020044B244427A +:040403000161450549 +:0404040065E58082A8 +:040405008593460D88 +:040406000513EA856B +:04040700F0EF0730DB +:0404080065E1991FF2 +:040409008593464948 +:04040A00BDE544C543 +:04040B008593460D82 +:04040C000513EA45A5 +:04040D00F0EF0730D5 +:04040E0065E1979F6E +:04040F008593464942 +:04041000B5C54385A6 +:0404110044814401DD +:0404120045E1B5D536 +:0404130006200513A7 +:04041400951FF0EF51 +:04041500051345C1C5 +:04041600F0EF0630CD +:0404170045B1947FD8 +:040418001151B73D8A +:04041900C026C22215 +:04041A0084AE842AFE +:04041B004581453D95 +:04041C00F0EFC40633 +:04041D00051392FF32 +:04041E00F0EF059066 +:04041F007593917FC1 +:04042000E49902F564 +:040421008DC1041A6B +:040422000FF5F5934A +:040423000105E59357 +:0404240040A244129C +:0404250005134482F5 +:04042600013105900B +:04042700905FF06F83 +:04042800A50367E5DC +:0404290067E5E98713 +:04042A00FAA7A0236A +:04042B000015351370 +:04042C0040A00533B4 +:04042D0011118082A7 +:04042E00C826CA22F0 +:04042F00C22ACC060B +:04043000C432C02EE4 +:04043100440184B648 +:0404320047824701B5 +:0404330000F46D6301 +:04043400450147A295 +:0404350000F7046365 +:04043600F340051377 +:04043700445240E209 +:04043800017144C248 +:0404390047828082F4 +:04043A001000069315 +:04043B00408787333C +:04043C0000E6F4637F +:04043D001000071391 +:04043E0086BA4792A1 +:04043F0005B3862655 +:0404400067E500F478 +:04044100FA07A5030E +:04044200F0EFC63AD7 +:04044300F579B12F67 +:0404440036134732F2 +:0404450085260014F4 +:0404460030EF85BA54 +:04044700872A2E7062 +:040448001004041385 +:040449001141B75D49 +:04044A006465C422FF +:04044B000513C02AAB +:04044C00C606FA44A2 +:04044D0045D040EF67 +:04044E00E9094582F1 +:04044F00200007136F +:04045000460146819A +:04045100FA44051351 +:04045200591040EF0E +:04045300442240B24D +:0404540040A005338C +:04045500808201415F +:04045600CA22111194 +:04045700CC06C826E1 +:04045800842EC02A04 +:04045900C23684B271 +:04045A004501E099DF +:04045B000713A805D6 +:04045C0082A6200054 +:04045D00009774632D +:04045E0020000293E5 +:04045F00459246027A +:0404600001029713EB +:04046100834167E587 +:040462008513468137 +:04046300C416FA477A +:04046400549040EF81 +:04046500C90142A2E5 +:0404660040A005337A +:04046700445240E2D9 +:04046800017144C218 +:040469007713808203 +:04046A0016130FF462 +:04046B0063E50084C1 +:04046C004692C715D8 +:04046D00FA03A503E6 +:04046E00008455931E +:04046F0005C2871625 +:04047000F0EFC416CF +:0404710042A2874FCD +:040472004782F97153 +:040473000082D71319 +:040474000785943A2A +:0404750084B3C03E4E +:04047600B7794054BE +:04047700FA03A503DC +:04047800C61685B26D +:04047900F0EFC432AA +:04047A0063E5804F67 +:04047B0042B2462221 +:04047C00B76DD1691E +:04047D00C422114143 +:04047E00C606C226C6 +:04047F0064E5468565 +:040480000863646544 +:0404810067E500D556 +:04048200FE17C70397 +:04048300136347892F +:0404840067E50AF727 +:04048500EAC78793A8 +:040486004641438820 +:04048700FBC485939A +:040488007C1040EFB5 +:04048900879367E509 +:04048A00439CEAC7DE +:04048B00059346414E +:04048C008513FF4491 +:04048D00C03E020764 +:04048E007A9040EF31 +:04048F00C7034782D6 +:0404900086233CC7BC +:04049100C7033C075A +:0404920086A33CD72A +:04049300C7033C0758 +:0404940087233CE797 +:04049500C7033C0756 +:0404960087A33CF705 +:04049700C7033C0754 +:04049800470D3C4789 +:040499003CE7822397 +:04049A003C57C70301 +:04049B003C0782A3F5 +:04049C003C67C703EF +:04049D003C07832372 +:04049E003C77C703DD +:04049F003C0783A3F0 +:0404A0003C87C703CB +:0404A1003C0784236D +:0404A2003C97C703B9 +:0404A3003C0784A3EB +:0404A4003CA7C703A7 +:0404A5003C07852368 +:0404A6003CB7C70395 +:0404A7003C0785A3E6 +:0404A800FF44059375 +:0404A90040B24422F7 +:0404AA00FBC48513F7 +:0404AB000141449235 +:0404AC003BB0306FC2 +:0404AD00FEF516E35F +:0404AE00667020EF65 +:0404AF0000454303BE +:0404B000879367E5E2 +:0404B1004388EAC7CB +:0404B20000531713C9 +:0404B3004641074176 +:0404B4000593953ADD +:0404B500C01AFF4426 +:0404B600709040EF13 +:0404B700879367E5DB +:0404B800439CEAC7B0 +:0404B900468543022F +:0404BA003C87C703B1 +:0404BB003C97C603A1 +:0404BC008F51062234 +:0404BD003CA7C6038F +:0404BE008E5906420B +:0404BF003CB7C7037C +:0404C0008E590762E8 +:0404C1000066973307 +:0404C20076938F514D +:0404C300C6030FF766 +:0404C40084233C87CA +:0404C50056933CD737 +:0404C600F693008722 +:0404C700C6030FF663 +:0404C80084A33C9736 +:0404C90056933CD733 +:0404CA00F69301079D +:0404CB00C6030FF65F +:0404CC0083613CA765 +:0404CD003CD7852370 +:0404CE003CB7C683EE +:0404CF003CE785A3DE +:0404D00067E5B785A0 +:0404D10011F7C783D5 +:0404D2001151EFCD08 +:0404D300C22267E5F5 +:0404D400C026C40674 +:0404D500EAC7A703C8 +:0404D600EAC78413DA +:0404D7004783C51979 +:0404D800E7933C0763 +:0404D90000230027D5 +:0404DA0064E53CF7A2 +:0404DB00FD04859304 +:0404DC004641853AD6 +:0404DD0066D040EFB6 +:0404DE0067E540008E +:0404DF0007078593F3 +:0404E00002040513FA +:0404E10040EF464161 +:0404E200470365B0B7 +:0404E30006233CC4EC +:0404E40047033C048A +:0404E50006A33CD45A +:0404E60047033C0488 +:0404E70007233CE4C7 +:0404E80047033C0486 +:0404E90007A33CF435 +:0404EA0047033C0484 +:0404EB0085133C44F5 +:0404EC00470DFD04B7 +:0404ED003CE40223C6 +:0404EE003C54470330 +:0404EF003C0402A324 +:0404F0003C6447031E +:0404F1003C040323A1 +:0404F2003C7447030C +:0404F3003C0403A31F +:0404F4003C844703FA +:0404F5003C0404239C +:0404F6003C944703E8 +:0404F7003C0404A31A +:0404F8003CA44703D6 +:0404F9003C04052397 +:0404FA003CB44703C4 +:0404FB003C0405A315 +:0404FC0040A24412C4 +:0404FD0067E54482E9 +:0404FE0007078593D4 +:0404FF00306F013128 +:04050000808226D0FF +:04050100C4061151CA +:04050200842AC22263 +:04050300851FF0EF71 +:0405040045014581E7 +:04050500939FF0EFE1 +:0405060005634789B9 +:04050700646502F431 +:04050800088404134C +:04050900460367E559 +:04050A00458303849E +:04050B00A5030374CD +:04050C00F0EFFB47CA +:04050D004503E8EFCB +:04050E00441203741C +:04050F00013140A2D4 +:04051000C96FF06F50 +:04051100441240A2AE +:0405120080820131B1 +:04051300C02611519C +:04051400450584AA6B +:04051500C222C40634 +:04051600979FF0EFCC +:04051700FDEFF0EF15 +:0405180045014581D3 +:04051900811FF0EF5F +:04051A00079364657A +:04051B00C503008490 +:04051C004785010707 +:04051D00008404133F +:04051E0000A03533D1 +:04051F004601050587 +:0405200000F49363ED +:04052100869346096E +:0405220036B3FFE409 +:04052300458100D03E +:04052400973FF0EF1E +:040525008963478916 +:04052600636502F413 +:04052700088303132F +:0405280000F4478311 +:04052900024347033F +:04052A000114450370 +:04052B00009035B354 +:04052C0046014681BD +:04052D00F0EF058660 +:04052E0067E5BAEFD4 +:04052F0008878313A3 +:0405300002434783B8 +:0405310004F40123AA +:040532003F2D8526AE +:0405330040A244128C +:0405340045014482B7 +:04053500F06F013131 +:0405360047898FBFA3 +:040537000EA7EA63BE +:04053800C422114187 +:04053900278364654B +:04053A00C606EB44C2 +:04053B00C703C2260A +:04053C00041300376D +:04053D00830DEB44FB +:04053E0006638B3D88 +:04053F0047510CA76D +:0405400002E5073396 +:04054100859365DD5C +:0405420084AADE4564 +:040543008513465185 +:04054400C03E00476E +:0405450040EF95BA34 +:040546004782359023 +:0405470000F4F513B4 +:04054800C483050A59 +:04054900F493000720 +:04054A008D45FC34AB +:04054B00802364E5C0 +:04054C00879300A7EA +:04054D00C7830084DC +:04054E00849301C7CA +:04054F00C3850084DC +:040550005783676501 +:040551004529FE47F3 +:0405520007C29BF54C +:04055300122383C12B +:040554001737FEF760 +:04055500202300025D +:04055600E0EF0EF7CD +:0405570017B7BA5FB9 +:04055800A783000273 +:0405590097130D07E0 +:04055A00406300C733 +:04055B00401C040735 +:04055C000037C7039A +:04055D00CB11831D1E +:04055E000007C703C8 +:04055F0067134505D4 +:0405600080230017DD +:04056100E0EF00E7E0 +:040562004018B79FE7 +:04056300478345295C +:040564009BF90007F8 +:0405650000F7002378 +:04056600478340186F +:04056700E79300070F +:040568000023002745 +:04056900E0EF00F7C8 +:04056A00C783B59FEF +:04056B00CF8101C477 +:04056C0057836765E5 +:04056D00E793FE47CB +:04056E00122300272D +:04056F001737FEF745 +:040570002023000242 +:0405710040B20EF78F +:040572004492442249 +:040573008082014140 +:04057400115180821F +:0405750067E5C02650 +:04057600C222C406D3 +:04057700C70384AA88 +:04057800E549081732 +:04057900879367E518 +:04057A0043D40087DF +:04057B00019C0437A4 +:04057C00CC04041394 +:04057D0002D454331D +:04057E0000A78683C9 +:04057F0067E5467175 +:040580001407879342 +:0405810002C686B375 +:04058200D78397B6CE +:04058300043300E756 +:04058400478902F4AD +:0405850000F71E63FA +:0405860001C9C7B729 +:0405870037F7879328 +:040588000087E363A2 +:04058900852644BDC2 +:04058A00637030EF7B +:04058B00A80D450D65 +:04058C0062F030EFFA +:04058D00C78367E5D4 +:04058E004721FE27DC +:04058F0000E78B6393 +:0405900088634741F4 +:04059100471100E727 +:040592009B63450121 +:04059300450500E733 +:04059400B537A801CE +:04059500051304C482 +:0405960035333FF5C5 +:0405970005090085CD +:0405980040A2441227 +:040599000131448266 +:04059A001ED0306FD0 +:04059B001763440995 +:04059C00453D008752 +:04059D00FAE48AE30F +:04059E00B77D4501DF +:04059F0030EF4501F3 +:0405A000450D5E1097 +:0405A100FC947EE365 +:0405A2008D05451569 +:0405A3000FF57513C8 +:0405A4004785BFC107 +:0405A50000A7F86350 +:0405A600C50367E53D +:0405A7001561FE27B5 +:0405A80000153513F2 +:0405A900053347E1EE +:0405AA0067E102F50E +:0405AB00E3C7879388 +:0405AC00306F953ED9 +:0405AD0067654B50E3 +:0405AE00EB872603AE +:0405AF0067E11101EE +:0405B000CC22CE0685 +:0405B1008793CA263C +:0405B20046836A070B +:0405B300829D0036EF +:0405B40017FDE299B4 +:0405B50040EFFBFD1B +:0405B60067E50500F0 +:0405B70000878693A0 +:0405B80000B6C58341 +:0405B9008493C23E27 +:0405BA0067E500876A +:0405BB00871382AA76 +:0405BC00C591EB8773 +:0405BD00C783431499 +:0405BE00F59300268B +:0405BF0005130037E9 +:0405C000C8160370E6 +:0405C10030EFC62E23 +:0405C200C02A1CD05F +:0405C3000380051399 +:0405C4001C3030EFC8 +:0405C5006605430282 +:0405C6000085169303 +:0405C700F006061321 +:0405C80056138EF147 +:0405C900E6B3006530 +:0405CA008A05006638 +:0405CB000513842A66 +:0405CC00C0360390A2 +:0405CD0000C484A33F +:0405CE0019B030EF41 +:0405CF000513C42A22 +:0405D00030EF03A065 +:0405D10067E51910B1 +:0405D200EB87871319 +:0405D30045B24310DA +:0405D4004703468211 +:0405D50042C20026F8 +:0405D6000027531394 +:0405D70000364703A0 +:0405D80016138B7DEE +:0405D900673300671D +:0405DA004609006668 +:0405DB0002C587636B +:0405DC0000B4C6039E +:0405DD000613E60912 +:0405DE00706318F03E +:0405DF00460502D6F5 +:0405E00000C59A6355 +:0405E1000017069366 +:0405E2001906B593AE +:0405E3000015C593A7 +:0405E400A80947819A +:0405E5000204771382 +:0405E600B593DB6589 +:0405E700C5930C8626 +:0405E800478500152E +:0405E90000B4C30394 +:0405EA006765666576 +:0405EB00F8060613F5 +:0405EC000887041365 +:0405ED002803186364 +:0405EE004C028363D5 +:0405EF004C058163D3 +:0405F0000006058379 +:0405F1005A634309FD +:0405F200002326B309 +:0405F30047110006A6 +:0405F40085A3460590 +:0405F500C03A00C444 +:0405F6000085131356 +:0405F700650547222D +:0405F800F0050513F2 +:0405F90000A37333B5 +:0405FA0000E3633384 +:0405FB0065E5471259 +:0405FC00008726034B +:0405FD0000160513CC +:0405FE0000D56D6354 +:0405FF00001685134A +:0406000000C5696365 +:04060100166340D06C +:04060200C6030066C5 +:040603000B63008401 +:04060400862346F60D +:04060500458306051E +:04060600C603019492 +:04060700966303747F +:0406080045830AC557 +:04060900C60301A47F +:04060A009063038472 +:04060B0045830AC554 +:04060C00C60301B46C +:04060D009A63039455 +:04060E00458308C553 +:04060F00C60301C459 +:04061000946303A448 +:04061100458308C550 +:04061200C60301D446 +:040613009E6303B42B +:04061400458306C54F +:04061500C6030144D3 +:0406160098630324BE +:04061700458306C54C +:04061800C6030154C0 +:0406190092630334B1 +:04061A00458306C549 +:04061B00C6030164AD +:04061C009C63034494 +:04061D00458304C548 +:04061E00C60301749A +:04061F009663035487 +:04062000458304C545 +:04062100C603018487 +:04062200906303647A +:04062300458304C542 +:04062400C603027493 +:040625009A6304547C +:04062600458302C541 +:04062700C603028480 +:04062800946304646F +:04062900458302C53E +:04062A00C6030334CC +:04062B009E630514B1 +:04062C00458300C53D +:04062D00C60303A459 +:04062E009863058444 +:04062F00458300C53A +:04063000C60303B446 +:040631008C6305943D +:04063200470200C5B6 +:0406330046034589AC +:0406340073630001EB +:04063500460900B7BB +:040636000FF6771331 +:040637004583C03AFD +:04063800C60302549F +:040639008D63043495 +:04063A00860302C56C +:04063B0045F100A4E1 +:04063C0002B60633C9 +:04063D00859365E557 +:04063E00962E1405DB +:04063F0005934A10C5 +:04064000821520D02F +:040641007FF67613B7 +:0406420000B61C637F +:04064300458947029C +:040644000001460368 +:0406450000B7736324 +:0406460077134609D7 +:04064700C03A0FF6B0 +:040648000264458380 +:040649000444C6039C +:04064A0002C58D63F5 +:04064B0000A486037E +:04064C00063345F13B +:04064D0065E502B6A7 +:04064E001405859377 +:04064F004A10962E89 +:040650001C100593E2 +:040651007613821585 +:040652001C637FF6B0 +:04065300470200B6A4 +:04065400460345898B +:0406550073630001CA +:04065600460900B79A +:040657000FF6771310 +:040658006665C03AD9 +:04065900EB46260343 +:04065A0001D4C5837F +:04065B00003646031C +:04065C008A3D820D44 +:04065D0000C58C63E5 +:04065E004589470281 +:04065F00000146034D +:0406600000B7736309 +:0406610077134609BC +:04066200C03A0FF695 +:04066300460367657E +:04066400CE41082754 +:0406650000A48383E7 +:0406660062E5467192 +:0406670002C383B394 +:0406680014028613DF +:040669000124C58320 +:04066A00C0834515EF +:04066B0093B20454EE +:04066C000103A603DD +:04066D0006338A7D49 +:04066E00063302B697 +:04066F00051302A6C7 +:040670000613064027 +:0406710046330326E3 +:04067200D50302A604 +:04067300853300E3E8 +:04067400159302A533 +:0406750081C101033B +:040676000542953272 +:040677009B238141FF +:04067800460100A493 +:040679000000866394 +:04067A000183A6034F +:04067B008A05820565 +:04067C00C436C61AA0 +:04067D0030EFC83E54 +:04067E008603095096 +:04067F0045F100A49D +:04068000063367E5F1 +:04068100829302B6A8 +:04068200C583140711 +:0406830092B201240A +:040684000162C50347 +:04068500325030EFD0 +:0406860089A34702FB +:0406870046A200A4E3 +:0406880047C24332F0 +:040689004705E31925 +:04068A004712C03A19 +:04068B000064A22342 +:04068C0000F48423CF +:04068D0000D724234B +:04068E000585A03D01 +:04068F0000B600238E +:04069000BB59C00290 +:04069100000284637C +:0406920022059B633F +:040693000006030357 +:04069400516353F16A +:040695004711227374 +:040696000006002337 +:04069700000485A333 +:040698009BE3C03AE6 +:0406990067E5D60536 +:04069A000887C60304 +:04069B0001E4C6832D +:04069C000CD61A63FB +:04069D0000144603FC +:04069E0001F4C6831A +:04069F000CD61463FE +:0406A00000244603E9 +:0406A1000204C68306 +:0406A2000AD61E63F3 +:0406A30000344603D6 +:0406A4000214C683F3 +:0406A5000AD61863F6 +:0406A60000644603A3 +:0406A7000244C683C0 +:0406A8000AD61263F9 +:0406A900656565E539 +:0406AA0085934615D9 +:0406AB00051302E54C +:0406AC0040EF09050D +:0406AD00E559596052 +:0406AE00656565E534 +:0406AF0085934619D0 +:0406B00005130335F6 +:0406B10040EF0955B8 +:0406B200ED2D5820B2 +:0406B30000444603B6 +:0406B4000224C683D3 +:0406B50006D61863EA +:0406B60000544603A3 +:0406B7000234C683C0 +:0406B80006D61263ED +:0406B9000074460380 +:0406BA000254C6839D +:0406BB0004D61C63E2 +:0406BC0001F44603FC +:0406BD0003D4C68319 +:0406BE0004D61663E5 +:0406BF0002044603E8 +:0406C00003E4C68306 +:0406C10004D61063E8 +:0406C20002144603D5 +:0406C30003F4C683F3 +:0406C40002D61A63DD +:0406C50002244603C2 +:0406C6000404C683DF +:0406C70002D61463E0 +:0406C80001E4460300 +:0406C90003C4C6831D +:0406CA0000D61E63D5 +:0406CB00036446037B +:0406CC000544C68398 +:0406CD0000D61863D8 +:0406CE000354460388 +:0406CF000534C683A5 +:0406D00000D60663E7 +:0406D100E3994782E0 +:0406D200C03E47855A +:0406D30002A4450335 +:0406D4000484C68351 +:0406D50000A6846394 +:0406D600219030EF50 +:0406D70002B4450321 +:0406D8000494C6833D +:0406D90000A6846390 +:0406DA006A8030EF13 +:0406DB0002C445030D +:0406DC0004A4C68329 +:0406DD0000A684638C +:0406DE006A2030EF6F +:0406DF0003044503C8 +:0406E00004E4C683E5 +:0406E1000314458336 +:0406E20000A6966375 +:0406E30004F4C683D2 +:0406E40000B6846375 +:0406E50065E030EFAD +:0406E6000294450332 +:0406E7000474C6834E +:0406E80000A6846381 +:0406E900AEFFF0EF81 +:0406EA0002F44503CE +:0406EB0004D4C683EA +:0406EC0000A684637D +:0406ED00A1FFF0EF8A +:0406EE0002D44503EA +:0406EF0004B4C68306 +:0406F00000A6846379 +:0406F1000BF030EFEB +:0406F20002E44503D6 +:0406F30004C4C683F2 +:0406F40000A6846375 +:0406F50013D030EFFF +:0406F60003C4458371 +:0406F70005A4C6830D +:0406F80003D44503DF +:0406F90000B696634E +:0406FA0005B4C683FA +:0406FB0000A684636E +:0406FC001A7030EF51 +:0406FD0065E566E564 +:0406FE000C668513EE +:0406FF008593461D7C +:0407000040EF06457B +:0407010066E5446005 +:040702008513C5098D +:0407030030EF0C6661 +:040704004603614007 +:04070500C683037430 +:04070600186305541B +:04070700460300D6CF +:04070800C68303841D +:04070900066305641A +:04070A00450300D6CD +:04070B00F0EF0234D5 +:04070C0066E5FD6F32 +:04070D000836C68361 +:04070E004503CE8150 +:04070F00C703039485 +:040710000763057402 +:04071100155100A7D7 +:040712008561056296 +:040713000D2030EF96 +:04071400859367E57D +:0407150085130887B9 +:04071600061301E4E1 +:0407170040EF04604B +:0407180040F241006A +:0407190067E54462EA +:04071A000807812328 +:04071B0044D245027D +:04071C008082610571 +:04071D000023137D25 +:04071E00C0020066AF +:04071F000023B3DD23 +:04072000BFE500062B +:0407210006C5C60340 +:0407220006E34505A0 +:040723000605B8A669 +:040724000FF6761343 +:0407250006C586235C +:04072600B6A61FE371 +:0407270045894702B7 +:040728000001460383 +:0407290000B773633F +:04072A0077134609F2 +:04072B00C03A0FF6CB +:04072C000113B69D62 +:04072D0067E5FC81FF +:04072E00D826DA22CD +:04072F0000878713A5 +:0407300000A7048397 +:040731006565467143 +:0407320002C4863344 +:040733001405069310 +:0407340001F7458301 +:0407350001274283D3 +:04073600008787931E +:04073700140503138F +:04073800D60396B29C +:04073900C40300C62F +:04073A00CC32014676 +:04073B000136C6833A +:04073C00CE36C002F3 +:04073D0002374683B6 +:04073E0000C74703A6 +:04073F00C43AD436AE +:040740000FD5F713C7 +:04074100C703EB15EA +:04074200C2990257FF +:04074300A005468542 +:04074400468D462276 +:0407450000D60B636C +:0407460046114522F1 +:0407470010C509636D +:0407480015E34689E6 +:040749000706FED5CC +:04074A0046A2B7D537 +:04074B0097330706D3 +:04074C00771300E639 +:04074D00C03A0FF7A8 +:04074E00FFF586939A +:04074F000FF6F69318 +:04075000C202470595 +:0407510002D7606308 +:04075200C603469103 +:04075300E363025703 +:0407540086930E5624 +:0407550086B3FFF276 +:0407560016B302C60E +:04075700F71300D7BD +:04075800C23A0FF69C +:040759000247C70389 +:04075A00C60246810C +:04075B00438DCA3AC6 +:04075C0000269513CB +:04075D000C759A631A +:04075E0000D7873306 +:04075F0002674703E3 +:04076000FFF7061386 +:0407610016338A3D84 +:04076200453200A676 +:0407630000E0373348 +:0407640000D7173370 +:04076500C6328E49C1 +:040766008F51460267 +:040767000FF77713FE +:040768000685C03A08 +:0407690095E34715B8 +:04076A004681FCE6E2 +:04076B00438DC802F0 +:04076C0000269513BB +:04076D000A75916315 +:04076E0000D78733F6 +:04076F0002B7470383 +:04077000FFF7061376 +:0407710016338A3D74 +:04077200454200A656 +:0407730000E0373338 +:0407740000D7173360 +:04077500C8328E49AF +:040776008F51461247 +:040777000FF77713EE +:040778000685C23AF6 +:0407790095E34719A4 +:04077A004771FCE6E1 +:04077B0002E48733DA +:04077C004F18971A61 +:04077D008B05D00216 +:04077E004722CB0142 +:04077F00C703C711D4 +:040780003713022702 +:04078100D03A001753 +:0407820001E7C703C1 +:040783001B63468529 +:04078400472204D72D +:040785004771CB11DC +:0407860002E48733CF +:04078700971A4691E6 +:0407880083754B58D2 +:0407890004D711631D +:04078A00C002C202E5 +:04078B000733A82D5B +:04078C00BDF502D7DE +:04078D00FFE28513EF +:04078E0002C5063367 +:04078F001633450DCB +:04079000771300C516 +:04079100BF310FF66F +:040792001533475282 +:04079300473200A742 +:04079400C63A8F4989 +:040795004752B7B957 +:0407960000A7153370 +:040797008F494742FD +:04079800B741C83A63 +:040799004F8CD3713D +:04079A008B636721E5 +:04079B00666330E57C +:04079C00069302B707 +:04079D0047111000F0 +:04079E0002D58D6390 +:04079F00470D66910B +:0407A00002D5896392 +:0407A10008000693B3 +:0407A20032D59A634F +:0407A30003C7C6833F +:0407A40007068F15A0 +:0407A5000FF77713C0 +:0407A6000737A82940 +:0407A7008663002045 +:0407A80007372EE5FC +:0407A900866304005F +:0407AA0066C12EE511 +:0407AB0097634719F0 +:0407AC0066E530D5F9 +:0407AD00FE26C6035B +:0407AE00156346A1E8 +:0407AF00040600D666 +:0407B000804104423E +:0407B1000147C683B3 +:0407B200CA324605FC +:0407B3000086F76362 +:0407B40040D406B374 +:0407B50082C106C235 +:0407B6004571CA3689 +:0407B70002A48533E0 +:0407B80040E286B3E2 +:0407B9004609D636E1 +:0407BA000367C3838B +:0407BB0095A674C1CA +:0407BC0013FD44D213 +:0407BD00007033B3E2 +:0407BE002303951A62 +:0407BF0003F60085B8 +:0407C000029284B36A +:0407C100015353137A +:0407C2000015B593D6 +:0407C30006B305F282 +:0407C400F49302D3D5 +:0407C50004D20FF457 +:0407C60002C6C6B3EE +:0407C70082C106C223 +:0407C800C683D236DC +:0407C900561203D7EA +:0407CA0001254403BE +:0407CB0002D706B398 +:0407CC0007428B1D38 +:0407CD00C60396B217 +:0407CE00033300D71A +:0407CF00F693025348 +:0407D000067A7FF630 +:0407D1000076663315 +:0407D2008DD106CEF1 +:0407D30047528ED922 +:0407D400C6038DC506 +:0407D50083B30137B2 +:0407D6007313028215 +:0407D7008A1D7FF305 +:0407D8008ED1063682 +:0407D900015546037D +:0407DA000547C50307 +:0407DB00064E8A1D1F +:0407DC001FF3F39381 +:0407DD00E5B303AECF +:0407DE00E33300758C +:0407DF00F593006529 +:0407E00002B30072EE +:0407E1005732008704 +:0407E2008ECD05AA09 +:0407E30002E282B3F9 +:0407E400897D5712A2 +:0407E50092BA051EA1 +:0407E6003FF2F29359 +:0407E70003E7C7035A +:0407E8000056E2B322 +:0407E900771346A29A +:0407EA00075A03F7B0 +:0407EB008F5506F62A +:0407EC0001C146837E +:0407ED00C6038F515F +:0407EE00959303F7E5 +:0407EF0046E200B628 +:0407F0008A3D8F4D62 +:0407F1007FF6F69306 +:0407F20000D7643395 +:0407F3000407C683AE +:0407F400C5838D51DB +:0407F5008A9D0207D0 +:0407F6008D55069285 +:0407F700C70346B23C +:0407F80089FD05373B +:0407F90000C696138D +:0407FA008231468280 +:0407FB008AFD05E688 +:0407FC008ED106D2C2 +:0407FD000217C60316 +:0407FE0007328B052E +:0407FF0017938A05BD +:04080000E63301E6F4 +:040801008E5D00B652 +:040802008F49578241 +:040803008000053735 +:04080400FFF54513A4 +:040805008E6907FEF3 +:0408060047C28E5DFA +:040807000FF37693E2 +:04080800008794933E +:0408090080A14792F1 +:04080A0003F7F79366 +:04080B008CDD07E297 +:04080C008CE957A27A +:04080D008CDD07FE79 +:04080E00A78367E570 +:04080F00C583EB872B +:04081000862300C774 +:04081100569300D723 +:04081200F6930083D6 +:04081300C5830FF694 +:0408140086A300D7E0 +:04081500569300D71F +:04081600F693010351 +:04081700C5830FF690 +:04081800872300E74B +:04081900C68300D7BB +:04081A00531300F77D +:04081B0087A301832B +:04081C00F6930067E8 +:04081D00C5830FF28E +:04081E008823010723 +:04081F00D69300D795 +:04082000F6930082C9 +:04082100C5830FF686 +:0408220088A301178F +:04082300D69300D791 +:04082400F693010244 +:04082500C5830FF682 +:0408260089230127FA +:04082700C68300D7AD +:04082800D29301372F +:0408290089A301821C +:04082A00769300576A +:04082B00C5830FF47E +:04082C008A230147D3 +:04082D00569300D707 +:04082E00F6930084B9 +:04082F00C5830FF678 +:040830008AA301573F +:04083100569300D703 +:04083200F693010434 +:04083300C5830FF674 +:040834008B230167AA +:04083500C68300D79F +:040836008061017765 +:0408370000878BA308 +:040838000FF77693AD +:040839000187C583EB +:04083A0000D78C2334 +:04083B000087569349 +:04083C000197C583D8 +:04083D0000D78CA3B1 +:04083E0001A7C683C5 +:04083F008D23836121 +:04084000C683000764 +:040841008DA301B7CB +:04084200771300E741 +:04084300C6830FF663 +:040844008E2301C737 +:04084500571300E75E +:04084600771300869E +:04084700C6830FF75E +:040848008EA301D7A3 +:04084900571300E75A +:04084A007713010619 +:04084B00C6830FF75A +:04084C008F2301E70E +:04084D00C70300E7F6 +:04084E00826101F7CB +:04084F0000C78FA3AC +:040850000FF4F71397 +:040851000207C68351 +:0408520002E7802316 +:040853000084D71333 +:040854000FF7771310 +:040855000217C6833D +:0408560002E780A392 +:040857000104D713AE +:040858000FF777130C +:040859000227C68329 +:04085A00812380E195 +:04085B00C70302E7E6 +:04085C0081A302373B +:04085D005452029758 +:04085E00011354C26C +:04085F00808203810F +:0408600003C7C68381 +:040861008F15471197 +:04086200C683B33165 +:04086300471503C76B +:04086400C683BFDDAB +:04086500471903C765 +:0408660077138F1560 +:04086700C6830FF73E +:0408680098E30367A7 +:0408690046E2D0068D +:04086A0006C216A10B +:04086B00CC3682C144 +:04086C0006B146F299 +:04086D0082C106C27C +:04086E00B9E5CE36E4 +:04086F00004006B788 +:04087000FEE3871606 +:04087100B1F5FCD50C +:0408720062E567E5EF +:040873001111470513 +:0408740006E78623EA +:0408750000828793E3 +:0408760043C4C82689 +:04087700CC06CA22BF +:040878000082841363 +:040879002E048C635A +:04087A000082A7034E +:04087B002E070863D9 +:04087C00A0EEC7B76C +:04087D00B0078793A6 +:04087E0002E7D7B303 +:04087F0000844703A7 +:04088000019C03379D +:04088100CC0303138E +:040882000293533357 +:040883002C070663D5 +:040884000297D4B350 +:0408850003B00513A4 +:0408860020EFC61A7F +:04088700C22A6B9086 +:040888000041478361 +:0408890003C0051390 +:04088A0020EFC43E59 +:04088B0047836A90A5 +:04088C00460301C45A +:04088D0062E500849C +:04088E000027971395 +:04088F00879367DD07 +:0408900097BAD30739 +:04089100A7034394E2 +:040892000793008246 +:0408930043320700E5 +:040894000793E219CB +:04089500763706901C +:040896006565000193 +:04089700E20606135C +:04089800051345C53A +:04089900C61AFD0579 +:04089A006DF030EFDE +:04089B00069343324B +:04089C0007933E8000 +:04089D0047290640A1 +:04089E0002D37633D8 +:04089F0045C5656581 +:0408A0000705051330 +:0408A10002F4D3B3D7 +:0408A20002F4F4B3B5 +:0408A300C61E879E48 +:0408A40002E65733DE +:0408A50000017637A1 +:0408A600E2C606138D +:0408A70056B3C0265E +:0408A80030EF02D358 +:0408A90045056A5047 +:0408AA0089AFF0EF33 +:0408AB0062E543B20D +:0408AC00458346A298 +:0408AD00A50300841B +:0408AE00861E008220 +:0408AF004AE030EFFC +:0408B000046357FD89 +:0408B100052322F504 +:0408B200078300A414 +:0408B300676500A4D1 +:0408B4000F2364E5C5 +:0408B500477110F780 +:0408B60002E787339B +:0408B700140486138C +:0408B800012446834E +:0408B900140484930C +:0408BA004B0C97321A +:0408BB0089FD461558 +:0408BC0002D585B329 +:0408BD0002C585B338 +:0408BE0006400613D7 +:0408BF0003258593F5 +:0408C00002C5C5B3F5 +:0408C10000E75603F3 +:0408C20002C686B331 +:0408C30005C295B61F +:0408C4001B2381C1B0 +:0408C500470300B431 +:0408C60066E501776B +:0408C70076138B7D9C +:0408C800CA090087D2 +:0408C9004503676517 +:0408CA004609FB07D9 +:0408CB0073634721EB +:0408CC00474100A6FA +:0408CD00FEE681239F +:0408CE00405047123D +:0408CF000454430387 +:0408D0000FF7771394 +:0408D10002B7073330 +:0408D200FE26C50336 +:0408D30057334681D0 +:0408D400064202C70F +:0408D50009638241F0 +:0408D60046F10003E4 +:0408D70002D787B30A +:0408D8004F9497A6FC +:0408D9008A85828505 +:0408DA0005944783B7 +:0408DB000FF7771389 +:0408DC00F8078793FF +:0408DD0087E107E2C6 +:0408DE0022E030EFF5 +:0408DF0004D44503F5 +:0408E000A52FF0EF61 +:0408E1000474450353 +:0408E200B0AFF0EFD4 +:0408E30000A40783E3 +:0408E4004583477190 +:0408E50087B30124B0 +:0408E60097A602E7E8 +:0408E7000167C503DD +:0408E800198030EF54 +:0408E900A68367E596 +:0408EA004783EB470E +:0408EB0009A300C499 +:0408EC00B71300A49A +:0408ED00C7830017A6 +:0408EE009BF900066C +:0408EF0080238FD9FA +:0408F000478300F644 +:0408F100470D00C4EB +:0408F20012F7656331 +:0408F300F46347095A +:0408F400470512E7BB +:0408F50000E7956320 +:0408F6000EA3478581 +:0408F700450300F4C1 +:0408F800F0EF01D448 +:0408F90047038F8F93 +:0408FA00196300C4BA +:0408FB000783100758 +:0408FC00477100A49C +:0408FD0002E787B3D4 +:0408FE00D78394BE4A +:0408FF00B79300C4E7 +:04090000C7932BD797 +:04090100863E001717 +:04090200268367657C +:040903008B8DEAC727 +:04090400C703078E90 +:0409050006163C1680 +:040906008FD99B1DCD +:040907003CF680A397 +:040908003C16C7834F +:04090900F9F7F79370 +:04090A0080A38FD166 +:04090B00F0EF3CF6D7 +:04090C004703885FB6 +:04090D0047890414FE +:04090E0000E44503B9 +:04090F0007634581B4 +:04091000458300F724 +:040911008D8900F4D8 +:040912000015B59384 +:04091300C17FE0EFD1 +:0409140007B7405889 +:040915008793019C27 +:04091600D7B3CC0780 +:04091700570302E799 +:04091800460301642D +:04091900468D00D433 +:04091A0002E787B3B6 +:04091B0000C44703CA +:04091C0087B3070591 +:04091D00470302E7A3 +:04091E00070500E4E5 +:04091F0002E787B3B1 +:040920002A236765BA +:040921001E63FAF760 +:04092200838508D6EB +:04092300FAF72A2392 +:04092400FB472683E4 +:04092500051107377A +:04092600F4070713B8 +:040927006B63478532 +:04092800773700D746 +:040929000713047834 +:04092A0047818BF77F +:04092B0000D774631A +:04092C0001044783F8 +:04092D000504470373 +:04092E0004144583E5 +:04092F004703E7098A +:040930000763010454 +:04093100082306F79A +:04093200445200F437 +:0409330044C240E298 +:040934000171852E9A +:04093500F79FE06FD9 +:04093600BB1D078658 +:04093700631164855F +:040938007704849329 +:04093900D54303138C +:04093A004791B335F9 +:04093B0000F405239C +:04093C004711BBE9BB +:04093D000EA3B5C58B +:04093E00B5D500E447 +:04093F0000D4478316 +:0409400000E4468306 +:0409410017F546015F +:040942000017B79350 +:0409430086938F9573 +:0409440037930017CE +:04094500C79300371D +:0409460097B6001749 +:040947000FF7F7931C +:040948004585B5E547 +:04094900F6B616E305 +:04094A00D7B3078A8E +:04094B00BFB902D757 +:04094C0082634789F2 +:04094D00450302F567 +:04094E00C19101143E +:04094F00478345890C +:04095000470300F465 +:0409510044520424E4 +:0409520044C240E279 +:040953004601468192 +:04095400E06F0171DE +:0409550040E2B12F9C +:0409560044C2445201 +:040957008082017128 +:04095800C026115153 +:04095900C50364E589 +:04095A004581FB34A4 +:04095B00C406C222EA +:04095C002B0020EF5D +:04095D00E121842AE6 +:04095E00FB34C6831D +:04095F00472967E5D8 +:0409600000D782A397 +:04096100C78367E5FC +:0409620085630CD7C6 +:04096300676500E7DD +:04096400FAF70CA3EF +:04096500C70367E578 +:04096600478100675E +:0409670067E5C70178 +:04096800FB97C783AF +:0409690007136765A4 +:04096A0097BAFE87B3 +:04096B008023453D63 +:04096C0020EF00D7A1 +:04096D0085220E00D1 +:04096E00441240A24D +:04096F00013144828C +:04097000115180821F +:0409710064E5C02653 +:04097200FB34C5038A +:04097300C406C222D2 +:040974000C2020EF44 +:04097500E51D842ACE +:04097600FB34C68305 +:0409770082A367E50B +:0409780067E500D758 +:040979000067C70349 +:04097A00C7014781E9 +:04097B00C78367E5E2 +:04097C006765024762 +:04097D00FE870713D7 +:04097E00453D97BAA2 +:04097F0000D78023FA +:04098000092020EF3B +:0409810040A28522E9 +:040982004482441255 +:04098300808201313C +:04098400C406115143 +:04098500C026C222A4 +:040986000002143720 +:04098700202347C51D +:0409880067E50EF41D +:04098900EB87A783CE +:04098A000E04202314 +:04098B00C703650930 +:04098C00862300C7F7 +:04098D00C703000795 +:04098E0086A300D765 +:04098F00C703000793 +:04099000872300E7D2 +:04099100C703000791 +:0409920087A300F740 +:04099300C70300078F +:040994008A2301476A +:04099500C70300078D +:040996008AA30157D8 +:04099700C70300078B +:040998008B23016745 +:04099900C703000789 +:04099A0005130177C9 +:04099B008BA37105B4 +:04099C00D0EF000791 +:04099D000713A8DFB5 +:04099E0067E51D10DC +:04099F00FEE79223BA +:0409A0001D1007938C +:0409A1000EF420230D +:0409A200E0EF45013C +:0409A3001537E51F00 +:0409A4000513000334 +:0409A500D0EFD405B6 +:0409A6002637A69FAB +:0409A70005B700068A +:0409A8000513019C96 +:0409A9000613040429 +:0409AA008593A80683 +:0409AB00D0EFCC05B8 +:0409AC0020EFEC5FED +:0409AD0020EF718046 +:0409AE00547915B0B3 +:0409AF0012050663C4 +:0409B00020EF4501EE +:0409B1000793211077 +:0409B20054750FF079 +:0409B30010F50E63CA +:0409B4005DB020EF23 +:0409B500E0EF450921 +:0409B60047CDABAFCF +:0409B70015635471FF +:0409B800E0EF10F567 +:0409B90020EFB2AFCA +:0409BA00C50965A066 +:0409BB00470567E5A0 +:0409BC0008E781A324 +:0409BD009ADFE0EFEE +:0409BE001D63842A07 +:0409BF0000EF0E0532 +:0409C00064E5017079 +:0409C1000084849397 +:0409C200061365DDD6 +:0409C30085930460B4 +:0409C4008513ECC5E6 +:0409C50030EF01E42A +:0409C60065DD159046 +:0409C7000613656549 +:0409C80085930360B0 +:0409C90005130C0501 +:0409CA0030EF0D05F8 +:0409CB0045811450FE +:0409CC0020EF453D96 +:0409CD0067E50EE0EC +:0409CE000057C50306 +:0409CF0020EF45814F +:0409D00067E50E20A9 +:0409D100EB07C683E7 +:0409D200450167E58F +:0409D300FED780A328 +:0409D400C70367E509 +:0409D50067E5EB17D0 +:0409D60000E783A310 +:0409D700A78367E5A6 +:0409D8008B0DEAC7D2 +:0409D900C603070E3C +:0409DA009A1D3C170F +:0409DB003CC780A3F2 +:0409DC003C17C603FB +:0409DD00F9F676139E +:0409DE003CC780A3EF +:0409DF003C07C60308 +:0409E00066138A7D93 +:0409E1008023060663 +:0409E200C6033CC745 +:0409E3009A613C17C2 +:0409E4000036661360 +:0409E5003CC780A3E8 +:0409E60000D03633D4 +:0409E7003C07C68380 +:0409E8008ED19AF919 +:0409E9003CD7802354 +:0409EA003C07C6837D +:0409EB008F559A9DED +:0409EC003CE7802341 +:0409ED003C17C703E9 +:0409EE00F80767138C +:0409EF003CE780A3BE +:0409F0003C27C703D6 +:0409F10081239B794A +:0409F20047893CE70E +:0409F30000F488A3E1 +:0409F400C7DFE0EF8A +:0409F500000217B72E +:0409F6000D07A783BF +:0409F70000E797136B +:0409F800000744634D +:0409F90076C000EFD5 +:0409FA0040A2852270 +:0409FB0044824412DC +:0409FC0080820131C3 +:0409FD00BFCD547D99 +:0409FE00C78367E55F +:0409FF000113FB17CE +:040A0000CE22FDC144 +:040A0100F7930785DB +:040A020007B20FF731 +:040A030083C107C2E2 +:040A04006465C83E1F +:040A0500FE445783D1 +:040A0600D00647428D +:040A07008FD9CC2691 +:040A08008FD96721FA +:040A0900FEF41223C2 +:040A0A000002173798 +:040A0B00C402C2025D +:040A0C000001763738 +:040A0D00202364E559 +:040A0E0006130EF7C6 +:040A0F0045C5D5C63E +:040A1000FF44851307 +:040A1100103030EF82 +:040A1200E0EF4501CB +:040A130067419ABFDE +:040A14004785177D7E +:040A15001737CA3A8B +:040A16002683000231 +:040A170046520D072F +:040A1800C6368EF15F +:040A1900CAE1EBE95A +:040A1A00869366E574 +:040A1B00D6030D06EB +:040A1C0045B2016678 +:040A1D00FE445783B9 +:040A1E0012B6166393 +:040A1F0016FD76F555 +:040A200012238FF519 +:040A21002023FEF49C +:040A220045010EF785 +:040A2300FE048A2320 +:040A2400965FE0EF0A +:040A2500000625376B +:040A2600A805051307 +:040A2700863FD0EF47 +:040A2800FE445783AE +:040A29006691474249 +:040A2A003E800513F2 +:040A2B008FD58FD9FB +:040A2C00FEF412239F +:040A2D000002173775 +:040A2E000EF720237C +:040A2F00742030EF10 +:040A3000768030EFAD +:040A310066E54785AA +:040A320002F51C634A +:040A3300EB86A603A5 +:040A3400008647836E +:040A350000964703DD +:040A36008F5D0722A7 +:040A370000A647834B +:040A38008F5D07C205 +:040A390000B6478339 +:040A3A008FD907E267 +:040A3B000107971305 +:040A3C00C23A8341F6 +:040A3D0000479713C4 +:040A3E00C43A8351E2 +:040A3F00FC07D2E3FB +:040A4000740030EF1F +:040A4100EF9D47924C +:040A42000001763702 +:040A4300D68606133A +:040A4400851345C50C +:040A450030EFFF444B +:040A46004501031053 +:040A47008D9FE0EFB0 +:040A4800FE4457030E +:040A490017FD77F12D +:040A4A0012238FF9EB +:040A4B001737FEF467 +:040A4C002023000261 +:040A4D0065090EF732 +:040A4E007105051316 +:040A4F00FC2FD0EFB9 +:040A5000BF1147B2D9 +:040A5100475247922F +:040A520000E79763BF +:040A530000017637F1 +:040A5400D786061328 +:040A55004712BF7510 +:040A5600660545A24A +:040A570006400793BB +:040A580056B3167DFE +:040A5900773302F7F6 +:040A5A009C6302F7A0 +:040A5B00763700C525 +:040A5C00061300017C +:040A5D0045C5D806AD +:040A5E00FF448513B9 +:040A5F007CA030EF58 +:040A60004622BF6902 +:040A6100851345C5EF +:040A62007633FF44A4 +:040A6300C03202F6A5 +:040A640057B346221C +:040A6500763702F6E8 +:040A66000613000172 +:040A670030EFD8C6CE +:040A6800BFA57A802C +:040A69000186D683A9 +:040A6A009BE3463292 +:040A6B0007D2F6C6F2 +:040A6C00122383D1FD +:040A6D005082FEF4C1 +:040A6E00202344728B +:040A6F0044E20EF758 +:040A70000113450128 +:040A7100808202413C +:040A7200C406115154 +:040A73007F0020EFF1 +:040A7400FF8FF0EF11 +:040A750040A267E54F +:040A76000AB7C503F3 +:040A7700E06F0131FA +:040A78000113A6FFC1 +:040A7900D406FD4161 +:040A7A00D026D2228E +:040A7B00F80FD0EFB1 +:040A7C00F0EF842AE9 +:040A7D0086AAC1FF85 +:040A7E00656564E561 +:040A7F001206C66332 +:040A800000017637C4 +:040A810005700713E2 +:040A82000613468190 +:040A830045C5DA0685 +:040A8400FD05051354 +:040A8500732030EFBB +:040A8600000175B73F +:040A870085934645C8 +:040A88008513DD05F0 +:040A890030EF07043F +:040A8A00450564803A +:040A8B00917FE0EF88 +:040A8C000007A53783 +:040A8D001205051336 +:040A8E00EC6FD0EF4A +:040A8F00EE6FD0EF47 +:040A900000A4551356 +:040A910012C00793F5 +:040A920002F507B3AF +:040A9300C2026465D2 +:040A9400C602C002D4 +:040A950000840413C2 +:040A96004799CC3E72 +:040A970017B7C43E8B +:040A9800A78300022E +:040A990066650D077A +:040A9A0097136565E4 +:040A9B00834101078B +:040A9C00FFF7C69307 +:040A9D0010E6282314 +:040A9E00073783E1B2 +:040A9F008F7500034C +:040AA0000FF7F593C4 +:040AA100AA2366E539 +:040AA2000C2310E62B +:040AA300853210B5D3 +:040AA40066E5863647 +:040AA5008793CF81E3 +:040AA600F793FFE5DE +:040AA700430D0FF7F5 +:040AA80000F376637E +:040AA90010C6C78329 +:040AAA0000B794639A +:040AAB0010052823E7 +:040AAC00862367E551 +:040AAD00A68310B656 +:040AAE00A4231087E6 +:040AAF00EAD910E789 +:040AB00047836765AC +:040AB10064E50847A9 +:040AB2004783C3F9BA +:040AB300C3E101C4D6 +:040AB400478366E529 +:040AB500C68300B440 +:040AB6008FD511F6D1 +:040AB700D0EFEBCDC4 +:040AB80047E2E68F9C +:040AB9000AF5656372 +:040ABA000793471245 +:040ABB00E0630630BE +:040ABC0067650AE779 +:040ABD00084746831D +:040ABE00470547851C +:040ABF0000F6876353 +:040AC000871347A2AF +:040AC1003733FFA721 +:040AC200478300E086 +:040AC30046A101C483 +:040AC400F79317FD90 +:040AC500EA630FF7DA +:040AC60066DD04F6EF +:040AC7008693078A81 +:040AC80097B6CDC64A +:040AC9008782439C41 +:040ACA00000176377A +:040ACB00DB460613ED +:040ACC00051345C504 +:040ACD0030EFFD0504 +:040ACE0075B7610097 +:040ACF004645000197 +:040AD0003CC5859309 +:040AD100070485137E +:040AD200698030EF18 +:040AD300E0EF450506 +:040AD400A001FF4F2F +:040AD50010062A23BA +:040AD60067E5B7A574 +:040AD700FE67C7836C +:040AD80000F037B340 +:040AD9008CA307895A +:040ADA004792FAF451 +:040ADB000785472222 +:040ADC000FF7F79386 +:040ADD004799C23E35 +:040ADE0000F70763B3 +:040ADF000017079362 +:040AE0000FF7F79382 +:040AE100D0EFC43E50 +:040AE2004785D9CF9C +:040AE3002B3DC03EA9 +:040AE400C78367E578 +:040AE500C82A11F713 +:040AE6004501C7817E +:040AE700754010EF57 +:040AE800FB94C78331 +:040AE90001C44703FA +:040AEA0000F70463AA +:040AEB00E711474286 +:040AEC00CB154702DD +:040AED0000B4470307 +:040AEE006765C71D54 +:040AEF000067470352 +:040AF000C315C03A30 +:040AF100071367651B +:040AF20097BAFE872A +:040AF3000007C50330 +:040AF400C70367E5E8 +:040AF500C0020057E4 +:040AF60000A70763EB +:040AF70082A3458110 +:040AF80010EF00A754 +:040AF900C70343F0FC +:040AFA004783FB949F +:040AFB0064E501C4E9 +:040AFC000884849353 +:040AFD0000E79E630D +:040AFE00C68367E55F +:040AFF004789FB0721 +:040B000016D7FA63A7 +:040B01000344C68360 +:040B020005244783FC +:040B030016F68463FB +:040B040066E567E556 +:040B0500FA06882341 +:040B0600080780A3B9 +:040B0700CA3E468D0F +:040B080000E6FD63A3 +:040B09000344C78357 +:040B0A009863468521 +:040B0B00069304D772 +:040B0C00F693FFA7B6 +:040B0D00E9630FF693 +:040B0E00468D2CD70D +:040B0F00A085450573 +:040B1000F31547850D +:040B1100C78367E54A +:040B1200B793EAB7F4 +:040B1300079100172F +:040B14004799BF1925 +:040B1500F00709E3F9 +:040B1600FB94C78302 +:040B1700479DB72916 +:040B180067E5B719BD +:040B1900FB87C7830C +:040B1A0000F037B3FD +:040B1B00BDE507A18C +:040B1C001AE34799F8 +:040B1D004785EE0713 +:040B1E004615B5FDC6 +:040B1F0028E67963E8 +:040B20009863460987 +:040B2100079300C76F +:040B2200F793FFA79F +:040B2300F0630FF775 +:040B240046D228F697 +:040B250045094789AE +:040B260008F680A3AA +:040B27000793468565 +:040B2800F793FFF749 +:040B290046210FF75B +:040B2A0002F6646308 +:040B2B000613665DEA +:040B2C00963ED246D9 +:040B2D000006460375 +:040B2E00C632078A3A +:040B2F000613665DE6 +:040B300097B2D006A2 +:040B31008782439CD8 +:040B32006665478528 +:040B3300FAF60823A3 +:040B340004D447831B +:040B350000E40E23A7 +:040B3600000405A30F +:040B3700458D47059C +:040B380000F77663E9 +:040B39008D9D4595B4 +:040B3A000FF5F5932B +:040B3B0020EFCE36A3 +:040B3C0020EF39C0AD +:040B3D00D0EF46C0EF +:040B3E0067E5F67FF2 +:040B3F000837C78329 +:040B4000C78146F231 +:040B410010EF8536F6 +:040B420067E57F30B4 +:040B4300FB07C58364 +:040B4400463247D21C +:040B45000817C503C5 +:040B4600173020EF55 +:040B470001C447831B +:040B48004645656554 +:040B490000279713D7 +:040B4A00879367DD49 +:040B4B0097BAD3077B +:040B4C000513438CBE +:040B4D002223FD055D +:040B4E0030EF000480 +:040B4F0075B74A60CC +:040B500065650001D6 +:040B510085934645FD +:040B52000513DC4566 +:040B530030EF070573 +:040B540045054920EA +:040B5500DEEFE0EF00 +:040B5600CF8947C23A +:040B5700C70367E584 +:040B580047A9FB2787 +:040B590000F7156329 +:040B5A0010EF453D16 +:040B5B00D0EF129035 +:040B5C00C202BB4FC7 +:040B5D000234C70394 +:040B5E0004144783B1 +:040B5F0002F7056331 +:040B60000114450334 +:040B61004701478180 +:040B62004601468181 +:040B6300D0EF458109 +:040B6400C503AD7F99 +:040B6500E0EF023487 +:040B6600C783EB6FE7 +:040B6700222302340F +:040B680000A30004E2 +:040B6900C58304F448 +:040B6A004789023481 +:040B6B0002F58663A6 +:040B6C000244C70375 +:040B6D000424478392 +:040B6E0002E78063B7 +:040B6F000114450325 +:040B70004589C19161 +:040B710000F44783C2 +:040B72004601468171 +:040B7300A99FD0EF77 +:040B74000244C783ED +:040B750004F4012360 +:040B76000344C783EA +:040B77000524470307 +:040B780000F704631B +:040B790004F4092354 +:040B7A0066E567E5E0 +:040B7B00EB07C703BA +:040B7C00FE16C58319 +:040B7D00C78367E5DE +:040B7E006665EB17A6 +:040B7F0000E5966394 +:040B80000076458333 +:040B810004F585638F +:040B8200FEE680A368 +:040B8300A68366E5FA +:040B840003A3EAC617 +:040B8500363300F60D +:040B8600C70300E0C1 +:040B87008B8D3C0610 +:040B88009B79078EC0 +:040B890080238F51E5 +:040B8A00C7033CE67B +:040B8B009B1D3C066C +:040B8C0080238FD95A +:040B8D0067E53CF6E6 +:040B8E0011F7C78311 +:040B8F0067E5CB89C2 +:040B90001007A8237F +:040B9100376010EFCA +:040B920010EF450516 +:040B930047834A60EA +:040B9400CBB901C414 +:040B9500C50367E548 +:040B9600E0EFFB078A +:040B9700478985DF26 +:040B980008F50E63EB +:040B9900016347911C +:040B9A00478502F594 +:040B9B0002F51E63DE +:040B9C0000B44783D7 +:040B9D00E0EFCB9525 +:040B9E00A03DE3DFB4 +:040B9F00B5B1478D18 +:040BA000B5A147951F +:040BA100B59147893A +:040BA20000B44783D1 +:040BA3006765C39D22 +:040BA400FE4757832E +:040BA5000027E793AB +:040BA600FEF7122321 +:040BA70000021737FA +:040BA8000EF7202301 +:040BA900B25FF0EF58 +:040BAA0012C005135D +:040BAB00A52FD0EFB3 +:040BAC002223B67DCD +:040BAD0020EF000431 +:040BAE0047832A80CF +:040BAF00656501C4B3 +:040BB000971346450C +:040BB10067DD0027D5 +:040BB200D30787934B +:040BB300438C97BA1E +:040BB400FD05051323 +:040BB50030C030EF2D +:040BB600000175B70E +:040BB70046456565E5 +:040BB800DC45859300 +:040BB9000705051314 +:040BBA002F8030EF69 +:040BBB00E0EF45051D +:040BBC00D0EFC54F62 +:040BBD00C402A30FBC +:040BBE00B77DC2023B +:040BBF0000B44783B4 +:040BC000F0EFD7C5B6 +:040BC100B74DAC6F11 +:040BC200E4E347958C +:040BC3004689D8E7A0 +:040BC400B3714501C3 +:040BC500656565DD20 +:040BC60006131151B0 +:040BC70085930460AE +:040BC8000513ECC560 +:040BC900C4060885D1 +:040BCA00146030EF94 +:040BCB00656565E116 +:040BCC0047C0061305 +:040BCD00E7C5859360 +:040BCE0014050513F2 +:040BCF00132030EFD0 +:040BD00067E540A2F3 +:040BD1008123470530 +:040BD200450108E7EA +:040BD30080820131EA +:040BD4000113675D45 +:040BD5000713FDC144 +:040BD600D0060547F9 +:040BD700CC26CE2238 +:040BD8004781C0028F +:040BD9006465C43A51 +:040BDA00000175B7EA +:040BDB008593464573 +:040BDC0005130F8569 +:040BDD00C23EFBC455 +:040BDE00268030EF4E +:040BDF00656547926F +:040BE00097134645DC +:040BE100C83E0027E3 +:040BE200051347A20E +:040BE30064E5FF4581 +:040BE400430C973EE9 +:040BE50024C030EF09 +:040BE600EAC4A68334 +:040BE700C7034505F6 +:040BE80067133C064D +:040BE900802300471E +:040BEA00E0EF3CE616 +:040BEB0047C2A4AFAA +:040BEC00C2224601DA +:040BED001737C626CA +:040BEE0025030002D9 +:040BEF0066C10D07C7 +:040BF00075B316FDC6 +:040BF100646500D562 +:040BF20010B42823F0 +:040BF3000D072703C0 +:040BF400000306B73D +:040BF500471364E559 +:040BF6008F75FFF701 +:040BF70010E4AA2339 +:040BF8004702CD8D56 +:040BF90002E58B6323 +:040BFA00931366E506 +:040BFB008713001745 +:040BFC00EE3D0D06B7 +:040BFD004792C03E1D +:040BFE0075B7971A16 +:040BFF0010230001BE +:040C0000464500A7BE +:040C010010058593C2 +:040C0200FBC7851394 +:040C03001D4030EF71 +:040C0400E0EF4505D3 +:040C050046059E2FD3 +:040C06006765478255 +:040C070010872583AA +:040C08001144A6836A +:040C0900E19D84BA2B +:040C0A00916367414A +:040C0B00E7BD02E659 +:040C0C00656565DDD8 +:040C0D000360061367 +:040C0E000C058593B9 +:040C0F000D050513B7 +:040C100030EFC036CB +:040C1100468202C055 +:040C120047ED46095B +:040C1300110427039E +:040C140010D4A42331 +:040C1500C03ACA32E5 +:040C16000463470923 +:040C1700650904E681 +:040C1800710505134A +:040C1900D0EFC83E12 +:040C1A0047C2898FB5 +:040C1B00B7A14652E5 +:040C1C005703971AC9 +:040C1D00460900077D +:040C1E00FAE581E38F +:040C1F004792C03EFA +:040C2000000175B7A3 +:040C2100859346452C +:040C220085131085A1 +:040C230030EFFBC7EC +:040C2400450515204D +:040C2500960FE0EF57 +:040C2600BFBD460107 +:040C2700460917F96A +:040C28000785B77510 +:040C290050E34769E4 +:040C2A00453DECF761 +:040C2B005E6010EF08 +:040C2C00A70347B221 +:040C2D004783EAC748 +:040C2E009BED3C07F7 +:040C2F003CF700236B +:040C30004472508238 +:040C3100011344E285 +:040C32008082024179 +:040C33000793715D55 +:040C3400D43E01F1B8 +:040C3500879367E555 +:040C3600D63E0A1785 +:040C3700879367E553 +:040C3800D83E0A2771 +:040C3900879367E551 +:040C3A00DA3E0A375D +:040C3B00879367E54F +:040C3C00DC3E0A4749 +:040C3D0067E5DE3E4B +:040C3E000A57879337 +:040C3F0027B7C0BE55 +:040C400087930F0384 +:040C4100D03EF0179A +:040C420030300793B4 +:040C43001223C2A610 +:040C440064E502F170 +:040C45000323478DB1 +:040C4600879302F19D +:040C4700C7830084DB +:040C4800468501C715 +:040C4900C4A2C686F5 +:040C4A0000010FA3F3 +:040C4B00C63647253D +:040C4C000084849309 +:040C4D0000E78663D3 +:040C4E00F79307858C +:040C4F00C63E0FF797 +:040C5000A60367E5AB +:040C510067E511073B +:040C52000D07879370 +:040C530045E94681A8 +:040C54000007D703BB +:040C550000E61D6335 +:040C5600E46347E923 +:040C5700675D10D7EE +:040C58000026979348 +:040C5900F147071345 +:040C5A00439C97BA66 +:040C5B0007898782FC +:040C5C007EB681637C +:040C5D00BFE9068560 +:040C5E0066E547857B +:040C5F00FE46D70373 +:040C6000100747131F +:040C6100FEE69223F6 +:040C62002703676598 +:040C6300169311478C +:040C6400D36300F75F +:040C650047B200068C +:040C660000E71693FA +:040C67000006DE6342 +:040C680046836765F3 +:040C6900458508872E +:040C6A00E5634601F7 +:040C6B00068500D525 +:040C6C000FF6F61376 +:040C6D0008C704238D +:040C6E0045014729CC +:040C6F0000E78663B1 +:040C70000CA3676505 +:040C71004505FAF744 +:040C7200D70367E558 +:040C730066E5FE47ED +:040C740011F6C6832C +:040C750007429B4D4A +:040C76009223834101 +:040C7700EA81FEE729 +:040C7800C68366E5E4 +:040C7900068AFF26C2 +:040C7A0092238F55DD +:040C7B00D703FEE7B6 +:040C7C0040B6FE4739 +:040C7D0017B744263B +:040C7E00A0230002AD +:040C7F0044960EE7A2 +:040C800080826161AC +:040C8100BF9547894B +:040C8200BF85478D56 +:040C8300B7B5479129 +:040C8400B7A5479534 +:040C8500B79547993F +:040C8600B785479D4A +:040C8700BFB147A111 +:040C8800BFA147A51C +:040C890046836765D2 +:040C8A00B79311F714 +:040C8B000FA300169D +:040C8C00676510F791 +:040C8D00EAC7260389 +:040C8E000027971391 +:040C8F003C06478355 +:040C90008FD99BED70 +:040C91003CF600230A +:040C9200C70367E548 +:040C930067E50057BA +:040C9400FAE789A34F +:040C95004A06916317 +:040C9600763000EFC5 +:040C970010EF450510 +:040C980047A909203F +:040C990067E5BF1933 +:040C9A00EB87A7033A +:040C9B000007478384 +:040C9C000017468374 +:040C9D008EDD06A240 +:040C9E000027478361 +:040C9F0000374603D1 +:040CA0008EDD07C21C +:040CA10067B30662CD +:040CA200F69300D6EF +:040CA300CA367FF7D7 +:040CA40000B7D6932C +:040CA500C8368A853E +:040CA60000474403BC +:040CA7000057468329 +:040CA8008EC106A251 +:040CA9000067440399 +:040CAA008EC10442B1 +:040CAB000077440387 +:040CAC00470367652E +:040CAD00046211F7D5 +:040CAE00F7458C5525 +:040CAF001793CC3E8D +:040CB000646500C4B3 +:040CB100EAC4250369 +:040CB200061383B1F1 +:040CB30045813C003B +:040CB400D0EFC43E7B +:040CB5002503BCCF88 +:040CB6007637EAC4DF +:040CB700061300011F +:040CB80045C1F90633 +:040CB900663020EF92 +:040CBA002503676542 +:040CBB004683EAC4BE +:040CBC007637005730 +:040CBD000613000119 +:040CBE0045C1F9C66D +:040CBF0020EF0541DC +:040CC000C703649072 +:040CC100056300B413 +:040CC20025030E07F1 +:040CC3007637EAC4D2 +:040CC4000613000112 +:040CC50045C1FA0625 +:040CC600020505130B +:040CC70062B020EF08 +:040CC80000A48703FA +:040CC900250346F1C8 +:040CCA000733EAC43E +:040CCB0066E502D701 +:040CCC0014068693F1 +:040CCD000001763775 +:040CCE003C46061387 +:040CCF00051345C103 +:040CD00096BA0305C8 +:040CD100603020EF80 +:040CD200EAC4250348 +:040CD300000176376F +:040CD400FB06061302 +:040CD500051345C1FD +:040CD60020EF040502 +:040CD70047D25ED0D2 +:040CD800EAC4250342 +:040CD9000690071367 +:040CDA0000178693E6 +:040CDB00051347C2F4 +:040CDC0096B30505C1 +:040CDD0096BE00F6C9 +:040CDE00961347E240 +:040CDF004463014722 +:040CE00007130006F0 +:040CE10006370700CB +:040CE2008E7D000300 +:040CE30002A00793D1 +:040CE4000793E21977 +:040CE50045A2020022 +:040CE600A0EEC6377F +:040CE700B00606133A +:040CE80002B65633C7 +:040CE9000640059329 +:040CEA0002B672B329 +:040CEB0002B65633C4 +:040CEC0045C1C21626 +:040CED007637C03264 +:040CEE0006130001E8 +:040CEF0020EFFC06F0 +:040CF00025035890F0 +:040CF1007637EAC4A4 +:040CF20006130001E4 +:040CF30045C1FD8674 +:040CF40006050513D9 +:040CF500573020EF65 +:040CF600EAC4250324 +:040CF700763746A264 +:040CF80006130001DE +:040CF90045C1FE866D +:040CFA0007050513D2 +:040CFB0055B020EFE1 +:040CFC00EAC425031E +:040CFD000001763745 +:040CFE00FEC6061315 +:040CFF00051345C1D3 +:040D000020EF0805D3 +:040D01002503545022 +:040D02007637EAC492 +:040D030007130001D1 +:040D040046810570AF +:040D0500FF8606134C +:040D0600051345C1CB +:040D070020EF0905CB +:040D0800278352905B +:040D0900C703EAC46E +:040D0A0067133C0728 +:040D0B00802300271A +:040D0C00C7033CE7F6 +:040D0D0086233CC736 +:040D0E00C7033C07D4 +:040D0F0086A33CD7A4 +:040D1000C7033C07D2 +:040D110087233CE711 +:040D1200C7033C07D0 +:040D130087A33CF77F +:040D1400C7033C07CE +:040D1500477D3C4793 +:040D16003CE7822311 +:040D17003C57C683FC +:040D18003C0782A36F +:040D19003C67C683EA +:040D1A003C078323EC +:040D1B003C77C683D8 +:040D1C003C0783A36A +:040D1D003C87C683C6 +:040D1E003CE7842307 +:040D1F003C97C70333 +:040D20003C0784A365 +:040D21003CA7C70321 +:040D22003C078523E2 +:040D23003CB7C7030F +:040D24003C0785A360 +:040D25006765B3F952 +:040D2600FE475783AA +:040D27000107C79366 +:040D2800FEF712239D +:040D290067E5BB7D42 +:040D2A000887C7036C +:040D2B0046814605B2 +:040D2C0000E6656315 +:040D2D0076930705AD +:040D2E0067650FF7EF +:040D2F0011F747036E +:040D3000842364E5CF +:040D3100849308D7C8 +:040D3200E345F4841D +:040D3300646540D8DB +:040D3400EAC42503E5 +:040D35004641430CE4 +:040D3600509020EFCA +:040D370067E540D458 +:040D38000887C7035E +:040D3900EAC42403E1 +:040D3A00070A4A9CBE +:040D3B00438C97BA94 +:040D3C000513464114 +:040D3D0020EF02049D +:040D3E0047834EB0E9 +:040D3F00E7933C04F6 +:040D40000023002765 +:040D410047833CF4B4 +:040D420006233CC484 +:040D430047833C04A2 +:040D440006A33CD4F2 +:040D450047833C04A0 +:040D460007233CE45F +:040D470047833C049E +:040D480007A33CF4CD +:040D490047833C049C +:040D4A00478D3C4451 +:040D4B003CF402234F +:040D4C003C54478349 +:040D4D003C0402A3BD +:040D4E003C64478337 +:040D4F003C0403233A +:040D50003C74478325 +:040D51003C0403A3B8 +:040D52003C84478313 +:040D53003C04042335 +:040D54003C94478301 +:040D55003C0404A3B3 +:040D56003CA44783EF +:040D57003C04052330 +:040D58003CB44783DD +:040D59003C0405A3AE +:040D5A0000EFB9ED00 +:040D5B00411C3B50AC +:040D5C00CE9799E3B2 +:040D5D00447000EFEF +:040D5E0067E5B1EDA7 +:040D5F000887871367 +:040D6000001747032E +:040D61008793460529 +:040D62004681088737 +:040D630000E66563DE +:040D64007693070576 +:040D650067650FF7B8 +:040D660011F7470337 +:040D670080A364E51C +:040D6800849300D799 +:040D6900F371F484AA +:040D6A00646540D8A4 +:040D6B00EAC42503AE +:040D6C0008C725830C +:040D6D0020EF4641EC +:040D6E0040D442B07B +:040D6F00879367E51A +:040D7000C703088726 +:040D71002403001740 +:040D7200A783EAC4A5 +:040D7300BF3109C6BD +:040D74000793646518 +:040D7500475908844E +:040D76000067C783C8 +:040D770008840413D5 +:040D780004E6946396 +:040D790017FDC7811A +:040D7A000FF7F793E5 +:040D7B0000F403235A +:040D7C00C78367E5DD +:040D7D0064E511F721 +:040D7E00F4848493E2 +:040D7F0040D8F7BDA4 +:040D8000A50367E57B +:040D81004B4CEAC726 +:040D820020EF4641D7 +:040D830040D83D70A7 +:040D840000644503BF +:040D85009702535826 +:040D860065E567E5D3 +:040D8700EAC7A40310 +:040D880085934641C8 +:040D8900B5F1FF457C +:040D8A00873E46B9A1 +:040D8B0000F6F36318 +:040D8C0007054739D7 +:040D8D0000E4032358 +:040D8E0075B7BF6511 +:040D8F006565000195 +:040D900085934645BC +:040D91000513004501 +:040D920020EFFBC58E +:040D930063653970EB +:040D9400000175B72E +:040D9500FF43051300 +:040D960085934645B6 +:040D970020EF014503 +:040D98006465383026 +:040D9900EAC42683FF +:040D9A00C7834505C1 +:040D9B00E7933C0698 +:040D9C008023004769 +:040D9D00D0EF3CF661 +:040D9E006765B7FFCF +:040D9F00071363656E +:040DA00017B7140766 +:040DA100A6030002A3 +:040DA20067E50D07ED +:040DA3000D0787931E +:040DA4008241064240 +:040DA50045ED468151 +:040DA6000007D5036A +:040DA70000C506631A +:040DA800078906852C +:040DA900FEB69AE315 +:040DAA0000A4878397 +:040DAB0087B3467153 +:040DAC00461502C71F +:040DAD004BDC97BACA +:040DAE00646383F502 +:040DAF00461102F6F1 +:040DB00006D64363BD +:040DB100973E00D891 +:040DB200FDC747032F +:040DB30040D757339B +:040DB400C7158B05CF +:040DB50000D8078AD1 +:040DB600A78397BABE +:040DB7008023FE4750 +:040DB800270300D736 +:040DB90067E5EAC43C +:040DBA0010078FA3EC +:040DBB003C07478327 +:040DBC0000239BED88 +:040DBD0045013CF7B9 +:040DBE00C4BFD0EFEF +:040DBF007637B69D30 +:040DC00006850001A3 +:040DC100020606130D +:040DC200051345C50B +:040DC30020EFFF43DB +:040DC400450523902E +:040DC500AE1FD0EF9E +:040DC6000007A53746 +:040DC70012050513F9 +:040DC8009DFFC0EFDC +:040DC90047B1BF7DF2 +:040DCA00FAF68DE3C5 +:040DCB00051365099E +:040DCC00C0EF7105FE +:040DCD0067E59CDF5B +:040DCE00140787136C +:040DCF00B791636510 +:040DD00000A4878371 +:040DD100646546719E +:040DD20002C786339B +:040DD30014040713EA +:040DD40014040413EC +:040DD50046659732A6 +:040DD6000167470367 +:040DD7000AC6906355 +:040DD800C701467D8C +:040DD9007613177DF9 +:040DDA0046F10FF7D8 +:040DDB0002D786B302 +:040DDC0096A267650F +:040DDD0000C68B239E +:040DDE000123468522 +:040DDF00676508D765 +:040DE000128747032C +:040DE10000F71B6399 +:040DE20087B347711B +:040DE30097A202E7EA +:040DE4000167C703D9 +:040DE5009E2367E5FD +:040DE60067E510E7C6 +:040DE70011F7C70336 +:040DE800879367E5A1 +:040DE900E32DF0877F +:040DEA00676543DC1A +:040DEB00EAC725032B +:040DEC000A07A583CA +:040DED0020EF46416C +:040DEE00878322B025 +:040DEF0046F100A425 +:040DF00087B364E57C +:040DF100069302D78C +:040DF20076374650BA +:040DF30006130001E2 +:040DF40045C50306E8 +:040DF500FF4485131F +:040DF600C78397A276 +:040DF70087B3016756 +:040DF800069302D785 +:040DF900C6B3064037 +:040DFA0020EF02D70D +:040DFB00676515D043 +:040DFC00EAC724031B +:040DFD008593464153 +:040DFE00B9E5FF4410 +:040DFF00460146F96A +:040E0000F6E6E5E34A +:040E0100B7850705A5 +:040E0200117000EF7C +:040E030067E5411846 +:040E0400F087879359 +:040E0500A4F717E354 +:040E06004785BBB1B0 +:040E0700C43E4401A0 +:040E080077B76565EE +:040E09004645000159 +:040E0A000387859342 +:040E0B00FBC505130B +:040E0C001B1020EFA8 +:040E0D0006B346A939 +:040E0E00461502D4AF +:040E0F00873647A239 +:040E100000D6536352 +:040E110076374715D4 +:040E120064E5000192 +:040E13000613072596 +:040E140045C5048646 +:040E1500FF448513FE +:040E160020EFC83EC3 +:040E170066E50ED0AE +:040E1800EAC6A6037D +:040E19004703450541 +:040E1A0067133C0618 +:040E1B000023004769 +:040E1C00D0EF3CE6F1 +:040E1D0066E5983FAF +:040E1E00C43647C2CD +:040E1F0016FD66C195 +:040E2000000217377E +:040E21000D0727038F +:040E2200EF998F7540 +:040E230067E5CF119F +:040E24000D0786131D +:040E2500478145EDCF +:040E2600000655036A +:040E270002E502637B +:040E2800060907852B +:040E2900FEB79AE393 +:040E2A00051365093E +:040E2B00C83A71054B +:040E2C0084FFC0EF90 +:040E2D0087BA4742F7 +:040E2E00069367417F +:040E2F00B7C9FFF749 +:040E30008763462569 +:040E3100461100C79F +:040E32004625E01160 +:040E330006C7D163BA +:040E340007854729BE +:040E350002E7E7B336 +:040E360002E403339C +:040E3700979A6765BA +:040E3800FAF709A319 +:040E3900C7DFE0EF40 +:040E3A0076B7CD15A5 +:040E3B008693000199 +:040E3C007637F88687 +:040E3D000613000197 +:040E3E0045C53C4624 +:040E3F00FF448513D4 +:040E4000047020EF2B +:040E4100D0EF4505A4 +:040E4200A5378EFF43 +:040E4300051300078C +:040E4400C0EF1205E4 +:040E450067E5FECF90 +:040E460010078FA35F +:040E4700A70347A214 +:040E4800B3E9EAC759 +:040E4900000176B777 +:040E4A00F80686938D +:040E4B004669B7D964 +:040E4C0000C79663E2 +:040E4D000014441336 +:040E4E00B5D587BAD5 +:040E4F0095E34631B0 +:040E5000BFD1F6C751 +:040E5100C70367E587 +:040E520047B211F79B +:040E5300820707E328 +:040E540047A9BA09E7 +:040E5500835FF06F58 +:040E5600DBC10113E8 +:040E57002C23182808 +:040E580020232291A0 +:040E59002E2324110F +:040E5A00D0EF228132 +:040E5B0084AAFBCF9B +:040E5C00656020EFBE +:040E5D0004B3C4898D +:040E5E00440D40906F +:040E5F004611A01583 +:040E60000828182C1A +:040E610005D020EFA9 +:040E6200000175B75F +:040E6300859346111C +:040E6400082827C56E +:040E6500021020EF68 +:040E6600440DC93539 +:040E670006400493AA +:040E6800626020EFB5 +:040E69000650069396 +:040E6A0030D48D6390 +:040E6B002E96CC6390 +:040E6C008D634685C7 +:040E6D00069330D4E4 +:040E6E008E63064049 +:040E6F00069330D4E2 +:040E70008F63F34059 +:040E710075B730D44D +:040E72008593000163 +:040E730067E51F050B +:040E74008513464557 +:040E750020EFFF4724 +:040E7600450500B07E +:040E7700819FD0EF98 +:040E7800000F4537EB +:040E79002405051334 +:040E7A00F16FC0EF65 +:040E7B003004D96303 +:040E7C003080576308 +:040E7D0000017637C3 +:040E7E00061365658D +:040E7F0045C52E86B1 +:040E8000FBC5051396 +:040E8100742020EFCA +:040E8200A2E5147D54 +:040E830003C15783CD +:040E840003E10593EE +:040E850005134621EA +:040E86001E2301E145 +:040E870020EF00F167 +:040E880057837C20F0 +:040E890002A304615B +:040E8A001A23020124 +:040E8B00578300F198 +:040E8C001B2304819F +:040E8D00455200F1D9 +:040E8E001A4010EF07 +:040E8F0004A15783E0 +:040E90001A23D42A23 +:040E9100578300F192 +:040E92001B2304C159 +:040E9300455200F1D3 +:040E940018C010EF83 +:040E950004E157839A +:040E96001A23D62A1B +:040E9700578300F18C +:040E98001B23050112 +:040E9900455200F1CD +:040E9A00174010EFFE +:040E9B002503D82A29 +:040E9C00CA2A2341FA +:040E9D00168010EFBC +:040E9E00DA2A55A255 +:040E9F001E20079377 +:040EA000FE65871351 +:040EA1000CE7ED630A +:040EA20018284605C1 +:040EA300174010EFF5 +:040EA400066357D2B8 +:040EA500440D00F503 +:040EA600066004934B +:040EA7006465B711B6 +:040EA8000001763798 +:040EA90028460613BE +:040EAA00051345C522 +:040EAB0020EFFBC475 +:040EAC0056B2698051 +:040EAD00763767E548 +:040EAE0085130001A7 +:040EAF000613FF47E0 +:040EB00045C52946C5 +:040EB100682020EFA6 +:040EB200D0EF450533 +:040EB30057C2F2AF81 +:040EB400450154B2EE +:040EB500C422C23E53 +:040EB600E663478127 +:040EB70047920897BF +:040EB8001AA79A6378 +:040EB90001E1478389 +:040EBA0001C14683A9 +:040EBB0001D1470317 +:040EBC0077B7C3E958 +:040EBD008793000116 +:040EBE0045221BC7E7 +:040EBF0001E1061334 +:040EC0007637C0328F +:040EC1000613000113 +:040EC20045C52A06F2 +:040EC300FBC5051353 +:040EC400636020EF58 +:040EC50075B767E5B1 +:040EC600464500019C +:040EC7002F8585935B +:040EC800FF47851348 +:040EC90054A020EF22 +:040ECA006441450535 +:040ECB00EC8FD0EFE9 +:040ECC0014B7147DC6 +:040ECD00A7830002F5 +:040ECE0067650D0443 +:040ECF000D07568332 +:040ED0008D638FE1BE +:040ED100071306F607 +:040ED20057030D07AE +:040ED300076300278A +:040ED400650914F7A1 +:040ED500710505138B +:040ED600DA6FC0EF20 +:040ED700440DBFD92E +:040ED8000650049329 +:040ED9008333BD356D +:040EDA00071340F4C6 +:040EDB00746320001C +:040EDC000313006795 +:040EDD0017132000C7 +:040EDE008413010375 +:040EDF00C83E2007E2 +:040EE000851367E52A +:040EE1008341FA4708 +:040EE20056134681DC +:040EE300182C009433 +:040EE40020EFC61A1B +:040EE5004332346000 +:040EE600C50147C239 +:040EE70040A004B370 +:040EE800B613BBE999 +:040EE900859A0017CF +:040EEA0010EF1828C5 +:040EEB0087A2056075 +:040EEC0077B7B72DF0 +:040EED0087930001E6 +:040EEE00B7813CC7C5 +:040EEF005A2010EF86 +:040EF0005783676558 +:040EF1006509FE474A +:040EF200710505136E +:040EF3000027E7935A +:040EF400FEF71223D0 +:040EF5000EF4A02334 +:040EF600D26FC0EF08 +:040EF700000175B7CA +:040EF80046456565A1 +:040EF9002AC58593EE +:040EFA00FBC505131C +:040EFB005F4020EF45 +:040EFC0077B7440D73 +:040EFD0085930001D8 +:040EFE0067E52B87F2 +:040EFF0085134645CC +:040F000020EFFF4798 +:040F010045055DE065 +:040F0200DECFD0EF7F +:040F03001834563216 +:040F040045054581D9 +:040F0500D44FD0EF06 +:040F060013E384AAC3 +:040F070066E5D805BE +:040F0800000175B7B8 +:040F0900FBC685138B +:040F0A008593464540 +:040F0B0020EF2C8522 +:040F0C0077375B20B8 +:040F0D0067E5000193 +:040F0E002B87059395 +:040F0F0085134645BB +:040F100020EFFF4788 +:040F1100450559E059 +:040F1200DACFD0EF73 +:040F130055B256423B +:040F140086BA183849 +:040F1500D0EF4501D3 +:040F160084AAC60FD4 +:040F1700D40512E308 +:040F1800366020EF30 +:040F190075B766E55D +:040F1A004645000147 +:040F1B0030C58593C5 +:040F1C00FBC6851378 +:040F1D003FA020EFE2 +:040F1E0075B767E557 +:040F1F004645000142 +:040F20002D85859303 +:040F2100FF478513EE +:040F2200558020EFE7 +:040F2300D0EF4505C1 +:040F2400A001D66FE3 +:040F25000493440DE0 +:040F2600B319067085 +:040F27000493440DDE +:040F2800B9FD068089 +:040F290006700693B5 +:040F2A0004D4816307 +:040F2B0004D4C463C3 +:040F2C0006800693A2 +:040F2D00D0D499E3A0 :040F2E00000175B792 -:040F2F00CB85859356 -:040F30001141B7F5BF -:040F3100C606458526 -:040F320029B5C02AF3 -:040F33004783676524 -:040F34004682A0074A -:040F3500A0070713F7 -:040F36007737E78999 -:040F3700071300019B -:040F380040B2CE47AE -:040F39000001763706 -:040F3A0006136565D0 -:040F3B0045C5CEC614 -:040F3C008C450513C8 -:040F3D00106F0141EF -:040F3E0067E55BB058 -:040F3F009E07A7035F -:040F4000879367E547 -:040F410046AD9A0718 -:040F4200D5834645C8 -:040F4300926301674D -:040F4400F69306E535 -:040F4500E1190FF6A9 -:040F46002A0704630F -:040F4700C42611317A -:040F4800C28364E517 -:040F4900C622851423 -:040F4A000793646144 -:040F4B0095936344D3 -:040F4C0097AE00322A -:040F4D00A303C8062C -:040F4E00C78300074E -:040F4F0046510047C0 -:040F5000FF568513B0 -:040F510002C7873319 -:040F5200004326032F -:040F53000FF575130E -:040F540084934395AA -:040F550004138514E8 -:040F5600963A634420 -:040F57000046470306 -:040F580002A3E863A5 -:040F5900050A63DD45 -:040F5A00C4C38393F6 -:040F5B004108951E96 -:040F5C00068585027F -:040F5D009AE3078983 -:040F5E004681F8C60A -:040F5F00E399BF69EA -:040F600000034783C0 -:040F6100F79317FDEE -:040F620095A20FF74E -:040F630000F58223F0 -:040F6400468147017A -:040F65004703A89105 -:040F660007850003F8 -:040F6700E7B395A2B5 -:040F6800B7ED02E7F8 -:040F69000002876398 -:040F6A00FFF2879378 -:040F6B0000F48023EB -:040F6C0067E5B7C5B9 -:040F6D009E0787A3B1 -:040F6E00A70367E18D -:040F6F00478377C776 -:040F70009BED0007EE -:040F710000F7002362 -:040F720040C2443203 -:040F7300015144A242 -:040F7400C4EFD06F87 -:040F75000E63478D33 -:040F7600479104F7A4 -:040F7700FAF71AE388 -:040F78009782461CFA -:040F79004685872AF8 -:040F7A000004C78325 -:040F7B00464565651D -:040F7C00943E078E0A -:040F7D000044478362 -:040F7E004451400496 -:040F7F00028784332E -:040F8000051340DC39 -:040F8100C23A88C523 -:040F820097A2C0363C -:040F830010EF438C9C -:040F840040DC53D02A -:040F850097A2459159 -:040F86000047C60357 -:040F870014C5E36347 -:040F8800060A65DD13 -:040F8900C645859341 -:040F8A004210962E4D -:040F8B004712468241 -:040F8C004A1C860273 -:040F8D009782C391F3 -:040F8E000004C78311 -:040F8F0085934351B2 -:040F9000078E0017B1 -:040F910000F407332E -:040F920047034314BA -:040F930042D0004701 -:040F940002670733B6 -:040F950000359693FA -:040F960000D405334B -:040F9700000523032B -:040F9800471897322D -:040F990000E304630A -:040F9A000005022329 -:040F9B00C78397A2CF -:040F9C004751004772 -:040F9D0087B396A2DE -:040F9E00802302E7C3 -:040F9F0097B200B451 -:040FA000C29C479C0C -:040FA1004791B7318C -:040FA200F0E7E4E3AD -:040FA300879367DDEC -:040FA400070AC787EA -:040FA500431C973E14 -:040FA600460C8782EC -:040FA7004503433D7E -:040FA800470300C635 -:040FA900C78300D624 -:040FAA0046030005F5 -:040FAB009D6300E65C -:040FAC007863006600 -:040FAD0017FD00F735 -:040FAE000FF7F61330 -:040FAF0000C58023D6 -:040FB000FD6DBDC155 -:040FB100BFDD863AE0 -:040FB20000C7F86319 -:040FB300F7130785A4 -:040FB40080230FF790 -:040FB500BD6D00E529 -:040FB6008732FD6D14 -:040FB7004608BFDD4C -:040FB80000C6558397 -:040FB90000E65703F4 -:040FBA005783463DD6 -:040FBB009E6300052C -:040FBC00F76300C611 -:040FBD0017FD00F527 -:040FBE00010797137D -:040FBF00A0198341B1 -:040FC000872EC19126 -:040FC10000E5102314 -:040FC200F463B561BE -:040FC300078500E7B7 -:040FC400F9EDB7E5A7 -:040FC500B7FD47012C -:040FC60045BD46508F -:040FC7004783421802 -:040FC8009963000722 -:040FC900C78100B626 -:040FCA00F79317FD85 -:040FCB0000230FF7F9 -:040FCC00BDB900F7B4 -:040FCD000046468311 -:040FCE0000D7F563F0 -:040FCF00F693078509 -:040FD00000230FF7F4 -:040FD100B5A900D7E7 -:040FD2004B9C479855 -:040FD3004703656506 -:040FD4004645000787 -:040FD5008C4505132F -:040FD60097BA070AB5 -:040FD70010EF438C48 -:040FD80044323ED091 -:040FD90044A240C22C -:040FDA00D06F015182 -:040FDB004798A6EF9E -:040FDC0045034B9CE2 -:040FDD0097820007F0 -:040FDE004B98B7ED88 -:040FDF0097024788A6 -:040FE00067E5B7CD3D -:040FE1008C078223D4 -:040FE200C695BFE908 -:040FE30076B7C30515 -:040FE40086930001EF -:040FE50076378EC607 -:040FE600656500013C -:040FE700CF06061318 -:040FE800051345C5E3 -:040FE90010EF8C4534 -:040FEA00BF6530B0FF -:040FEB00000176B7D4 -:040FEC008E46869314 -:040FED0047DCB7CD59 -:040FEE004398D7E964 -:040FEF00BF55479C07 -:040FF00047BD8082F7 -:040FF10016A7EF63ED -:040FF200445257B757 -:040FF300EF410113B6 -:040FF4003557879353 -:040FF50057B7C03EEC -:040FF600879300419C -:040FF700C23E44179B -:040FF80000F5379336 -:040FF900108122231E -:040FFA0000F10523DA -:040FFB0001050413D5 -:040FFC001011242389 -:040FFD00109120230C -:040FFE00042247B9C9 -:040FFF000CA7F363E5 -:0410000087936795D6 -:0410010065E550074A -:0410020000F11423C2 -:0410030007934629E0 -:04100400859304A02C -:0410050005138B85BF -:0410060005A300D16D -:04100700062300F1CB -:0410080010EF0001E4 -:0410090067E51B700C -:04100A008897C78379 -:04100B00061365E57E -:04100C000C2303604E -:04100D0067E500F1A2 -:04100E008817C783F5 -:04100F009A05859326 -:0410100002110513B1 -:0410110000F10CA33B -:04101200C78367E544 -:041013000BA38D6737 -:0410140067E500F19B -:041015008C27C783DA -:0410160000F10D23B5 -:04101700C78367E53F -:041018000DA3954748 -:0410190067E500F196 -:04101A008B67C78396 -:04101B0000F10E23AF -:04101C00C78367E13E -:04101D000EA377B7F0 -:04101E0067E500F191 -:04101F008887C78374 -:0410200000F10F23A9 -:04102100C78367E139 -:041022000FA3780799 -:0410230067E100F190 -:041024007817C783EF -:0410250002F10023B1 -:04102600141010EFA3 -:041027000593862285 -:04102800850A0570C0 -:04102900F99FC0EF7C -:04102A0000A03533BA -:04102B0040A00533A9 -:04102C00108120838C -:04102D001041240347 -:04102E001001248306 -:04102F0010C10113D8 -:0410300067958082BE -:04103100600787933A -:0410320000F1142392 -:04103300040C07B7EB -:041034000467879333 -:04103500CC3E64E564 -:04103600A004C783C8 -:041037007637EB918C -:04103800061300019A -:0410390045B576C67D -:04103A00A004851376 -:04103B001C5010EF46 -:04103C00859346351D -:04103D000513A004F3 -:04103E0010EF00B1FE -:04103F0065E525102E -:04104000046006132F -:041041009585859379 -:0410420010EF08683B -:0410430065E50CF063 -:0410440009E00613A6 -:04104500A1058593E9 -:041046000621051367 -:041047000BD010EFCB -:041048000593862264 -:04104900850A100004 -:04104A00F15FC0EFA3 -:04104B0006136565BE -:04104C0005930014F4 -:04104D00051336E071 -:04104E00C0EFAAE560 -:04104F004501F57FE3 -:04105000557DBF8586 -:0410510067E580824D -:04105200A007802350 -:04105300F56347BD3D -:0410540057FD00A79D -:041055008082853ED2 -:04105600EE81011313 -:0410570001050793F5 -:041058009513C22EFC -:04105900007001071B -:04105A0010000593EA -:04105B002A23C03E46 -:04105C002823101124 -:04105D0026231081B5 -:04105E00C0EF10913E -:04105F0057FDEA5FF0 -:0410600075B7E10D72 -:041061008593000172 -:041062000068764567 -:04106300D12FC0EFDA -:041064001D6387AAD7 -:0410650047031C051C -:04106600CF11016144 -:041067000E63468549 -:0410680020830ED7FC -:04106900240311410A -:04106A0024831101C9 -:04106B00853E10C1ED -:04106C0011810113DA -:04106D004703808233 -:04106E001B630141BE -:04106F0046831A0793 -:041070000713015110 -:04107100956305502E -:0410720047031AE630 -:04107300468301812E -:0410740007220171DD -:0410750006938F55FA -:0410760014E304A0DB -:041077004712FCD749 -:0410780066E5F369CD -:041079004781007833 -:04107A0086934539DB -:04107B0045A98B8672 -:04107C0000D7460350 -:04107D0000C56663E1 -:04107E0000D78333E1 -:04107F0000C3002387 -:0410800007050785D4 -:04108100FEB796E33D -:04108200025147834D -:0410830000A36765FA -:04108400472588F77D -:0410850000F76663A7 -:0410860004A36765F3 -:04108700A02988F71D -:041088000241478357 -:04108900FEF77AE311 -:04108A0002714703A5 -:04108B00656567E54B -:04108C0094E78A2338 -:04108D000281470392 -:04108E00061367E5F9 -:04108F008B2303604C -:0410900047038AE7A1 -:0410910067E1029180 -:0410920002D10593EF -:0410930076E78DA3CC -:0410940002A147036B -:04109500051367E5F3 -:0410960084239A0510 -:04109700470388E79C -:0410980067E102B159 -:0410990078E7802351 -:04109A0002C1470345 -:04109B0080A367E1E6 -:04109C00470378E7A7 -:04109D0067E50231D0 -:04109E008CE78B232D -:04109F00C70367E537 -:0410A00067E58B87EE -:0410A1008CE78AA3AB -:0410A200026147039D -:0410A300812367E559 -:0410A40010EF8CE7D6 -:0410A50047817460AB -:0410A6004483B7299F -:0410A700E8E9014132 -:0410A8000151468329 -:0410A90005600713C4 -:0410AA000CE6946359 -:0410AB000241568325 -:0410AC0004600713C2 -:0410AD00EEE697E3F1 -:0410AE000261540384 -:0410AF0040C0071323 -:0410B000EEE411E376 -:0410B100C42A67E501 -:0410B2008513463527 -:0410B3000593A007FA -:0410B40010EF0171C7 -:0410B5004712079047 -:0410B60014E347A256 -:0410B7006565EC0778 -:0410B80004600613B7 -:0410B9000513102CDF -:0410BA0010EF958519 -:0410BB0047826EE01A -:0410BC00470166E59D -:0410BD00C03E07A288 -:0410BE00A10686936E -:0410BF00062007936D -:0410C0001000061303 -:0410C10000D705331C -:0410C2008E1DC43A81 -:0410C300C23E0078B1 -:0410C40000F705B379 -:0410C50004C44863B4 -:0410C6006C0010EFBB -:0410C70047224792E3 -:0410C800943E0485C9 -:0410C90047828F1DAE -:0410CA0010070713F1 -:0410CB000FF4F49397 -:0410CC0000F4853374 -:0410CD008341074212 -:0410CE00F004041313 -:0410CF000593007015 -:0410D00005221000E5 -:0410D1000442C23AD9 -:0410D200CD7FC0EF1F -:0410D300804166E50D -:0410D40047124781F7 -:0410D500A106869357 -:0410D60067E5F44591 -:0410D700892347051D -:0410D800BF1594E7C5 -:0410D90010EF86226C -:0410DA00BFC5672007 -:0410DB00BD15478573 -:0410DC00BD0547897E -:0410DD00DD8101139D -:0410DE002223086859 -:0410DF002023221197 -:0410E0002E23228118 -:0410E100C0EF2091AB -:0410E200C02ADB3F06 -:0410E3005A4010EF70 -:0410E4009A63478242 -:0410E5006465160721 -:0410E600000175B7D9 -:0410E7008593464562 -:0410E800051373C5B4 -:0410E90064E588C46E -:0410EA00630010EFA0 -:0410EB008C048223CC -:0410EC00E29FC0EFD0 -:0410ED0064C1C626EE -:0410EE0014FDC42207 -:0410EF00000217B72D -:0410F0000D07A783BE -:0410F1005683676556 -:0410F2008FE59A07E5 -:0410F30000F68E6312 -:0410F4009A0707133D -:0410F5000027570376 -:0410F60012F7076383 -:0410F700051365096F -:0410F800B0EF7105DF -:0410F900BFD9D1DFAB -:0410FA0075B747A2DD -:0410FB004645000165 -:0410FC00750585935E -:0410FD0088C7851308 -:0410FE005E0010EF91 -:0410FF0075B747B2C8 -:04110000464500015F -:04110100BE458593CF -:041102008C4785137E -:0411030073E010EF96 -:04110400DC9FC0EFBD -:0411050044014481DC -:0411060001041713B6 -:0411070020070713A3 -:041108004097579322 -:04110900863EC23E1E -:04110A00472D67E521 -:04110B00080C468105 -:04110C008747851379 -:04110D0040E010EFBF -:04110E0075B7E57953 -:04110F0085930001C3 -:041110000808764510 -:04111100A5AFC0EFD7 -:041112004703ED1D85 -:04111300EF05018162 -:04111400019146837C -:041115000560071357 -:0411160002E69763F3 -:0411170001A1468369 -:0411180062634705C2 -:04111900660502D78E -:04111A0000841593A5 -:04111B00061395B270 -:04111C00846346E0C2 -:04111D00061300E6CF -:04111E004512057001 -:04111F00C0EF0874A1 -:04112000E151CE9F2C -:041121000405048538 -:0411220017E3474147 -:0411230010EFF8E4ED -:0411240045814A2097 -:04112500F0EF453D65 -:0411260067E5CB1F8F -:041127008897C7835B -:0411280007136765DD -:0411290097BA8B875F -:04112A000007C503F2 -:04112B0067E54581AE -:04112C008CA78AA35F -:04112D00C93FF0EFD7 -:04112E00763747A227 -:04112F0086A600018F -:0411300072460613EA -:04113100851345C518 -:0411320010EF88C76B -:0411330047B25E6001 -:04113400000175B78A -:041135008593464513 -:0411360085137305A5 -:0411370010EF8C47E2 -:04113800C0EF66C0DE -:041139004537CF7FE8 -:04113A000513000F8A -:04113B00B0EF2405E8 -:04113C002083C11F2C -:04113D002403224124 -:04113E004502220143 -:04113F0021C1248323 -:0411400022810113F4 -:0411410010EF8082A9 -:0411420057FD42A073 -:04114300B7D5C03E1E -:0411440001855713B7 -:041145000185179376 -:0411460006B78FD980 -:04114700171300FF7B -:041148008F7500851A -:0411490067418FD992 -:04114A00F007071390 -:04114B008D798121F8 -:04114C0080828D5DB3 -:04114D0017B7C60901 -:04114E00A0230002D8 -:04114F00470100074D -:04115000FFC5F6934E -:04115100000216374B -:0411520000E507B3FA -:0411530002D76463F8 -:04115400470D898D2D -:0411550002E59463B8 -:041156000007D68335 -:041157000002173744 -:0411580000D7122387 -:041159000027C78321 -:04115A0000F7022375 -:04115B00000217B7C0 -:04115C0080824B88BA -:04115D000711439C97 -:04115E00B7F9C25CBF -:04115F009963470940 -:04116000D70300E5CC -:0411610017B70007B5 -:0411620092230002D2 -:04116300BFF900E7E9 -:041164009DE34705BB -:04116500C703FCE5DB -:0411660017B70007B0 -:0411670082230002DD -:04116800B7E900E7FC -:04116900C22211513C -:04116A000002143734 -:04116B004601C02653 -:04116C00059384AAB9 -:04116D00051304A0C2 -:04116E00C4060404AB -:04116F00FE1FB0EFC0 -:04117000460185A609 -:04117100040405135A -:0411720080EFC0EF5B -:0411730004A005933C -:041174000404051357 -:04117500B0EF46058C -:041176000513FC7FE2 -:041177004412040416 -:04117800448240A2CB -:041179000131458576 -:04117A00FD5FB06FF6 -:04117B00C422114138 -:04117C000002143722 -:04117D00C02AC2269C -:04117E00460184AEF4 -:04117F0004A0059330 -:04118000040405134B -:04118100B0EFC606FF -:041182004782F97F28 -:041183000513460109 -:0411840085BE04041C -:04118500FC3FB0EF8C -:041186000404051345 -:0411870040B244220C -:04118800449285A662 -:0411890001414605D5 -:04118A00FAFFB06F49 -:04118B00C22211511A -:04118C0014334405CF -:04118D00741300A433 -:04118E0085A20FF433 -:04118F00C406451934 -:04119000FADFF0EFA3 -:04119100441285A2DD -:04119200451D40A215 -:04119300F06F0131C7 -:041194001151F9FFFD -:04119500C222050667 -:041196000FF57413CA -:04119700450585A2E3 -:04119800F0EFC406AA -:0411990085A2F8BF74 -:04119A0040A2441219 -:04119B0001314509D0 -:04119C00F7DFF06F1A -:04119D004515115192 -:04119E00F0EFC406A4 -:04119F000713F2BF81 -:0411A000478108601B -:0411A10004E51363EB -:0411A20009000593A8 -:0411A300020005132E -:0411A400F5DFF0EF94 -:0411A5000513458168 -:0411A600F0EF021054 -:0411A7004581F53F4A -:0411A8000220051309 -:0411A900F49FF0EFD0 -:0411AA000513458D57 -:0411AB00F0EF02302F -:0411AC004581F3FF87 -:0411AD0002800513A4 -:0411AE00F35FF0EF0C -:0411AF0003000593A1 -:0411B000071005130C -:0411B100F29FF0EFCA -:0411B20040A247858B -:0411B3000131853E43 -:0411B40011418082E3 -:0411B50000A101A3F1 -:0411B60000021537E7 -:0411B7004605C42203 -:0411B8000513842E69 -:0411B9000593040591 -:0411BA00C606003134 -:0411BB00F35FB0EF3F -:0411BC00B0EF8522E9 -:0411BD0040B2A0DFBD -:0411BE000141442285 -:0411BF0011518082C8 -:0411C0006465C2227E -:0411C1008B44578381 -:0411C200C026C40679 -:0411C300F9F7F793AE -:0411C40083C107C21A -:0411C5008AF41A236B -:0411C600000214B758 -:0411C7000EF4A0235F -:0411C800B0EF4551EE -:0411C90045D19DDF90 -:0411CA000380051386 -:0411CB00FA7FF0EFC8 -:0411CC00051345D1F1 -:0411CD00F0EF0390AC -:0411CE0045D1F9DF2F -:0411CF00F0EF4551A7 -:0411D00045D1F95FAD -:0411D10007100513EB -:0411D200F8BFF0EF83 -:0411D300051345D1EA -:0411D400F0EF05E053 -:0411D50045D1F81FE9 -:0411D60006D0051327 -:0411D700F77FF0EFBF -:0411D800453145D187 -:0411D900F6FFF0EF3E -:0411DA003200059347 -:0411DB00F0EF4505E7 -:0411DC0045D1F65FA4 -:0411DD00F0EF4519D1 -:0411DE000593F5DFA1 -:0411DF00450932008C -:0411E000F53FF0EFF8 -:0411E1008B44578361 -:0411E200E79340A2AD -:0411E3001A230407C0 -:0411E40044128AF433 -:0411E5000EF4A02341 -:0411E600013144820D -:0411E70011218082D0 -:0411E8006465C82250 -:0411E9008B44578359 -:0411EA001737CA06E3 -:0411EB00F793000274 -:0411EC0007C2F9F746 -:0411ED001A2383C17D -:0411EE00C6268AF493 -:0411EF002023C02ECB -:0411F00005930EF75E -:0411F10084AA32009A -:0411F200F0EF4505D0 -:0411F3000513F09F51 -:0411F400B0EF19003F -:0411F500578392DFAB -:0411F60017378B44D8 -:0411F70045C10002EC -:0411F8000207E79370 -:0411F9008AF41A2337 -:0411FA000EF72023A9 -:0411FB0010EF852646 -:0411FC00771338002D -:0411FD00EB110FF5EE -:0411FE00000185B7B0 -:0411FF008593464549 -:041200008526BFC5BB -:04120100346010EF56 -:0412020047814705D4 -:0412030000F486B3BA -:041204000006C50318 -:04120500C43A45D1D1 -:04120600F0EFC23E05 -:041207004792EB9F80 -:0412080007854722ED -:041209000FF7F69352 -:04120A00FEE6E2E337 -:04120B008B44578336 -:04120C00000214B711 -:04120D00F79345D13D -:04120E0007C2FDF71F -:04120F001A2383C15A -:04121000A0238AF499 -:0412110005130EF4BF -:04121200F0EF0C00ED -:041213005783E89F76 -:0412140045028B44C0 -:04121500E79345C155 -:041216001A2302078E -:04121700A0238AF492 -:0412180010EF0EF4D1 -:04121900779330C0D7 -:04121A00EB910FF550 -:04121B0085B745024C -:04121C004645000142 -:04121D00BFC5859331 -:04121E002D2010EF80 -:04121F00448147853A -:041220004782C23E01 -:04122100873345D1F9 -:0412220045030097E9 -:041223000485000737 -:04122400E43FF0EFC4 -:04122500F7134792E2 -:0412260063E30FF47B -:041227005783FEF7F4 -:0412280040D28B44E1 -:041229000002173771 -:04122A000407E7933B -:04122B008AF41A2304 -:04122C0020234442F5 -:04122D0044B20EF7C2 -:04122E008082016158 -:04122F00C222115175 -:04123000000214376D -:041231004601C0268C -:04123200059384AAF2 -:04123300051302C0DD -:04123400C4060404E4 -:04123500CC9FB0EFAB -:04123600460585A63E -:041237000404051393 -:04123800CF7FB0EFC5 -:0412390002C0059357 -:04123A000404051390 -:04123B00B0EF4605C5 -:04123C000513CAFFCD -:04123D00441204044F -:04123E00448240A204 -:04123F0001314585AF -:04124000CBDFB06FE1 -:04124100C422114171 -:04124200000214375B -:04124300C02AC226D5 -:04124400460184AE2D -:0412450002C005934B -:041246000404051384 -:04124700B0EFC60638 -:041248004782C7FF13 -:041249000513460142 -:04124A0085BE040455 -:04124B00CABFB0EF77 -:04124C00040405137E -:04124D0040B2442245 -:04124E00449285A69B -:04124F00014146050E -:04125000C97FB06F33 -:04125100000215374B -:0412520046011151EF -:0412530005134581B9 -:04125400C4060405C3 -:04125500C83FB0EFEF -:04125600B0EF452987 -:0412570045E1FA4F24 -:04125800F0EF450569 -:0412590045E1FA3F32 -:04125A00F0EF450963 -:04125B0045E1F9BFB1 -:04125C00F0EF450D5D -:04125D004505F93F0B -:04125E00F45FF0EF5A -:04125F00152140A273 -:04126000001535132D -:041261008082013155 -:04126200C222115142 -:041263004505842A8F -:04126400F0EFC406DD -:04126500991DF2BF1E -:041266008C49040E9D -:041267000FF47413F9 -:04126800450585A211 -:04126900F61FF0EF8D -:04126A00450985A20B -:04126B00F59FF0EF0C -:04126C00441285A201 -:04126D00450D40A249 -:04126E00F06F0131EB -:04126F001151F4BF66 -:04127000842AC222E8 -:04127100C406450565 -:04127200EF5FF0EF4B -:04127300751347891F -:0412740013630D856E -:04127500641302F408 -:0412760085A2001538 -:04127700F0EF45054A -:0412780085A2F27FDA -:04127900F0EF450944 -:04127A0085A2F1FF59 -:04127B0040A2441237 -:04127C000131450DEA -:04127D00F11FF06FFE -:04127E008C4904167D -:04127F000FF47413E1 -:0412800000446413AF -:041281001151BFD96F -:041282001437C22239 -:04128300C02600027F -:0412840084AA4601F1 -:0412850005C0059308 -:041286000404051344 -:04128700B0EFC406FA -:04128800F593B7FF24 -:0412890046050FF413 -:04128A000404051340 -:04128B00BABFB0EF47 -:04128C0005C0059301 -:04128D00040405133D -:04128E00B0EF460572 -:04128F000513B63F4E -:0412900044120404FC -:04129100448240A2B1 -:04129200013145855C -:04129300B71FB06F62 -:04129400C42211411E -:041295000002143708 -:04129600C02AC22682 -:04129700460184AEDA -:0412980005C00593F5 -:041299000404051331 -:04129A00B0EFC606E5 -:04129B004782B33F94 -:04129C0005134601EF -:04129D00F5930404BD -:04129E00B0EF0FF7A7 -:04129F000513B5DF9F -:0412A00044220404DC -:0412A10085A640B22C -:0412A2004605449227 -:0412A300B06F0141E6 -:0412A4001151B49F91 -:0412A500022005130B -:0412A600C222C40696 -:0412A700F0EFC0267E -:0412A8007413F69F26 -:0412A90045CD0FF52B -:0412AA00F0EF4559C3 -:0412AB006489FA7FD9 -:0412AC007104851331 -:0412AD00E4AFB0EF0B -:0412AE00455D458DC8 -:0412AF00F95FF0EF04 -:0412B000710485132D -:0412B100E3AFB0EF08 -:0412B2000804659334 -:0412B30002200513FD -:0412B400F81FF0EF40 -:0412B5003E8005135F -:0412B600E26FB0EF44 -:0412B70007F4759330 -:0412B80040A24412FA -:0412B9000513448253 -:0412BA0001310220DC -:0412BB00F65FF06F7B -:0412BC00C2221151E8 -:0412BD0005136409A8 -:0412BE00C4067104ED +:040F2F00244585933D +:040F300075B7B339A5 +:040F310085930001A3 +:040F3200B3112105D1 +:040F3300000175B78D +:040F3400200585937C +:040F350075B7B9EDE6 +:040F3600859300019E +:040F3700B9C526C54D +:040F3800000175B788 +:040F390025858593F2 +:040F3A0075B7B1DDF9 +:040F3B008593000199 +:040F3C00B9F12305DF +:040F3D00000175B783 +:040F3E002205859370 +:040F3F002975B9C98E +:040F400024012083E5 +:040F410023C12403A1 +:040F42002381248360 +:040F43000113557DC4 +:040F44008082244142 +:040F4500C70367E592 +:040F460046F111E778 +:040F4700842367E5B3 +:040F4800073312E772 +:040F490067E502D77F +:040F4A00140787936E +:040F4B00D68397BAF8 +:040F4C00676500E7EE +:040F4D0012D7132381 +:040F4E0066E54B9871 +:040F4F0096238B7DDD +:040F5000C68312E65C +:040F51006765014788 +:040F520012D7172378 +:040F53000127C68329 +:040F54001D2367658D +:040F5500479810D7D2 +:040F5600835566E574 +:040F570012E69223E9 +:040F58000157C683F4 +:040F59001023676595 +:040F5A00C68312D761 +:040F5B00676501378E +:040F5C0012D7152370 +:040F5D0000C7D68370 +:040F5E00112367658F +:040F5F00C70312D7DB +:040F600067E50167D9 +:040F610010E79E23D4 +:040F620067658082BD +:040F63001267568338 +:040F6400111167659B +:040F650012C75283DA +:040F6600CC226765CD +:040F670012E7540336 +:040F6800470367656F +:040F6900CA2611A7DC +:040F6A00C23A67E53B +:040F6B00570367655C +:040F6C00C7831247DE +:040F6D00636512871F +:040F6E006765C43AB5 +:040F6F00120757030B +:040F70000313C03E69 +:040F7100C63A140365 +:040F72004703676565 +:040F7300C83A12A7BF +:040F740054836765D6 +:040F75006765122773 +:040F760011C75583C7 +:040F77000713676590 +:040F780046030087A5 +:040F7900CE3D00B7B2 +:040F7A0000A70603C3 +:040F7B0006F61C63F7 +:040F7C00073347717F +:040F7D00971A02E6D7 +:040F7E0000E75383B2 +:040F7F0006D39063A2 +:040F800001072383BF +:040F81000FF2F793E1 +:040F820001F3F393F1 +:040F830004F3986378 +:040F8400014743835B +:040F850004839463EA +:040F86000127438379 +:040F87009F6347928B +:040F8800238302F3CA +:040F890047A20087F4 +:040F8A000153D393A9 +:040F8B0002F3986372 +:040F8C000157438343 +:040F8D00936347B271 +:040F8E00438302F3A4 +:040F8F0047C201371D +:040F900000F39E6369 +:040F910000C757033B +:040F920000971A6347 +:040F93000633477169 +:040F9400961A02E6C1 +:040F950001664703A7 +:040F960000B7066337 +:040F9700460567653F +:040F980008C7012362 +:040F990047714782D3 +:040F9A0001F2F293DB +:040F9B0002E787B32F +:040F9C005783933EA6 +:040F9D000A2301031F +:040F9E001723008392 +:040F9F009B8100D35F +:040FA0000057E2B361 +:040FA10000531823BE +:040FA2000923479246 +:040FA30047A200F36E +:040FA4000057971348 +:040FA50000A35783CB +:040FA6008FD98BFD57 +:040FA70000F315231B +:040FA800676547B280 +:040FA90011C7071352 +:040FAA0000F30AA3A3 +:040FAB00162347C200 +:040FAC000B23009380 +:040FAD0009A300B3E1 +:040FAE0067E500F300 +:040FAF0002E51663DE +:040FB000465006930E +:040FB10002D585B32D +:040FB200064006935C +:040FB300000176378C +:040FB4000306061317 +:040FB50002D5C6B3E8 +:040FB60044D244627B +:040FB700851345C594 +:040FB8000171FF477D +:040FB9002620206F5F +:040FBA00071367654D +:040FBB00176312673F +:040FBC00763700E59F +:040FBD000613000116 +:040FBE00BFF9F9C6B8 +:040FBF000713676548 +:040FC0001D6312C7D4 +:040FC100270300E51D +:040FC200469501034C +:040FC300000186376C +:040FC40006B38B7D68 +:040FC500061302D736 +:040FC600BF7DDDC648 +:040FC7000005568348 +:040FC8000693BFC904 +:040FC90006B3271034 +:040FCA00079302D5B2 +:040FCB00763706402F +:040FCC006565000156 +:040FCD003C86061345 +:040FCE00051345C5FD +:040FCF00C6B3FF4561 +:040FD000206F02F696 +:040FD100F06F20405D +:040FD2000505FDDF35 +:040FD30096B3468506 +:040FD400763700A6C6 +:040FD500656500014D +:040FD60036060613C2 +:040FD700051345C5F4 +:040FD800206FFF4542 +:040FD90046851E40EB +:040FDA0000A696B324 +:040FDB000001763764 +:040FDC00061365652E +:040FDD0045C536C60A +:040FDE00FF450513B3 +:040FDF001CA0206FC3 +:040FE000F805069377 +:040FE100763706E277 +:040FE2006565000140 +:040FE300061386E18A +:040FE40045C5DC061D +:040FE500FF450513AC +:040FE6001AE0206F7E +:040FE700763786AA29 +:040FE800656500013A +:040FE900F9C606132C +:040FEA00051345C5E1 +:040FEB00206FFF452F +:040FEC0086AA198038 +:040FED000001763752 +:040FEE00061365651C +:040FEF0045C536C6F8 +:040FF000FF450513A1 +:040FF1001820206F35 +:040FF200000F46B7EF +:040FF30024068693B7 +:040FF40002D50533EA +:040FF50087936789EE +:040FF6000713964700 +:040FF70036B73E804B +:040FF8008693006379 +:040FF9007637EA0657 +:040FFA0006130001D9 +:040FFB0045C53806AA +:040FFC0002F557B3F0 +:040FFD0002E7F7B35D +:040FFE0056B3472976 +:040FFF00656502D54D +:04100000FF45051390 +:0410010002E7D733F8 +:0410020013E0206F68 +:04100300467006939A +:0410040002D506B358 +:041005000640079307 +:041006000001863728 +:041007000613656502 +:0410080045C5DC8678 +:04100900FF45051387 +:04100A0002F6C6B371 +:04100B0011A0206FA1 +:04100C002710069310 +:04100D00053305059D +:04100E00069302D56E +:04100F0076370640EA +:0410100006130001C2 +:0410110045C53C860F +:0410120002D546B30A +:0410130005136565F7 +:04101400206FFF4505 +:0410150086AA0F4058 +:041016000001763728 +:0410170006136565F2 +:0410180045C536068E +:04101900FF45051377 +:04101A000DE0206F56 +:04101B0001851693A2 +:04101C00763786E1BC +:04101D006565000104 +:04101E00061316D1CE +:04101F0045C5378606 +:04102000FF45051370 +:041021000C20206F10 +:04102200053345F15C +:0410230065E502B5C8 +:041024001405859397 +:0410250095AA4645FD +:0410260005136565E4 +:04102700206FFF45F2 +:0410280017931420E6 +:04102900655D0025DC +:04102A003A0505136B +:04102B00410C953EA1 +:04102C00464565656B +:04102D00FF45051363 +:04102E001280206F9D +:04102F000F6347A95B +:04103000179300F51D +:0410310065610025D0 +:041032004A05051353 +:04103300410C953E99 +:041034004645656563 +:04103500FF4505135B +:041036001080206F97 +:04103700000175B788 +:0410380038C585939F +:041039001141B7F5B5 +:04103A00C60645851C +:04103B002F0DC02A8B +:04103C00478367651A +:04103D0046821307CD +:04103E00130707137A +:04103F007737E7898F +:041040000713000191 +:0410410040B23B87F7 +:0410420000017637FC +:0410430006136565C6 +:0410440045C53C065C +:04104500FF4505134B +:04104600206F0141D5 +:0410470067E502C097 +:04104800F817C7834B +:0410490005136565C1 +:04104A00078ED545F3 +:04104B008082953ECC +:04104C000045478391 +:04104D0086B247110F +:04104E0008F76063DC +:04104F00078A675D48 +:041050003207071349 +:04105100439C97BA6B +:04105200451C878230 +:04105300C703464544 +:04105400491C00072C +:0410550097BA070A35 +:041056006565438CFD +:04105700FF45051339 +:041058000800206FFD +:041059002303451C0C +:04105A00C5030105C4 +:04105B008302000705 +:04105C000105230364 +:04105D0083024508BD +:04105E008A2367E595 +:04105F008082FE0786 +:04106000E619C58543 +:04106100000175B75E +:0410620085934645E7 +:04106300B7F1F805E4 +:0410640002065463C9 +:0410650000018637C9 +:0410660006136565A3 +:0410670045C5DE4657 +:04106800FF45051328 +:041069007A30106F5A +:04106A00D7F9455C11 +:04106B00A303439800 +:04106C0045030087B1 +:04106D00BF65000754 +:04106E0067E5808230 +:04106F0011F7C7832B +:041070001207876379 +:04107100C70367E565 +:041072004785FE1799 +:0410730012F71163FC +:04107400111166658B +:04107500D5460793C2 +:04107600CC06C826B6 +:041077004481CA22C4 +:041078004681470165 +:041079006665C03EAA +:04107A00F81646031B +:04107B00060E478294 +:04107C00420C963E4E +:04107D00A50367E57B +:04107E00C603EAC7F4 +:04107F00CE63000537 +:04108000769306C697 +:0410810046030FF71C +:0410820002233C45C4 +:0410830056933CD56F +:04108400F693008758 +:0410850046030FF619 +:0410860002A33C5530 +:0410870056933CD56B +:04108800F6930107D3 +:0410890046030FF615 +:04108A0083613C65DD +:04108B003CD503232A +:04108C003C754683E6 +:04108D003CE503A398 +:04108E000FF4F71351 +:04108F003C854683D3 +:041090003CE5042314 +:041091000084D713ED +:041092000FF77713CA +:041093003C954683BF +:041094003CE504A390 +:041095000104D71368 +:041096000FF77713C6 +:041097003CA54683AB +:041098000184D79365 +:041099003CE505230A +:04109A003CB5470317 +:04109B003CF505A378 +:04109C00445240E298 +:04109D00017144C2D7 +:04109E0043518082B8 +:04109F00026686332C +:0410A0000045A30361 +:0410A100005694134E +:0410A200C63A952293 +:0410A3009332C4368A +:0410A400000325839D +:0410A500C21A4641E4 +:0410A600749010EF43 +:0410A70046A2431208 +:0410A8004603473282 +:0410A9004585004336 +:0410AA0000D592B328 +:0410AB00C6161675DA +:0410AC000FF67613B2 +:0410AD00005767334E +:0410AE0002C5F9631B +:0410AF004601851A57 +:0410B000C43A458178 +:0410B100F0EFC23664 +:0410B20067E5E6BF49 +:0410B300EAC7A503E0 +:0410B40065E50441A9 +:0410B5008593464198 +:0410B6009522FF453B +:0410B700705010EF76 +:0410B800472242B2D7 +:0410B900E4B34692C4 +:0410BA000685005453 +:0410BB008082BDED85 +:0410BC00A70367E53A +:0410BD0067E51107CB +:0410BE000D07879300 +:0410BF0046C5462DAF +:0410C0000167D5836C +:0410C10006E590634D +:0410C2000FF676139C +:0410C3000163E119CB +:0410C40063E53807A1 +:0410C500F813C30356 +:0410C600CA22111118 +:0410C70007936465C2 +:0410C8001593D54463 +:0410C900C826003302 +:0410CA00CC0697AE0B +:0410CB00C78343880C +:0410CC004751004741 +:0410CD0087334154D0 +:0410CE00849302E71E +:0410CF00C026F8132C +:0410D000041342952E +:0410D10064E5D544B9 +:0410D20006939736B4 +:0410D300F693FF563B +:0410D400E7630FF6C9 +:0410D50062DD0AD2FC +:0410D6008293068A71 +:0410D7009696334274 +:0410D8008682429436 +:0410D9000789060578 +:0410DA00F8D61CE345 +:0410DB00BF79460192 +:0410DC00004747037F +:0410DD0017754685B8 +:0410DE000FF777137E +:0410DF0006E6E663D8 +:0410E000EAC4A303B8 +:0410E1003C83470302 +:0410E2003C93428376 +:0410E300E73302A24B +:0410E400428300E261 +:0410E50002C23CA364 +:0410E60000E2E2B38F +:0410E7003CB34703CC +:0410E80062B3076286 +:0410E90097330057E2 +:0410EA00471300F6B2 +:0410EB007733FFF761 +:0410EC0076930057A0 +:0410ED0042830FF734 +:0410EE0004233C8318 +:0410EF0056933CD305 +:0410F000F6930087EC +:0410F10042830FF631 +:0410F20004A33C9384 +:0410F30056933CD301 +:0410F400F693010767 +:0410F50042830FF62D +:0410F60083613CA333 +:0410F7003CD30523BE +:0410F8003CB346833C +:0410F9003CE305A32C +:0410FA001E634735F5 +:0410FB00E39900E68F +:0410FC000005478321 +:0410FD00F79317FD51 +:0410FE0095A20FF7B1 +:0410FF0000F5822353 +:04110000468147815C +:041101004703A8B147 +:041102000785000558 +:04110300E7B395A217 +:04110400B7ED02E75A +:041105000003096377 +:04110600137D47828C +:0411070000678023DA +:04110800D9BFF0EF6C +:04110900A703BFF188 +:04110A0067E5EAC4E7 +:04110B0010078FA397 +:04110C003C074783D2 +:04110D009BED450110 +:04110E003CF7002387 +:04110F0040E2445224 +:04111000017144C263 +:04111100EFFFC06FBD +:0411120000474783C8 +:041113008B63468D17 +:04111400469112D717 +:04111500FAD796E38C +:041116009782471C59 +:04111700468587AAD8 +:041118004782C63E06 +:0411190005136565F0 +:04111A00C703FBC547 +:04111B00C4360007CF +:04111C009722070E01 +:04111D000047430341 +:04111E0047514310E2 +:04111F0002E30733AD +:04112000004623035F +:04112100933A464572 +:04112200000325831E +:0411230010EFC21AED +:0411240046A255305A +:04112500431247B278 +:04112600863E85B6C6 +:04112700F0EF851A46 +:041128004782C93FF2 +:04112900EAC4A5036C +:04112A00C78365E52D +:04112B004641000732 +:04112C00FF45859363 +:04112D0097A2078EF0 +:04112E000047C7832C +:04112F0007C1079657 +:0411300010EF953EE9 +:04113100470251F030 +:04113200EAC4A783E1 +:041133004703460523 +:04113400C583000768 +:0411350046A23CC7CB +:04113600943A070ED2 +:041137000044470326 +:0411380000E6173383 +:041139000FF7761323 +:04113A003CC7862305 +:04113B0000875613C0 +:04113C000FF6761321 +:04113D003CD7C58353 +:04113E003CC786A381 +:04113F00010756133B +:041140000FF676131D +:041141003CE7C5833F +:041142003CC78723FC +:041143003CF7C5832D +:0411440001875613B6 +:041145003CC787A379 +:041146004312EA99CD +:041147004683461184 +:041148009D63004360 +:04114900268314C61F +:04114A008C6300C3EF +:04114B00C68314063D +:04114C00C6033C8713 +:04114D0006223C97A3 +:04114E00C6838E5571 +:04114F0006C23CA7F1 +:04115000C6838E556F +:0411510006E23CB7BF +:041152008F558ED156 +:041153000FF7769389 +:041154003C87C6030B +:041155003CD78423DC +:041156000087569325 +:041157000FF6F69306 +:041158003C97C603F7 +:041159003CD784A358 +:04115A0001075693A0 +:04115B000FF6F69302 +:04115C003CA7C603E3 +:04115D008523836102 +:04115E00C6833CD731 +:04115F0085A33CB771 +:04116000A2013CE7C5 +:04116100C7814B1CDB +:041162009782C23A74 +:041163004782471266 +:04116400C7834714E2 +:0411650007850007F3 +:0411660000379713A4 +:0411670000E4063367 +:041168008463420C4E +:04116900022300D588 +:04116A0097220006C2 +:04116B004702C31460 +:04116C0000F7002365 +:04116D004783B5B54A +:04116E00469100475F +:04116F00E4F6E2E3DD +:04117000078A66DDA7 +:0411710034C6869367 +:04117200439C97B64D +:04117300470C87821C +:041174004503433DAF +:04117500468300C7E6 +:04117600C78300D754 +:041177004703000525 +:041178001D6300E70C +:04117900F8630066B1 +:04117A0017FD00F667 +:04117B000FF7F71360 +:04117C0000E58023E7 +:04117D00FD6DB5311E +:04117E00BFDD873614 +:04117F0000E7F8632A +:04118000F693078556 +:0411810080230FF7C1 +:04118200BBDD00D5FC +:0411830086BAFD6DBE +:04118400470CBFDD78 +:041185005683453D0B +:04118600D78300C744 +:041187005703000505 +:041188001E6300E7FB +:04118900F76300A662 +:04118A0017FD00F657 +:04118B0001079713AE +:04118C00A0198341E2 +:04118D008736C2914E +:04118E0000E59023C5 +:04118F00F463B3D181 +:04119000078500E7E8 +:04119100FAEDB7E5D7 +:04119200B7FD47015D +:0411930045BD4754BB +:0411940047834298B3 +:0411950019630007D3 +:04119600C78100B657 +:04119700F79317FDB6 +:0411980000230FF72A +:04119900BB6900F737 +:04119A000046C683C2 +:04119B0000D7F56321 +:04119C00F69307853A +:04119D0000230FF725 +:04119E00B35900D76A +:04119F0084E3460D92 +:0411A0004452EAC605 +:0411A10044C240E222 +:0411A2000171450191 +:0411A300B69FC06FC4 +:0411A40047BD808241 +:0411A50018A7E46340 +:0411A600445257B7A1 +:0411A700EF41011300 +:0411A800355787939D +:0411A90057B7C03E36 +:0411AA0087930041E6 +:0411AB00C23E4417E5 +:0411AC0000F5379380 +:0411AD001081222368 +:0411AE001091202359 +:0411AF0000F1052323 +:0411B000010504131E +:0411B10010112423D2 +:0411B200044247B9F3 +:0411B300F16364E59B +:0411B40067950CA788 +:0411B50050078793C5 +:0411B600142365E5B4 +:0411B700462900F1D4 +:0411B80004A00793F5 +:0411B900FE85859397 +:0411BA0000D1051348 +:0411BB0000F105A397 +:0411BC000001062305 +:0411BD0017B010EF68 +:0411BE00C78367E597 +:0411BF0065E5FB9750 +:0411C00003600613AF +:0411C10000F10C230A +:0411C200C78367E593 +:0411C3008593FB27EE +:0411C40005130D05FD +:0411C5000CA3021164 +:0411C60067E500F1E8 +:0411C7000067C78373 +:0411C80000F10BA384 +:0411C900C78367E58C +:0411CA000D23FF27CB +:0411CB0067E500F1E3 +:0411CC000847C78386 +:0411CD0000F10DA37D +:0411CE00C78367E587 +:0411CF000E23FE6786 +:0411D00067E500F1DE +:0411D100EAB7C7832F +:0411D20000F10EA377 +:0411D300C78367E582 +:0411D4000F23FB8763 +:0411D50067E500F1D9 +:0411D600EB07C783D9 +:0411D70000F10FA371 +:0411D800C78367E57D +:0411D9000023EB17ED +:0411DA0010EF02F11F +:0411DB00A503105008 +:0411DC000693FA0478 +:0411DD00860A057009 +:0411DE00B0EF85A247 +:0411DF002083BE3F6C +:0411E0002403108153 +:0411E1002483104112 +:0411E20001131001E4 +:0411E300808210C135 +:0411E40087936795F1 +:0411E5001423600768 +:0411E60007B700F156 +:0411E7008793047C6A +:0411E800CC3E04678E +:0411E900C70367E5EC +:0411EA00EB191307E3 +:0411EB000001863742 +:0411EC00130785134D +:0411ED00E3460613BC +:0411EE0010EF45B504 +:0411EF0067E518D0C8 +:0411F00013078593C9 +:0411F1000513463567 +:0411F20010EF00B149 +:0411F30065E521701D +:0411F400046006137A +:0411F5000885859351 +:0411F60010EF086886 +:0411F70065E5095051 +:0411F80009E00613F1 +:0411F90014058593C1 +:0411FA0006210513B2 +:0411FB00083010EFB9 +:0411FC00FA04A50349 +:0411FD001000069345 +:0411FE0085A2860A36 +:0411FF00B61FB0EF78 +:04120000A503FD3D08 +:0412010066E5FA04A0 +:041202003DE00713B1 +:041203001DE68693CB +:0412040010040613B9 +:04120500B0EF85A21F +:04120600B795A21FD7 +:041207008082557D0F +:04120800882367E5EB +:0412090047BD1207C4 +:04120A0022A7E763CD +:04120B00EE4101139C +:04120C0010812A2300 +:04120D00079364657A +:04120E0025030105AE +:04120F00C22EFA04ED +:041210001000069331 +:0412110001079593A9 +:04121200C03E0810C2 +:0412130010112C2367 +:0412140010912823EA +:04121500BC9FB0EFDB +:0412160087AAC422BD +:0412170085B7E10DA9 +:0412180085930001B9 +:041219000808E2C51A +:04121A00EDFFB0EF45 +:04121B00176387AA24 +:04121C0047031E0561 +:04121D00CF1101A14B +:04121E000E63468590 +:04121F0020830ED743 +:041220002403118111 +:0412210024831141D0 +:04122200853E1101F3 +:0412230011C10113E1 +:04122400470380827A +:0412250015630181CB +:0412260046831C07D8 +:041227000713019117 +:041228009F6305506B +:0412290047031AE677 +:04122A00468301C135 +:04122B00072201B1E4 +:04122C0006938F5541 +:04122D0014E304A022 +:04122E004712FCD790 +:04122F0066E5F36914 +:0412300047810818D2 +:041231008693453922 +:0412320045A9FE8646 +:0412330000D7460397 +:0412340000C5666328 +:0412350000D7833328 +:0412360000C30023CE +:04123700070507851B +:04123800FEB796E384 +:041239000291478354 +:04123A0009236765B8 +:04123B004725FAF752 +:04123C0000F76663EE +:04123D000CA3676532 +:04123E00A029FAF7F2 +:04123F00028147835E +:04124000FEF77AE358 +:0412410002B14703AC +:04124200656567E592 +:0412430008E7822313 +:0412440002C1470399 +:04124500061367E540 +:04124600832303609B +:041247004703FEE774 +:0412480067E502D183 +:0412490003110593F5 +:04124A00EAE785A3A7 +:04124B0002E1470372 +:04124C00051367E53A +:04124D008C230D05DC +:04124E004703FAE771 +:04124F0067E502F15C +:04125000EAE788231E +:04125100030147034B +:0412520088A367E521 +:041253004703EAE77C +:0412540067E50271D7 +:0412550000E7832308 +:04125600C70367E57E +:0412570067E5FE87C2 +:0412580000E782A386 +:0412590002A14703A4 +:04125A00892367E598 +:04125B0010EFFEE7AB +:04125C004781700056 +:04125D004483B729E6 +:04125E009363018114 +:04125F0046830E04B0 +:0412600007130191DE +:041261009D63056024 +:0412620056830CE6BD +:0412630007130281EA +:0412640096E30460A9 +:041265005403EEE65A +:04126600071302A1C7 +:0412670010E347C089 +:0412680067E5EEE464 +:041269004635C62A16 +:04126A0013078513CE +:04126B0001B1059335 +:04126C00031010EF6C +:04126D0047B247122B +:04126E00EC0713E393 +:04126F000613656598 +:04127000106C04609A +:0412710008850513D4 +:041272006A6010EFAF +:0412730063654782E6 +:0412740007A2470185 +:041275000313C03E61 +:0412760007931403C3 +:041277000613062034 +:04127800053310002A +:04127900C63A00670A +:04127A0008188E1DA5 +:04127B0005B3C23EB7 +:04127C004A6300F7CA +:04127D0010EF04C4A6 +:04127E0047926780AC +:04127F000485473269 +:041280008F1D943EEC +:04128100F493478219 +:0412820007130FF44B +:0412830085B3100718 +:0412840047A200F489 +:041285008341074258 +:04128600FA07A503BB +:0412870010000693BA +:0412880005A20810A3 +:041289000413C23A4E +:04128A00B0EFF004CD +:04128B0004429F3F3B +:04128C00804166E552 +:04128D00471287AAD3 +:04128E0014068313AC +:04128F00E40511E37E +:04129000FC51478145 +:041291008622A021F0 +:04129200626010EF97 +:04129300470567E5BF +:0412940008E78123C3 +:0412950057FDBF3909 +:041296008082853E8F +:04129700B5054785CD +:04129800BD31478994 +:04129900DE0101135E +:04129A002E230848AF +:04129B002C232011CF +:04129C002A23208160 +:04129D00C0EF2091ED +:04129E00C02AEB0F68 +:04129F0054A010EF58 +:0412A000ED294502ED +:0412A10085B7646544 +:0412A20046450001BC +:0412A300E1858593C9 +:0412A400FF440513EB +:0412A5005DA010EF49 +:0412A600C0EF450947 +:0412A700C222F5AFBB +:0412A800147D64410C +:0412A900000217B771 +:0412AA000D07A78302 +:0412AB00568367659A +:0412AC008FE10D07BA +:0412AD0004F6876359 +:0412AE000D0707130E +:0412AF0000275703BA +:0412B00002F71B63C3 +:0412B10085B7479224 +:0412B20046450001AC +:0412B300DF0585933B +:0412B400FF47851358 +:0412B50070C010EF06 +:0412B6000680051396 +:0412B70010EFC02A4A +:0412B80020834E80C1 +:0412B900240321C128 +:0412BA004502218147 +:0412BB002141248326 +:0412BC0022010113F7 +:0412BD0065098082BD +:0412BE00710505139E :0412BF00E02FB0EF7D -:0412C000455945C582 -:0412C100F4DFF0EF77 -:0412C200710405139B -:0412C300DF2FB0EF7A -:0412C4004589455DB6 -:0412C500F3DFF0EF74 -:0412C6007104051397 -:0412C70040A24412EB -:0412C800B06F0131D1 -:0412C9001151DDCF13 -:0412CA00842EC2228A -:0412CB00454985AA62 -:0412CC00F0EFC40675 -:0412CD0085A2F1FF06 -:0412CE0040A24412E4 -:0412CF000131454D57 -:0412D000F11FF06FAB -:0412D100051385AAD2 -:0412D200F06F03D0E6 -:0412D30085AAF07F79 -:0412D400F06F45452D -:0412D5001151EFFFC5 -:0412D600C222C40666 -:0412D70000654783E4 -:0412D800456D842AB2 -:0412D90000479593A2 -:0412DA00F5938DDD1E -:0412DB00F0EF0FF52C -:0412DC004583EE3F19 -:0412DD0045710064F3 -:0412DE00ED9FF0EFA1 -:0412DF00003445830F -:0412E000F0EF4529BD -:0412E1004583ECFF56 -:0412E200452500445A -:0412E300EC5FF0EFDD -:0412E40000544583EA -:0412E500F0EF4521C0 -:0412E6004583EBBF92 -:0412E7004535000485 -:0412E800EB1FF0EF19 -:0412E9000014458325 -:0412EA00F0EF4531AB -:0412EB004583EA7FCE -:0412EC004412002484 -:0412ED00452D40A2A9 -:0412EE00F06F01316B -:0412EF001141E97F41 -:0412F000842AC42266 -:0412F100C2264511BB -:0412F20084AEC032D4 -:0412F300F0EFC6064C -:0412F4004602E39F2C -:0412F5000F85759359 -:0412F6000793CE4D3F -:0412F700EA637FF037 -:0412F80045110A870B -:0412F9000015E59364 -:0412FA00E69FF0EF8C -:0412FB0000141513B3 -:0412FC0001051413C1 -:0412FD005593804144 -:0412FE00F593004420 -:0412FF0045050FF59D -:04130000E51FF0EF06 -:0413010000441593FC -:041302000F05F5934B -:04130300F0EF4509B9 -:041304000737E43F84 -:041305000713019C2D -:041306005733CC0786 -:0413070056B702973C -:0413080086930225A1 -:0413090047810FF613 -:04130A00028707331C -:04130B0002E6F063A3 -:04130C00042C26B7D0 -:04130D00D7F68693F6 -:04130E00F9634785B3 -:04130F00F7B700E646 -:041310008793080BAC -:04131100B7B3FBF77C -:04131200078900E760 -:0413130007136765F0 -:04131400973E8387F6 -:041315000007450385 -:041316000280071337 -:0413170002E50533B3 -:041318000014571353 -:041319004533953A89 -:04131A00471D0285E4 -:04131B000FF5751342 -:04131C000FF57593C1 -:04131D0000A773634F -:04131E004422459D83 -:04131F00449240B202 -:04132000079A058E95 -:04132100F5938DDDD6 -:04132200450D0F85E1 -:04132300F06F014125 -:041324004511DC3F54 -:04132500DBDFF0EF2B -:041326001141BFB9F9 -:04132700842AC4222E -:04132800C606456947 -:04132900F0EFC02EF3 -:04132A007513D61F42 -:04132B0067930F5560 -:04132C00E41900259B -:04132D0067934582FB -:04132E00C19900A5BC -:04132F00008567933B -:0413300040B2442261 -:04133100456985BEC7 -:04133200F06F014116 -:041333005583D87F87 -:04133400115100A5AE -:0413350081A1C222AE -:041336000513842AED -:04133700C40604B034 -:04133800D71FF0EFDC -:0413390000A4458344 -:04133A0004A00513F3 -:04133B00D65FF0EF9A -:04133C0000C4558311 -:04133D0004D00513C0 -:04133E00F0EF81A1AA -:04133F004583D57F8E -:04134000051300C4CD -:04134100F0EF04C005 -:041342005583D4BF3C -:04134300051300E4AA -:0413440081A104F08F -:04134500D3DFF0EF13 -:0413460000E44583F7 -:0413470004E00513A6 -:04134800D31FF0EFD0 -:041349000044558384 -:04134A000510051372 -:04134B00F0EF81A19D -:04134C004583D23FC4 -:04134D000513004440 -:04134E00F0EF0500B7 -:04134F005583D17F72 -:04135000051300641D -:0413510081A1053041 -:04135200D09FF0EF49 -:04135300006445836A -:041354000520051358 -:04135500CFDFF0EF07 -:041356000084558337 -:041357000550051325 -:04135800F0EF81A190 -:041359004583CEFFFB -:04135A0005130084F3 -:04135B00F0EF05406A -:04135C005583CE3FA8 -:04135D00051301046F -:04135E0081A10570F4 -:04135F00CD5FF0EF7F -:0413600001044583BC -:04136100056005130B -:04136200CC9FF0EF3D -:041363000124558389 -:0413640005900513D8 -:04136500F0EF81A183 -:041366004583CBBF31 -:041367000513012445 -:04136800F0EF05801D -:041369005583CAFFDF -:04136A000513014422 -:04136B0081A105B0A7 -:04136C00CA1FF0EFB5 -:04136D00014445836F -:04136E0040A2441243 -:04136F0005A00513BD -:04137000F06F0131E8 -:041371001151C8FF4F -:04137200842AC222E5 -:0413730003F005136B -:04137400F0EFC406CC -:041375007593C35F4A -:041376008DC10F0511 -:0413770040A244123A -:0413780003F0051366 -:04137900F06F0131DF -:04137A001151C6BF88 -:04137B00842AC222DC -:04137C00C4064569F5 -:04137D00C13FF0EF8D -:04137E00006415935F -:04137F00751344128C -:0413800040A203F58F -:04138100F5938DC98A -:0413820045690FF5B5 -:04138300F06F0131D5 -:041384001131C43F20 -:04138500461D65E1BB -:04138600C345859343 -:04138700C806850A05 -:041388003B9000EFA7 -:04138900C6FFF0EFBC -:04138A004501458153 -:04138B00E6FFF0EF9A -:04138C00051345857B -:04138D00F0EF03502A -:04138E00655DC1BF19 -:04138F007745051386 -:04139000E8FFF0EF93 -:04139100F0EF450133 -:041392004519FA5FA0 -:04139300CF9FF0EF09 -:0413940004400513F9 -:04139500CFBFF0EFE7 -:041396004505458143 -:04139700CCBFF0EFE8 -:04139800F0EF850AE3 -:0413990040C2CF5F20 -:04139A0080820151FB -:04139B00C222115108 -:04139C004569842AF1 -:04139D00F0EFC406A3 -:04139E001593B91FCB -:04139F0044120044B0 -:0413A000FCF57513D0 -:0413A1008DC940A210 -:0413A2000FF5F593BB -:0413A3000131456966 -:0413A400BC1FF06F0B -:0413A500C42211410C -:0413A6004511842A3F -:0413A700C02EC60688 -:0413A800B67FF0EF2D -:0413A9000433458242 -:0413AA00759302B481 -:0413AB004511007573 -:0413AC0001F477933E -:0413AD008DDD078E3D -:0413AE00B99FF0EF04 -:0413AF00405455133E -:0413B000442240B2E1 -:0413B1000FF57513AC -:0413B20080820141F3 -:0413B300C2221151F0 -:0413B4004541842A01 -:0413B500F0EFC4068B -:0413B6001593B31FB9 -:0413B70044120034A8 -:0413B80040A2891DA9 -:0413B900F5938DC952 -:0413BA0045410FF5A5 -:0413BB00F06F01319D -:0413BC00C139B63F3E -:0413BD00C0261151E4 -:0413BE0002600513B1 -:0413BF00059384AE60 -:0413C000C22208003D -:0413C1008432C406A8 -:0413C200B49FF0EFF5 -:0413C30005934785C2 -:0413C40089630094A5 -:0413C50047A100F448 -:0413C60005A40593E2 -:0413C70000F4846347 -:0413C8000184059304 -:0413C90040A24412E8 -:0413CA00F5934482D1 -:0413CB0005130FF502 -:0413CC0001310310D8 -:0413CD00B1DFF06F2D -:0413CE00051345813D -:0413CF00BFDD02601C -:0413D0008DC9050EB0 -:0413D1000FF5F5938C -:0413D200028005137D -:0413D300B05FF06FA8 -:0413D400C8221121F9 -:0413D50097BAC626D7 -:0413D600C02ECA0655 -:0413D700C436C23224 -:0413D800F493842ADC -:0413D900D3630FF7D4 -:0413DA004481000743 -:0413DB000E634785D1 -:0413DC0047A100F431 -:0413DD0004F40D63A4 -:0413DE00006485938F -:0413DF000FF5F5937E -:0413E000F0EF4515D0 -:0413E10045C1ACFF57 -:0413E2008593A8093E -:0413E300F59300245A -:0413E40045150FF5A7 -:0413E500ABDFF0EF9B -:0413E60045194599C7 -:0413E700AB5FF0EF19 -:0413E800862685A22E -:0413E900F0EF4505D7 -:0413EA0047C1F4DF24 -:0413EB00036345B1A2 -:0413EC0045A100F423 -:0413ED0002200513C2 -:0413EE00A99FF0EFD4 -:0413EF00462244420C -:0413F00045024592DB -:0413F10044B240D2F0 -:0413F200F06F016136 -:0413F3008593BF5FC0 -:0413F400F593032446 -:0413F50045150FF596 -:0413F600A79FF0EFCE -:0413F7000200059358 -:0413F8001151BF6D63 -:0413F900C026C22226 -:0413FA0084AEC406F3 -:0413FB008432478968 -:0413FC00E6634581DE -:0413FD00959300972D -:0413FE0005E20064A0 -:0413FF00171385E15A -:041400001793002519 -:041401008FD900453A -:041402008DDD8FC924 -:041403000FF5F59359 -:04140400F0EF45655B -:041405004541A3FFBB -:041406009EFFF0EF66 -:041407007593478D05 -:0414080014630F85D5 -:04140900E59300F473 -:04140A004541005503 -:04140B00A25FF0EFFD -:04140C000593478974 -:04140D00F96305B0CA -:04140E00479100976B -:04140F00052005931C -:041410000097F463EA -:04141100053005930A -:04141200F0EF453979 -:041413000513A07F9E -:04141400B0EF3E8077 -:0414150045518ACFE4 -:041416009AFFF0EF5A -:0414170045C1478DF7 -:0414180000F4036376 -:041419004561458163 -:04141A009E9FF0EFB2 -:04141B0040A2441295 -:04141C004581448240 -:04141D000360051350 -:04141E00F06F013139 -:04141F0011519D7F4B -:04142000842AC22236 -:04142100C406455167 -:0414220097FFF0EF51 -:041423007C6347118E -:0414240075130087B5 -:04142500051308851E -:041426003513F785FE -:0414270040A20015CA -:041428000131441238 -:04142900470980826D -:04142A00008777635D -:04142B000905751327 -:04142C00F7050513A8 -:04142D007793B7DD1D -:04142E00D5130FF5CE -:04142F008905001714 -:041430000113BFF9EC -:041431004791F8C126 -:0414320067E5DE3E4E -:04143300D886D4A6DD -:04143400C836D6A23E -:041435008D87869386 -:04143600C603CE32E9 -:041437004721032620 -:04143800173363659E -:04143900C60300C71F -:04143A0067130336FB -:04143B00C0BA0087AC -:04143C002000071372 -:04143D0000C717339A -:04143E000346C60398 -:04143F006741C2BA85 -:0414400000C7173397 -:041441000356C60385 -:041442000737C4BAEA -:04144300173300203B -:04144400C6BA00C75D -:0414450003B10713D5 -:041446006765C8BA54 -:0414470090F7071300 -:041448006765CABA50 -:0414490091070713ED -:04144A006765CCBA4C -:04144B0091170713DB -:04144C006765CEBA48 -:04144D0091270713C9 -:04144E006765D0BA44 -:04144F0091370713B7 -:04145000C703D2BA42 -:041451008493043646 -:04145200CA2A8D878E -:04145300C703C03AD1 -:04145400CC2E044650 -:0414550002010DA3E0 -:041456000713C43A7A -:04145700C23AA103F1 -:041458000793675D32 -:04145900D23E7A47BE -:04145A00468147C2BE -:04145B00A1030313D3 -:04145C00D43E8BC12E -:04145D0001869793DA -:04145E00C63E87E11E -:04145F00025007939D -:0414600000F695639A -:04146100C63E57FD2F -:041462004771AEB967 -:0414630002E68733E3 -:04146400971A461578 -:0414650052934B58FB -:041466008375018702 -:0414670001F2F41387 -:0414680006E66663CB -:04146900179356126D -:04146A00D03E002749 -:04146B004210963E57 -:04146C0043F18602C0 -:04146D00027683B3CD -:04146E001000061351 -:04146F006621C2B27E -:041470000613C4B2E9 -:04147100C6B22000DF -:041472001C100593B2 -:0414730000730633C9 -:0414740082154A1083 -:041475007FF6761375 -:0414760006B619633A -:04147700879367E50B -:0414780093BEA10777 -:04147900000177B740 -:04147A007BC7859314 -:04147B00461D851E67 -:04147C00D83ADA364A -:04147D0000EFD61E88 -:04147E0067E512907C -:04147F00574253B2CB -:04148000831356D2AA -:04148100E901A107D5 -:04148200E3A147A2F9 -:041483000685479201 -:04148400C23E07F16C -:0414850077B7B785F9 -:041486008593000149 -:04148700461D7C473B -:04148800D836851EAF -:0414890000EFD63A60 -:04148A0067E50F9073 -:04148B0056C25732BC -:04148C00A10783131E -:04148D0047A2E91970 -:04148E009863460514 -:04148F00B7F900C7E2 -:04149000200006131F -:041491006641C2B23C -:0414920047C2C4B2D7 -:0414930000F472B33C -:04149400FA028EE3E7 -:041495005602479222 -:0414960010BC4F8CAB -:0414970000C78433D3 -:04149800FE842603A5 -:041499000006460300 -:04149A00963E060A6A -:04149B00FD462603E1 -:04149C00DE498E6D2A -:04149D00C59347E2CA -:04149E008985FFF548 -:04149F00F8F598E3E1 -:0414A00085B345F1DA -:0414A10047D202B676 -:0414A200498C959A42 -:0414A300F5938195A7 -:0414A40005F97FF5D2 -:0414A500F6F5ECE389 -:0414A60089234585CC -:0414A700972300B4D3 -:0414A80088A3000411 -:0414A9008A2300048E -:0414AA00CC900004DE -:0414AB0004636589E8 -:0414AC00E16328B61A -:0414AD00059316C5C8 -:0414AE0008630800C7 -:0414AF00EF6324B60D -:0414B00045C10EC55F -:0414B10022B60E63EE -:0414B2000CC5EC6316 -:0414B30003634591F9 -:0414B40045A11EB67A -:0414B500F2B61CE38C -:0414B600862346053E -:0414B700C60300C4A4 -:0414B8001C63051498 -:0414B9004671200652 -:0414BA0002C68633AD -:0414BB005583961AA5 -:0414BC00061300E62D -:0414BD00626357709F -:0414BE00161320B62B -:0414BF005E6301D790 -:0414C000460D1EC0F7 -:0414C10000C486A33A -:0414C200892346092B -:0414C300061300C448 -:0414C4008A1500671E -:0414C5004615CE11E9 -:0414C6000CC71C63D0 -:0414C70086B3477130 -:0414C800071302E61E -:0414C90093364AF01C -:0414CA0000E3568362 -:0414CB000CD7626375 -:0414CC000464C703EA -:0414CD0086A3CB5DCA -:0414CE0047090004C6 -:0414CF0045F1AAE158 -:0414D00002B68633A7 -:0414D10020D005130F -:0414D2004A10961A0C -:0414D30076138215F5 -:0414D4001A637FF622 -:0414D500861302A6D2 -:0414D6000633FFF6E4 -:0414D700458D02B687 -:0414D800961A478297 -:0414D90082754A507E -:0414DA0000B61963DC -:0414DB007413E7811E -:0414DC00BDE10EF46C -:0414DD0047824609F3 -:0414DE00E781B5C924 -:0414DF000FB47413BF -:0414E0004605B5E91F -:0414E1000593BFCDE3 -:0414E20010E32710DC -:0414E30057A2ECB66A -:0414E400EA078DE3A3 -:0414E500061347F2B1 -:0414E60078E3036044 -:0414E700B5BDEAF6AF -:0414E80002000713E4 -:0414E90000E60663B0 -:0414EA0004000713E0 -:0414EB00E6E610E33E -:0414EC0030100713A2 -:0414ED0000E496235E -:0414EE00AAA947114F -:0414EF004000059321 -:0414F00016B60363C6 -:0414F10002C5EB63E2 -:0414F200100005934E -:0414F30014B60763C1 -:0414F400200005933C -:0414F500E2B61CE35C -:0414F600962346896A -:0414F700468D00D44A -:0414F80000D718639E -:0414F9000464C703BD -:0414FA004705FB297E -:0414FB0000E487235F -:0414FC000584C70399 -:0414FD0000E488A3DC -:0414FE000713A0FD33 -:0414FF0009638006F7 -:041500006705120762 -:04150100E0E614E329 -:04150200202007138B -:0415030000E4962347 -:04150400A209470DE4 -:04150500000805B71E -:0415060012B60A63AC -:0415070004C5E363D1 -:04150800006365C156 -:04150900E36312B6D0 -:04150A00671102C59E -:04150B0010E608637B -:04150C001DE3672153 -:04150D000713DCE6FE -:04150E0096232020E0 -:04150F00471D00E490 -:0415100000E4892347 -:041511008A234735AD -:04151200B75D00E4DD -:041513000002073794 -:041514000EE60B6371 -:041515000004073790 -:04151600DAE61AE314 -:041517002030071366 -:041518000737B77565 -:041519000963004022 -:04151A0060630EE616 -:04151B00073702C7C5 -:04151C000063001058 -:04151D0007370EE698 -:04151E0019E30020AD -:04151F004711D8E6B2 -:0415200000E496232A -:041521000780071325 -:041522000737BF7D4B -:041523000F63010051 -:0415240007370CE693 -:041525000463020059 -:0415260007370EE68F -:0415270017E3008046 -:041528000713D6E6E9 -:0415290096232040A5 -:04152A00471100E481 -:04152B0000E489232C -:04152C00BF514779EB -:04152D0086B34671CA -:04152E00962302C638 -:04152F008B15000414 -:0415300093364605A3 -:0415310000A356833A -:0415320088A38AFD03 -:041533001B6300D462 -:04153400C60300C723 -:04153500CE19046463 -:0415360089234709B5 -:04153700470500E480 -:0415380000E487A3A1 -:0415390050C6D69131 -:04153A0045325436AC -:04153B00011354A69E -:04153C008082074161 -:04153D0000E487231C -:04153E0086A3B7DDEC -:04153F00BD010004E6 -:04154000201007135D -:0415410000E4962309 -:041542000713BD0DC1 -:0415430096233010AB -:04154400471900E45F -:0415450000E4892312 -:041546000713BDE1E9 -:0415470096232010B7 -:04154800471500E45F -:041549000713BFC500 -:04154A0096231020B4 -:04154B00B5C900E43A -:04154C002020071341 -:04154D000713BFC100 -:04154E00BDAD2020EF -:04154F00202007133E -:04155000468DBFF90C -:041551000713BD5966 -:04155200BF6D203019 -:04155300203007132A -:041554000713B5952F -:04155500B7E12030AA -:041556002040071317 -:0415570000E49623F3 -:041558008923470D8F -:04155900071300E490 -:04155A00BDF102805D -:04155B002040071312 -:04155C0000E49623EE -:04155D008923471582 -:04155E00476100E4FD -:04155F000713B5E9D0 -:04156000962320406E -:04156100471900E442 -:0415620000E48923F5 -:04156300BD654751CA -:0415640017931111B7 -:04156500CA22018510 -:04156600CC06C826C1 -:04156700842A87E16A -:04156800DD6384AE0D -:0415690045810007B1 -:04156A0007700513EE -:04156B0001A337D5CC -:04156C00478500A10E -:04156D0006A7EE637C -:04156E0007F47413F7 -:04156F0045912E95DF -:04157000268145018A -:04157100D7932E815D -:0415720002A301844B -:04157300D79300F119 -:041574000323010448 -:04157500D79300F117 -:0415760003A3008447 -:04157700022300F15A -:0415780004230081C7 -:0415790007130091C3 -:04157A0007930400CF -:04157B0009630950A7 -:04157C00071300E46D -:04157D00478504801A -:04157E0000E414630E -:04157F000870079356 -:041580000048459941 -:0415810000F104A3CE -:04158200451524EDFA -:04158300842A2EB1D7 -:041584000513458581 -:0415850024F5003118 -:0415860000310783A6 -:041587000007D66320 -:0415880047852E8DD8 -:04158900FEF506E382 -:04158A002641E01105 -:04158B0000314503E3 -:04158C00445240E2A3 -:04158D00017144C2E2 -:04158E000113808243 -:04158F00C02AFD81F0 -:041590000513458179 -:04159100D2060490EA -:04159200CE26D0226F -:04159300F45FF0EF22 -:041594004501C90143 -:04159500540250921A -:04159600011344F207 -:0415970080820281CB -:041598004515842A47 -:0415990084AA2611E9 -:04159A00051345856B -:04159B002C5100715E -:04159C000071470390 -:04159D000FF00793B1 -:04159E0000F71663D9 -:04159F0047852E1935 -:0415A000FEF504E36D -:0415A1002615E0919A -:0415A200007147038A -:0415A3000FE00793BB -:0415A400FCF711E35C -:0415A500002845C90C -:0415A600478224ADA7 -:0415A7000047C783AF -:0415A8000027F7130E -:0415A9004503CF1512 -:0415AA00478300E192 -:0415AB00470300F101 -:0415AC00890D012183 -:0415AD008D5D052229 -:0415AE00010147836D -:0415AF004403050AE2 -:0415B000839900D14A -:0415B10047838D5D82 -:0415B200831D011183 -:0415B3000786883DE2 -:0415B4008FD98B99A7 -:0415B5000505943E56 -:0415B6001533146570 -:0415B700BF9D00854F -:0415B800CB898B91BF -:0415B90001014503E4 -:0415BA000111478351 -:0415BB008D5D05221B -:0415BC00B7CD47C59B -:0415BD00450147811C -:0415BE000113BFF165 -:0415BF0065D9FDC12C -:0415C0004629CC26C6 -:0415C100859384AAE0 -:0415C2000068604518 -:0415C300CE22D0065E -:0415C400478D24E14A -:0415C50022C1C03E41 -:0415C60024A92C2107 -:0415C700006845A9CA -:0415C80045812AC966 -:0415C9000004A02357 -:0415CA000400051301 -:0415CB00E65FF0EFF8 -:0415CC00842A4785A1 -:0415CD000EF51B6399 -:0415CE001AA00593C7 -:0415CF00048005137C -:0415D000E51FF0EF34 -:0415D1001763C22AB0 -:0415D20045910885B2 -:0415D3002A5500286D -:0415D40000A1470328 -:0415D5001A634792BC -:0415D60047030CF7C4 -:0415D700079300B1C5 -:0415D80014630AA0EE -:0415D90005130CF7F3 -:0415DA002AFD3E8028 -:0415DB004785241DFF -:0415DC0000F519639A -:0415DD00400005B70E -:0415DE000E90051353 -:0415DF00E15FF0EFE9 -:0415E0002C01F57570 -:0415E10006634785D1 -:0415E200440102F5C9 -:0415E30047822C39D6 -:0415E400F79317FD65 -:0415E500C03E0FF7FE -:0415E600DC35CBD94C -:0415E700822347858F -:0415E800C09C00841F -:0415E900F0EF852674 -:0415EA00157DE95F23 -:0415EB002A69C4881D -:0415EC004581A04154 -:0415ED0007A005133B -:0415EE00DD9FF0EF9E -:0415EF004591F579B4 -:0415F000228100282C -:0415F10000814783AB -:0415F200F7934431F6 -:0415F300FFDD04070D -:0415F400BF6D441172 -:0415F5000513458114 -:0415F600F0EF0E9074 -:0415F7000793DB7FFC -:0415F8006563041013 -:0415F900440900A4FD -:0415FA000E900793B5 -:0415FB000FA0051325 -:0415FC002A9DC23E24 -:0415FD0047852A797B -:0415FE0000F517637A -:0415FF0045814512CB -:04160000D91FF0EF0F -:041601002271F965F4 -:041602004401E111AD -:0416030045812A797A -:0416040007B0051313 -:04160500D7DFF0EF4C -:041606004401C111C9 -:041607002000059327 -:0416080005000513C1 -:04160900D6DFF0EF49 -:04160A004401D13D89 -:04160B00F43DB78D66 -:04160C00351320CDA5 -:04160D0050820014F3 -:04160E0044E24472FC -:04160F000241011380 -:04161000451C808273 -:04161100C8221121B9 -:04161200C626CA0618 -:04161300C03AC22EE9 -:04161400E163440D3D -:04161500CF1902C720 -:0416160000454783C1 -:041617008BA184B669 -:041618000626E3912E -:04161900051385B27E -:04161A00F0EF0510D8 -:04161B00C909D27FA8 -:04161C002045440918 -:04161D0040D2852210 -:04161E0044B244424C -:04161F008082016163 -:041620000640051368 -:04162100458520D506 -:0416220000B10513FB -:041623004703289DB4 -:04162400079300B177 -:0416250016630FF049 -:0416260028E500F7BC -:0416270004E347850C -:041628002221FEF588 -:0416290000B14703C2 -:04162A000FE0079333 -:04162B00FCF712E3D3 -:04162C0004134782DA -:04162D008C052020E8 -:04162E0004428C1DC9 -:04162F00C4818041B1 -:04163000450185A645 -:041631004582283D89 -:041632002825451210 -:04163300450185A246 -:041634004401280D38 -:041635002637BF791C -:0416360005B70006EE -:041637001537019CC6 -:041638000613000293 -:041639008593A806E7 -:04163A000513CC05C3 -:04163B00A06F020595 -:04163C00862EC85FCF -:04163D00153785AA2E -:04163E00051300028E -:04163F00A06F020591 -:04164000862ED23FE1 -:04164100153785AA2A -:04164200051300028A -:04164300A06F02058D -:041644008082CE7F53 -:0416450057836765FB -:04164600F7938B4744 -:0416470007C2F7F7E8 -:041648001A2383C11D -:0416490017378AF7CE -:04164A002023000257 -:04164B0080820EF794 -:04164C0057836765F4 -:04164D00E7938B474D -:04164E001A2308074C -:04164F0017378AF7C8 -:041650002023000251 -:0416510080820EF78E -:0416520000293637FE -:04165300019C05B73A -:041654000002153744 -:041655002E06061344 -:04165600CC058593A7 -:041657000205051370 -:04165800C13FA06F7F -:04165900F73FF06FF8 -:04165A002783676516 -:04165B00E385E1C77B -:04165C008793679D6C -:04165D000533978733 -:04165E00115102F52F -:04165F002E23C4066C -:04166000A0EFE0A770 -:0416610040A2FA0F9A -:04166200013145010C -:041663004505808237 -:04166400115180821E -:04166500A0EFC40628 -:0416660067E5FB0F2A -:04166700E1C7A783AD -:04166800353340A234 -:04166900013100F556 -:04166A0067E580822E -:04166B00E007AE23C3 -:04166C004701808230 -:04166D0000E614631C -:04166E008082450130 -:04166F0000E507B3D8 -:0416700086B3070531 -:04167100C78300E546 -:04167200C683000724 -:0416730083E3FFF618 -:041674008533FED7E5 -:04167500808240D758 -:0416760000A5C7B351 -:0416770007338B8D1D -:04167800E78100C541 -:04167900E963478D4D -:04167A0087AA02C772 -:04167B000CE57163A6 -:04167C000005C6831C -:04167D000585078553 -:04167E00FED78FA361 -:04167F00FEE7EAE3B5 -:04168000C68380821B -:0416810007850005D4 -:041682008FA30585A8 -:04168300EAE3FED7C1 -:041684004402FEE737 -:04168500808201114D -:041686000035769322 -:04168700CA9187AAD3 -:041688000005C68310 -:041689000585078547 -:04168A00FED78FA355 -:04168B000037F6939B -:04168C007693B7FD9D -:04168D008613FFC7FA -:04168E00F563FE06FC -:04168F00117106C708 -:0416900049C0C0226B -:041691000005A303AA -:041692000085A383A9 -:041693004D80CBC0FB -:041694000067A02328 -:041695000045A30366 -:041696004DC0CF80F4 -:041697000067A22323 -:0416980000C5A28364 -:041699000105A303A1 -:04169A0002458593ED -:04169B00A403CFC015 -:04169C00A423FFC5BF -:04169D00A623007709 -:04169E00A823005726 -:04169F0087930067C6 -:0416A000AE2302472C -:0416A100EEE3FE87EF -:0416A200F2E3FAC7AE -:0416A3004190F8D7A3 -:0416A4000591079114 -:0416A500FEC7AE23AB -:0416A6004190BFCDE3 -:0416A7000591079111 -:0416A800FEC7AE23A8 -:0416A900FED7EBE39A -:0416AA00F4E7E4E39A -:0416AB008082808237 -:0416AC00F7C101136E -:0416AD00C13ED6A6BE -:0416AE0067E1DA8690 -:0416AF00DCB6D8A22B -:0416B000A483DEBA77 -:0416B100DC637D4732 -:0416B2000793000595 -:0416B300C09C08B01F -:0416B40050D6557D3A -:0416B50054B654468D -:0416B60008410113D3 -:0416B7000793808293 -:0416B8001823208053 -:0416B900C22A00F150 -:0416BA004781CA2A70 -:0416BB008793C199B7 -:0416BC00C63EFFF532 -:0416BD0018B4CC3E53 -:0416BE00842E57FD22 -:0416BF00004C852630 -:0416C00000F11923F9 -:0416C1002601C03608 -:0416C200556357FD18 -:0416C300079300F594 -:0416C400C09C08B00E -:0416C5004792DC5D0F -:0416C6000007802376 -:0416C700C605BF5D38 -:0416C8004701167D43 -:0416C90000E507B37E -:0416CA0000E586B3FE -:0416CB000007C783CA -:0416CC000006C683CB -:0416CD0000D7966349 -:0416CE0000C70463EA -:0416CF00F3FD07051B -:0416D00040D7853347 -:0416D10045018082CD -:0416D20087AA8082E1 -:0416D3000585CA09B6 -:0416D400FFF5C70354 -:0416D500167D0785F2 -:0416D600FEE78FA3F9 -:0416D700963EFB65DB -:0416D80000C7936351 -:0416D900078580827F -:0416DA00FE078FA3D5 -:0416DB0095AABFD538 -:0416DC00856387AAF1 -:0416DD00C70300B788 -:0416DE00E701000719 -:0416DF0040A7853368 -:0416E0000785808278 -:0416E100C5D5B7FDB7 -:0416E200FFC5A78316 -:0416E300C2221151BD -:0416E400C026C40652 -:0416E500FFC58413A6 -:0416E6000007D363C3 -:0416E70084AA943EFF -:0416E80015F000EF0A -:0416E9002783676587 -:0416EA00863A854770 -:0416EB002223EF8146 -:0416EC002A230004A9 -:0416ED004412848798 -:0416EE00852640A26B -:0416EF0001314482FF -:0416F0001410006F63 -:0416F10002F470632C -:0416F2000733401466 -:0416F300966300D426 -:0416F400439800E730 -:0416F500973643DC05 -:0416F600C05CC018FC -:0416F70084862A2398 -:0416F80087BABFD915 -:0416F900C31943D8F6 -:0416FA00FEE47DE3AA -:0416FB00863343945B -:0416FC001F6300D791 -:0416FD004010008613 -:0416FE00C39496B249 -:0416FF0000D7863357 -:04170000FAC71BE326 -:0417010043584310F6 -:04170200C39496B244 -:04170300B765C3D82B -:0417040000C4756345 -:04170500C09C47B18C -:041706004010BF7957 -:0417070000C406B361 -:0417080000D716638D -:0417090043584314EA -:04170A00C01496B2BF -:04170B00C3C0C0583F -:04170C008082B759C7 -:04170D00C22611419E -:04170E00003584938B -:04170F00C60698F181 -:0417100004A1C4224A -:04171100FB6347B17E -:0417120044B104F4E6 -:0417130004B4EA63CD -:0417140000EFC02AF8 -:0417150067650AD02A -:04171600854726835A -:0417170006134782EC -:041718008436854747 -:041719006465E431EE -:04171A0085840413AB -:04171B00EB01401886 -:04171C004581853E40 -:04171D0000EFC03EDB -:04171E0047827F80FF -:04171F00853EC0083B -:04172000C03E85A69C -:041721007EA000EFB7 -:041722004782577D26 -:0417230006E515635F -:04172400C3984731EE -:0417250000EF853E0E -:04172600A02906B040 -:04172700FA04D8E305 -:04172800C11C47B1E8 -:0417290040B2450184 -:04172A00449244227F -:04172B008082014176 -:04172C008F054018CD -:04172D0002074E63FE -:04172E00F66345AD6C -:04172F00C01800E5F9 -:04173000C004943A23 -:041731004058A02953 -:041732000286926336 -:04173300853EC21815 -:04173400031000EFAF -:0417350000B40513E4 -:041736000044071351 -:0417370007B39961FA -:04173800D3F140E5C4 -:041739008F09943E42 -:04173A00BF75C0189F -:04173B00B7C5C2D894 -:04173C00404086A201 -:04173D000413BF854D -:04173E009871003569 -:04173F00FC8503E33F -:0417400040A405B309 -:04174100C03E853EE3 -:04174200577D279D0B -:041743001AE34782DC -:04174400BFBDFAE546 -:041745000085A30375 -:04174600C82611118F -:04174700CA22CC06E0 -:0417480084AEC23277 -:041749000866E363E8 -:04174A0000C5D603FD -:04174B0048067713C2 -:04174C0082AACB3D65 -:04174D00470D48C834 -:04174E00073340809D -:04174F00498C02A718 -:0417500007B345098D -:04175100C03E40B4A2 -:0417520002A7443373 -:0417530000168513E4 -:041754007363953EE8 -:04175500842A00A43E -:0417560040067613C0 -:04175700C63DC6368F -:0417580085A28516CB -:04175900F0EFC416D3 -:04175A0042A2ECFFBC -:04175B00473146B21A -:04175C004602CD2D47 -:04175D00C636488CB8 -:04175E00F0EFC42ABA -:04175F00D603C5FFE9 -:04176000432200C45C -:04176100761346B203 -:041762006613B7F65D -:0417630096230806BB -:04176400478200C4F4 -:041765000064A82351 -:04176600933EC8C026 -:04176700A0238C1D12 -:04176800C4800064D5 -:04176900F36383366D -:04176A00833600665C -:04176B0040884592DB -:04176C00C01A861AFF -:04176D004498270174 -:04176E0045014302EC -:04176F004067073395 -:041770004098C49841 -:04177100A023933AE4 -:04177200A80D00645A -:04177300862285162F -:041774002F05C41663 -:0417750042A2832ADF -:04177600FD4546B235 -:041777008516488CFF -:04177800F0EFC016B8 -:041779004282DA5F6F -:04177A00A023473130 -:04177B00D70300E2AE -:04177C00557D00C4D3 -:04177D0004076713E3 -:04177E0000E49623CA -:04177F00445240E2AE -:04178000017144C2ED -:04178100D703808288 -:04178200011300C58A -:04178300C122F78107 -:041784000793DEA643 -:04178500C30601F1A5 -:04178600C02EC22A85 -:0417870008077713C5 -:04178800F41384B220 -:04178900CB1DFF87EE -:04178A00EB0D499882 -:04178B0004000593BE -:04178C00F0EFC6367E -:04178D004782E03F70 -:04178E00C38846B214 -:04178F00E919CB8801 -:0417900047B1471204 -:04179100C31C557DA3 -:04179200440A409A2B -:04179300011354F6F4 -:0417940080820881C6 -:04179500071347826D -:04179600CBD80400A8 -:041797000200071332 -:0417980000E40CA3BA -:04179900030007132F -:04179A0000042A23FA -:04179B0000E40D2336 -:04179C008726CA369C -:04179D0002500613DD -:04179E000007468377 -:04179F009863C299F0 -:0417A00003330AC63F -:0417A1000263409708 -:0417A2004582020377 -:0417A300869A4512CB -:0417A400C83A862693 -:0417A500F0EFC61A81 -:0417A60056FDE7FF06 -:0417A7001CD50263E8 -:0417A800433248542C -:0417A900969A474283 -:0417AA004683C85456 -:0417AB008963000747 -:0417AC0004931A0682 -:0417AD00577D00174D -:0417AE0000042023F0 -:0417AF0000042623E9 -:0417B0002423C058D6 -:0417B10001A300048C -:0417B2002C230404DC -:0417B300C5830404E2 -:0417B40087B70004EF -:0417B50046150001D4 -:0417B600BF87851351 -:0417B70087132B7DEC -:0417B80040140014C5 -:0417B900F613E53905 -:0417BA00C609010655 -:0417BB00020006130F -:0417BC0004C401A3BD -:0417BD000086F61399 -:0417BE000613C6093F -:0417BF0001A302B0D0 -:0417C000C58304C415 -:0417C1000613000407 -:0417C200816302A09D -:0417C300445404C5C1 -:0417C40045818726AE -:0417C50042A94525CB -:0417C60000074603CF -:0417C70000170313F1 -:0417C800FD06061301 -:0417C90006C5796375 -:0417CA00C454C995A5 -:0417CB000705A80561 -:0417CC0087B7B7A183 -:0417CD00861300017E -:0417CE000633BF8798 -:0417CF00450540C5C7 -:0417D00000C5153308 -:0417D100C0148EC9E9 -:0417D200B75184BACD -:0417D30005934652E2 -:0417D4004210004679 -:0417D5004963CA2E6C -:0417D600C4500206F3 -:0417D70000074603BE -:0417D80002E0069392 -:0417D90006D61163BC -:0417DA0000174603AB -:0417DB0002A00693CF -:0417DC0002D61B63B3 -:0417DD00070946D2E0 -:0417DE000046861328 -:0417DF00CA32429434 -:0417E0000206C163D9 -:0417E100A081C054CF -:0417E20040C00633CA -:0417E3000026E69363 -:0417E400C014C45019 -:0417E50086B3B7E12F -:0417E60045850256DD -:0417E70096B2871A15 -:0417E80056FDBFA546 -:0417E9000705B7C574 -:0417EA0000042223B2 -:0417EB00468145816D -:0417EC0042A94525A4 -:0417ED0000074603A8 -:0417EE0000170313CA -:0417EF00FD060613DA -:0417F00006C5776350 -:0417F1004583F1E15A -:0417F20084B70007B1 -:0417F300460D00019E -:0417F400C004851395 -:0417F50021D1C63AFE -:0417F600CD014732A8 -:0417F700C004849313 -:0417F80006938D05C2 -:0417F90096B304009F -:0417FA00400800A6FD -:0417FB008D550705FC -:0417FC004583C00859 -:0417FD008537000725 -:0417FE004619000187 -:0417FF00C0450513C9 -:041800000017049336 -:0418010000B40C2300 -:04180200C52D29497E -:0418030000000737A3 -:0418040000070713BF -:041805004014E70D97 -:04180600F6934752BC -:04180700CE91100668 -:04180800CA3A0711C0 -:0418090047A2485852 -:04180A00C858973EE5 -:04180B0086B3B59952 -:04180C0045850256B6 -:04180D0096B2871AEE -:04180E000721BFB53A -:04180F004602B7DDF9 -:0418100066B7451260 -:041811000858000172 -:04181200D1468693A2 -:04181300009785A213 -:0418140000E70000E9 -:04181500C42A0000E1 -:04181600577D47A211 -:04181700FCE794E373 -:04181800557D478231 -:0418190000C7D7032A -:04181A000407771335 -:04181B00DC071EE3E5 -:04181C00BBD94848A4 -:04181D004512460228 -:04181E00000166B7A8 -:04181F00869308584C -:0418200085A2D14686 -:04182100BFC12A0514 -:04182200CA261101C0 -:0418230084B68332D2 -:04182400499445900E -:04182500CE06CC22FD -:0418260087AE842ADB -:0418270000C6D363C1 -:04182800202386B241 -:04182900C60300D31F -:04182A00C6010437B8 -:04182B0020230685EB -:04182C00439400D30E -:04182D000206F69326 -:04182E002683C691B6 -:04182F000689000323 -:0418300000D320239E -:041831000007A28387 -:041832000197839304 -:041833000062F293CA -:0418340006028363C2 -:04183500C683439093 -:0418360076130437EA -:0418370036B30206BC -:04183800EA4100D0B1 -:0418390004378613D7 -:04183A00852285A6D8 -:04183B00C23EC41ACB -:04183C009702C03A15 -:04183D00086356FDE9 -:04183E00479206D5F2 -:04183F0045114322EA -:0418400026034394A4 -:0418410047CC00038D -:0418420043018A993B -:04184300976347025E -:04184400833300A644 -:04184500536340C5E4 -:041846004301000357 -:041847004B904794E7 -:0418480000D654630F -:0418490093368E91B3 -:04184A0007E94281E7 -:04184B0006531263CB -:04184C00A81D45018D -:04184D0047D40285F5 -:04184E00000326036A -:04184F00DBE38E91B8 -:041850004685F8D2FF -:0418510085A6861EC4 -:04185200C81A852209 -:04185300C416C63EB3 -:04185400C03AC21EB6 -:0418550056FD9702A3 -:041856004392470270 -:0418570047B242A2B0 -:0418580019E343420B -:04185900557DFCD5E8 -:04185A00446240F2B2 -:04185B00610544D20D -:04185C0085B380824E -:04185D00051300D798 -:04185E0081A303005F -:04185F00C58304A594 -:041860008613045790 -:04186100963E001699 -:0418620001A306894F -:04186300BF9904B66F -:04186400863E4685F1 -:04186500852285A6AD -:04186600C41AC616C4 -:04186700C03AC23E83 -:0418680056FD970290 -:04186900FCD501E3C6 -:04186A00432242B221 -:04186B000285479219 -:04186C00BFAD4702C3 -:04186D00CC22110177 -:04186E00C232842ED0 -:04186F0001844603A7 -:04187000CE06CA26B0 -:04187100C02A84B64F -:0418720006E00693F3 -:041873000435859320 -:041874001ED6086311 -:0418750006C6E4635C -:04187600063006939F -:041877000AD6046326 -:0418780000C6ED6356 -:0418790020060463DE -:04187A00058006934C -:04187B0016D60C630E -:04187C00042403132A -:04187D0004C401237B -:04187E000693A879AC -:04187F0006630640B6 -:04188000069300D6F5 -:0418810015E30690D5 -:041882004014FED63A -:041883000007230334 -:041884000806F61349 -:041885000043051304 -:041886002683C249AA -:04188700C30800038F -:04188800000186379E -:041889000006D8631A -:04188A0002D007136E -:04188B0040D006B390 -:04188C0004E401A3CC -:04188D00C0C60613B8 -:04188E00A07D4729C9 -:04188F000730069385 -:041890001AD60A63F7 -:0418910000C6EF633B -:0418920006F00693C3 -:0418930006D6006312 -:0418940007000693B0 -:04189500F8D61EE380 -:04189600E693401481 -:04189700C014020671 -:041898000693A80902 -:04189900036307508E -:04189A00069304D6D7 -:04189B0011E30780CE -:04189C000693F8D6E1 -:04189D008637078003 -:04189E0002A30001A0 -:04189F00061304D454 -:0418A000A0FDC206DF -:0418A10003134314D6 -:0418A2008613042481 -:0418A300C310004628 -:0418A4000123429842 -:0418A500470504E40B -:0418A600F613AABDCE -:0418A700268304068A -:0418A800C30800036E -:0418A90006C2DE3560 -:0418AA00BF9D86C197 -:0418AB00431440089A -:0418AC000805729326 -:0418AD00004683135B -:0418AE00000286634B -:0418AF00006720238B -:0418B000A8014294B5 -:0418B10004057513A2 -:0418B2000067202388 -:0418B300D683D9758A -:0418B4000513000612 -:0418B500873706F07B -:0418B6000D630001BD -:0418B70006130CA662 -:0418B8004729C0C735 -:0418B900040401A37F -:0418BA00C4084048D6 -:0418BB000005486379 -:0418BC0000042303FE -:0418BD00FFB37313EF -:0418BE00006420237F -:0418BF00832EE299F9 -:0418C000832ECD1195 -:0418C10002E6F53313 -:0418C2009532137DCB -:0418C30000054503D4 -:0418C40000A300235A -:0418C50002E6D5332F -:0418C6000AE6F263D9 -:0418C7001E6346A1B5 -:0418C800401800D7ED -:0418C900CB118B05AF -:0418CA004818405426 -:0418CB0000D7476398 -:0418CC0003000713FB -:0418CD00FEE30FA384 -:0418CE0085B3137D4E -:0418CF00C80C40659C -:0418D00045024692F5 -:0418D100081087264E -:0418D200C41A85A20D -:0418D300D3DFF0EF80 -:0418D4004322577DD7 -:0418D5000CE51563A6 -:0418D60040F2557D0A -:0418D70044D2446251 -:0418D80080826105A4 -:0418D90004C402A39E -:0418DA00000186374C -:0418DB00C0C606136A -:0418DC00230340089A -:0418DD0072930007FB -:0418DE002683080550 -:0418DF0003110003EE -:0418E000020281631C -:0418E1000067202359 -:0418E2000015771363 -:0418E3006513C701C1 -:0418E400C008020531 -:0418E500F6B94741C8 -:0418E600751340082E -:0418E700C008FDF543 -:0418E8007293B791AF -:0418E90020230405AF -:0418EA008FE3006721 -:0418EB0006C2FC0233 -:0418EC00BFD982C11D -:0418ED00C0C7061357 -:0418EE00B72D4721AA -:0418EF00B79986AA75 -:0418F000431440104D -:0418F100729348485E -:0418F200831308064E -:0418F30087630046C1 -:0418F40020230002AB -:0418F50042980067AE -:0418F600A809C30872 -:0418F7000067202343 -:0418F8000406761359 -:0418F900DA6D4298CA -:0418FA0000A7102310 -:0418FB00000428239A -:0418FC00B7B9832EC7 -:0418FD0045814314CA -:0418FE000046861307 -:0418FF00A303C3106C -:04190000405000064D -:04190100C41A851A65 -:04190200432228490B -:041903000533C501E2 -:04190400C048406532 -:04190500C818405866 -:04190600040401A331 -:041907004814B715B4 -:0419080045024592BD -:041909009482861A24 -:04190A0007E3577D1B -:04190B004018F2E5A9 -:04190C00EB158B0943 -:04190D0044484742C1 -:04190E00F2E551E3CA -:04190F00BF31853A25 -:0419100045024592B5 -:04191100861A468567 -:04191200C41AC63AF3 -:0419130056FD948267 -:04191400F0D504E323 -:0419150043224732F0 -:041916004454070529 -:041917008E91464225 -:04191800FED740E3D3 -:041919004701BFC102 -:04191A00019403131E -:04191B001151B7FDB2 -:04191C00C026C222FD -:04191D0084AA6465CF -:04191E00C406852E48 -:04191F00E20420239B -:0419200057FD20FD52 -:0419210000F5166354 -:04192200E204278331 -:04192300C09CC39110 -:04192400441240A287 -:0419250001314482C6 -:04192600F593808233 -:04192700962A0FF5F8 -:0419280000C514637F -:041929008082450172 -:04192A0000054783EA -:04192B00FEB78DE393 -:04192C00B7FD0505F9 -:04192D0004A5F363B7 -:04192E0000C586B3B7 -:04192F0002D57F63FB -:04193000FFF64593E6 -:0419310017FD4781D6 -:0419320000F59363C6 -:0419330087338082F4 -:04193400430300F673 -:0419350087330007ED -:04193600972A00C725 -:041937000067002322 -:041938008733B7DD5D -:04193900468300F5EC -:04193A000733000768 -:04193B00078500F527 -:04193C0000D70023AD -:04193D00FEF617E3B8 -:04193E0047818082DB -:04193F008082BFE5FE -:04194000E58180823B -:04194100F06F85B20C -:041942001131F2EF7E -:04194300C622C806EA -:04194400EA11C426BA -:04194500E72FF0EFA9 -:0419460040C24781D3 -:0419470044A2443240 -:041948000151853E86 -:04194900842E8082E6 -:04194A0084AAC03279 -:04194B004602203DF3 -:04194C0074E387A217 -:04194D0085B2FEC59C -:04194E00C2328526F6 -:04194F00EF8FF0EF37 -:04195000DD6187AA24 -:0419510085A2461213 -:04195200F0EFC02AC8 -:0419530085A2C8EFB2 -:04195400F0EF852605 -:041955004782E34F93 -:04195600A783B7C9E3 -:041957008513FFC530 -:04195800D563FFC78D -:0419590095AA000744 -:04195A00953E419CD9 -:04195B001141808234 -:04195C006465C422D8 -:04195D0085C4278393 -:04195E00C226C606D1 -:04195F000413832AC0 -:04196000EB8585C4CA -:041961004581450176 -:041962004681460173 -:0419630002934701A3 -:0419640000730D609F -:0419650084AA000050 -:0419660000055C63B9 -:0419670004B328811C -:04196800C1044090E6 -:0419690040B2557DB6 -:04196A00449244223D -:04196B008082014134 -:04196C004008C00867 -:04196D004601458169 -:04196E004681951AFF -:04196F004781470164 -:041970000D60029371 -:0419710000000073FF -:04197200596384AA87 -:04197300C01A000591 -:0419740043022831D1 -:04197500409004B3E7 -:0419760054FDC10457 -:04197700557D401C3E -:0419780092E3933E25 -:04197900C004FC6446 -:04197A00BF75853E72 -:04197B00A50367E178 -:04197C0080827D47A1 -:04197D000000000066 -:04197E000000000065 -:04197F000000000064 -:041980000000000063 -:04198100FFFFFFFF66 -:04198200FFFFFFFF65 -:041983000000FFFF62 -:041984000001052C2D -:041985000001053226 -:04198600000105381F -:041987000001053E18 -:04198800000104E076 -:041989000001050054 -:04198A000001050053 -:04198B000001050052 -:04198C000001050051 -:04198D000001050050 -:04198E00000105004F -:04198F00000105044A -:041990000001050A43 -:04199100000129E246 -:0419920000012AF234 -:0419930000012AF233 -:0419940000012B061D -:0419950000012B061C -:0419960000012B120F -:0419970000012B160A -:0419980000012B24FB -:0419990000012B24FA -:04199A0000012B40DD -:04199B0000012D0812 -:04199C0000012D0E0B -:04199D0000012D0E0A -:04199E0000012D080F -:04199F0000012D1204 -:0419A00000012B40D7 -:0419A10000012D080C -:0419A20000012D0E05 -:0419A300000000013F -:0419A4000205030035 -:0419A500000000023C -:0419A600000167A82D -:0419A700000167B81C -:0419A800000167C40F -:0419A900000167D002 -:0419AA00000167DCF5 -:0419AB00000167E8E8 -:0419AC00000167F4DB -:0419AD0000016800CD -:0419AE000001680CC0 -:0419AF0000016818B3 -:0419B00000016824A6 -:0419B10074204B4F04 -:0419B2006E69206FCB -:0419B3000000746953 -:0419B400736C6146A9 -:0419B50072742065C3 -:0419B6006567676991 -:0419B70000000072BA -:0419B800656D69549C -:0419B9000074756FD2 -:0419BA00252E75253C -:0419BB006D75322EE6 -:0419BC0000000073B4 -:0419BD00252E752539 -:0419BE006D75322EE3 -:0419BF0075252F73E8 -:0419C000322E252E70 -:0419C10000736D75CD -:0419C2004353534FE9 -:0419C3007766202003 -:0419C4007525202E37 -:0419C500322E252E6B -:0419C6000000617547 -:0419C70074696E4988 -:0419C80072726520B2 -:0419C9002020726FF9 -:0419CA000000642590 -:0419CB002020202098 -:0419CC0053204F4E07 -:0419CD0000434E592C -:0419CE00343130324E -:0419CF003230322D53 -:0419D0006D20203036 -:0419D100737172615B -:0419D2000000000011 -:0419D3000D80600023 -:0419D400004020109F -:0419D50008040220E0 -:0419D60000004022AB -:0419D700000000000C -:0419D8000DC06000DE -:0419D90000783C1145 -:0419DA00070180E0A1 -:0419DB000000180EE2 -:0419DC000000000007 -:0419DD000D80600019 -:0419DE0000301804B9 -:0419DF000201406061 -:0419E00000001406E9 -:0419E1000000000002 -:0419E2002520732524 -:0419E3000063257503 -:0419E400252E752512 -:0419E5006B75322EBE -:0419E60025207A48F6 -:0419E7002E252E7506 -:0419E8007A48753292 -:0419E90000000000FA -:0419EA007473655459 -:0419EB007461702093 -:0419EC006E7265743E -:0419ED0000000000F6 -:0419EE003A315641F3 -:0419EF0042475220F9 -:0419F00000000053A0 -:0419F1003A315641F0 -:0419F20073475220C5 -:0419F30000000042AE -:0419F4003A315641ED -:0419F50062505920C3 -:0419F600000072502B -:0419F7003A325641E9 -:0419F80062505920C0 -:0419F9000000725028 -:0419FA003A325641E6 -:0419FB0073475220BC -:0419FC0000000042A5 -:0419FD003A335641E2 -:0419FE0042475220EA -:0419FF000000564846 -:041A00003A335641DE -:041A010042475220E6 -:041A0200000000538D -:041A03003A335641DB -:041A040073475220B2 -:041A0500000000429B -:041A06003A335641D8 -:041A070062505920B0 -:041A08000000725018 -:041A09007473614C45 -:041A0A00657375206B -:041A0B000000006473 -:041A0C0000000000D6 -:041A0D0000000001D4 -:041A0E0000000000D4 -:041A0F0000000000D3 -:041A100000000000D2 -:041A110000000100D0 -:041A120001010100CD -:041A130000000100CE -:041A140000000000CE -:041A150001000000CC -:041A1600060B0000BB -:041A17000000004487 -:041A180000000001C9 -:041A190001000000C8 -:041A1A0080000C003C -:041A1B0080800A03BA -:041A1C001A1A1A80F8 -:041A1D0000000A08B3 -:041A1E0000012FE0B4 -:041A1F00000130761C -:041A20000001307E13 -:041A21000001306E22 -:041A22000001307A15 -:041A2300000130820C -:041A2400000130721B -:041A2500000130C8C4 -:041A26000001308605 -:041A27000001308A00 -:041A28000001308EFB -:041A2900000130C8C0 -:041A2A00000130C8BF -:041A2B00000130C8BE -:041A2C00000130C8BD -:041A2D00000130C8BC -:041A2E00000134E29D -:041A2F00000130D2B0 -:041A3000000131BEC2 -:041A3100000131CEB1 -:041A3200000131E896 -:041A33000001322656 -:041A34000001320A71 -:041A35000001323C3E -:041A36000001334830 -:041A37000001339ADD -:041A3800000133BCBA -:041A3900656E6F4423 -:041A3A0000000000A8 -:041A3B006C6961462B -:041A3C0000006465DD -:041A3D00666F72500E -:041A3E002075252EBC -:041A3F0000733925D2 -:041A4000756C342568 -:041A41006325632591 -:041A42006C252020CF -:041A4300000000752A -:041A4400656E694C16 -:041A4500746C756DDB -:041A4600646F6D203C -:041A470000003A65FC -:041A480073657270E0 -:041A49002D312073A8 -:041A4A000000003563 -:041A4B002078752565 -:041A4C0075736E75CB -:041A4D00726F7070D4 -:041A4E000064657457 -:041A4F00666F7250FC -:041A500020656C6938 -:041A510064616F6CF1 -:041A52000000003A56 -:041A530073657270D5 -:041A54007525207361 -:041A55000075252DC6 -:041A560000016A1C05 -:041A570000016A2000 -:041A580000016A24FB -:041A590000016A28F6 -:041A5A0000016928F6 -:041A5B0000016A2CF0 -:041A5C0000016A30EB -:041A5D0000016A34E6 -:041A5E0000016A38E1 -:041A5F00000175A06D -:041A600000016A3CDB -:041A610000016A44D2 -:041A620000016A48CD -:041A630000016A50C4 -:041A640000016A54BF -:041A650000016A5CB6 -:041A660000016A64AD -:041A670000016A6CA4 -:041A680000016A749B -:041A690000016A848A -:041A6A0000016A9479 -:041A6B0000016AA468 -:041A6C0000016AB457 -:041A6D0000016AC446 -:041A6E0000016AD435 -:041A6F0000016ADC2C -:041A700000016AE423 -:041A71003EA93E2923 -:041A72003EE93E69A2 -:041A73003E993E1941 -:041A74003ED93E59C0 -:041A75003EC93E39EF -:041A76003E1D3E4D86 -:041A77003E2D3EEDD5 -:041A78003EAD3ECD74 -:041A79003E653E6D1B -:041A7A001C483E01C5 -:041A7B001C501C18C7 -:041A7C001CC81CD096 -:041A7D005ED85E5879 -:041A7E0000003EB96D -:041A7F0073657250C9 -:041A800000000073EF -:041A8100666E6F43DB -:041A8200006D726918 -:041A83006D73694DC9 -:041A840068637461BE -:041A85006572202C3A -:041A860000797274FD -:041A8700000000312A -:041A88000000003228 -:041A89000000003326 -:041A8A000000003424 -:041A8B000000003621 -:041A8C00000000371F -:041A8D00000000381D -:041A8E00000000391B -:041A8F00554E454D1E -:041A90000000000052 -:041A910000004B4FB7 -:041A92004B4341423F -:041A9300000000004F -:041A940000005055A9 -:041A95004E574F4415 -:041A9600000000004C -:041A97005446454C20 -:041A9800000000004A -:041A9900484749521F -:041A9A0000000054F4 -:041A9B004F464E491B -:041A9C000000000046 -:041A9D005F44434C13 -:041A9E004B43414233 -:041A9F004847494C1F -:041AA00000000054EE -:041AA1004E4143531C -:041AA200454E494C18 -:041AA300444F4D5F00 -:041AA40000000045F9 -:041AA5004E41435318 -:041AA600454E494C14 -:041AA7005059545FDF -:041AA80000000045F5 -:041AA9004E41435314 -:041AAA00454E494C10 -:041AAB00544E495FED -:041AAC000000002B0B -:041AAD004E41435310 -:041AAE00454E494C0C -:041AAF00544E495FE9 -:041AB0000000002D05 -:041AB100454E494C09 -:041AB200544C554DEE -:041AB300444F4D5FF0 -:041AB40000000045E9 -:041AB5005341485001 -:041AB60000002B45BC -:041AB70053414850FF -:041AB80000002D45B8 -:041AB900464F5250F2 -:041ABA005F454C49EF -:041ABB004B544F48F1 -:041ABC000000594588 -:041ABD006F7272458D -:041ABE0000000072B2 -:041ABF0053206F4EF3 -:041AC00061632044FA -:041AC10064206472C7 -:041AC200002E746519 -:041AC30061766E4991 -:041AC4002064696CC5 -:041AC500646165688B -:041AC6000000726545 -:041AC70061766E498D -:041AC8002064696CC1 -:041AC90020726468BB -:041ACA000043524340 -:041ACB0061766E4989 -:041ACC002064696CBD -:041ACD00617461647B -:041ACE00435243201C -:041ACF000000000013 -:041AD0006164705588 -:041AD10063206574B5 -:041AD20065636E6179 -:041AD30064656C6C6E -:041AD400000000000E -:041AD50073616C4687 -:041AD60065722068AD -:041AD70065206461C1 -:041AD8000000727226 -:041AD90073616C4683 -:041ADA0072652068A9 -:041ADB0020657361AE -:041ADC0000727265BD -:041ADD0073616C467F -:041ADE007277206893 -:041ADF0020657469A1 -:041AE00000727265B9 -:041AE10073616C467B -:041AE200657620689D -:041AE300206669729E -:041AE4006C69616662 -:041AE50000000000FD -:041AE60061766E496E -:041AE7002064696CA2 -:041AE80067616D695C -:041AE9000000006594 -:041AEA004353534FC0 -:041AEB0000000000F7 -:041AEC00696C61566A -:041AED006974616453 -:041AEE006420676E9B -:041AEF0000617461BD -:041AF00062207525D6 -:041AF100736574792C -:041AF20000000000F0 -:041AF300252E752502 -:041AF4002575322EF4 -:041AF50000732573E2 -:041AF6006164705562 -:041AF700676E697439 -:041AF800005746202D -:041AF90061656C7047 -:041AFA007720657379 -:041AFB002E7469617B -:041AFC0000002E2E8A -:041AFD00697265564F -:041AFE006E6979662E -:041AFF006C6620678A -:041B000000687361A5 -:041B010061656C703E -:041B02007220657375 -:041B03006174736531 -:041B040000007472F7 -:041B0500727465523F -:041B0600676E697924 -:041B07006470752071 -:041B0800006574619F -:041B0900616470554E -:041B0A00203F65749F -:041B0B002C593D31E3 -:041B0C004E3D3220F8 -:041B0D0000000000D4 -:041B0E006D72694645 -:041B0F006572617723 -:041B10006470752068 -:041B11006465746132 -:041B120000000000CF -:041B130000013DD4BC -:041B140000013DA4EB -:041B150000013D7E10 -:041B160000013D96F7 -:041B170000013E8605 -:041B180000013E8604 -:041B190000013F4840 -:041B1A0000013F6E19 -:041B1B0000013F7A0C -:041B1C0000013FB6CF -:041B1D0000013F8AFA -:041B1E0000013E9AEA -:041B1F0000013E9AE9 -:041B200000013EDEA4 -:041B210000013F1868 -:041B220000013F1867 -:041B23007020752594 -:041B24006C6578690B -:041B25000000007349 -:041B26006C20752595 -:041B270073656E690B -:041B280000000000B9 -:041B290064206425AB -:041B2A000000004275 -:041B2B00252E7525C9 -:041B2C002075322EC0 -:041B2D0000007375CC -:041B2E006C206F4E6A -:041B2F00006B6E6970 -:041B300000018880A8 -:041B310000000002AE -:041B320000013C7EF4 -:041B33000001742C0D -:041B34000001743800 -:041B350000017440F7 -:041B360000018882A0 -:041B37000000000E9C -:041B380000013CC2AA -:041B3900706D653C2A -:041B3A00003E79747C -:041B3B00203A7525B2 -:041B3C00000073250D -:041B3D002020202024 -:041B3E00000064251A -:041B3F0025257525BE -:041B400000000000A1 -:041B41006564695618 -:041B42006E69206F39 -:041B43006F7270202D -:041B44003E202063BC -:041B4500000000009C -:041B4600706D61530A -:041B4700676E696CF0 -:041B480074706F2026 -:041B49003E20202EEC -:041B4A000000000097 -:041B4B00636E7953F9 -:041B4C0074706F2022 -:041B4D002020202E06 -:041B4E003E202020F5 -:041B4F000000000092 -:041B50007074754FE9 -:041B51006F20747518 -:041B5200202E74705D -:041B53003E202020F0 -:041B5400000000008D -:041B55006E61635307 -:041B5600656E696CE3 -:041B570074706F2017 -:041B58003E20202EDD -:041B59000000000088 -:041B5A0074736F50E1 -:041B5B006F72702D08 -:041B5C0020202E63B4 -:041B5D003E202020E6 -:041B5E000000000083 -:041B5F00706D6F43F3 -:041B600062697461E1 -:041B610074696C69CE -:041B62003E20207988 -:041B6300000000007E -:041B640069647541FA -:041B6500706F206F0E -:041B66006E6F6974C1 -:041B67003E20207389 -:041B68000000000079 -:041B690074746553D8 -:041B6A0073676E69C6 -:041B6B0074706F2003 -:041B6C003E202020D7 -:041B6D000000000074 -:041B6E00616F4C3C1B -:041B6F00727020640C -:041B70006C69666FC7 -:041B7100003E2065AD -:041B72007661533C09 -:041B73007270206507 -:041B74006C69666FC3 -:041B7500003E2065A9 -:041B76007365523C05 -:041B770073207465FE -:041B780069747465B3 -:041B79003E73676EE2 -:041B7A000000000067 -:041B7B006B6E694CD8 -:041B7C006F727020F4 -:041B7D00693E2D662A -:041B7E007475706E9C -:041B7F000000000062 -:041B80006B6E694CD3 -:041B8100706E6920F9 -:041B82003E2D74750B -:041B8300666F7270A7 -:041B8400000000005D -:041B850074696E49C8 -:041B8600206C616905 -:041B870075706E699E -:041B880000000074E5 -:041B89006F747541BF -:041B8A0065746564B5 -:041B8B0069207463F6 -:041B8C007475706E8E -:041B8D000000000054 -:041B8E006F747541BA -:041B8F00315641206A -:041B9000472F592062 -:041B910000000073DD -:041B92006F747541B6 -:041B93003256412065 -:041B9400472F59205E -:041B950000000073D9 -:041B96006F747541B2 -:041B97003356412060 -:041B9800472F59205A -:041B990000000073D5 -:041B9A002044434C54 -:041B9B0074204C4224 -:041B9C006F656D699B -:041B9D00000074755B -:041B9E002044534F3D -:041B9F0062616E65AC -:041BA0000000656C70 -:041BA1002044534F3A -:041BA2007461747383 -:041BA30064207375D2 -:041BA4002E707369C3 -:041BA500000000003C -:041BA600706D493CD9 -:041BA7002074726FC5 -:041BA8007474657379 -:041BA9003E20202E8C -:041BAA000000000037 -:041BAB002E77463C0F -:041BAC0064707520CC -:041BAD0020657461DA -:041BAE003E20202095 -:041BAF000000000032 -:041BB0006E776F4499 -:041BB1006D61732DC2 -:041BB2006E696C707C -:041BB30000000067C7 -:041BB4007061775392 -:041BB50066656C20D5 -:041BB60069722F74AD -:041BB70000746867E7 -:041BB8002D657250D5 -:041BB9002043444140 -:041BBA006E69616788 +:0412C0004792B75545 +:0412C100000185B7EC +:0412C2008593464585 +:0412C3008513DFC5EB +:0412C40010EFFF47E1 +:0412C50045096CE08B +:0412C600EDCFC0EFB9 +:0412C700C00244819C +:0412C8000104941376 +:0412C90020040413E6 +:0412CA0067E584252B +:0412CB004681472DE4 +:0412CC00002C86224A +:0412CD00FA47851344 +:0412CE003A0010EFE3 +:0412CF000533C5011D +:0412D000BF6940A012 +:0412D100000185B7DC +:0412D200E2C5859359 +:0412D300B0EF002850 +:0412D400E129BF9FAE +:0412D50001014703C9 +:0412D6004683EF1547 +:0412D70007130111E7 +:0412D80099630570A1 +:0412D900468302E660 +:0412DA0047050121A2 +:0412DB0002D764636F +:0412DC00959366057B +:0412DD0095B2008442 +:0412DE004DE00613C6 +:0412DF0000E684633E +:0412E000057006137C +:0412E1008522085406 +:0412E200DD0FC0EF6D +:0412E3004782F92124 +:0412E400C03E07857C +:0412E50047410485F4 +:0412E600F8E494E3B1 +:0412E700453D4581BB +:0412E800C81FF0EF3C +:0412E900C78367E56B +:0412EA006765FB97A2 +:0412EB00FE87071360 +:0412EC00C50397BAE5 +:0412ED004581000730 +:0412EE0082A367E58B +:0412EF00F0EF00A775 +:0412F0004792C63F1C +:0412F1008637468274 +:0412F20006130001DE +:0412F30045C5E08687 +:0412F400FF47851318 +:0412F500572010EF7F +:0412F600B7094505EA +:0412F7000185571303 +:0412F80001851793C2 +:0412F90006B78FD9CC +:0412FA00171300FFC7 +:0412FB008F75008566 +:0412FC0067418FD9DE +:0412FD00F0070713DC +:0412FE008D79812144 +:0412FF0080828D5DFF +:0413000017B7C6094C +:04130100A023000223 +:041302004701000798 +:04130300FFC5F69399 +:041304000002163796 +:0413050000E507B345 +:0413060002D7646343 +:04130700470D898D78 +:0413080002E5946303 +:041309000007D68380 +:04130A00000217378F +:04130B0000D71223D2 +:04130C000027C7836C +:04130D0000F70223C0 +:04130E00000217B70B +:04130F0080824B8805 +:041310000711439CE2 +:04131100B7F9C25C0A +:04131200996347098B +:04131300D70300E517 +:0413140017B7000700 +:04131500922300021D +:04131600BFF900E734 +:041317009DE3470506 +:04131800C703FCE526 +:0413190017B70007FB +:04131A008223000228 +:04131B00B7E900E747 +:04131C00C222115187 +:04131D00000214377F +:04131E004601C0269E +:04131F00059384AA04 +:04132000051304A00D +:04132100C4060404F6 +:04132200915FB0EF38 +:04132300460185A654 +:0413240004040513A5 +:04132500943FB0EF52 +:0413260004A0059387 +:0413270004040513A2 +:04132800B0EF4605D7 +:0413290005138FBF5A +:04132A004412040461 +:04132B00448240A216 +:04132C0001314585C1 +:04132D00909FB06F6E +:04132E00C422114183 +:04132F00000214376D +:04133000C02AC226E7 +:04133100460184AE3F +:0413320004A005937B +:041333000404051396 +:04133400B0EFC6064A +:0413350047828CBFA0 +:041336000513460154 +:0413370085BE040467 +:041338008F7FB0EF04 +:041339000404051390 +:04133A0040B2442257 +:04133B00449285A6AD +:04133C000141460520 +:04133D008E3FB06FC0 +:04133E00C222115165 +:04133F00143344051A +:04134000741300A47E +:0413410085A20FF47E +:04134200C40645197F +:04134300FADFF0EFEE +:04134400441285A228 +:04134500451D40A260 +:04134600F06F013112 +:041347001151F9FF48 +:04134800C2220506B2 +:041349000FF5741315 +:04134A00450585A22E +:04134B00F0EFC406F5 +:04134C0085A2F8BFBF +:04134D0040A2441264 +:04134E00013145091B +:04134F00F7DFF06F65 +:0413500045151151DD +:04135100F0EFC406EF +:041352000713F2BFCC +:041353004781086066 +:0413540004E5136336 +:0413550009000593F3 +:041356000200051379 +:04135700F5DFF0EFDF +:0413580005134581B3 +:04135900F0EF02109F +:04135A004581F53F95 +:04135B000220051354 +:04135C00F49FF0EF1B +:04135D000513458DA2 +:04135E00F0EF02307A +:04135F004581F3FFD2 +:0413600002800513EF +:04136100F35FF0EF57 +:0413620003000593EC +:041363000710051357 +:04136400F29FF0EF15 +:0413650040A24785D6 +:041366000131853E8E +:04136700114180822E +:0413680000A101A33C +:041369000002153732 +:04136A004605C4224E +:04136B000513842EB4 +:04136C0005930405DC +:04136D00C60600317F +:04136E00869FB0EFB7 +:04136F00B0EF852234 +:0413700040B2B40FC4 +:0413710001414422D0 +:041372001151808213 +:041373006465C222C9 +:04137400FE44578359 +:04137500C026C406C4 +:04137600F9F7F793F9 +:0413770083C107C265 +:04137800FEF412234A +:04137900000214B7A3 +:04137A000EF4A023AA +:04137B00B0EF455139 +:04137C0045D1B10F97 +:04137D0003800513D1 +:04137E00FA7FF0EF13 +:04137F00051345D13C +:04138000F0EF0390F7 +:0413810045D1F9DF7A +:04138200F0EF4551F2 +:0413830045D1F95FF8 +:041384000710051336 +:04138500F8BFF0EFCE +:04138600051345D135 +:04138700F0EF05E09E +:0413880045D1F81F34 +:0413890006D0051372 +:04138A00F77FF0EF0A +:04138B00453145D1D2 +:04138C00F6FFF0EF89 +:04138D003200059392 +:04138E00F0EF450532 +:04138F0045D1F65FEF +:04139000F0EF45191C +:041391000593F5DFEC +:0413920045093200D7 +:04139300F53FF0EF43 +:04139400FE44578339 +:04139500E79340A2F8 +:041396001223040713 +:041397004412FEF40A +:041398000EF4A0238C +:041399000131448258 +:04139A00112180821B +:04139B006465C8229B +:04139C00FE44578331 +:04139D001737CA062E +:04139E00F7930002BF +:04139F0007C2F9F791 +:0413A000122383C1D0 +:0413A100C626FEF46A +:0413A2002023C02E16 +:0413A30005930EF7A9 +:0413A40084AA3200E5 +:0413A500F0EF45051B +:0413A6000513F09F9C +:0413A700B0EF19008A +:0413A8005783A60FB2 +:0413A9001737FE44B0 +:0413AA0045C1000237 +:0413AB000207E793BB +:0413AC00FEF4122316 +:0413AD000EF72023F4 +:0413AE0010EF852691 +:0413AF00771334A0DC +:0413B000EB110FF539 +:0413B100000185B7FB +:0413B2008593464594 +:0413B300852631C595 +:0413B400310010EF05 +:0413B5004781470520 +:0413B60000F486B306 +:0413B7000006C50364 +:0413B800C43A45D11D +:0413B900F0EFC23E51 +:0413BA004792EB9FCC +:0413BB000785472239 +:0413BC000FF7F6939E +:0413BD00FEE6E2E383 +:0413BE00FE4457830F +:0413BF00000214B75D +:0413C000F79345D189 +:0413C10007C2FDF76B +:0413C200122383C1AE +:0413C300A023FEF471 +:0413C40005130EF40B +:0413C500F0EF0C0039 +:0413C6005783E89FC2 +:0413C7004502FE4499 +:0413C800E79345C1A1 +:0413C90012230207E2 +:0413CA00A023FEF46A +:0413CB0010EF0EF41D +:0413CC0077932D6086 +:0413CD00EB910FF59C +:0413CE0085B7450298 +:0413CF00464500018E +:0413D00031C585930B +:0413D10029C010EF30 +:0413D2004481478586 +:0413D3004782C23E4D +:0413D400873345D145 +:0413D5004503009735 +:0413D6000485000783 +:0413D700E43FF0EF10 +:0413D800F71347922E +:0413D90063E30FF4C7 +:0413DA005783FEF740 +:0413DB0040D2FE44BA +:0413DC0000021737BD +:0413DD000407E79387 +:0413DE00FEF41223E4 +:0413DF002023444241 +:0413E00044B20EF70E +:0413E10080820161A4 +:0413E200C2221151C1 +:0413E30000021437B9 +:0413E4004601C026D8 +:0413E500059384AA3E +:0413E600051302C029 +:0413E700C406040430 +:0413E800DFCFB0EFB4 +:0413E900460585A68A +:0413EA0004040513DF +:0413EB00E2AFB0EFCE +:0413EC0002C00593A3 +:0413ED0004040513DC +:0413EE00B0EF460511 +:0413EF000513DE2FD5 +:0413F000441204049B +:0413F100448240A250 +:0413F20001314585FB +:0413F300DF0FB06FE9 +:0413F400C4221141BD +:0413F50000021437A7 +:0413F600C02AC22621 +:0413F700460184AE79 +:0413F80002C0059397 +:0413F90004040513D0 +:0413FA00B0EFC60684 +:0413FB004782DB2F1B +:0413FC00051346018E +:0413FD0085BE0404A1 +:0413FE00DDEFB0EF80 +:0413FF0004040513CA +:0414000040B2442290 +:04140100449285A6E6 +:041402000141460559 +:04140300DCAFB06F3B +:041404000002153796 +:04140500460111513A +:041406000513458104 +:04140700C40604050E +:04140800DB6FB0EFF7 +:04140900B0EF4529D2 +:04140A0045E18D8F9C +:04140B00F0EF4505B4 +:04140C0045E1FA3F7D +:04140D00F0EF4509AE +:04140E0045E1F9BFFC +:04140F00F0EF450DA8 +:041410004505F93F56 +:04141100F45FF0EFA5 +:04141200152140A2BE +:041413000015351378 +:0414140080820131A0 +:04141500C22211518D +:041416004505842ADA +:04141700F0EFC40628 +:04141800991DF2BF69 +:041419008C49040EE8 +:04141A000FF4741344 +:04141B00450585A25C +:04141C00F61FF0EFD8 +:04141D00450985A256 +:04141E00F59FF0EF57 +:04141F00441285A24C +:04142000450D40A294 +:04142100F06F013136 +:041422001151F4BFB1 +:04142300842AC22233 +:04142400C4064505B0 +:04142500EF5FF0EF96 +:04142600751347896A +:0414270013630D85B9 +:04142800641302F453 +:0414290085A2001583 +:04142A00F0EF450595 +:04142B0085A2F27F25 +:04142C00F0EF45098F +:04142D0085A2F1FFA4 +:04142E0040A2441282 +:04142F000131450D35 +:04143000F11FF06F49 +:041431008C490416C8 +:041432000FF474132C +:0414330000446413FA +:041434001151BFD9BA +:041435001437C22284 +:04143600C0260002CA +:0414370084AA46013C +:0414380005C0059353 +:04143900040405138F +:04143A00B0EFC40645 +:04143B00F593CB2F2B +:04143C0046050FF45E +:04143D00040405138B +:04143E00CDEFB0EF4F +:04143F0005C005934C +:041440000404051388 +:04144100B0EF4605BD +:041442000513C96F56 +:041443004412040447 +:04144400448240A2FC +:0414450001314585A7 +:04144600CA4FB06F6A +:04144700C422114169 +:041448000002143753 +:04144900C02AC226CD +:04144A00460184AE25 +:04144B0005C0059340 +:04144C00040405137C +:04144D00B0EFC60630 +:04144E004782C66F9C +:04144F00051346013A +:04145000F593040408 +:04145100B0EF0FF7F2 +:041452000513C90FA6 +:041453004422040427 +:0414540085A640B277 +:041455004605449272 +:04145600B06F014131 +:041457001151C7CF99 +:041458000220051356 +:04145900C222C406E1 +:04145A00F0EFC026C9 +:04145B007413F69F71 +:04145C0045CD0FF576 +:04145D00F0EF45590E +:04145E006489FA7F24 +:04145F00710485137C +:04146000F7FFA0EF03 +:04146100455D458D13 +:04146200F95FF0EF4F +:041463007104851378 +:04146400F6FFA0EF00 +:04146500080465937F +:041466000220051348 +:04146700F81FF0EF8B +:041468003E800513AA +:04146900F5BFA0EF3C +:04146A0007F475937B +:04146B0040A2441245 +:04146C00051344829E +:04146D000131022027 +:04146E00F65FF06FC6 +:04146F00C222115133 +:0414700005136409F3 +:04147100C406710438 +:04147200F37FA0EF75 +:04147300455945C5CD +:04147400F4DFF0EFC2 +:0414750071040513E6 +:04147600F27FA0EF72 +:041477004589455D01 +:04147800F3DFF0EFBF +:0414790071040513E2 +:04147A0040A2441236 +:04147B00A06F01312C +:04147C001151F11FFA +:04147D00842EC222D5 +:04147E00454985AAAD +:04147F00F0EFC406C0 +:0414800085A2F1FF51 +:0414810040A244122F +:041482000131454DA2 +:04148300F11FF06FF6 +:04148400051385AA1D +:04148500F06F03D031 +:0414860085AAF07FC4 +:04148700F06F454578 +:041488001151EFFF10 +:04148900C222C406B1 +:04148A00006547832F +:04148B00456D842AFD +:04148C0000479593ED +:04148D00F5938DDD69 +:04148E00F0EF0FF577 +:04148F004583EE3F64 +:04149000457100643E +:04149100ED9FF0EFEC +:04149200003445835A +:04149300F0EF452908 +:041494004583ECFFA1 +:0414950045250044A5 +:04149600EC5FF0EF28 +:041497000054458335 +:04149800F0EF45210B +:041499004583EBBFDD +:04149A0045350004D0 +:04149B00EB1FF0EF64 +:04149C000014458370 +:04149D00F0EF4531F6 +:04149E004583EA7F19 +:04149F0044120024CF +:0414A000452D40A2F4 +:0414A100F06F0131B6 +:0414A2001141E97F8C +:0414A300842AC422B1 +:0414A400C226451106 +:0414A50084AEC0321F +:0414A600F0EFC60697 +:0414A7004602E39F77 +:0414A8000F857593A4 +:0414A9000793CE4D8A +:0414AA00EA637FF082 +:0414AB0045110A8756 +:0414AC000015E593AF +:0414AD00E69FF0EFD7 +:0414AE0000141513FE +:0414AF00010514130C +:0414B000559380418F +:0414B100F59300446B +:0414B20045050FF5E8 +:0414B300E51FF0EF52 +:0414B4000044159348 +:0414B5000F05F59397 +:0414B600F0EF450905 +:0414B7000737E43FD0 +:0414B8000713019C79 +:0414B9005733CC07D2 +:0414BA0056B7029788 +:0414BB0086930225ED +:0414BC0047810FF65F +:0414BD000287073368 +:0414BE0002E6F063EF +:0414BF00042C26B71C +:0414C000D7F6869342 +:0414C100F9634785FF +:0414C200F7B700E692 +:0414C3008793080BF8 +:0414C400B7B3FBF7C8 +:0414C500078900E7AC +:0414C600071367653C +:0414C700973EF687CF +:0414C80000074503D1 +:0414C9000280071383 +:0414CA0002E50533FF +:0414CB00001457139F +:0414CC004533953AD5 +:0414CD00471D028530 +:0414CE000FF575138E +:0414CF000FF575930D +:0414D00000A773639B +:0414D1004422459DCF +:0414D200449240B24E +:0414D300079A058EE1 +:0414D400F5938DDD22 +:0414D500450D0F852D +:0414D600F06F014171 +:0414D7004511DC3FA0 +:0414D800DBDFF0EF77 +:0414D9005583BFB9BF +:0414DA00115100A507 +:0414DB0081A1C22207 +:0414DC000513842A46 +:0414DD00C40604B08D +:0414DE00DA5FF0EFF2 +:0414DF0000A445839D +:0414E00004A005134C +:0414E100D99FF0EFB0 +:0414E20000C455836A +:0414E30004D0051319 +:0414E400F0EF81A103 +:0414E5004583D8BFA4 +:0414E600051300C426 +:0414E700F0EF04C05E +:0414E8005583D7FF52 +:0414E900051300E403 +:0414EA0081A104F0E8 +:0414EB00D71FF0EF28 +:0414EC0000E4458350 +:0414ED0004E00513FF +:0414EE00D65FF0EFE6 +:0414EF0000445583DD +:0414F00005100513CB +:0414F100F0EF81A1F6 +:0414F2004583D57FDA +:0414F3000513004499 +:0414F400F0EF050010 +:0414F5005583D4BF88 +:0414F6000513006476 +:0414F70081A105309A +:0414F800D3DFF0EF5F +:0414F90000644583C3 +:0414FA0005200513B1 +:0414FB00D31FF0EF1C +:0414FC000084558390 +:0414FD00055005137E +:0414FE00F0EF81A1E9 +:0414FF004583D23F10 +:04150000051300844B +:04150100F0EF0540C2 +:041502005583D17FBD +:0415030005130104C7 +:0415040081A105704C +:04150500D09FF0EF94 +:041506000104458314 +:041507000560051363 +:04150800CFDFF0EF52 +:0415090001245583E1 +:04150A000590051330 +:04150B00F0EF81A1DB +:04150C004583CEFF46 +:04150D00051301249D +:04150E00F0EF058075 +:04150F005583CE3FF3 +:04151000051301447A +:0415110081A105B0FF +:04151200CD5FF0EFCA +:0415130001444583C7 +:0415140040A244129B +:0415150005A0051315 +:04151600F06F013140 +:041517001151CC3F63 +:04151800842AC2223D +:0415190003F00513C3 +:04151A00F0EFC40624 +:04151B007593C69F5F +:04151C008DC10F0569 +:04151D0040A2441292 +:04151E0003F00513BE +:04151F00F06F013137 +:041520001151C9FF9D +:04152100842AC22234 +:04152200C40645694D +:04152300C47FF0EFA2 +:0415240000641593B7 +:0415250075134412E4 +:0415260040A203F5E7 +:04152700F5938DC9E2 +:0415280045690FF50D +:04152900F06F01312D +:04152A001131C77F35 +:04152B00461D65E113 +:04152C003545859329 +:04152D00C806850A5D +:04152E003B7000EF1F +:04152F00CA3FF0EFD0 +:04153000F0EF45692A +:041531007593C11FCE +:04153200E5930F55D9 +:04153300456900A561 +:04153400C4DFF0EF31 +:0415350005134585D0 +:04153600F0EF03507F +:041537006561C43FE7 +:04153800E3C50513EF +:04153900E83FF0EFA8 +:04153A00F0EF450188 +:04153B004519F99FB6 +:04153C00D21FF0EFDB +:04153D00044005134E +:04153E00D23FF0EFB9 +:04153F004505458198 +:04154000CF3FF0EFBA +:04154100F0EF850A38 +:0415420040C2D1DFF3 +:041543008082015150 +:04154400C22211515D +:041545004569842A46 +:04154600F0EFC406F8 +:041547001593BB9F9E +:041548004412004405 +:04154900FCF5751325 +:04154A008DC940A265 +:04154B000FF5F59310 +:04154C0001314569BB +:04154D00BE9FF06FDE +:04154E00C422114161 +:04154F004511842A94 +:04155000C02EC606DD +:04155100B8FFF0EF00 +:041552000433458297 +:04155300759302B4D6 +:0415540045110075C8 +:0415550001F4779393 +:041556008DDD078E92 +:04155700BC1FF0EFD6 +:041558004054551393 +:04155900442240B236 +:04155A000FF5751301 +:04155B008082014148 +:04155C00C222115145 +:04155D004541842A56 +:04155E00F0EFC406E0 +:04155F001593B59F8C +:0415600044120034FD +:0415610040A2891DFE +:04156200F5938DC9A7 +:0415630045410FF5FA +:04156400F06F0131F2 +:04156500050EB8BFF8 +:04156600F5938DC9A3 +:0415670005130FF564 +:04156800F06F02809E +:041569001101B7BFF6 +:04156A00842ECC22DD +:04156B0005B345F986 +:04156C00C03602B4CF +:04156D00CA2656D95B +:04156E00832ACE06F8 +:04156F00C5B384B2CA +:04157000059502C516 +:0415710002D5C5B327 +:04157200F59395BA9E +:0415730095BE0FF51D +:04157400478505C2E0 +:041575000B6381C1C2 +:0415760047A100F594 +:0415770008F50D6303 +:0415780005C205990A +:04157900468985C159 +:04157A00A031474114 +:04157B0005C2058917 +:04157C00468585C15A +:04157D008633471951 +:04157E00C66300E65A +:04157F0087B3080521 +:0415800096BE00E52E +:041581000FF00793CD +:0415820000D7D56356 +:041583000FF00593CD +:04158400F7938D91BB +:0415850085BE0FF51B +:04158600C61A451527 +:04158700C23EC83266 +:04158800F0EFC43A82 +:041589004722AFBF87 +:04158A0085BA4519C0 +:04158B00AF1FF0EFAF +:04158C0008000593BB +:04158D0002600513E0 +:04158E00AE5FF0EF6D +:04158F0046424792F7 +:04159000031005132C +:0415910000C785B357 +:041592000FF5F593C9 +:04159300AD1FF0EFA9 +:0415940047C14332D6 +:04159500036345B1F6 +:0415960045A100F378 +:041597000220051316 +:04159800ABDFF0EFE6 +:041599004462852201 +:04159A0040F24602D3 +:04159B0044D285A60B +:04159C00F06F610586 +:04159D008593C19FD2 +:04159E0005C203255A +:04159F0046A185C11B +:0415A000020007132B +:0415A1004581BF8D34 +:0415A2001151B769C3 +:0415A300C026C2227A +:0415A40084AEC40647 +:0415A50084324789BC +:0415A600E663458132 +:0415A7009593009781 +:0415A80005E20064F4 +:0415A900171385E1AE +:0415AA00179300256E +:0415AB008FD900458F +:0415AC008DDD8FC979 +:0415AD000FF5F593AE +:0415AE00F0EF4565B0 +:0415AF004541A63FCD +:0415B000A13FF0EF78 +:0415B1007593478D5A +:0415B20014630F852A +:0415B300E59300F4C8 +:0415B4004541005558 +:0415B500A49FF0EF10 +:0415B60005934789C9 +:0415B700F96305B01F +:0415B80047910097C0 +:0415B9000520059371 +:0415BA000097F4633F +:0415BB00053005935F +:0415BC00F0EF4539CE +:0415BD000513A2BFB1 +:0415BE00A0EF3E80DC +:0415BF004551A05F93 +:0415C0009D3FF0EF6C +:0415C10045C1478D4C +:0415C20000F40363CB +:0415C30045614581B8 +:0415C400A0DFF0EFC5 +:0415C50040A24412EA +:0415C6004581448295 +:0415C70003600513A5 +:0415C800F06F01318E +:0415C90011519FBF5E +:0415CA00842AC2228B +:0415CB00C4064551BC +:0415CC009A3FF0EF63 +:0415CD007C634711E3 +:0415CE00751300870A +:0415CF000513088573 +:0415D0003513F78553 +:0415D10040A200151F +:0415D200013144128D +:0415D30047098082C2 +:0415D40000877763B2 +:0415D500090575137C +:0415D600F7050513FD +:0415D7007793B7DD72 +:0415D800D5130FF523 +:0415D9008905001769 +:0415DA00711DBFF9C7 +:0415DB004791CCA2C6 +:0415DC00CAA66465D2 +:0415DD00D43ECE86A4 +:0415DE0000840793EB +:0415DF00C683CA36BF +:0415E0004721032775 +:0415E1000437C38385 +:0415E20000D71733E4 +:0415E3000337C68381 +:0415E4000087671302 +:0415E5000713D63AD8 +:0415E6001733400077 +:0415E700C68300D7E0 +:0415E800D83A0347A3 +:0415E90000020737BE +:0415EA0000D71733DC +:0415EB000357C68359 +:0415EC000737DA3AA9 +:0415ED001733004070 +:0415EE00DC3A00D70C +:0415EF00027107136B +:0415F0006765DE3A13 +:0415F10003F70713E2 +:0415F2006765C0BAAF +:0415F30004070713CF +:0415F4006765C2BAAB +:0415F50004170713BD +:0415F6006765C4BAA7 +:0415F70004270713AB +:0415F8000447C7835A +:0415F9006765C6BAA2 +:0415FA00071363650B +:0415FB00029304371C +:0415FC00C42A1403E6 +:0415FD00C832C62EFC +:0415FE00020103A340 +:0415FF00C03EC8BA68 +:04160000041344810A +:04160100031300844B +:0416020097931403A3 +:0416030087E10184F6 +:041604000793C23E48 +:041605009563029057 +:0416060057FD00F498 +:04160700A689C23EB0 +:0416080087B347F16C +:04160900468D02F414 +:04160A004BD8979A88 +:04160B00036383757D +:04160C0046111AD792 +:04160D001AC707638E +:04160E001F63460907 +:04160F00061306C7F1 +:04161000D8321000BC +:04161100DA32664122 +:04161200400006137B +:041613004B9CDC32DE +:041614001C1006138D +:04161500F79383952F +:041616009F637FF758 +:0416170087B704C7C6 +:0416180085930001B5 +:041619008516E6C785 +:04161A00D01E461D7B +:04161B00CC16CE3AE1 +:04161C00145000EF77 +:04161D0042E267E559 +:04161E00538247723A +:04161F001407831316 +:041620004782E51107 +:041621000485EB95BC +:04162200B74102F1D9 +:04162300000187B784 +:04162400E74785937C +:04162500461D8516C3 +:04162600CE3AD01ECA +:0416270000EFCC16EE +:0416280067E51170F1 +:04162900477242E2E0 +:04162A008313538251 +:04162B00E5091407B2 +:04162C004785468226 +:04162D00FCF689E35B +:04162E0087B347F146 +:04162F00979A02F490 +:0416300000A7D60336 +:041631008A7D47C5A2 +:0416320000F60B6350 +:0416330000D6079343 +:0416340045858BFD60 +:0416350000F5F56364 +:04163600176347FDF2 +:0416370046C200F6B1 +:0416380003600793B1 +:04163900FAD7E1E318 +:04163A00179308D426 +:04163B0097B6002737 +:04163C00FE87A783FB +:04163D000182A5037E +:04163E000007C78357 +:04163F0097B6078AC9 +:04164000FD47A78338 +:04164100D3C18FE999 +:04164200459346B2D4 +:041643008985FFF5A1 +:04164400F6D59BE359 +:0416450085B346F132 +:0416460046A202D4E2 +:04164700498C959A9B +:04164800F593819500 +:0416490005F97FF52B +:04164A00F4D5EFE301 +:04164B001723468596 +:04164C0008A30004EB +:04164D000923000469 +:04164E000A2300D497 +:04164F00CC1C0004AB +:0416500085636591B8 +:04165100E46328B76F +:04165200059314F5F3 +:0416530086630800A2 +:04165400EC6322B76A +:0416550045C10EF588 +:0416560010B78763DF +:041657000CF5E96342 +:0416580087634591CE +:0416590046211CB753 +:04165A00F0C79FE353 +:04165B000623478596 +:04165C00478300F4CC +:04165D009F6305146E +:04165E0047F11E072B +:04165F0002F487B357 +:04166000D603979A7C +:04166100079300E704 +:04166200E563577075 +:0416630017931EC7F4 +:04166400516301D7F6 +:04166500478D1EF09F +:0416660000F406A3E3 +:041667000923478983 +:04166800079300F4F0 +:041669008B950067F6 +:04166A004795CF9140 +:04166B0020F71263EF +:04166C0086B347F109 +:04166D00079302F4E9 +:04166E0093364AF075 +:04166F0000E357033A +:041670001EE7E86326 +:041671000464478343 +:041672001E0781636B +:04167300000406A3C6 +:04167400AA4D4789AB +:041675004000079397 +:0416760007B7D83E9C +:04167700DA3E000255 +:04167800D783BDE176 +:04167900458900A7F8 +:04167A009E638BFDE3 +:04167B00866300B7CB +:04167C00478500039B +:04167D00ECF382E325 +:04167E0046D2B57922 +:04167F0005200793A8 +:04168000EAD7FCE3C6 +:04168100A603B549BE +:041682007613018258 +:04168300CA01200672 +:041684009AE3478D11 +:041685000793E6F3EE +:04168600D63E20002C +:041687004605BD71E6 +:04168800E8C79CE330 +:04168900E8038AE305 +:04168A00E8B388E356 +:04168B000713BDA9DB +:04168C00866302006F +:04168D00071300E758 +:04168E0096E30400DB +:04168F000793E4E7F2 +:0416900016233010DD +:04169100479100F489 +:041692000613AA355C +:04169300846340002C +:041694006F6314C7A5 +:04169500071300F641 +:041696008863100055 +:04169700071312E73C +:0416980092E32000B9 +:041699000793E2E7EA +:04169A0016232010E3 +:04169B00B78D00F413 +:04169C0084636705F7 +:04169D00670914E7DE +:04169E0014E7846366 +:04169F0080078793A6 +:0416A000E00793E3E9 +:0416A100102007937B +:0416A20000F4162317 +:0416A3000637A2154F +:0416A4008863001047 +:0416A500646314C79F +:0416A600063704F609 +:0416A7008D6300024D +:0416A800636312C79F +:0416A900672102F6BD +:0416AA0012E785635B +:0416AB009CE3674114 +:0416AC000793DCE7DD +:0416AD0016232020C0 +:0416AE00479D00F460 +:0416AF0000F4092317 +:0416B0000A2347B50D +:0416B100A0ED00F4B4 +:0416B20000040737F2 +:0416B30010E7886351 +:0416B40000080737EC +:0416B500DAE799E3F4 +:0416B6002030079346 +:0416B7000737A0E56C +:0416B80086630080C5 +:0416B900606310E773 +:0416BA00073702F7F5 +:0416BB008D6300201B +:0416BC0007370EE7F7 +:0416BD0098E300406E +:0416BE004791D8E791 +:0416BF0000F41623FA +:0416C0000780079305 +:0416C1000737BF7DAB +:0416C2008C63020033 +:0416C30007370EE7F0 +:0416C400816304003A +:0416C500073710E7EC +:0416C60096E30100A6 +:0416C7000793D6E7C8 +:0416C8001623204085 +:0416C900479100F451 +:0416CA0000F40923FC +:0416CB00BF5147F9CB +:0416CC0000041623DD +:0416CD0000C408A3AA +:0416CE0000577793B7 +:0416CF008763468562 +:0416D000478900D76F +:0416D10000F71D639E +:0416D200C9118909A8 +:0416D30004644783E1 +:0416D4004789CF91E2 +:0416D50000F40923F1 +:0416D60007A347859A +:0416D700CA2900F428 +:0416D800446640F62E +:0416D90044D645129C +:0416DA008082612584 +:0416DB000723478515 +:0416DC00B7DD00F482 +:0416DD00000406A35C +:0416DE000793B52D8C +:0416DF00162330108E +:0416E000479900F432 +:0416E10000F40923E5 +:0416E2000793A025A5 +:0416E300162320109A +:0416E400479500F432 +:0416E5004789BFC5AD +:0416E60000F41623D3 +:0416E7001963478DAF +:0416E800478300F73D +:0416E90095E304641D +:0416EA004785E20747 +:0416EB0000F40723DD +:0416EC0005844783A7 +:0416ED0000F408A35A +:0416EE000793B76542 +:0416EF00B57520208D +:0416F000202007931C +:0416F10000F41623C8 +:0416F200BF6D478DF4 +:0416F3002020079319 +:0416F4000793BD8D0E +:0416F500BF6520208D +:0416F600BF7D478DE0 +:0416F7002030079305 +:0416F8000793B56936 +:0416F900BDB120302F +:0416FA002030079302 +:0416FB000793B74D4D +:0416FC001623204051 +:0416FD00478D00F421 +:0416FE0000F40923C8 +:0416FF0002800793CB +:041700000793B5C9CD +:04170100162320404B +:04170200479500F413 +:0417030000F40923C2 +:04170400BD4547E1B7 +:0417050020400793E6 +:0417060000F41623B2 +:0417070009234799D2 +:0417080047D100F4D1 +:041709001111BD7984 +:04170A0001851793AB +:04170B00C826CA2200 +:04170C0087E1CC069F +:04170D0084AE842AF8 +:04170E000007DD6390 +:04170F0005134581F8 +:0417100037D5077052 +:0417110000A101A38F +:04171200EE634785B6 +:04171300741306A79E +:041714002E9507F413 +:0417150045014591B4 +:041716002E81268179 +:041717000184D793DF +:0417180000F102A337 +:041719000104D7935D +:04171A0000F10323B4 +:04171B000084D793DC +:04171C0000F103A332 +:04171D000081022322 +:04171E00009104230F +:04171F0004000713A8 +:0417200009500793D2 +:0417210000E4096374 +:041722000480071325 +:04172300146347857F +:04172400079300E443 +:04172500459908706A +:0417260004A30048D0 +:0417270024ED00F1BC +:041728002EB1451584 +:041729004585842A44 +:04172A000031051372 +:04172B00078324F517 +:04172C00D66300314F +:04172D002E8D0007F6 +:04172E0006E3478502 +:04172F00E011FEF5D2 +:041730004503264106 +:0417310040E2003161 +:0417320044C2445217 +:04173300808201713E +:04173400FD8101131F +:041735004581C02A00 +:041736000490051303 +:04173700D022D206E4 +:04173800F0EFCE26DA +:04173900C901F45F8F +:04173A005092450183 +:04173B0044F254021E +:04173C000281011312 +:04173D00842A8082F8 +:04173E002611451516 +:04173F00458584AAAE +:04174000007105131C +:0417410047032C51DD +:041742000793007198 +:0417430016630FF02A +:041744002E1900F763 +:0417450004E34785ED +:04174600E091FEF53B +:041747004703261519 +:041748000793007192 +:0417490011E30FE0B9 +:04174A0045C9FCF79A +:04174B0024AD0028A1 +:04174C00C783478286 +:04174D00F713004747 +:04174E00CF1500278C +:04174F0000E145036D +:0417500000F14783DA +:041751000121470328 +:041752000522890DD6 +:0417530047838D5DDE +:04175400050A010180 +:0417550000D1440378 +:041756008D5D839989 +:0417570001114783B2 +:04175800883D831D28 +:041759008B990786DB +:04175A00943E8FD951 +:04175B001465050507 +:04175C0000851533BC +:04175D008B91BF9D10 +:04175E004503CB89EB +:04175F0047830101BA +:04176000052201114C +:0417610047C58D5D8E +:041762004781B7CD37 +:04176300BFF145018C +:04176400FDC10113AF +:04176500CC2665DD4C +:0417660084AA4629E2 +:04176700C9C58593D8 +:04176800D00600683F +:0417690024E1CE2287 +:04176A00C03E478DA9 +:04176B002C2122C14A +:04176C0045A924A9BE +:04176D002AC900681D +:04176E00A0234581EE +:04176F00051300045A +:04177000F0EF040092 +:041771004785E65F63 +:041772001B63842A47 +:0417730005930EF5D7 +:0417740005131AA09F +:04177500F0EF04800D +:04177600C22AE51F7F +:041777000885176367 +:04177800002845916F +:0417790047032A55A3 +:04177A00479200A1F1 +:04177B000CF71A63EA +:04177C0000B147036E +:04177D000AA0079324 +:04177E000CF71463ED +:04177F003E80051390 +:04178000241D2AFDFD +:04178100196347851C +:0417820005B700F5B2 +:04178300051340000A +:04178400F0EF0E90E4 +:04178500F575E15FB6 +:0417860047852C0166 +:0417870002F50663FE +:041788002C394401B3 +:0417890017FD47827F +:04178A000FF7F793CB +:04178B00CBD9C03EB8 +:04178C004785DC357C +:04178D00008482232F +:04178E008526C09C50 +:04178F00E95FF0EF2F +:04179000C488157D77 +:04179100A0412A69E0 +:041792000513458175 +:04179300F0EF07A0CC +:04179400F579DD9F67 +:041795000028459152 +:0417960047832281E2 +:041797004431008158 +:041798000407F793B8 +:041799004411FFDD1B +:04179A004581BF6D59 +:04179B000E90051394 +:04179C00DB7FF0EF10 +:04179D00041007939A +:04179E0000A46563DB +:04179F00079344095F +:0417A00005130E908F +:0417A100C23E0FA095 +:0417A2002A792A9DD9 +:0417A30017634785FC +:0417A400451200F5F5 +:0417A500F0EF45819B +:0417A600F965D91FE9 +:0417A700E1112271B9 +:0417A8002A79440155 +:0417A900051345815E +:0417AA00F0EF07B0A5 +:0417AB00C111D7DFB2 +:0417AC00059344015C +:0417AD000513200000 +:0417AE00F0EF050053 +:0417AF00D13DD6DF73 +:0417B000B78D4401AC +:0417B10020CDF43D16 +:0417B20000143513D7 +:0417B30044725082AA +:0417B400011344E2F7 +:0417B50080820241EB +:0417B6001121451C9C +:0417B700CA06C82274 +:0417B800C22EC62651 +:0417B900440DC03AE1 +:0417BA0002C7E1631E +:0417BB004783CF1978 +:0417BC0084B60045AA +:0417BD00E3918BA188 +:0417BE0085B20626C4 +:0417BF0005100513F9 +:0417C000D27FF0EFF5 +:0417C1004409C90905 +:0417C2008522204517 +:0417C300444240D28A +:0417C400016144B2C9 +:0417C5000513808206 +:0417C60020D50640E4 +:0417C700051345853C +:0417C800289D00B1A7 +:0417C90000B1470321 +:0417CA000FF0079382 +:0417CB0000F71663AA +:0417CC00478528E540 +:0417CD00FEF504E33E +:0417CE00470322218A +:0417CF00079300B1CB +:0417D00012E30FE031 +:0417D1004782FCF758 +:0417D20020200413BC +:0417D3008C1D8C05D8 +:0417D400804104420A +:0417D50085A6C481A0 +:0417D600283D450164 +:0417D70045124582F0 +:0417D80085A2282599 +:0417D900280D450191 +:0417DA00BF7944018E +:0417DB0000062637A7 +:0417DC00019C05B7B0 +:0417DD0000021537BA +:0417DE00A806061340 +:0417DF00CC0585931D +:0417E00002050513E6 +:0417E100DEEFA06F28 +:0417E20085AA862E20 +:0417E30000021537B4 +:0417E40002050513E2 +:0417E500E8CFA06F3A +:0417E60085AA862E1C +:0417E70000021537B0 +:0417E80002050513DE +:0417E900E50FA06FF9 +:0417EA00676580822D +:0417EB00FE475783DB +:0417EC00F7F7F79381 +:0417ED0083C107C2EB +:0417EE00FEF71223CD +:0417EF0000021737A6 +:0417F0000EF72023AD +:0417F1006765808226 +:0417F200FE475783D4 +:0417F3000807E79369 +:0417F400FEF71223C7 +:0417F50000021737A0 +:0417F6000EF72023A7 +:0417F700363780827F +:0417F80005B7002908 +:0417F9001537019C03 +:0417FA0006130002D0 +:0417FB0085932E069E +:0417FC000513CC0500 +:0417FD00A06F0205D2 +:0417FE00F06FD7CFE2 +:0417FF006765F73FE4 +:041800005BC7278318 +:04180100679DE38577 +:0418020097878793AA +:0418030002F50533B2 +:04180400C4061151B4 +:041805005AA72E238D +:0418060090AFA0EF10 +:04180700450140A2B5 +:0418080080820131A8 +:04180900808245058F +:04180A00C4061151AE +:04180B0091AFA0EF0A +:04180C00A78367E562 +:04180D0040A25BC7D3 +:04180E0000F5353379 +:04180F0080820131A1 +:04181000AE2367E5B7 +:0418110080825A0770 +:041812001463470113 +:04181300450100E6A5 +:0418140007B3808214 +:04181500070500E5DE +:0418160000E586B3B0 +:041817000007C7837C +:04181800FFF6C6838E +:04181900FED783E390 +:04181A0040D78533FB +:04181B00C7B380824D +:04181C008B8D00A50B +:04181D0000C50733C8 +:04181E00478DE7818A +:04181F0002C7E963B0 +:04182000716387AABF +:04182100C6830CE589 +:041822000785000531 +:041823008FA3058505 +:04182400EAE3FED71E +:041825008082FEE7D8 +:041826000005C68370 +:0418270005850785A7 +:04182800FED78FA3B5 +:04182900FEE7EAE309 +:04182A000111440262 +:04182B0076938082AE +:04182C0087AA003552 +:04182D00C683CA9113 +:04182E000785000525 +:04182F008FA30585F9 +:04183000F693FED756 +:04183100B7FD0037C8 +:04183200FFC77693E3 +:04183300FE06861314 +:0418340006C7F5638B +:04183500C02211714B +:04183600A30349C0FF +:04183700A383000582 +:04183800CBC000859C +:04183900A0234D801B +:04183A00A30300679D +:04183B00CF80004515 +:04183C00A2234DC0D6 +:04183D00A28300671B +:04183E00A30300C53B +:04183F008593010587 +:04184000CFC00245CE +:04184100FFC5A40338 +:041842000077A42364 +:041843000057A62381 +:041844000067A8236E +:04184500024787933C +:04184600FE87AE2348 +:04184700FAC7EEE30B +:04184800F8D7F2E3F8 +:041849000791419032 +:04184A00AE23059133 +:04184B00BFCDFEC748 +:04184C00079141902F +:04184D00AE23059130 +:04184E00EBE3FEC703 +:04184F00E4E3FED7F9 +:041850008082F4E7B7 +:04185100011380827D +:04185200D6A6F7C15E +:04185300DA86C13E32 +:04185400D8A267E5CA +:04185500DEBADCB665 +:04185600F047A48330 +:041857000005DC6349 +:0418580008B007933A +:04185900557DC09C5D +:04185A00544650D6CA +:04185B00011354B66B +:04185C00808208413D +:04185D00208007934D +:04185E0000F118235A +:04185F00CA2AC22AA5 +:04186000C199478162 +:04186100FFF5879375 +:04186200CC3EC63E74 +:0418630057FD18B461 +:041864008526842E23 +:041865001923004CF7 +:04186600C03600F197 +:0418670057FD260102 +:0418680000F55563CF +:0418690008B0079329 +:04186A00DC5DC09CE5 +:04186B0080234792FD +:04186C00BF5D000755 +:04186D00167DC60519 +:04186E0007B3470174 +:04186F0086B300E557 +:04187000C78300E545 +:04187100C683000723 +:041872009663000673 +:04187300046300D733 +:04187400070500C79D +:041875008533F3FDC7 +:04187600808240D755 +:041877008082450125 +:04187800CA0987AA68 +:04187900C703058517 +:04187A000785FFF5EA +:04187B008FA3167DA4 +:04187C00FB65FEE723 +:04187D009363963E9D +:04187E00808200C79D +:04187F008FA30785A7 +:04188000BFD5FE07CB +:0418810087AA95AAF3 +:0418820000B78563C3 +:041883000007C70390 +:041884008533E701C0 +:04188500808240A776 +:04188600B7FD07851E +:04188700A783C5D599 +:041888001151FFC536 +:04188900C406C222AD +:04188A008413C026DD +:04188B00D363FFC55F +:04188C00943E00077F +:04188D0000EF84AA3A +:04188E00676515F085 +:04188F00F84727836C +:04189000EF81863A24 +:04189100000422230A +:04189200F88722238E +:0418930040A2441219 +:0418940044828526DF +:04189500006F0131AE +:041896007063141057 +:04189700401402F403 +:0418980000D407333E +:0418990000E796636B +:04189A0043DC439850 +:04189B00C0189736A4 +:04189C002223C05CE7 +:04189D00BFD9F88631 +:04189E0043D887BAEA +:04189F007DE3C31909 +:0418A0004394FEE48B +:0418A10000D78633B3 +:0418A20000861F633A +:0418A30096B24010A9 +:0418A4008633C39430 +:0418A5001BE300D76A +:0418A6004310FAC72A +:0418A70096B243585A +:0418A800C3D8C3944A +:0418A9007563B76547 +:0418AA0047B100C47E +:0418AB00BF79C09CA5 +:0418AC0006B340102F +:0418AD00166300C4FA +:0418AE00431400D708 +:0418AF0096B2435852 +:0418B000C058C01448 +:0418B100B759C3C0A0 +:0418B20011418082DE +:0418B3008493C22632 +:0418B40098F1003572 +:0418B500C422C6067D +:0418B60047B104A191 +:0418B70004F4FB63D7 +:0418B800EA6344B1EA +:0418B900C02A04B489 +:0418BA000AD000EF61 +:0418BB0026836765B4 +:0418BC004782F84720 +:0418BD00F8470613CF +:0418BE00E431843657 +:0418BF000413646545 +:0418C0004018F88450 +:0418C100853EEB0174 +:0418C200C03E45815E +:0418C3007F8000EF33 +:0418C400C00847828F +:0418C50085A6853E31 +:0418C60000EFC03E31 +:0418C700577D7EA02B +:0418C80015634782DB +:0418C900473106E5B8 +:0418CA00853EC398FC +:0418CB0006B000EF74 +:0418CC00D8E3A02994 +:0418CD0047B1FA0421 +:0418CE004501C11CF3 +:0418CF00442240B2BD +:0418D00001414492FC +:0418D10040188082B9 +:0418D2004E638F05CD +:0418D30045AD020716 +:0418D40000E5F663D2 +:0418D500943AC01869 +:0418D600A029C00481 +:0418D7009263405880 +:0418D800C2180286AA +:0418D90000EF853E59 +:0418DA0005130310DF +:0418DB00071300B43B +:0418DC0099610044CA +:0418DD0040E507B328 +:0418DE00943ED3F170 +:0418DF00C0188F0995 +:0418E000C2D8BF7536 +:0418E10086A2B7C55F +:0418E200BF8540403E +:0418E30000350413B5 +:0418E40003E3987111 +:0418E50005B3FC85C6 +:0418E600853E40A457 +:0418E700279DC03E3B +:0418E8004782577D5F +:0418E900FAE51AE31F +:0418EA00A303BFBDD8 +:0418EB001111008552 +:0418EC00CC06C82638 +:0418ED00C232CA2217 +:0418EE00E36384AE7E +:0418EF00D6030866AE +:0418F000771300C5A5 +:0418F100CB3D48069D +:0418F20048C882AAB6 +:0418F3004080470DDD +:0418F40002A707330D +:0418F5004509498CCC +:0418F60040B407B340 +:0418F7004433C03E78 +:0418F800851302A7AB +:0418F900953E001602 +:0418FA0000A4736370 +:0418FB007613842AB2 +:0418FC00C6364006A6 +:0418FD008516C63D49 +:0418FE00C41685A2E5 +:0418FF00ECFFF0EF1B +:0419000046B242A207 +:04190100CD2D473170 +:04190200488C4602C5 +:04190300C42AC636F6 +:04190400C5FFF0EF3C +:0419050000C4D60341 +:0419060046B2432280 +:04190700B7F67613A6 +:041908000806661354 +:0419090000C496235D +:04190A00A823478245 +:04190B00C8C00064EC +:04190C008C1D933E5D +:04190D000064A023AF +:04190E008336C480D8 +:04190F000066F36318 +:041910004592833643 +:04191100861A40886A +:041912002701C01ACF +:0419130043024498AF +:04191400073345014F +:04191500C4984067CB +:04191600933A409828 +:041917000064A023A5 +:041918008516A80D7B +:04191900C416862248 +:04191A00832A2F05E8 +:04191B0046B242A2EC +:04191C00488CFD45B1 +:04191D00C016851655 +:04191E00DA5FF0EFAD +:04191F004731428288 +:0419200000E2A0231E +:0419210000C4D70324 +:041922006713557D75 +:0419230096230407FC +:0419240040E200E4B9 +:0419250044C2445222 +:041926008082017149 +:0419270000C5D7031D +:04192800F78101132F +:04192900DEA6C12253 +:04192A0001F107932D +:04192B00C22AC30603 +:04192C007713C02E3F +:04192D0084B2080771 +:04192E00FF87F41328 +:04192F004998CB1DEB +:041930000593EB0D23 +:04193100C6360400B2 +:04193200E03FF0EFB3 +:0419330046B24782EF +:04193400CB88C38811 +:041935004712E91953 +:04193600557D47B1E3 +:04193700409AC31CF3 +:0419380054F6440A13 +:04193900088101130D +:04193A0047828082DE +:04193B00040007138A +:04193C000713CBD8EA +:04193D000CA30200F5 +:04193E00071300E4A7 +:04193F002A23030054 +:041940000D2300046F +:04194100CA3600E4BE +:0419420006138726DB +:041943004683025085 +:04194400C29900073D +:041945000AC69863D3 +:041946004097033390 +:041947000203026332 +:04194800451245827D +:041949008626869ACE +:04194A00C61AC83AB7 +:04194B00E7FFF0EFD3 +:04194C00026356FDDF +:04194D0048541CD509 +:04194E004742433297 +:04194F00C854969A48 +:0419500000074683C3 +:041951001A06896386 +:0419520000170493E3 +:041953002023577D79 +:041954002623000442 +:04195500C058000472 +:041956000004242342 +:04195700040401A3E0 +:0419580004042C2334 +:041959000004C5833E +:04195A00000187B74A +:04195B008513461595 +:04195C002B7D318727 +:04195D0000148713D8 +:04195E00E539401413 +:04195F000106F61374 +:041960000613C6099B +:0419610001A30200DC +:04196200F61304C4B0 +:04196300C60900862B +:0419640002B00613B4 +:0419650004C401A312 +:041966000004C58331 +:0419670002A00613C1 +:0419680004C58163CE +:041969008726445435 +:04196A004525458149 +:04196B00460342A944 +:04196C00031300075A +:04196D000613001746 +:04196E007963FD0696 +:04196F00C99506C54B +:04197000A805C454AE +:04197100B7A107050E +:04197200000187B732 +:04197300318786131F +:0419740040C5063331 +:0419750015334505DC +:041976008EC900C551 +:0419770084BAC0145A +:041978004652B751CB +:04197900004605938C +:04197A00CA2E42101F +:04197B0002064963B4 +:04197C004603C4500A +:04197D0006930007C6 +:04197E00116302E00F +:04197F00460306D63F +:0419800006930017B3 +:041981001B6302A042 +:0419820046D202D671 +:0419830086130709B7 +:041984004294004643 +:04198500C163CA323E +:04198600C054020641 +:041987000633A08102 +:04198800E69340C0E2 +:04198900C450002620 +:04198A00B7E1C014ED +:04198B00025686B3C7 +:04198C00871A4585EC +:04198D00BFA596B2AA +:04198E00B7C556FD86 +:04198F002223070503 +:041990004581000489 +:041991004525468121 +:04199200460342A91D +:041993000313000733 +:04199400061300171F +:041995007763FD0671 +:04199600F1E106C5B0 +:04199700000745837D +:04199800000184B70F +:041999008513460D5F +:04199A00C63A320413 +:04199B00473221D1DD +:04199C008493CD0162 +:04199D008D0532047E +:04199E0004000693A8 +:04199F0000A696B355 +:0419A00007054008EF +:0419A100C0088D5598 +:0419A2000007458372 +:0419A3000001853783 +:0419A40005134619C8 +:0419A5000493324530 +:0419A6000C230017F7 +:0419A700294900B416 +:0419A8000737C52D0B +:0419A9000713000020 +:0419AA00E70D00073E +:0419AB00475240144B +:0419AC001006F69398 +:0419AD000711CE91BF +:0419AE004858CA3A91 +:0419AF00973E47A276 +:0419B000B599C858C5 +:0419B100025686B3A1 +:0419B200871A4585C6 +:0419B300BFB596B274 +:0419B400B7DD072173 +:0419B500451246028F +:0419B600000166B70F +:0419B70086930858B3 +:0419B80085A23AA624 +:0419B9000000009793 +:0419BA00000000E742 +:0419BB0047A2C42A51 +:0419BC0094E3577DDC +:0419BD004782FCE77A +:0419BE00D703557D79 +:0419BF00771300C7D3 +:0419C0001EE3040717 +:0419C1004848DC07AF +:0419C2004602BBD945 +:0419C30066B74512AC +:0419C40008580001BE +:0419C5003AA6869325 +:0419C6002A0585A2C7 +:0419C7001101BFC18A +:0419C8008332CA2676 +:0419C900459084B60B +:0419CA00CC2249944E +:0419CB00842ACE0696 +:0419CC00D36387AEAC +:0419CD0086B200C618 +:0419CE0000D32023FF +:0419CF000437C60310 +:0419D0000685C601C1 +:0419D10000D32023FC +:0419D200F6934394B1 +:0419D300C6910206B1 +:0419D4000003268363 +:0419D500202306893C +:0419D600A28300D315 +:0419D70083930007EF +:0419D800F2930197EE +:0419D90083630062C2 +:0419DA00439006022E +:0419DB000437C68384 +:0419DC000206761376 +:0419DD0000D036B34D +:0419DE008613EA4141 +:0419DF0085A604379E +:0419E000C41A85227E +:0419E100C03AC23E08 +:0419E20056FD970215 +:0419E30006D50863BA +:0419E40043224792C1 +:0419E50043944511D1 +:0419E60000032603D1 +:0419E7008A9947CCC6 +:0419E800470243016E +:0419E90000A697635A +:0419EA0040C583333E +:0419EB00000353633F +:0419EC0047944301D8 +:0419ED0054634B9064 +:0419EE008E9100D600 +:0419EF004281933668 +:0419F000126307E98E +:0419F1004501065353 +:0419F2000285A81DA5 +:0419F300260347D4AC +:0419F4008E910003CD +:0419F500F8D2DBE366 +:0419F600861E46857E +:0419F700852285A61A +:0419F800C63EC81A05 +:0419F900C21EC41630 +:0419FA009702C03A56 +:0419FB00470256FD4C +:0419FC0042A243922E +:0419FD00434247B268 +:0419FE00FCD519E318 +:0419FF0040F2557DE0 +:041A000044D2446226 +:041A01008082610579 +:041A020000D785B3D1 +:041A030003000513C4 +:041A040004A581A311 +:041A05000457C5833A +:041A0600001686132D +:041A07000689963E78 +:041A080004B601A37C +:041A09004685BF99B6 +:041A0A0085A6863EE9 +:041A0B00C616852254 +:041A0C00C23EC41AF8 +:041A0D009702C03A42 +:041A0E0001E356FD9D +:041A0F0042B2FCD50E +:041A10004792432294 +:041A11004702028501 +:041A12001101BFAD52 +:041A1300842ECC222F +:041A14004603C23291 +:041A1500CA26018458 +:041A160084B6CE06BE +:041A17000693C02A48 +:041A1800859306E0CC +:041A19000863043525 +:041A1A00E4631ED68D +:041A1B00069306C662 +:041A1C000463063029 +:041A1D00ED630AD695 +:041A1E00046300C697 +:041A1F000693200604 +:041A20000C630580CE +:041A2100031316D6BF +:041A22000123042474 +:041A2300A87904C4D6 +:041A240006400693DF +:041A250000D606637E +:041A2600069006938D +:041A2700FED615E3EF +:041A28002303401440 +:041A2900F6130007A9 +:041A2A000513080692 +:041A2B00C249004369 +:041A2C00000326830A +:041A2D008637C3082D +:041A2E00D863000178 +:041A2F000713000693 +:041A300006B302D027 +:041A310001A340D0FD +:041A3200061304E4AF +:041A3300472932C647 +:041A34000693A07DF8 +:041A35000A63073009 +:041A3600EF631AD66A +:041A3700069300C64C +:041A3800006306F051 +:041A3900069306D634 +:041A3A001EE30700A0 +:041A3B004014F8D685 +:041A3C000206E69325 +:041A3D00A809C01420 +:041A3E0007500693B4 +:041A3F0004D6036363 +:041A40000780069382 +:041A4100F8D611E3DF +:041A42000780069380 +:041A430000018637E1 +:041A440004D402A321 +:041A4500340606134A +:041A46004314A0FDA8 +:041A4700042403135D +:041A480000468613BB +:041A49004298C310EC +:041A4A0004E401238C +:041A4B00AABD4705E4 +:041A4C000406F61383 +:041A4D0000032683E9 +:041A4E00DE35C308B6 +:041A4F0086C106C284 +:041A50004008BF9DEE +:041A51007293431435 +:041A520083130805ED +:041A53008663004660 +:041A54002023000249 +:041A55004294006750 +:041A56007513A8015B +:041A5700202304053F +:041A5800D9750067D5 +:041A59000006D6832A +:041A5A0006F005137A +:041A5B0000018737C8 +:041A5C000CA60D6364 +:041A5D0032C7061373 +:041A5E0001A3472970 +:041A5F0040480404F3 +:041A60004863C4080B +:041A61002303000556 +:041A620073130004F6 +:041A63002023FFB38A +:041A6400E29900649F +:041A6500CD11832EEE +:041A6600F533832EA3 +:041A6700137D02E603 +:041A6800450395326B +:041A69000023000551 +:041A6A00D53300A3CD +:041A6B00F26302E63A +:041A6C0046A10AE69F +:041A6D0000D71E631D +:041A6E008B0540188C +:041A6F004054CB1103 +:041A70004763481868 +:041A7100071300D780 +:041A72000FA30300BB +:041A7300137DFEE3FE +:041A7400406585B391 +:041A75004692C80CC1 +:041A76008726450278 +:041A770085A208102C +:041A7800F0EFC41AAD +:041A7900577DD3DFE3 +:041A7A00156343228B +:041A7B00557D0CE5A4 +:041A7C00446240F28E +:041A7D00610544D2E9 +:041A7E0002A38082BD +:041A7F00863704C4DE +:041A80000613000148 +:041A8100400832C621 +:041A82000007230333 +:041A8300080572934D +:041A840000032683B2 +:041A85008163031165 +:041A86002023020215 +:041A8700771300676A +:041A8800C70100157D +:041A890002056513DA +:041A8A004741C00808 +:041A8B004008F6B960 +:041A8C00FDF57513DC +:041A8D00B791C00845 +:041A8E000405729346 +:041A8F0000672023A9 +:041A9000FC028FE3E2 +:041A910082C106C246 +:041A92000613BFD99F +:041A9300472132C7EE +:041A940086AAB72D3A +:041A95004010B799AD +:041A96004848431465 +:041A97000806729338 +:041A9800004683136E +:041A9900000287635D +:041A9A00006720239E +:041A9B00C3084298A2 +:041A9C002023A80952 +:041A9D007613006755 +:041A9E004298040660 +:041A9F001023DA6DC9 +:041AA000282300A750 +:041AA100832E00048C +:041AA2004314B7B979 +:041AA30086134581E0 +:041AA400C310004625 +:041AA5000006A30391 +:041AA600851A40500D +:041AA7002849C41AEC +:041AA800C50143220F +:041AA900406505335C +:041AAA004058C04898 +:041AAB0001A3C818B3 +:041AAC00B715040462 +:041AAD004592481402 +:041AAE00861A45024D +:041AAF00577D948249 +:041AB000F2E507E371 +:041AB1008B09401845 +:041AB2004742EB15A7 +:041AB30051E344486F +:041AB400853AF2E598 +:041AB5004592BF3166 +:041AB600468545021A +:041AB700C63A861A8B +:041AB8009482C41A36 +:041AB90004E356FDEF +:041ABA004732F0D5EA +:041ABB0007054322B6 +:041ABC004642445406 +:041ABD0040E38E91E3 +:041ABE00BFC1FED7CF +:041ABF0003134701C5 +:041AC000B7FD0194D9 +:041AC100C2221151DB +:041AC2006465C02671 +:041AC300852E84AA3E +:041AC4002023C40611 +:041AC50020FD5C04A0 +:041AC600166357FD4F +:041AC700278300F57C +:041AC800C3915C0466 +:041AC90040A2C09CDB +:041ACA0044824412FC +:041ACB0080820131E3 +:041ACC000FF5F5938A +:041ACD001463962ADE +:041ACE00450100C509 +:041ACF004783808247 +:041AD0008DE300059D +:041AD1000505FEB752 +:041AD200F363B7FD06 +:041AD30086B304A52D +:041AD4007F6300C567 +:041AD500459302D55E +:041AD6004781FFF64F +:041AD700936317FD01 +:041AD800808200F513 +:041AD90000F6873359 +:041ADA0000074303BB +:041ADB0000C7873386 +:041ADC000023972A22 +:041ADD00B7DD00670A +:041ADE0000F5873355 +:041ADF000007468333 +:041AE00000F50733D3 +:041AE1000023078552 +:041AE20017E300D72F +:041AE3008082FEF609 +:041AE400BFE5478192 +:041AE50080828082F9 +:041AE60085B2E5815F +:041AE700F2EFF06FBB +:041AE800C8061131EA +:041AE900C426C62227 +:041AEA00F0EFEA111E +:041AEB004781E72F19 +:041AEC00443240C27E +:041AED00853E44A24C +:041AEE0080820151A0 +:041AEF00C032842E4F +:041AF000203D84AA67 +:041AF10087A2460280 +:041AF200FEC574E3D6 +:041AF300852685B20D +:041AF400F0EFC2321B +:041AF50087AAEF8F3E +:041AF6004612DD6156 +:041AF700C02A85A2DA +:041AF800C8EFF0EF54 +:041AF900852685A217 +:041AFA00E34FF0EFD7 +:041AFB00B7C947829E +:041AFC00FFC5A783F8 +:041AFD00FFC7851387 +:041AFE000007D563A5 +:041AFF00419C95AAC7 +:041B00008082953E0C +:041B0100C4221141A8 +:041B0200278364656C +:041B0300C606F8C456 +:041B0400832AC22648 +:041B0500F8C4041309 +:041B06004501EB8525 +:041B070046014581CD +:041B080047014681CA +:041B09000D600293D6 +:041B0A000000007364 +:041B0B005C6384AAE9 +:041B0C002881000527 +:041B0D00409004B34D +:041B0E00557DC1043C +:041B0F00442240B27A +:041B100001414492B9 +:041B1100C008808206 +:041B120045814008C1 +:041B1300951A4601D8 +:041B140047014681BE +:041B1500029347816F +:041B160000730D60EB +:041B170084AA00009C +:041B18000005596308 +:041B19002831C01A95 +:041B1A0004B34302CB +:041B1B00C104409031 +:041B1C00401C54FD18 +:041B1D00933E557D21 +:041B1E00FC6492E3EE +:041B1F00853EC0043B +:041B200067E5BF7541 +:041B2100F047A503E1 +:041B220000008082BD +:041B230000000000BE +:041B240000000000BD +:041B250000000000BC +:041B260000000000BB +:041B2700FFFFFFFFBE +:041B2800FFFFFFFFBD +:041B29000000FFFFBA +:041B2A000001052C85 +:041B2B00000105327E +:041B2C000001053877 +:041B2D000001053E70 +:041B2E00000104E0CE +:041B2F0000010500AC +:041B300000010500AB +:041B310000010500AA +:041B320000010500A9 +:041B330000010500A8 +:041B340000010500A7 +:041B350000010504A2 +:041B36000001050A9B +:041B370000012B5A24 +:041B380000012C403C +:041B390000012C403B +:041B3A0000012C5228 +:041B3B0000012C5227 +:041B3C0000012C5E1A +:041B3D0000012C6215 +:041B3E0000012C7006 +:041B3F0000012C7005 +:041B400000012CC8AC +:041B410000012CD0A3 +:041B420000012CD0A2 +:041B430000012CD0A1 +:041B440000012CD0A0 +:041B450000012E7CF1 +:041B460000012E80EC +:041B470000012E84E7 +:041B480000012E84E6 +:041B49000303020090 +:041B4A000200010292 +:041B4B000000000393 +:041B4C0000016E44E2 +:041B4D0000016E54D1 +:041B4E0000016E60C4 +:041B4F0000016E6CB7 +:041B500000016E78AA +:041B510000016E849D +:041B520000016E9090 +:041B530000016E9C83 +:041B540000016EA876 +:041B550000016EB469 +:041B560000016EC05C +:041B570074204B4F5C +:041B58006E69206F23 +:041B590000007469AB +:041B5A00736C614601 +:041B5B00727420651B +:041B5C0065676769E9 +:041B5D000000007212 +:041B5E00656D6954F4 +:041B5F000074756F2A +:041B6000252E752594 +:041B61006D75322E3E +:041B6200000000730C +:041B6300252E752591 +:041B64006D75322E3B +:041B650075252F7340 +:041B6600322E252EC8 +:041B670000736D7525 +:041B68004353534F41 +:041B6900776620205B +:041B6A007525202E8F +:041B6B00322E252EC3 +:041B6C00000061759F +:041B6D0074696E49E0 +:041B6E00727265200A +:041B6F002020726F51 +:041B700000006425E8 +:041B710020202020F0 +:041B720053204F4E5F +:041B730000434E5984 +:041B740034313032A6 +:041B75003230322DAB +:041B76006D2020308E +:041B770073717261B3 +:041B78000000000069 +:041B79000D8060007B +:041B7A0000402010F7 +:041B7B000804022038 +:041B7C000000402203 +:041B7D000000000064 +:041B7E000DC0600036 +:041B7F0000783C119D +:041B8000070180E0F9 +:041B81000000180E3A +:041B8200000000005F +:041B83000D80600071 +:041B84000030180411 +:041B850002014060B9 +:041B86000000140641 +:041B8700000000005A +:041B8800252073257C +:041B890063252D752E +:041B8A000000000057 +:041B8B00252E752569 +:041B8C006B75322E15 +:041B8D0025207A484D +:041B8E002E252E755D +:041B8F007A487532E9 +:041B90000000000051 +:041B910074736554B0 +:041B920074617020EA +:041B93006E72657495 +:041B9400000000004D +:041B95005F31564125 +:041B9600534247521D +:041B9700000000004A +:041B98005F31564122 +:041B990042734752FA +:041B9A000000000047 +:041B9B005F3156411F +:041B9C0050625059EA +:041B9D0000000072D2 +:041B9E005F3256411B +:041B9F0050625059E7 +:041BA00000000072CF +:041BA1005F32564118 +:041BA20042734752F1 +:041BA300000000003E +:041BA4005F33564114 +:041BA5004842475219 +:041BA60000000056E5 +:041BA7005F33564111 +:041BA800534247520B +:041BA9000000000038 +:041BAA005F3356410E +:041BAB0042734752E8 +:041BAC000000000035 +:041BAD005F3356410B +:041BAE0050625059D8 +:041BAF0000000072C0 +:041BB0007473614C9D +:041BB10065737520C3 +:041BB20000000064CB +:041BB300000000002E +:041BB400000000012C +:041BB500000000002C +:041BB600000000002B +:041BB700000000002A +:041BB8000000010028 +:041BB9000101010025 +:041BBA000000010026 :041BBB000000000026 -:041BBC006C6C754692 -:041BBD002058542038 -:041BBE007574657362 -:041BBF0000000070B2 -:041BC0002033564137 -:041BC10065746E6970 -:041BC20063616C727D -:041BC3007869666572 -:041BC400000000001D -:041BC5002033564132 -:041BC60020657375AE -:041BC7002E746C61AB -:041BC800424752201E -:041BC9000000000018 -:041BCA0061666544A7 -:041BCB0020746C75A1 -:041BCC00494D4448F3 -:041BCD004349562012 -:041BCE000000000013 -:041BCF00616E615092 -:041BD000696E6F7358 -:041BD10061682063C4 -:041BD20000006B6341 -:041BD30069726F487C -:041BD400746E6F7A42 -:041BD5006D206C61B2 -:041BD600006B7361CC -:041BD7007472655669 -:041BD8006C61636970 -:041BD90073616D20A7 -:041BDA000000006B9C -:041BDB006B73614D7A -:041BDC006C6F6320A7 -:041BDD000000726F23 -:041BDE006B73614D77 -:041BDF0069726220A5 -:041BE0006E74686750 -:041BE10000737365B5 -:041BE200657665526D -:041BE3002065737294 -:041BE4000046504C1B -:041BE5005949443CDA -:041BE60074616C209A -:041BE7006574202ED3 -:041BE800003E7473D4 -:041BE9006E61635373 -:041BEA00656E696C4F -:041BEB000000007383 -:041BEC00202E6C53E8 -:041BED006572747336 -:041BEE006874676E42 -:041BEF0000000000F2 -:041BF000202E6C53E4 -:041BF100726279683B -:041BF200732064698F -:041BF300002E7274DA -:041BF400202E6C53E0 -:041BF5006874656D3E -:041BF6000000646F18 -:041BF700202E6C53DD -:041BF80065746C6143 -:041BF90074616E7233 -:041BFA0000676E69A9 -:041BFB00202E6C53D9 -:041BFC0067696C6148 -:041BFD006E656D6E36 -:041BFE00000000746F -:041BFF00202E6C53D5 -:041C000020746C617F -:041C010065746E692F -:041C02006C61767229 -:041C030000000000DD -:041C0400202E6C53CF -:041C05006570797419 -:041C060000000000DA -:041C07004320203C1A -:041C08006F7473750D -:041C09006C53206D8B -:041C0A003E20202E2A -:041C0B0000000000D5 -:041C0C0070303432CE -:041C0D003838322F02 -:041C0E007270207060 -:041C0F000000636FFF -:041C100070343833C1 -:041C11003030342F0C -:041C1200727020705C -:041C13000000636FFB -:041C140069303834C7 -:041C15003637352FFA -:041C1600727020695F -:041C17000000636FF7 -:041C180070303834BC -:041C19003637352FF6 -:041C1A007270207054 -:041C1B000000636FF3 -:041C1C0069303639BC -:041C1D003830312FFB -:041C1E007020693099 -:041C1F0000636F727D -:041C2000656E694C38 -:041C21006D20783288 -:041C22000065646F86 -:041C2300656E694C35 -:041C24006D20783384 -:041C25000065646F83 -:041C2600656E694C32 -:041C27006D20783480 -:041C28000065646F80 -:041C2900656E694C2F -:041C2A006D2078357C -:041C2B000065646F7D -:041C2C00656E694C2C -:041C2D006620783580 -:041C2E00616D726F03 -:041C2F00000000743D -:041C3000783635329B -:041C310020303432F9 -:041C32006570736105 -:041C330000007463D6 -:041C34006D20585473 -:041C35000065646F73 -:041C3600494D444888 -:041C370043544920A9 -:041C380000000000A8 -:041C39006C616E412B -:041C3A007320676F3D -:041C3B0020636E793B -:041C3C000046504CC2 -:041C3D006C616E4127 -:041C3E005320676F59 -:041C3F004C2043549E -:041C4000000046500A -:041C41006C616E4123 -:041C42007320676F35 -:041C430020636E7933 -:041C4400006874566A -:041C45006E797348F9 -:041C46006F74206334 -:041C47006172656CF5 -:041C48000065636E62 -:041C49006E797356E7 -:041C4A006874206337 -:041C4B0068736572E3 -:041C4C0000646C6F55 -:041C4D004C502D4882 -:041C4E007250204C64 -:041C4F006F432D654D -:041C50000074736148 -:041C51004C502D487E -:041C52006F50204C63 -:041C5300432D747336 -:041C54007473616FD5 +:041BBC000100000024 +:041BBD00060B000013 +:041BBE0000000044DF +:041BBF000000000121 +:041BC0000100000020 +:041BC10080000C0094 +:041BC2008080090313 +:041BC3001A1A1A8050 +:041BC40000000A080B +:041BC5000001317872 +:041BC6000001320CDC +:041BC70000013214D3 +:041BC80000013204E2 +:041BC90000013210D5 +:041BCA0000013218CC +:041BCB0000013208DB +:041BCC000001326280 +:041BCD000001321CC5 +:041BCE0000013220C0 +:041BCF0000013224BB +:041BD000000132627C +:041BD100000132627B +:041BD200000132627A +:041BD3000001326279 +:041BD4000001326278 +:041BD500000139448E +:041BD6000001326672 +:041BD700000134963F +:041BD800000134A62E +:041BD9000001357A58 +:041BDA00000135D001 +:041BDB00000135D000 +:041BDC000001363A94 +:041BDD00000137408C +:041BDE00000137408B +:041BDF000001381AAF +:041BE000656E6F447B +:041BE1000000000000 +:041BE2006C69614683 +:041BE3000000646535 +:041BE400666F725066 +:041BE5003A656C6988 +:041BE60000000000FB +:041BE7000000752560 +:041BE80065646F4D74 +:041BE9006572702091 +:041BEA003A74657371 +:041BEB0000000000F6 +:041BEC00646F6D496C +:041BED004628206501 +:041BEE0029414750F2 +:041BEF000000003AB8 +:041BF0002D756C25BE +:041BF10063256325E0 +:041BF200756C2520C9 +:041BF300322E252E3B +:041BF4007A48756C4A +:041BF50000000000EC +:041BF600746E634363 +:041BF70066202F2015 +:041BF800656D617244 +:041BF9000000003AAE +:041BFA0000756C25E1 +:041BFB006D72694658 +:041BFC006572617736 +:041BFD000000003AAA +:041BFE00252E7525F6 +:041BFF006175322EAC +:041C000000000000E0 +:041C0100656E694C57 +:041C0200746C756D1C +:041C0300646F6D207D +:041C040000003A653D +:041C05007365727021 +:041C06002D312073E9 +:041C070000000035A4 +:041C080020787525A6 +:041C090075736E750C +:041C0A00726F707015 +:041C0B000064657498 +:041C0C0064206425C7 +:041C0D000000676507 +:041C0E00666F72503B +:041C0F0020656C6977 +:041C100064616F6C30 +:041C11000000003A95 +:041C12007365727014 +:041C130075252073A0 +:041C14000075252D05 +:041C15000001711841 +:041C16000001711C3C +:041C17000001712037 +:041C18000001712432 +:041C19000001701C3A +:041C1A00000171282C +:041C1B000001712C27 +:041C1C000001713022 +:041C1D00000171341D +:041C1E0000017C64E1 +:041C1F000001713817 +:041C2000000171400E +:041C21000001714409 +:041C22000001714C00 +:041C230000017150FB +:041C240000017158F2 +:041C250000017160E9 +:041C260000017168E0 +:041C270000017170D7 +:041C280000017180C6 +:041C290000017190B5 +:041C2A00000171A0A4 +:041C2B00000171B093 +:041C2C00000171C082 +:041C2D00000171D071 +:041C2E00000171D868 +:041C2F00000171E05F +:041C30003EA93E2962 +:041C31003EE93E69E1 +:041C32003E993E1980 +:041C33003ED93E59FF +:041C34003EC93E392E +:041C35003E1D3E4DC5 +:041C36003E2D3EED14 +:041C37003EAD3ECDB3 +:041C38003E653E6D5A +:041C39001C483E0104 +:041C3A001C501C1806 +:041C3B001CC81CD0D5 +:041C3C005ED85E58B8 +:041C3D0000003EB9AC +:041C3E007365725008 +:041C3F00000000732E +:041C4000666E6F431A +:041C4100006D726957 +:041C42006D73694D08 +:041C430068637461FD +:041C44006572202C79 +:041C4500007972743C +:041C46000000003169 +:041C47000000003267 +:041C48000000003365 +:041C49000000003463 +:041C4A000000003660 +:041C4B00000000375E +:041C4C00000000385C +:041C4D00000000395A +:041C4E00554E454D5D +:041C4F000000000091 +:041C500000004B4FF6 +:041C51004B4341427E +:041C5200000000008E +:041C530000005055E8 +:041C54004E574F4454 :041C5500000000008B -:041C5600703038347E -:041C5700206E692072 -:041C5800706D6173D7 -:041C59000072656C44 -:041C5A007030303482 -:041C5B00206E69206E -:041C5C00706D6173D3 -:041C5D000072656C40 -:041C5E006F6C6C41FA -:041C5F005654207740 -:041C60005048205078 -:041C610078324C4C3D -:041C6200000000007E -:041C63006F6C6C41F5 -:041C64007075207700 -:041C6500706D6173CA -:041C66007832656CFF -:041C67000000000079 -:041C68007664413C21 -:041C69006974202E4C -:041C6A00676E696DCB -:041C6B003E202020D7 -:041C6C000000000074 -:041C6D0065646956EB -:041C6E00504C206F47 -:041C6F00000000462B -:041C70005062505915 -:041C71006E69207206 -:041C72006C6F432030 -:041C73000061705349 -:041C740072502F5229 -:041C750066666F2010 -:041C7600007465731E -:041C770020592F477A -:041C78007366666FBA -:041C7900000074658E -:041C7A0062502F4243 -:041C7B0066666F200A -:041C7C000074657318 -:041C7D0072502F5220 -:041C7E006961672011 -:041C7F000000006EF3 -:041C800020592F4771 -:041C81006E696167C0 -:041C8200000000005E -:041C830062502F423A -:041C8400696167200B -:041C85000000006EED -:041C86002D65725006 -:041C87002043444171 -:041C88006E696147D9 -:041C89000000000057 -:041C8A006D616C43D9 -:041C8B004C412F7029 -:041C8C00666F20431C -:041C8D0074657366A1 -:041C8E000000000052 -:041C8F0020434C4161 -:041C9000696620560B -:041C91007265746C98 -:041C9200000000004E -:041C930020434C415D -:041C94006966204815 -:041C95007265746C94 -:041C9600000000004A -:041C97002D627553F2 -:041C9800656E696CA0 -:041C99007320312063 -:041C9A000000727460 -:041C9B002D627553EE -:041C9C00656E696C9C -:041C9D00732032205E -:041C9E00000072745C -:041C9F002D627553EA -:041CA000656E696C98 -:041CA1007320332059 -:041CA2000000727458 -:041CA3002D627553E6 -:041CA400656E696C94 -:041CA5007320342054 -:041CA6000000727454 -:041CA7002D627553E2 -:041CA800656E696C90 -:041CA900732035204F -:041CAA000000727450 -:041CAB002D627553DE -:041CAC00756C6F6381 -:041CAD0031206E6D07 -:041CAE0072747320B9 -:041CAF000000000031 -:041CB0002D627553D9 -:041CB100756C6F637C -:041CB20032206E6D01 -:041CB30072747320B4 -:041CB400000000002C -:041CB5002D627553D4 -:041CB600756C6F6377 -:041CB70033206E6DFB -:041CB80072747320AF -:041CB9000000000027 -:041CBA002D627553CF -:041CBB00756C6F6372 -:041CBC0034206E6DF5 -:041CBD0072747320AA -:041CBE000000000022 -:041CBF002D627553CA -:041CC000756C6F636D -:041CC10035206E6DEF -:041CC20072747320A5 -:041CC300000000001D -:041CC4002D627553C5 -:041CC500756C6F6368 -:041CC60036206E6DE9 -:041CC70072747320A0 -:041CC8000000000018 -:041CC90073202E480E -:041CCA006C706D616C -:041CCB007461726569 -:041CCC0000000065AF -:041CCD0073202E480A -:041CCE007461722E9D -:041CCF0064612065C7 -:041CD0000000006AA6 -:041CD10073202E4806 -:041CD2006C636E7958 -:041CD30000006E653A -:041CD40062202E4814 -:041CD500706B63616C -:041CD6006863726F5E -:041CD7000000000009 -:041CD80061202E4811 -:041CD9007669746351 -:041CDA0000000065A1 -:041CDB0073202E56EE -:041CDC006C636E794E -:041CDD0000006E6530 -:041CDE0062202E56FC -:041CDF00706B636162 -:041CE0006863726F54 -:041CE10000000000FF -:041CE20061202E56F9 -:041CE3007669746347 -:041CE4000000006597 -:041CE500706D61536A -:041CE600676E696C50 -:041CE70061687020A0 -:041CE8000000657320 -:041CE9000066664FDC -:041CEA00003156412E -:041CEB00003256412C -:041CEC0063616C4282 -:041CED000000006B88 -:041CEE0065756C426A -:041CEF0000000000F1 -:041CF000656572476D -:041CF1000000006E81 -:041CF2006E61794363 +:041C56005446454C5F +:041C57000000000089 +:041C5800484749525E +:041C59000000005433 +:041C5A004F464E495A +:041C5B000000000085 +:041C5C005F44434C52 +:041C5D004B43414272 +:041C5E004847494C5E +:041C5F00000000542D +:041C60004E4143535B +:041C6100454E494C57 +:041C6200444F4D5F3F +:041C63000000004538 +:041C64004E41435357 +:041C6500454E494C53 +:041C66005059545F1E +:041C67000000004534 +:041C68004E41435353 +:041C6900454E494C4F +:041C6A00544E495F2C +:041C6B000000002B4A +:041C6C004E4143534F +:041C6D00454E494C4B +:041C6E00544E495F28 +:041C6F000000002D44 +:041C7000454E494C48 +:041C7100544C554D2D +:041C7200444F4D5F2F +:041C73000000004528 +:041C74005341485040 +:041C750000002B45FB +:041C7600534148503E +:041C770000002D45F7 +:041C7800464F525031 +:041C79005F454C492E +:041C7A004B544F4830 +:041C7B0000005945C7 +:041C7C00462F445358 +:041C7D006873616CBB +:041C7E0072726520F9 +:041C7F000000726F80 +:041C800053206F4E30 +:041C81006163204437 +:041C82006420647204 +:041C8300002E746556 +:041C840061766E49CE +:041C85002064696C02 +:041C860064616568C8 +:041C87000000726582 +:041C880061766E49CA +:041C89002064696CFE +:041C8A0020726468F8 +:041C8B00004352437D +:041C8C0061766E49C6 +:041C8D002064696CFA +:041C8E0061746164B8 +:041C8F004352432059 +:041C90000000000050 +:041C910061647055C5 +:041C920063206574F2 +:041C930065636E61B6 +:041C940064656C6CAB +:041C9500000000004B +:041C960073616C46C4 +:041C970065762068E6 +:041C980020666972E7 +:041C99006C696166AB +:041C9A000000000046 +:041C9B0061766E49B7 +:041C9C002064696CEB +:041C9D0067616D69A5 +:041C9E0000000065DD +:041C9F004353534F09 +:041CA0000000000040 +:041CA100696C6156B3 +:041CA200697461649C +:041CA3006420676EE4 +:041CA4000061746106 +:041CA500622075251F +:041CA6007365747975 +:041CA7000000000039 +:041CA800252E75254B +:041CA9002575322E3D +:041CAA00007325732B +:041CAB0061647055AB +:041CAC00676E697482 +:041CAD000057462076 +:041CAE0061656C7090 +:041CAF0077206573C2 +:041CB0002E746961C4 +:041CB10000002E2ED3 +:041CB2006972655698 +:041CB3006E69796677 +:041CB4006C662067D3 +:041CB50000687361EF +:041CB60061656C7088 +:041CB70072206573BF +:041CB800617473657B +:041CB9000000747241 +:041CBA007274655289 +:041CBB00676E69796E +:041CBC0064707520BB +:041CBD0000657461E9 +:041CBE006164705598 +:041CBF00203F6574E9 +:041CC0002C593D312D +:041CC1004E3D322042 +:041CC200000000001E +:041CC3006D7269468F +:041CC400657261776D +:041CC50064707520B2 +:041CC600646574617C +:041CC7000000000019 +:041CC8000001414A8C +:041CC9000001416471 +:041CCA000001417064 +:041CCB00000141A82B +:041CCC000001418052 +:041CCD000001444886 +:041CCE0000014414B9 +:041CCF00000143705D +:041CD000000143705C +:041CD100000145B613 +:041CD200000145B612 +:041CD300000145CEF9 +:041CD400000145CEF8 +:041CD50000014612B2 +:041CD6000001464C77 +:041CD7000001464C76 +:041CD80070207525DE +:041CD9006C65786955 +:041CDA000000007393 +:041CDB006C207525DF +:041CDC0073656E6955 +:041CDD000000000003 +:041CDE0064206425F5 +:041CDF0000000042BF +:041CE000252E752513 +:041CE1002075322E0A +:041CE2000000737516 +:041CE3006C206F4EB4 +:041CE400006B6E69BA +:041CE50000018FB1BA +:041CE60000000002F8 +:041CE700000140A216 +:041CE80000017AF08D +:041CE90000017AFC80 +:041CEA0000017B0476 +:041CEB0000018FB3B2 +:041CEC000000000EE6 +:041CED00000140E6CC +:041CEE00706D653C74 +:041CEF00003E7974C6 +:041CF000203A7525FC +:041CF1000000732557 +:041CF200252575250A :041CF30000000000ED -:041CF40000646552D1 -:041CF5006567614D71 -:041CF6000061746EA7 -:041CF7006C6C655953 -:041CF8000000776F02 -:041CF900746968574B -:041CFA000000006581 -:041CFB007272754349 -:041CFC0020746E657D -:041CFD0075706E6927 -:041CFE00000000746E -:041CFF00206C6C41A8 -:041D000075706E6923 -:041D010000007374F7 -:041D0200427347528F -:041D030000000000DC -:041D04005062505980 -:041D05000000007268 -:041D06000000733234 -:041D07000000733530 -:041D08000073303103 -:041D09000000733330 -:041D0A0000733033FF -:041D0B002D706F5474 -:041D0C007466656C28 -:041D0D0000000000D2 -:041D0E00746E654347 -:041D0F0000007265F9 -:041D100074746F4236 -:041D1100722D6D6F53 -:041D12007468676921 -:041D130000000000CC -:041D14002066664F90 -:041D150020736628A9 -:041D16003639203DFD -:041D1700297A486B72 -:041D180000000000C7 -:041D190020207832DC -:041D1A0020736628A4 -:041D1B003834203DFB -:041D1C00297A486B6D -:041D1D0000000000C2 -:041D1E0000706F548E -:041D1F0074746F4227 -:041D200000006D6FE3 -:041D210069726F482C -:041D2200746E6F7AF2 -:041D230000006C61EF -:041D2400747265561A -:041D25006C61636921 -:041D260000000000B9 -:041D270069726F4826 -:041D28002B202E7AC4 -:041D29007265562069 -:041D2A0000002E7413 -:041D2B007473754315 -:041D2C0000006D6FD7 -:041D2D00746C754D10 -:041D2E00696C706903 -:041D2F00697461630F -:041D300000006E6FD2 -:041D31007462755310 -:041D32007463617203 -:041D3300006E6F6966 -:041D34006F74754112 -:041D350000000000AA -:041D360000006E4FEC -:041D3700494D444886 -:041D380047522820C6 -:041D3900000029423B -:041D3A00494D444883 -:041D3B0043592820C0 -:041D3C003472436258 -:041D3D000029343411 -:041D3E0000495644BE -:041D3F0000373A38F7 -:041D40007373615008 -:041D410075726874DB -:041D4200000000009D -:041D4300656E694C14 -:041D440028207832A9 -:041D450029626F623E -:041D46000000000099 -:041D4700656E694C10 -:041D480000007832ED -:041D4900656E694C0E -:041D4A003220783299 -:041D4B003378303485 -:041D4C00000030362D -:041D4D00656E694C0A -:041D4E003220783394 -:041D4F003378303481 -:041D50000000303629 -:041D5100656E694C06 -:041D5200472078337B -:041D530072656E65E2 -:041D540000006369BF -:041D5500656E694C02 -:041D56002820783396 -:041D57006563616CF3 -:041D580000002964FA -:041D5900656E694CFE -:041D5A002820783491 -:041D5B0029626F6228 -:041D5C000000000083 -:041D5D00656E694CFA -:041D5E0000007833D6 -:041D5F00656E694CF8 -:041D600000007834D3 -:041D6100656E694CF6 -:041D620000007835D0 -:041D630030323931B0 -:041D6400383031786A -:041D6500000000304A -:041D660030303631B2 -:041D6700303231786D -:041D68000000003047 -:041D690030323931AA -:041D6A00303231786A -:041D6B000000003044 -:041D6C00656E6547F4 -:041D6D002063697214 -:041D6E0000333A34D0 -:041D6F007832313560 -:041D700020303432B9 -:041D71006974706FB2 -:041D720000002E6DD2 -:041D73007834383355 -:041D740020303432B5 -:041D75006974706FAE -:041D760000002E6DCE -:041D7700783032335B -:041D780020303432B1 -:041D79006974706FAA -:041D7A0000002E6DCA -:041D7B00783635324F -:041D7C0020303432AD -:041D7D006974706FA6 -:041D7E0000002E6DC6 -:041D7F00656E6547E1 -:041D80002063697201 -:041D8100393A363184 -:041D8200000000005D -:041D83004D382E3475 -:041D840028207A4851 -:041D85005654444824 -:041D86002943502F6E -:041D87000000000058 -:041D88004D352E3077 -:041D890028207A484C -:041D8A005654445314 -:041D8B00000000292B -:041D8C004D372E3170 -:041D8D0028207A4848 -:041D8E00565444451E -:041D8F000000002927 -:041D90004D352E326D -:041D910028207A4844 -:041D92002978616DDE -:041D9300000000004C -:041D9400484D303155 -:041D95006D28207A1B -:041D96000029646557 -:041D9700484D33334D -:041D98006D28207A18 -:041D990000296E6946 -:041D9A002041475647 -:041D9B007830343632 -:041D9C00403030346F -:041D9D0000003037DB -:041D9E002041475643 -:041D9F00783032372F -:041DA000403030346B -:041DA10000003037D7 -:041DA200205654442F -:041DA3007030383430 -:041DA400000000003B -:041DA500415345560B -:041DA600303436207F -:041DA7003038347824 -:041DA8000030364091 -:041DA9002E636552EE -:041DAA00313036207E -:041DAB000000000034 -:041DAC002E636552EB -:041DAD003930372072 -:041DAE000000000031 -:041DAF00484D35392D -:041DB0004828207A25 -:041DB1002056544420 -:041DB2000029494972 -:041DB300484D35332F -:041DB4004828207A21 -:041DB500205654441C -:041DB60000002949B7 -:041DB700484D36312C -:041DB8004528207A20 -:041DB900295654440F -:041DBA000000000025 -:041DBB007A484D39DC -:041DBC004453282044 -:041DBD00002956544F -:041DBE006D2064250B -:041DBF0000000056CA -:041DC000202020209F -:041DC1000000752584 -:041DC200000189EEA5 -:041DC30000000024F8 -:041DC40000013C647A -:041DC500642064250D -:041DC600000067654D -:041DC700252E75252B -:041DC8000075322E42 -:041DC9006520642508 -:041DCA006972746E58 -:041DCB00000073653C -:041DCC006F706D695E -:041DCD006465747263 -:041DCE000000000011 -:041DCF006F706D497B -:041DD000203F7472CA -:041DD1002C593D311B -:041DD2004E3D322030 -:041DD300000000000C -:041DD40064616F4C8B -:041DD50020676E69AC -:041DD6007474657349 -:041DD70073676E6957 -:041DD8000000000007 -:041DD90044525355C8 -:041DDA00004154412F -:041DDB006573753C7B -:041DDC0000003E6461 -:041DDD00000176A4E7 -:041DDE0000002000E1 -:041DDF0020002CE5CF -:041DE000E926F4FDFF -:041DE10038BC2000EA -:041DE20000000000FD -:041DE300000176B0D5 -:041DE40000002000DB -:041DE5002000323E6A -:041DE600F113FA04F7 -:041DE7003B6120003C -:041DE80000000000F7 -:041DE9000001524A59 -:041DEA000001524A58 -:041DEB00000151B2F0 -:041DEC000001524060 -:041DED000001533E60 -:041DEE000001524A54 -:041DEF0078303237DF -:041DF000003030345B -:041DF10078303436DC -:041DF2000030303459 -:041DF3003030363125 -:041DF40030343278DD -:041DF500C800000022 -:041DF60007FE00F0F4 -:041DF7000FCA20C02F -:041DF800321003960C -:041DF90000200002C4 -:041DFA00303832311A -:041DFB0030343278D6 -:041DFC00A000000043 -:041DFD00061800F0D4 -:041DFE000FAA20C048 -:041DFF003210034853 -:041E000000010202D9 -:041E010078303639C6 -:041E02000030343246 -:041E03007800000063 -:041E0400049200F054 -:041E05000F8020C06A -:041E0600321003365D -:041E070000000402D1 -:041E080078323135C6 -:041E0900003034323F -:041E0A004000000094 -:041E0B0002AA00F037 -:041E0C000E4D20C097 -:041E0D00321003325A -:041E0E000042081076 -:041E0F0078343833B8 -:041E10000030343238 -:041E1100300000009D -:041E1200020000F0DA -:041E13000E3B20C0A2 -:041E14003210032560 -:041E15000084102015 -:041E160078303233BB -:041E17000030343231 -:041E1800280000009E -:041E190001AA00F02A -:041E1A000E3120C0A5 -:041E1B003210031F5F -:041E1C000108204059 -:041E1D0078363532AC -:041E1E00003034322A -:041E1F00200000009F -:041E2000015500F078 -:041E21000E2720C0A8 -:041E2200321003195E -:041E230002104080E9 -:041E240070303432B4 -:041E250000000000B9 -:041E26005A08000056 -:041E2700035A00F06A -:041E28000F3920C08E -:041E29003210033E32 -:041E2A000000000EA6 -:041E2B0030303631EC -:041E2C0030343278A4 -:041E2D00C800004C9D -:041E2E0007FE00F0BB -:041E2F0029CA270095 -:041E300032100396D3 -:041E3100002000028B -:041E320030383231E1 -:041E33003838327891 -:041E3400A00000000A -:041E3500061801206A -:041E36000FAA2700C8 -:041E3700321003481A -:041E380000010202A1 -:041E3900783036398E -:041E3A000038383202 -:041E3B00780000002B -:041E3C0004920120EB -:041E3D000F802700EB -:041E3E003210033625 -:041E3F000000040299 -:041E4000783231358E -:041E41004C303432BB -:041E4200400000421A -:041E430002AA00F0FF -:041E4400294D2700FD -:041E45003210033222 -:041E4600004208103E -:041E47007834383380 -:041E48004C303432B4 -:041E49003000004223 -:041E4A00020000F0A2 -:041E4B00293B270008 -:041E4C003210032528 -:041E4D0000841020DD -:041E4E007830323383 -:041E4F004C303432AD -:041E50002800004224 -:041E510001AA00F0F2 -:041E5200293127000B -:041E53003210031F27 -:041E54000108204021 -:041E55007836353274 -:041E56004C303432A6 -:041E57002000004225 -:041E5800015500F040 -:041E5900292727000E -:041E5A003210031926 -:041E5B0002104080B1 -:041E5C007038383270 -:041E5D000000000081 -:041E5E005A1700000F -:041E5F0003600120FB -:041E600013452700FF -:041E61003210033FF9 -:041E62000000000E6E -:041E63007830383467 -:041E640000303633E1 -:041E65003C0000003D -:041E660002580168B5 -:041E67000A3F2EE020 -:041E680044100326F9 -:041E69000000000E67 -:041E6A007830343266 -:041E6B0000303633DA -:041E6C002000000052 -:041E6D00012C0168DB -:041E6E000A182EE040 -:041E6F004410031206 -:041E700000008100ED -:041E7100703438335E -:041E7200000000006C -:041E73003E0000002D -:041E74000280018067 -:041E75001D3234E006 -:041E76004410033ED3 -:041E77000000000E59 -:041E7800303036319F -:041E79003030347859 -:041E7A00C80000009C -:041E7B0007D00190FB -:041E7C002278382070 -:041E7D00501002F00F -:041E7E00000002005E -:041E7F00783032374E -:041E800000303034CA -:041E81005A00000003 -:041E82000384019044 -:041E830022403820A1 -:041E84005010026098 -:041E85000000000C4D -:041E86007830343646 -:041E870000303034C3 -:041E88005000000006 -:041E890003200190A1 -:041E8A0022303820AA -:041E8B005010026091 -:041E8C000000000C46 -:041E8D00783034363F -:041E8E0000343833B1 -:041E8F0050000000FF -:041E900003200180AA -:041E91003F303D8021 -:041E9200501002608A -:041E93000000000E3D -:041E94006930383445 -:041E95000000000049 -:041E96005A060000E8 -:041E9700035A00F0FA -:041E98000F3941A01D -:041E99007210033E82 -:041E9A000001020F32 -:041E9B007030383437 -:041E9C000000000042 -:041E9D005A020000E5 -:041E9E00035A01E002 -:041E9F001E3C41A004 -:041EA0009410063E56 -:041EA1000000000C31 -:041EA200783034362A -:041EA300003038349F -:041EA40050010000E9 -:041EA500032001E035 -:041EA600213041A006 -:041EA7009410026031 -:041EA8000000000C2A -:041EA9007830343623 -:041EAA00003231359C -:041EAB0050000000E3 -:041EAC00032002000D -:041EAD001C3047009E -:041EAE00941002602A -:041EAF000000000C23 -:041EB0006936373523 -:041EB100000000002D -:041EB2005A150000BD -:041EB30003600120A7 -:041EB40013454E2064 -:041EB5007210033F65 -:041EB6000001020F16 -:041EB7007036373515 -:041EB8000000000026 -:041EB9005A110000BA -:041EBA00036002407F -:041EBB0027444E204A -:041EBC009410054039 -:041EBD000000000C15 -:041EBE007830303810 -:041EBF000030303689 -:041EC00064000000BA -:041EC100042002589F -:041EC20017584E80DF -:041EC3001010048077 -:041EC4000000000416 -:041EC5007030323710 -:041EC6000000000018 -:041EC700A004000073 -:041EC800067202D0CC -:041EC90014DC5DC008 -:041ECA0018100528BF -:041ECB00000000040F -:041ECC00343230314B -:041ECD0038363778F4 -:041ECE008000000090 -:041ECF0005400300C7 -:041ED0001DA064C02D -:041ED100101006885F -:041ED2000000000408 -:041ED3003038323140 -:041ED40032303178FF -:041ED500A000003435 -:041ED6000698040066 -:041ED70026F8854024 -:041ED8001010037073 -:041ED9000000000401 -:041EDA0078303436F2 -:041EDB0069303639FB -:041EDC0050000000B2 -:041EDD00032001E0FD -:041EDE0021308340EC -:041EDF00B4100260D9 -:041EE0000000000DF1 -:041EE1003038303134 -:041EE2000000006993 -:041EE300F005000006 -:041EE4000898021C3C -:041EE50010948CA029 -:041EE600B810052CFF -:041EE7000000000DEA -:041EE800303830312D -:041EE9000000007085 -:041EEA00F0100000F4 -:041EEB000898043817 -:041EEC0024948CA00E -:041EED001810052C98 -:041EEE0000000004EC -:041EEF003030363128 -:041EF00030323178E3 -:041EF100C8000030F5 -:041EF200087004B0C0 -:041EF3002EFF9C40E2 -:041EF400101003F1D6 -:041EF50000000004E5 -:041EF6007665642F7A -:041EF7006370652F80 -:041EF8006F635F7144 -:041EF9006F72746E22 -:041EFA0072656C6C35 -:041EFB00615F305F94 -:041EFC006D5F6C7634 -:041EFD0000006D650F -:041EFE002B302D2335 -:041EFF0000000020BF -:041F0000004C6C68BD -:041F01004567666565 -:041F0200000047464E -:041F03003332313014 -:041F04003736353403 -:041F050042413938E4 -:041F060046454443C5 -:041F070000000000D6 -:041F0800333231300F -:041F090037363534FE -:041F0A00626139389F -:041F0B006665646340 -:041F0C0000000000D1 -:041F0D001A80808036 -:041F0E0000081A1A93 -:041F0F0000000000CE -:041F100000000000CD -:041F110000017BD878 -:041F120000000000CB -:041F130000000000CA -:041F14000001035C69 -:041F15000001041CA7 -:041F1600000101CEF7 -:041F1700000101EADA -:041F1800000102368C -:041F19000080000044 -:041F1A000080000043 -:041F1B0000000000C2 -:041F1C0000000000C1 -:041F1D0000000000C0 -:041F1E0000000000BF -:041F1F0000000000BE -:041F200000000000BD -:041F210000000000BC -:041F220000000000BB -:041F230000000000BA -:041F240000000000B9 -:041F250000000000B8 -:041F260000000000B7 -:041F270000000000B6 -:041F280000000000B5 -:041F290000000000B4 -:041F2A0000000000B3 -:041F2B0000000000B2 -:041F2C0000000000B1 -:041F2D0000000000B0 -:041F2E0000000000AF -:041F2F0000000000AE -:041F300000000000AD -:041F310000000000AC -:041F320000000000AB +:041CF4006564695664 +:041CF5006E69206F85 +:041CF6006F72702079 +:041CF7003E20206308 +:041CF80000000000E8 +:041CF900706D615356 +:041CFA00676E696C3C +:041CFB0074706F2072 +:041CFC003E20202E38 +:041CFD0000000000E3 +:041CFE00636E795345 +:041CFF0074706F206E +:041D00002020202E51 +:041D01003E20202040 +:041D020000000000DD +:041D03007074754F34 +:041D04006F20747563 +:041D0500202E7470A8 +:041D06003E2020203B +:041D070000000000D8 +:041D08006E61635352 +:041D0900656E696C2E +:041D0A0074706F2062 +:041D0B003E20202E28 +:041D0C0000000000D3 +:041D0D0074736F502C +:041D0E006F72702D53 +:041D0F0020202E63FF +:041D10003E20202031 +:041D110000000000CE +:041D1200706D6F433E +:041D1300626974612C +:041D140074696C6919 +:041D15003E202079D3 +:041D160000000000C9 +:041D17006964754145 +:041D1800706F206F59 +:041D19006E6F69740C +:041D1A003E202073D4 +:041D1B0000000000C4 +:041D1C007474655323 +:041D1D0073676E6911 +:041D1E0074706F204E +:041D1F003E20202022 +:041D200000000000BF +:041D2100616F4C3C66 +:041D22007270206457 +:041D23006C69666F12 +:041D2400003E2065F8 +:041D25007661533C54 +:041D26007270206552 +:041D27006C69666F0E +:041D2800003E2065F4 +:041D29007365523C50 +:041D2A007320746549 +:041D2B0069747465FE +:041D2C003E73676E2D +:041D2D0000000000B2 +:041D2E006B6E694C23 +:041D2F006F7270203F +:041D3000693E2D6675 +:041D31007475706EE7 +:041D320000000000AD +:041D33006B6E694C1E +:041D3400706E692044 +:041D35003E2D747556 +:041D3600666F7270F2 +:041D370000000000A8 +:041D380074696E4913 +:041D3900206C616950 +:041D3A0075706E69E9 +:041D3B000000007430 +:041D3C006F7475410A +:041D3D006574656400 +:041D3E006920746341 +:041D3F007475706ED9 +:041D4000000000009F +:041D41006F74754105 +:041D420031564120B5 +:041D4300472F5920AD +:041D44000000007328 +:041D45006F74754101 +:041D460032564120B0 +:041D4700472F5920A9 +:041D48000000007324 +:041D49006F747541FD +:041D4A0033564120AB +:041D4B00472F5920A5 +:041D4C000000007320 +:041D4D002044434C9F +:041D4E0074204C426F +:041D4F006F656D69E6 +:041D500000007475A6 +:041D51000044534FA8 +:041D52002044534F87 +:041D530074617473D0 +:041D5400642073751F +:041D55002E70736910 +:041D56000000000089 +:041D5700706D493C26 +:041D58002074726F12 +:041D590074746573C6 +:041D5A003E20202ED9 +:041D5B000000000084 +:041D5C002E77463C5C +:041D5D006470752019 +:041D5E002065746127 +:041D5F003E202020E2 +:041D6000000000007F +:041D61006E776F44E6 +:041D62006D61732D0F +:041D63006E696C70C9 +:041D64000000006714 +:041D650070617753DF +:041D660066656C2022 +:041D670069722F74FA +:041D68000074686734 +:041D69002D65725022 +:041D6A00204344418D +:041D6B006E696167D5 +:041D6C000000000073 +:041D6D006C6C7546DF +:041D6E002058542085 +:041D6F0075746573AF +:041D700000000070FF +:041D71002033564184 +:041D720065746E69BD +:041D730063616C72CA +:041D740078696665BF +:041D7500000000006A +:041D7600203356417F +:041D770020657375FB +:041D78002E746C61F8 +:041D7900424752206B +:041D7A000000000065 +:041D7B0061666544F4 +:041D7C0020746C75EE +:041D7D00494D444840 +:041D7E00434956205F +:041D7F000000000060 +:041D8000616E6150DF +:041D8100696E6F73A5 +:041D82006168206311 +:041D830000006B638E +:041D840069726F48C9 +:041D8500746E6F7A8F +:041D86006D206C61FF +:041D8700006B736119 +:041D880074726556B6 +:041D89006C616369BD +:041D8A0073616D20F4 +:041D8B000000006BE9 +:041D8C006B73614DC7 +:041D8D006C6F6320F4 +:041D8E000000726F70 +:041D8F006B73614DC4 +:041D900069726220F2 +:041D91006E7468679D +:041D92000073736502 +:041D930065766552BA +:041D940020657372E1 +:041D95000046504C68 +:041D96005949443C27 +:041D970074616C20E7 +:041D98006574202E20 +:041D9900003E747321 +:041D9A006E616353C0 +:041D9B00656E696C9C +:041D9C0000000073D0 +:041D9D00202E6C5335 +:041D9E006572747383 +:041D9F006874676E8F +:041DA000000000003F +:041DA100202E6C5331 +:041DA2007262796888 +:041DA30073206469DC +:041DA400002E727427 +:041DA500202E6C532D +:041DA6006874656D8B +:041DA7000000646F65 +:041DA800202E6C532A +:041DA90065746C6190 +:041DAA0074616E7280 +:041DAB0000676E69F6 +:041DAC00202E6C5326 +:041DAD0067696C6195 +:041DAE006E656D6E83 +:041DAF0000000074BC +:041DB000202E6C5322 +:041DB10020746C61CD +:041DB20065746E697D +:041DB3006C61767277 +:041DB400000000002B +:041DB500202E6C531D +:041DB6006570797467 +:041DB7000000000028 +:041DB8004320203C68 +:041DB9006F7473755B +:041DBA006C53206DD9 +:041DBB003E20202E78 +:041DBC000000000023 +:041DBD00703034321C +:041DBE003838322F50 +:041DBF0072702070AE +:041DC0000000636F4D +:041DC100703438330F +:041DC2003030342F5A +:041DC30072702070AA +:041DC4000000636F49 +:041DC5006930383415 +:041DC6003637352F48 +:041DC70072702069AD +:041DC8000000636F45 +:041DC900703038340A +:041DCA003637352F44 +:041DCB0072702070A2 +:041DCC000000636F41 +:041DCD00693036390A +:041DCE003830312F49 +:041DCF0070206930E7 +:041DD00000636F72CB +:041DD100656E694C86 +:041DD2006D207832D6 +:041DD3000065646FD4 +:041DD400656E694C83 +:041DD5006D207833D2 +:041DD6000065646FD1 +:041DD700656E694C80 +:041DD8006D207834CE +:041DD9000065646FCE +:041DDA00656E694C7D +:041DDB006D207835CA +:041DDC000065646FCB +:041DDD00656E694C7A +:041DDE0066207835CE +:041DDF00616D726F51 +:041DE000000000748B +:041DE10078363532E9 +:041DE2002030343247 +:041DE3006570736153 +:041DE4000000746324 +:041DE5006D205854C1 +:041DE6000065646FC1 +:041DE700494D4448D6 +:041DE80043544920F7 +:041DE90000000000F6 +:041DEA006C616E4179 +:041DEB007320676F8B +:041DEC0020636E7989 +:041DED000046504C10 +:041DEE006C616E4175 +:041DEF005320676FA7 +:041DF0004C204354EC +:041DF1000000465058 +:041DF2006C616E4171 +:041DF3007320676F83 +:041DF40020636E7981 +:041DF50000687456B8 +:041DF6006E79734847 +:041DF7006F74206382 +:041DF8006172656C43 +:041DF9000065636EB0 +:041DFA006E79735635 +:041DFB006874206385 +:041DFC006873657231 +:041DFD0000646C6FA3 +:041DFE004C502D48D0 +:041DFF007250204CB2 +:041E00006F432D659A +:041E01000074736195 +:041E02004C502D48CB +:041E03006F50204CB0 +:041E0400432D747383 +:041E05007473616F22 +:041E060000000000D8 +:041E070070303834CB +:041E0800206E6920BF +:041E0900706D617324 +:041E0A000072656C91 +:041E0B0070303034CF +:041E0C00206E6920BB +:041E0D00706D617320 +:041E0E000072656C8D +:041E0F006F6C6C4147 +:041E1000565420778D +:041E110050482050C5 +:041E120078324C4C8A +:041E130000000000CB +:041E14006F6C6C4142 +:041E1500707520774D +:041E1600706D617317 +:041E17007832656C4C +:041E180000000000C6 +:041E19007664413C6E +:041E1A006974202E99 +:041E1B00676E696D18 +:041E1C003E20202024 +:041E1D0000000000C1 +:041E1E006564695638 +:041E1F00504C206F94 +:041E20000000004678 +:041E21005062505962 +:041E22006E69207253 +:041E23006C6F43207D +:041E24000061705396 +:041E250072502F5276 +:041E260066666F205D +:041E2700007465736B +:041E280020592F47C7 +:041E29007366666F07 +:041E2A0000007465DB +:041E2B0062502F4290 +:041E2C0066666F2057 +:041E2D000074657365 +:041E2E0072502F526D +:041E2F00696167205E +:041E30000000006E40 +:041E310020592F47BE +:041E32006E6961670D +:041E330000000000AB +:041E340062502F4287 +:041E35006961672058 +:041E36000000006E3A +:041E37002D65725053 +:041E380020434441BE +:041E39006E69614726 +:041E3A0000000000A4 +:041E3B006D616C4326 +:041E3C004C412F7076 +:041E3D00666F204369 +:041E3E0074657366EE +:041E3F00000000009F +:041E400020434C41AE +:041E41006966205658 +:041E42007265746CE5 +:041E4300000000009B +:041E440020434C41AA +:041E45006966204862 +:041E46007265746CE1 +:041E47000000000097 +:041E48002D6275533F +:041E4900656E696CED +:041E4A0073203120B0 +:041E4B0000007274AD +:041E4C002D6275533B +:041E4D00656E696CE9 +:041E4E0073203220AB +:041E4F0000007274A9 +:041E50002D62755337 +:041E5100656E696CE5 +:041E520073203320A6 +:041E530000007274A5 +:041E54002D62755333 +:041E5500656E696CE1 +:041E560073203420A1 +:041E570000007274A1 +:041E58002D6275532F +:041E5900656E696CDD +:041E5A00732035209C +:041E5B00000072749D +:041E5C002D6275532B +:041E5D00756C6F63CE +:041E5E0031206E6D54 +:041E5F007274732006 +:041E6000000000007E +:041E61002D62755326 +:041E6200756C6F63C9 +:041E630032206E6D4E +:041E64007274732001 +:041E65000000000079 +:041E66002D62755321 +:041E6700756C6F63C4 +:041E680033206E6D48 +:041E690072747320FC +:041E6A000000000074 +:041E6B002D6275531C +:041E6C00756C6F63BF +:041E6D0034206E6D42 +:041E6E0072747320F7 +:041E6F00000000006F +:041E70002D62755317 +:041E7100756C6F63BA +:041E720035206E6D3C +:041E730072747320F2 +:041E7400000000006A +:041E75002D62755312 +:041E7600756C6F63B5 +:041E770036206E6D36 +:041E780072747320ED +:041E79000000000065 +:041E7A0073202E485B +:041E7B006C706D61B9 +:041E7C0074617265B6 +:041E7D0000000065FC +:041E7E0073202E4857 +:041E7F007461722EEA +:041E80007266206501 +:041E81000000636199 +:041E820073202E4853 +:041E83006C636E79A5 +:041E840000006E6587 +:041E850062202E4861 +:041E8600706B6361B9 +:041E87006863726FAB +:041E88000000000056 +:041E890061202E485E +:041E8A00766974639E +:041E8B0000000065EE +:041E8C0073202E563B +:041E8D006C636E799B +:041E8E0000006E657D +:041E8F0062202E5649 +:041E9000706B6361AF +:041E91006863726FA1 +:041E9200000000004C +:041E930061202E5646 +:041E94007669746394 +:041E950000000065E4 +:041E9600706D6153B7 +:041E9700676E696C9D +:041E980061687020ED +:041E9900000065736D +:041E9A000066664F29 +:041E9B00003156417B +:041E9C000032564179 +:041E9D0063616C42CF +:041E9E000000006BD5 +:041E9F0065756C42B7 +:041EA000000000003E +:041EA10065657247BA +:041EA2000000006ECE +:041EA3006E617943B0 +:041EA400000000003A +:041EA500006465521E +:041EA6006567614DBE +:041EA7000061746EF4 +:041EA8006C6C6559A0 +:041EA9000000776F4F +:041EAA007469685798 +:041EAB0000000065CE +:041EAC007272754396 +:041EAD0020746E65CA +:041EAE0075706E6974 +:041EAF0000000074BB +:041EB000206C6C41F5 +:041EB10075706E6971 +:041EB2000000737445 +:041EB3000000733286 +:041EB4000000733582 +:041EB5000073303155 +:041EB6006C6C754695 +:041EB7000000000027 +:041EB800706D69538D +:041EB9000000656C54 +:041EBA00000073337E +:041EBB00007330334D +:041EBC002D706F54C2 +:041EBD007466656C76 +:041EBE000000000020 +:041EBF00746E654395 +:041EC0000000726547 +:041EC10074746F4284 +:041EC200722D6D6FA1 +:041EC300746867696F +:041EC400000000001A +:041EC5002066664FDE +:041EC60020736628F7 +:041EC7003639203D4B +:041EC800297A486BC0 +:041EC9000000000015 +:041ECA00202078322A +:041ECB0020736628F2 +:041ECC003834203D49 +:041ECD00297A486BBB +:041ECE000000000010 +:041ECF0000706F54DC +:041ED00074746F4275 +:041ED10000006D6F31 +:041ED20069726F487A +:041ED300746E6F7A40 +:041ED40000006C613D +:041ED5007472655668 +:041ED6006C6163696F +:041ED7000000000007 +:041ED80069726F4874 +:041ED9002B202E7A12 +:041EDA0072655620B7 +:041EDB0000002E7461 +:041EDC007473754363 +:041EDD0000006D6F25 +:041EDE00746C754D5E +:041EDF00696C706951 +:041EE000697461635D +:041EE10000006E6F20 +:041EE200746275535E +:041EE3007463617251 +:041EE400006E6F69B4 +:041EE5006F74754160 +:041EE60000000000F8 +:041EE70000006E4F3A +:041EE800494D4448D4 +:041EE9004752282014 +:041EEA000000294289 +:041EEB00494D4448D1 +:041EEC00435928200E +:041EED0034724362A6 +:041EEE00002934345F +:041EEF00004956440C +:041EF00000373A3845 +:041EF1007373615056 +:041EF2007572687429 +:041EF30000000000EB +:041EF400656E694C62 +:041EF50028207832F7 +:041EF60029626F628C +:041EF70000000000E7 +:041EF800656E694C5E +:041EF900000078323B +:041EFA00656E694C5C +:041EFB0032207832E7 +:041EFC0033783034D3 +:041EFD00000030367B +:041EFE00656E694C58 +:041EFF0032207833E2 +:041F000033783034CE +:041F01000000303676 +:041F0200656E694C53 +:041F030047207833C8 +:041F040072656E652F +:041F0500000063690C +:041F0600656E694C4F +:041F070028207833E3 +:041F08006563616C40 +:041F09000000296447 +:041F0A00656E694C4B +:041F0B0028207834DE +:041F0C0029626F6275 +:041F0D0000000000D0 +:041F0E00656E694C47 +:041F0F000000783323 +:041F1000656E694C45 +:041F11000000783420 +:041F1200656E694C43 +:041F1300000078351D +:041F140030323931FD +:041F150038303178B7 +:041F16000000003097 +:041F170030303631FF +:041F180030323178BA +:041F19000000003094 +:041F1A0030323931F7 +:041F1B0030323178B7 +:041F1C000000003091 +:041F1D00656E654741 +:041F1E002063697261 +:041F1F0000333A341D +:041F200078323135AD +:041F21002030343206 +:041F22006974706FFF +:041F230000002E6D1F +:041F240078343833A2 +:041F25002030343202 +:041F26006974706FFB +:041F270000002E6D1B +:041F280078303233A8 +:041F290020303432FE +:041F2A006974706FF7 +:041F2B0000002E6D17 +:041F2C00783635329C +:041F2D0020303432FA +:041F2E006974706FF3 +:041F2F0000002E6D13 +:041F3000656E65472E +:041F3100206369724E +:041F3200393A3631D1 :041F330000000000AA -:041F340000000000A9 -:041F350000000000A8 -:041F360000000000A7 -:041F370000000000A6 +:041F34004D382E34C2 +:041F350028207A489E +:041F36005654444871 +:041F37002943502FBB :041F380000000000A5 -:041F390000000000A4 -:041F3A0000000000A3 -:041F3B0000000000A2 -:041F3C00000101742B -:041F3D000080000020 -:041F3E00010000009E -:041F3F00000201009B -:041F4000008000001D -:041F4100000000019B -:041F4200000000801B -:041F43000001000099 -:041F44000000010098 -:041F45000000000098 -:041F4600020E04097A -:041F47003D0E00C883 -:041F48003F6E038362 -:041F49003ED03DAC9D -:041F4A00000003830D -:041F4B00026404B276 -:041F4C003C9300E9D9 -:041F4D003F560416E1 -:041F4E003E9F3D492C -:041F4F000000041674 -:041F5000017804E52B -:041F51003CCE008101 -:041F52003FAE038318 -:041F53003F333D4992 -:041F54000000038303 -:041F550001B405B816 -:041F56003C4900936F -:041F57003F9F04168E -:041F58003F103CD921 -:041F5900000004166A -:041F5A00000173A46B -:041F5B00000173EC22 -:041F5C00000173FC11 -:041F5D00000173A468 -:041F5E00000173A863 -:041F5F00000173AC5E -:041F6000000167A86D -:041F6100000167B85C -:041F6200000167C44F -:041F6300000167D042 -:041F6400000167DC35 -:041F6500000167E828 -:041F6600000167F41B -:041F6700000168000D -:041F68000001680C00 -:041F690000016818F3 -:041F6A0000016824E6 -:041F6B00000175B04C -:041F6C00000175BC3F -:041F6D00000175CC2E -:041F6E00000175DC1D -:041F6F00000175EC0C -:041F7000000175FCFB -:041F7100000175B046 -:041F7200000175BC39 -:041F7300000175CC28 -:041F7400000175DC17 -:041F7500000175EC06 -:041F76000001758C65 -:041F77000001759858 -:041F7800000175A44B -:041F7900000173A44C -:041F7A0000017424CA -:041F7B0000017420CD -:041F7C0000017428C4 -:041F7D00000173B03C -:041F7E00000173B833 -:041F7F00000173C02A -:041F8000000173C821 -:041F8100000173D018 -:041F8200000173D413 -:041F8300000173DC0A -:041F8400000173E401 -:041F850000017324C0 -:041F86000000000255 -:041F8700000189F6D6 -:041F88000AF0012C2E -:041F890000013950CA -:041F8A0000017334AB -:041F8B000000000250 -:041F8C00000189FCCB -:041F8D00001300003D -:041F8E0000013950C5 -:041F8F000001734496 -:041F9000000000024B -:041F9100000189FEC4 -:041F920000FF000A42 -:041F930000013950C0 -:041F94000001735085 -:041F95000000000246 -:041F9600000189EAD3 -:041F970000FF000146 -:041F980000013950BB -:041F99000001736070 -:041F9A000000000241 -:041F9B00000189F4C4 -:041F9C00078000C8F2 -:041F9D0000013950B6 -:041F9E000001736C5F -:041F9F00000000023C -:041FA000000189F0C3 -:041FA1000007000134 -:041FA20000013950B1 -:041FA300000173784E -:041FA4000000000237 -:041FA500000189FAB4 -:041FA60000EC00014A -:041FA70000013950AC -:041FA8000001738839 -:041FA9000000000232 -:041FAA00000189F2B7 -:041FAB0004B000A0DE -:041FAC0000013950A7 -:041FAD000001739428 -:041FAE00000000022D -:041FAF00000189ECB8 -:041FB000001F00000E -:041FB10000013950A2 -:041FB20000016EC0FC -:041FB300000000002A -:041FB4000001898F10 -:041FB5000001000126 -:041FB600000187940B -:041FB70000016ED0E7 -:041FB8000000000025 -:041FB900000189900A -:041FBA000001000121 -:041FBB000001879CFE -:041FBC0000016EE0D2 -:041FBD00000000011F -:041FBE000001899104 -:041FBF000018000006 -:041FC00000013C4898 -:041FC10000016EF0BD -:041FC200000000001B -:041FC3000001898A06 -:041FC4000001000117 -:041FC5000001879CF4 -:041FC60000016F00A7 -:041FC7000000000016 -:041FC8000001898B00 -:041FC9000001000112 -:041FCA000001879CEF -:041FCB0000016F148E -:041FCC000000000011 -:041FCD000001898CFA -:041FCE00000200010C -:041FCF0000017D741C -:041FD00000016F2875 -:041FD100000000010B -:041FD20000018992EF -:041FD300001F0000EB -:041FD40000013B7855 -:041FD50000016F3C5C -:041FD6000000000007 -:041FD7000001898DEF -:041FD8000001000103 -:041FD9000001879CE0 -:041FDA000001725C34 -:041FDB000000000101 -:041FDC000001896017 -:041FDD0000100000F0 -:041FDE0000013AFEC6 -:041FDF000001726C1F -:041FE00000000001FC -:041FE1000001896111 -:041FE20000100000EB -:041FE30000013AFEC1 -:041FE4000001727C0A -:041FE50000000001F7 -:041FE600000189620B -:041FE70000100000E6 -:041FE80000013AFEBC -:041FE9000001728CF5 -:041FEA0000000001F2 -:041FEB000001896305 -:041FEC0000100000E1 -:041FED0000013AFEB7 -:041FEE000001729CE0 -:041FEF0000000001ED -:041FF00000018964FF -:041FF10000100000DC -:041FF20000013AFEB2 -:041FF300000172ACCB -:041FF40000000001E8 -:041FF50000018965F9 -:041FF60000100000D7 -:041FF70000013AFEAD -:041FF800000172C0B2 -:041FF90000000001E3 -:041FFA0000018966F3 -:041FFB0000100000D2 -:041FFC0000013AFEA8 -:041FFD00000172D499 -:041FFE0000000001DE -:041FFF0000018967ED -:0420000000100000CC -:0420010000013AFEA2 -:04200200000172E87F -:0420030000000001D8 -:0420040000018968E6 -:0420050000100000C7 -:0420060000013AFE9D -:04200700000172FC66 -:0420080000000001D3 -:0420090000018969E0 -:04200A0000100000C2 -:04200B0000013AFE98 -:04200C00000173104C -:04200D0000000001CE -:04200E000001896ADA -:04200F0000100000BD -:0420100000013AFE93 -:0420110000016D0459 -:0420120000000003C7 -:042013000001883010 -:0420140000000000C8 -:0420150000000000C7 -:0420160000016D1840 -:0420170000000003C2 -:04201800000188102B -:0420190000000000C3 -:04201A0000000000C2 -:04201B0000016D2C27 -:04201C0000000003BD -:04201D00000188280E +:041F39004D352E30C4 +:041F3A0028207A4899 +:041F3B005654445361 +:041F3C000000002978 +:041F3D004D372E31BD +:041F3E0028207A4895 +:041F3F00565444456B +:041F40000000002974 +:041F41004D352E32BA +:041F420028207A4891 +:041F43002978616D2B +:041F44000000000099 +:041F4500484D3031A2 +:041F46006D28207A68 +:041F470000296465A4 +:041F4800484D33339A +:041F49006D28207A65 +:041F4A0000296E6993 +:041F4B002041475694 +:041F4C00783034367F +:041F4D0040303034BC +:041F4E000000303728 +:041F4F002041475690 +:041F5000783032377C +:041F510040303034B8 +:041F52000000303724 +:041F5300205654447C +:041F5400703038347D +:041F55000000000088 +:041F56004153455658 +:041F570030343620CC +:041F58003038347871 +:041F590000303640DE +:041F5A002050535070 +:041F5B00783038346E +:041F5C0000323732E6 +:041F5D002E63655238 +:041F5E0031303620C8 +:041F5F00000000007E +:041F60002E63655235 +:041F610039303720BC +:041F6200000000007B +:041F6300484D353977 +:041F64004828207A6F +:041F6500205654446A +:041F660000294949BC +:041F6700484D353379 +:041F68004828207A6B +:041F69002056544466 +:041F6A000000294901 +:041F6B00484D363176 +:041F6C004528207A6A +:041F6D002956544459 +:041F6E00000000006F +:041F6F007A484D3926 +:041F7000445328208E +:041F71000029565499 +:041F72006D20642555 +:041F73000000005614 +:041F74000001911EB9 +:041F75000000002840 +:041F7600000140889E +:041F7700322E252EB3 +:041F780000000075F0 +:041F79006C696146E8 +:041F7A002820646552 +:041F7B0000296425B0 +:041F7C00636E6143EC +:041F7D00656C6C65BE +:041F7E0000000064FB +:041F7F0064616F4CDE +:041F80002E676E69F1 +:041F810000002E2E00 +:041F8200732064253F +:041F830073746F6C98 +:041F8400616F6C20FD +:041F8500006465642B +:041F86006F706D49C2 +:041F8700203F747211 +:041F88002C593D3162 +:041F89004E3D322077 +:041F8A000000000053 +:041F8B004452535514 +:041F8C00004154417B +:041F8D006573753CC7 +:041F8E0000003E64AD +:041F8F0000017D745C +:041F9000000020002D +:041F910020002CE51B +:041F9200E926F4FD4B +:041F930038BC200036 +:041F94000000000049 +:041F950000017D804A +:041F96000000200027 +:041F97002000323EB6 +:041F9800F113FA0443 +:041F99003B61200088 +:041F9A000000000043 +:041F9B007830323731 +:041F9C0000303034AD +:041F9D00783034362E +:041F9E0000303034AB +:041F9F003030363177 +:041FA000303432782F +:041FA100C800000074 +:041FA20007FE00F046 +:041FA3000FCA20C081 +:041FA400221003966E +:041FA50000400002F6 +:041FA600303832316C +:041FA7003034327828 +:041FA800A000000095 +:041FA900061800F026 +:041FAA000FAA20C09A +:041FAB0022100348B5 +:041FAC000002040229 +:041FAD007830363919 +:041FAE000030343299 +:041FAF0078000000B6 +:041FB000049200F0A7 +:041FB1000F8020C0BD +:041FB20022100336C0 +:041FB3000000080220 +:041FB4007832313519 +:041FB5000030343292 +:041FB60040000000E7 +:041FB70002AA00F08A +:041FB8000E4D20C0EA +:041FB90022100332BD +:041FBA00008410107F +:041FBB00783438330B +:041FBC00003034328B +:041FBD0030000000F0 +:041FBE00020000F02D +:041FBF000E3B20C0F5 +:041FC00022100325C3 +:041FC10001082020D3 +:041FC200783032330E +:041FC3000030343284 +:041FC40028000000F1 +:041FC50001AA00F07D +:041FC6000E3120C0F8 +:041FC7002210031FC2 +:041FC8000210404083 +:041FC90078363532FF +:041FCA00003034327D +:041FCB0020000000F2 +:041FCC00015500F0CB +:041FCD000E2720C0FB +:041FCE0022100319C1 +:041FCF0004208080EA +:041FD0007030343207 +:041FD100000000000C +:041FD2005A080000A9 +:041FD300035A00F0BD +:041FD4000F3920C0E1 +:041FD5002210033E95 +:041FD6000000000EF9 +:041FD700303036313F +:041FD80030343278F7 +:041FD900C800004CF0 +:041FDA0007FE00F00E +:041FDB0029CA2700E8 +:041FDC002210039636 +:041FDD0000400002BE +:041FDE003038323134 +:041FDF0038383278E4 +:041FE000A00000005D +:041FE10006180120BD +:041FE2000FAA27001B +:041FE300221003487D +:041FE40000020402F1 +:041FE50078303639E1 +:041FE6000038383255 +:041FE700780000007E +:041FE800049201203E +:041FE9000F8027003E +:041FEA002210033688 +:041FEB0000000802E8 +:041FEC0078323135E1 +:041FED004C3034320E +:041FEE00400000426D +:041FEF0002AA00F052 +:041FF000294D270050 +:041FF1002210033285 +:041FF2000084101047 +:041FF30078343833D3 +:041FF4004C30343207 +:041FF5003000004276 +:041FF600020000F0F5 +:041FF700293B27005B +:041FF800221003258B +:041FF900010820209B +:041FFA0078303233D6 +:041FFB004C30343200 +:041FFC002800004277 +:041FFD0001AA00F045 +:041FFE00293127005E +:041FFF002210031F8A +:04200000021040404A +:0420010078363532C6 +:042002004C303432F8 +:042003002000004277 +:04200400015500F092 +:042005002927270060 +:042006002210031988 +:0420070004208080B1 +:0420080070383832C2 +:0420090000000000D3 +:04200A005A17000061 +:04200B00036001204D +:04200C001345270051 +:04200D002210033F5B +:04200E000000000EC0 +:04200F0078303834B9 +:042010000030363333 +:042011003C0000008F +:042012000258016807 +:042013000A3F2EE072 +:04201400441003264B +:042015000000000EB9 +:0420160078303432B8 +:04201700003036332C +:0420180020000000A4 +:04201900012C01682D +:04201A000A182EE092 +:04201B004410031258 +:04201C0000010100BE +:04201D0070343833B0 :04201E0000000000BE -:04201F0000000000BD -:0420200000016D400E -:0420210000000003B8 -:042022000001880031 -:0420230000000000B9 -:0420240000000000B8 -:0420250000016D54F5 -:0420260000000003B3 -:042027000001881814 -:0420280000000000B4 -:0420290000000000B3 -:04202A0000016D68DC -:04202B0000000003AE -:04202C00000188081F -:04202D0000000000AF -:04202E0000000000AE -:04202F0000016D7CC3 -:0420300000000003A9 -:04203100000187E83B -:0420320000000000AA -:0420330000000000A9 -:0420340000016D90AA -:0420350000000003A4 -:04203600000187E03E -:0420370000000000A5 -:0420380000000000A4 -:0420390000016DA491 -:04203A00000000039F -:04203B0000018820F8 -:04203C0000000000A0 -:04203D00000000009F -:04203E0000017030FD -:04203F00000000009D -:0420400000018971A1 -:042041000004000196 -:042042000001865CB7 -:0420430000017040E8 -:042044000000000098 -:04204500000189729B -:042046000004000191 -:04204700000186709E -:0420480000017050D3 -:042049000000000093 -:04204A000001897395 -:04204B00000300018D -:04204C000001868485 -:04204D0000017060BE -:04204E00000000008E -:04204F00000189748F -:04205000000100018A -:04205100000187AC57 -:0420520000017070A9 -:042053000000000089 -:042054000001897589 -:042055000001000185 -:04205600000187A45A -:042057000001708094 -:042058000000000084 -:042059000001896C8D -:04205A00000400017D -:04205B0000017DAC57 -:04205C000001708C83 -:04205D00000000007F -:04205E000001896D87 -:04205F000005000177 -:0420600000017DC03E -:042061000001709872 -:04206200000000007A -:042063000001896E81 -:042064000004000173 -:0420650000017DAC4D -:04206600000170A461 -:042067000000000075 -:042068000001896F7B -:04206900000400016E -:04206A0000017DAC48 -:04206B00000170B050 -:04206C000000000070 -:04206D000001897075 -:04206E00000200016B -:04206F0000017DD817 -:04207000000170C03B -:04207100000000006B -:04207200000189766A -:042073000001000167 -:042074000001878C54 -:04207500000170D026 -:042076000000000066 -:042077000001897B60 -:042078000002000161 -:04207900000186D804 -:04207A00000170D819 -:04207B000000000061 -:04207C000001897C5A -:04207D00000100015D -:04207E000001879C3A -:04207F0000016F4CA1 -:04208000000000015B -:04208100000189775A -:0420820000FF00005B -:0420830000013C32EA -:0420840000016F5C8C -:042085000000000156 -:042086000001897854 -:04208700003F000016 -:0420880000013C32E5 -:0420890000016F6C77 -:04208A000000000052 -:04208B000001897A4D -:04208C000007000049 -:04208D0000017DF4DD -:04208E0000016F7866 -:04208F00000000014C -:042090000001897949 -:04209100000F00003C -:0420920000013B7896 -:0420930000016F8851 -:042094000000000147 -:042095000001898E2F -:04209600001F000027 -:0420970000013B7891 -:0420980000016F9440 -:04209900000000043F -:04209A0000012662B9 -:04209B0000016CC014 -:04209C000000000040 -:04209D000001715875 -:04209E00000000003E -:04209F000001897D36 -:0420A0000002000139 -:0420A1000001869420 -:0420A2000001716860 -:0420A3000000000039 -:0420A4000001897E30 -:0420A5000001000135 -:0420A600000187BCF2 -:0420A700000171784B -:0420A8000000000034 -:0420A9000001897F2A -:0420AA000001000130 -:0420AB000001879C0D -:0420AC000001718C32 -:0420AD00000000002F -:0420AE000001898024 -:0420AF00000100012B -:0420B0000001879C08 -:0420B100000171A019 -:0420B2000000000327 -:0420B300000187D8C9 -:0420B40000017708A8 -:0420B500000138DA14 -:0420B60000016FA412 -:0420B7000000000025 -:0420B8000001895842 -:0420B9000002000120 -:0420BA00000186A0FB -:0420BB0000016FB001 -:0420BC00000000011F -:0420BD000001895E37 -:0420BE00000F00000F -:0420BF0000013C0CD4 -:0420C00000016FC0EC -:0420C100000000011A -:0420C2000001895A36 -:0420C300001C0000FD -:0420C40000013B22BA -:0420C50000016FD0D7 -:0420C6000000000016 -:0420C7000001895B30 -:0420C8000001000112 -:0420C900000187CCBF -:0420CA0000016FDCC6 -:0420CB000000000011 -:0420CC000001895C2A -:0420CD00000100010D -:0420CE000001879CEA -:0420CF0000016FECB1 -:0420D000000000000C -:0420D1000001895F22 -:0420D2000001000108 -:0420D300000187C4BD -:0420D40000016FFC9C -:0420D5000000000007 -:0420D6000001895D1F -:0420D7000001000103 -:0420D8000001879CE0 -:0420D9000001701082 +:04201F003E0000007F +:0420200002800180B9 +:042021001D3233A099 +:042022004410033E25 +:042023000000000EAB +:0420240030303631F1 +:0420250030303478AB +:04202600C8000000EE +:0420270007D001904D +:0420280022783820C2 +:04202900501002F061 +:04202A0000000400AE +:04202B0078303237A0 +:04202C00003030341C +:04202D005A00000055 +:04202E000384019096 +:04202F0022403820F3 +:0420300050100260EA +:042031000000000C9F +:042032007830343698 +:042033000030303415 +:042034005000000058 +:0420350003200190F3 +:0420360022303820FC +:0420370050100260E3 +:042038000000000C98 +:042039007830343691 +:04203A000034383303 +:04203B005000000051 +:04203C0003200180FC +:04203D003F303D8073 +:04203E0050100260DC +:04203F000000000E8F +:042040006930383497 +:04204100000000009B +:042042005A0600003A +:04204300035A00F04C +:042044000F3941A06F +:042045006210033EE4 +:042046000002040F81 +:042047007030383489 +:042048000000000094 +:042049005A02000037 +:04204A00035A01E054 +:04204B001E3C41A056 +:04204C008410063EB8 +:04204D000000000C83 +:04204E00783038347A +:04204F0000323732F2 +:042050003C0300004D +:04205100035A01101D +:0420520086B141A072 +:042053008410063EB1 +:042054000000020482 +:042055007830343675 +:0420560000303834EA +:042057005001000034 +:04205800032001E080 +:04205900213041A051 +:04205A009010026080 +:04205B000000000C75 +:04205C00783034366E +:04205D0000323135E7 +:04205E00500000002E +:04205F000320020058 +:042060001C304700E9 +:042061009010026079 +:042062000000000C6E +:04206300693637356E +:042064000000000078 +:042065005A15000008 +:0420660003600120F2 +:0420670013454E20AF +:042068006210033FC0 +:042069000002040F5E +:04206A007036373560 +:04206B000000000071 +:04206C005A11000005 +:04206D0003600240CA +:04206E0027444E2095 +:04206F008410054094 +:042070000000000C60 +:04207100783030385B +:0420720000303036D4 +:042073006400000005 +:0420740004200258EA +:0420750017584E802A +:0420760010100480C2 +:042077000000000461 +:04207800703032375B +:042079000030355F9F +:04207A00A0130000AF +:04207B0007BC02D0CC +:04207C0014DC5DC053 +:04207D00181005280A +:04207E00000000045A +:04207F007030323754 +:042080000030365F97 +:04208100A0040000B7 +:04208200067202D010 +:0420830014DC5DC04C +:042084001810052803 +:042085000000000453 +:04208600343230318F +:042087003836377838 +:0420880080000000D4 +:04208900054003000B +:04208A001DA064C071 +:04208B0010100688A3 +:04208C00000000044C +:04208D003038323184 +:04208E003230317843 +:04208F00A000003479 +:0420900006980400AA +:0420910026F8854068 +:0420920010100370B7 +:042093000000000445 +:042094007830343636 +:04209500693036393F +:0420960050000000F6 +:04209700032001E041 +:042098002130834030 +:04209900A41002602D +:04209A000000000D35 +:04209B003038303178 +:04209C0030355F6913 +:04209D00F01400003B +:04209E000A50021CC6 +:04209F000F948CA06E +:0420A000B810052C43 +:0420A1000000000D2E +:0420A2003038303171 +:0420A30030365F690B +:0420A400F005000043 +:0420A5000898021C79 +:0420A60010948CA066 +:0420A700B810052C3C +:0420A8000000000D27 +:0420A900303830316A +:0420AA0030355F70FE +:0420AB00F01F000022 +:0420AC000A5004389A +:0420AD0024948CA04B +:0420AE001810052CD5 +:0420AF000000000429 +:0420B0003038303163 +:0420B10030365F70F6 +:0420B200F01000002A +:0420B300089804384D +:0420B40024948CA044 +:0420B5001810052CCE +:0420B6000000000422 +:0420B700303036315E +:0420B8003032317819 +:0420B900C80000302B +:0420BA00087004B0F6 +:0420BB002EFF9C4018 +:0420BC00101003F10C +:0420BD00000000041B +:0420BE007665642FB0 +:0420BF006370652FB6 +:0420C0006F635F717A +:0420C1006F72746E58 +:0420C20072656C6C6B +:0420C300615F305FCA +:0420C4006D5F6C766A +:0420C50000006D6545 +:0420C6002B302D236B +:0420C70000000020F5 +:0420C800004C6C68F4 +:0420C900456766659C +:0420CA000000474685 +:0420CB00333231304B +:0420CC00373635343A +:0420CD00424139381B +:0420CE0046454443FC +:0420CF00000000000D +:0420D0003332313046 +:0420D1003736353435 +:0420D20062613938D6 +:0420D3006665646377 +:0420D4000000000008 +:0420D5001A8080806D +:0420D60000081A1ACA +:0420D7000000000005 +:0420D8000000000004 +:0420D900000182F888 :0420DA000000000002 -:0420DB00000189591E -:0420DC0000030001FC -:0420DD00000186ACCC -:0420DE000001701C71 -:0420DF0000000003FA -:0420E000000187F084 -:0420E10000000000FB -:0420E20000000000FA -:0420E30000016DB8D3 -:0420E40000000004F4 -:0420E500000123C80B -:0420E60000016CD8B1 +:0420DB000000000001 +:0420DC000001035CA0 +:0420DD000001041CDE +:0420DE00000101CE2E +:0420DF00000101EA11 +:0420E00000010236C3 +:0420E100008000007B +:0420E20000200000DA +:0420E30000000000F9 +:0420E40000000000F8 +:0420E50000000000F7 +:0420E60000000000F6 :0420E70000000000F5 -:0420E80000016DC8BE -:0420E90000000004EF -:0420EA000001242AA3 -:0420EB0000016CD8AC +:0420E80000000000F4 +:0420E90000000000F3 +:0420EA0000000000F2 +:0420EB0000000000F1 :0420EC0000000000F0 -:0420ED0000016DD8A9 -:0420EE0000000004EA -:0420EF0000012DBC03 +:0420ED0000000000EF +:0420EE0000000000EE +:0420EF0000000000ED :0420F00000000000EC :0420F10000000000EB -:0420F20000016DEC90 -:0420F30000000001E8 -:0420F4000001899DC1 -:0420F500000A0101DB -:0420F60000013C9811 -:0420F70000016E0076 +:0420F20000000000EA +:0420F30000000000E9 +:0420F40000000000E8 +:0420F50000000000E7 +:0420F60000000000E6 +:0420F70000000000E5 :0420F80000000000E4 -:0420F900000188D684 -:0420FA0000010001E0 -:0420FB000001879CBD -:0420FC0000016E145D +:0420F90000000000E3 +:0420FA0000000000E2 +:0420FB0000000000E1 +:0420FC0000000000E0 :0420FD0000000000DF -:0420FE0000018881D4 -:0420FF00000A0001D2 -:0421000000017D80DD -:0421010000016E2447 +:0420FE0000000000DE +:0420FF0000000000DD +:0421000000000000DB +:0421010000000000DA :0421020000000000D9 -:0421030000018954FA -:0421040000020001D4 -:0421050000017D68F0 -:0421060000016E382E -:0421070000000000D4 -:04210800000188B694 -:0421090000010001D0 -:04210A00000187B495 -:04210B0000016E4819 -:04210C0000000000CF -:04210D000001877BCB -:04210E0000010001CB -:04210F00000187B490 -:0421100000016E5804 -:0421110000000000CA -:0421120000018888B8 -:0421130000010001C6 -:04211400000187B48B -:0421150000016E68EF -:0421160000000000C5 -:04211700000188C279 -:0421180000030001BF -:0421190000017DE460 -:04211A0000016E78DA -:04211B0000000000C0 -:04211C0000018780B7 -:04211D0000010001BC -:04211E000001879C99 -:04211F0000016E84C9 -:0421200000000000BB -:0421210000018781B1 -:0421220000030001B5 -:042123000001864CE5 -:0421240000016E98B0 -:0421250000000004B2 -:0421260000014374FD -:0421270000000000B4 -:0421280000000000B3 -:0421290000016EAC97 -:04212A0000000004AD -:04212B00000134F487 -:04212C0000000000AF -:04212D0000000000AE -:04212E00000170E458 -:04212F0000000000AC -:04213000000189859C -:0421310000030001A6 -:04213200000186C85A -:04213300000170F443 -:0421340000000000A7 -:042135000001898696 -:0421360000020001A2 -:04213700000186BC61 -:04213800000171042D -:0421390000000001A1 -:04213A000001898295 -:04213B00001F000081 -:04213C0000013BE87B -:04213D000001711418 -:04213E00000000019C -:04213F00000189838F -:0421400000FF00009C -:0421410000013BA4BA -:042142000001712403 -:042143000000000197 -:042144000001898489 -:0421450000C80A00C4 -:0421460000013BA4B5 -:0421470000017134EE -:042148000000000192 -:042149000001898880 -:04214A00000500008C -:04214B0000013B8EC6 -:04214C0000017144D9 -:04214D00000000018D -:04214E00000189897A -:04214F000005000087 -:0421500000013B8EC1 -:04215100000171B464 -:042152000000000089 -:042153000001898777 -:042154000005000181 -:04215500000186E41B -:04215600000171C053 -:042157000000000084 -:042158000001898178 -:04215900000200017F -:04215A00000186FCFE -:04215B00000171D03E -:04215C00000000017E -:04215D00000189965E -:04215E0000FF00007E -:04215F0000013B78C8 -:04216000000171DC2D -:042161000000000179 -:042162000001899758 -:0421630000FF000079 -:0421640000013B78C3 -:04216500000171E81C -:042166000000000174 -:042167000001899852 -:0421680000FF000074 -:0421690000013B78BE -:04216A00000171F40B -:04216B00000000016F -:04216C00000189994C -:04216D0000FF00006F -:04216E0000013B78B9 -:04216F0000017200F9 -:04217000000000016A -:042171000001899A46 -:0421720000FF00006A -:0421730000013B78B4 -:042174000001720CE8 -:042175000000000165 -:042176000001899B40 -:0421770000FF000065 -:0421780000013B78AF -:0421790000017218D7 -:04217A000000000160 -:04217B000001899C3A -:04217C00000F000050 -:04217D0000013B78AA -:04217E0000017228C2 -:04217F00000000015B -:04218000000189933E -:0421810000E41C005A -:0421820000013B5CC1 -:042183000001723CA9 -:042184000000000156 -:042185000001899537 -:04218600000A00004B -:0421870000013B42D6 -:042188000001724C94 -:042189000000000151 -:04218A000001899433 -:04218B000007000049 -:04218C0000013B26ED -:04218D00000187F8CE -:04218E00000000004D +:0421030000000000D8 +:042104000001017461 +:042105000080000056 +:0421060000A0000035 +:0421070000020100D1 +:0421080000200000B3 +:0421090000000001D1 +:04210A0000000020B1 +:04210B0000010000CF +:04210C0000000100CE +:04210D0000000000CE +:04210E00020E0409B0 +:04210F003D0E00C8B9 +:042110003F6E038398 +:042111003ED03DACD3 +:042112000000038343 +:04211300026404B2AC +:042114003C9300E90F +:042115003F56041617 +:042116003E9F3D4962 +:0421170000000416AA +:04211800017804E561 +:042119003CCE008137 +:04211A003FAE03834E +:04211B003F333D49C8 +:04211C000000038339 +:04211D0001B405B84C +:04211E003C490093A5 +:04211F003F9F0416C4 +:042120003F103CD957 +:0421210000000416A0 +:0421220000017A68D6 +:0421230000017AB08D +:0421240000017AC07C +:0421250000017A68D3 +:0421260000017A6CCE +:0421270000017A70C9 +:0421280000016E4400 +:0421290000016E54EF +:04212A0000016E60E2 +:04212B0000016E6CD5 +:04212C0000016E78C8 +:04212D0000016E84BB +:04212E0000016E90AE +:04212F0000016E9CA1 +:0421300000016EA894 +:0421310000016EB487 +:0421320000016EC07A +:0421330000017C74B7 +:0421340000017C80AA +:0421350000017C9099 +:0421360000017CA088 +:0421370000017CB077 +:0421380000017CC066 +:0421390000017C74B1 +:04213A0000017C80A4 +:04213B0000017C9093 +:04213C0000017CA082 +:04213D0000017CB071 +:04213E0000017C50D0 +:04213F0000017C5CC3 +:0421400000017C68B6 +:0421410000017A68B7 +:0421420000017AE836 +:0421430000017AD449 +:0421440000017AEC30 +:0421450000017A74A7 +:0421460000017A7C9E +:0421470000017A8495 +:0421480000017A8C8C +:0421490000017A9483 +:04214A0000017A987E +:04214B0000017AA075 +:04214C0000017AA86C +:04214D00000179E82C +:04214E00000000028B +:04214F0000019126D4 +:042150000AF0012C64 +:0421510000013D8AC2 +:04215200000179F817 +:042153000000000286 +:042154000001912CC9 +:042155000013000073 +:0421560000013D8ABD +:0421570000017A0801 +:042158000000000281 +:042159000001912EC2 +:04215A0000FF000A78 +:04215B0000013D8AB8 +:04215C0000017A14F0 +:04215D00000000027C +:04215E000001911AD1 +:04215F0000FF00017C +:0421600000013D8AB3 +:0421610000017A24DB +:042162000000000277 +:0421630000019124C2 +:04216400078000C828 +:0421650000013D8AAE +:0421660000017A30CA +:042167000000000272 +:0421680000019120C1 +:04216900000700016A +:04216A0000013D8AA9 +:04216B0000017A3CB9 +:04216C00000000026D +:04216D000001912AB2 +:04216E0000EC000180 +:04216F0000013D8AA4 +:0421700000017A4CA4 +:042171000000000268 +:0421720000019122B5 +:0421730004B000A014 +:0421740000013D8A9F +:0421750000017A5893 +:042176000000000263 +:042177000001911CB6 +:04217800001F000044 +:0421790000013D8A9A +:04217A000001758467 +:04217B000000000060 +:04217C00000190BF0F +:04217D00000100015C +:04217E0000018EC40A +:04217F000001759452 +:04218000000000005B +:04218100000190C009 +:042182000001000157 +:0421830000018ECCFD +:04218400000175A43D +:042185000000000155 +:04218600000190C103 +:04218700001800003C +:042188000001406CA6 +:04218900000175B428 +:04218A000000000051 +:04218B00000190BA05 +:04218C00000100014D +:04218D0000018ECCF3 +:04218E00000175C413 :04218F00000000004C -:04219000000000004B -:04219100000000004A -:042192000000000049 -:0421930000017418BB -:042194000001741CB6 -:0421950000017420B1 -:04219600000173A42D -:0421970000017500CE -:042198000001751CB1 -:042199000001757458 -:04219A000001757C4F -:04219B000001758446 -:04219C0000017500C9 -:04219D000001751CAC -:04219E0000017524A3 -:04219F000001753492 -:0421A0000001754481 -:0421A10000017500C4 -:0421A2000001750CB7 -:0421A300000175546E -:0421A400000175645D -:0421A500000174D0F1 -:0421A6000001768836 -:0421A7000001769429 -:0421A800000173A41B -:0421A900000174D0ED -:0421AA00000174D8E4 -:0421AB000001748437 -:0421AC00000174902A -:0421AD000001749C1D -:0421AE00000174AC0C -:0421AF000001760CA9 -:0421B0000001762094 -:0421B1000001763083 -:0421B2000001764072 -:0421B3000001765061 -:0421B4000001765C54 -:0421B500000173A40E -:0421B600000174DCD4 -:0421B700000174E8C7 -:0421B800000174F8B6 -:0421B900000174D0DD -:0421BA00000173A409 -:0421BB00000176BCED -:0421BC00000176CCDC -:0421BD00000176DCCB -:0421BE00000176ECBA -:0421BF00000176A401 -:0421C000000176B0F4 -:0421C100000174D0D5 -:0421C2000000000019 -:0421C3000000000018 -:0421C4000000000017 -:0421C5000000000016 -:0421C6000000000015 -:0421C7000000000014 -:0421C8000000000013 -:0421C9000000000012 -:0421CA000000000011 -:0421CB000000000010 -:0421CC00000000000F -:0421CD00000000000E -:0421CE00000000000D -:0421CF00000000000C -:0421D000000000000B -:0421D100000000000A -:0421D2000000000009 -:0421D3000000000008 -:0421D4000000000007 -:0421D5000000000006 -:0421D6000000000005 -:0421D7000000000004 -:0421D8000000000003 -:0421D9000000000002 -:0421DA00000165ECAF -:0421DB000001876C0C -:0421DC000001876C0B -:0421DD00001080105E -:0421DE00010080007C -:0421DF0000024000BA -:0421E00000000101F9 -:0421E1000002800078 -:0421E20000022000D7 -:0421E300000175B8CA -:0421E400000174FC86 -:0421E5000001745031 -:0421E600000174641C -:0421E700000173A4DC -:0421E800000174D8A6 -:0421E900000175007C -:0421EA000001750C6F -:0421EB00000175007A -:0421EC000001751C5D -:0421ED000001740871 -:0421EE000001741068 -:0421EF00000176680D -:0421F00000017678FC -:0421F10000017478FD -:0421F2000001747CF8 -:0421F300000174B4BF -:0421F400000174C4AE -:0421F5000001870856 -:0421F60000000009DC -:0421F70000017E1451 +:04219000000190BBFF +:042191000001000148 +:0421920000018ECCEE +:04219300000175D8FA +:042194000000000047 +:04219500000190BCF9 +:042196000002000142 +:04219700000184942B +:04219800000175ECE1 +:042199000000000141 +:04219A00000190C2EE +:04219B00001F000021 +:04219C0000013F9C63 +:04219D0000017600C7 +:04219E00000000003D +:04219F00000190BDEE +:0421A0000001000139 +:0421A10000018ECCDF +:0421A200000179209F +:0421A3000000000137 +:0421A4000001909016 +:0421A5000010000026 +:0421A60000013F22D3 +:0421A700000179308A +:0421A8000000000132 +:0421A9000001909110 +:0421AA000010000021 +:0421AB0000013F22CE +:0421AC000001794075 +:0421AD00000000012D +:0421AE00000190920A +:0421AF00001000001C +:0421B00000013F22C9 +:0421B1000001795060 +:0421B2000000000128 +:0421B3000001909304 +:0421B4000010000017 +:0421B50000013F22C4 +:0421B600000179604B +:0421B7000000000123 +:0421B80000019094FE +:0421B9000010000012 +:0421BA0000013F22BF +:0421BB000001797036 +:0421BC00000000011E +:0421BD0000019095F8 +:0421BE00001000000D +:0421BF0000013F22BA +:0421C000000179841D +:0421C1000000000119 +:0421C20000019096F2 +:0421C3000010000008 +:0421C40000013F22B5 +:0421C5000001799804 +:0421C6000000000114 +:0421C70000019097EC +:0421C8000010000003 +:0421C90000013F22B0 +:0421CA00000179ACEB +:0421CB00000000010F +:0421CC0000019098E6 +:0421CD0000100000FE +:0421CE0000013F22AB +:0421CF00000179C0D2 +:0421D000000000010A +:0421D10000019099E0 +:0421D20000100000F9 +:0421D30000013F22A6 +:0421D400000179D4B9 +:0421D5000000000105 +:0421D6000001909ADA +:0421D70000100000F4 +:0421D80000013F22A1 +:0421D900000173D0BE +:0421DA0000000003FE +:0421DB0000018F6010 +:0421DC0000000000FF +:0421DD0000000000FE +:0421DE00000173E4A5 +:0421DF0000000003F9 +:0421E00000018F402B +:0421E10000000000FA +:0421E20000000000F9 +:0421E300000173F88C +:0421E40000000003F4 +:0421E50000018F580E +:0421E60000000000F5 +:0421E70000000000F4 +:0421E8000001740C72 +:0421E90000000003EF +:0421EA0000018F3031 +:0421EB0000000000F0 +:0421EC0000000000EF +:0421ED000001742059 +:0421EE0000000003EA +:0421EF0000018F4814 +:0421F00000000000EB +:0421F10000000000EA +:0421F2000001743440 +:0421F30000000003E5 +:0421F40000018F381F +:0421F50000000000E6 +:0421F60000000000E5 +:0421F7000001744827 :0421F80000000003E0 -:0421F90000017EC89B -:0421FA0000000005DC -:0421FB0000017F045C -:0421FC000000000BD4 -:0421FD0000017F68F6 -:0421FE0000000009D4 -:0421FF000001804417 -:042200000000000DCD -:04220100000180F860 -:0422020000000006D2 -:04220300000181FC59 -:0422040000000005D1 -:0422050000018274DE -:0422060000000009CB -:04220700000182D878 -:042208000000000FC3 -:042209000001838CC1 -:04220A0000000007C9 -:04220B00000184B892 -:04220C000000000CC2 -:04220D000001854403 -:04220E00C896554BCE +:0421F90000018F183A +:0421FA0000000000E1 +:0421FB0000000000E0 +:0421FC000001745C0E +:0421FD0000000003DB +:0421FE0000018F103D +:0421FF0000000000DC +:0422000000000000DA +:0422010000017470F4 +:0422020000000003D5 +:0422030000018F50F7 +:0422040000000000D6 +:0422050000000000D5 +:04220600000176F469 +:0422070000000000D3 +:04220800000190A1A0 +:0422090000040001CC +:04220A0000018D88BA +:04220B000001770453 +:04220C0000000000CE +:04220D00000190A29A +:04220E0000040001C7 +:04220F0000018D9CA1 +:04221000000177143E +:0422110000000000C9 +:04221200000190A394 +:0422130000030001C3 +:0422140000018DB088 +:042215000001772429 +:0422160000000000C4 +:04221700000190A48E +:0422180000010001C0 +:0422190000018EDC56 +:04221A000001773414 +:04221B0000000000BF +:04221C00000190A588 +:04221D0000010001BB +:04221E0000018ED459 +:04221F0000017744FF +:0422200000000000BA +:042221000001909C8C +:0422220000040001B3 +:04222300000184CC66 +:0422240000017750EE +:0422250000000000B5 +:042226000001909D86 +:0422270000050001AD +:04222800000184E04D +:042229000001775CDD +:04222A0000000000B0 +:04222B000001909E80 +:04222C0000040001A9 +:04222D00000184CC5C +:04222E0000017768CC +:04222F0000000000AB +:042230000001909F7A +:0422310000040001A4 +:04223200000184CC57 +:0422330000017774BB +:0422340000000000A6 +:04223500000190A074 +:0422360000020001A1 +:04223700000184F826 +:0422380000017784A6 +:0422390000000000A1 +:04223A00000190A669 +:04223B00000100019D +:04223C0000018EBC53 +:04223D000001779491 +:04223E00000000009C +:04223F00000190AB5F +:042240000002000197 +:0422410000018E0802 +:042242000001779C84 +:042243000000000097 +:04224400000190AC59 +:042245000001000193 +:0422460000018ECC39 +:04224700000176100C +:042248000000000191 +:04224900000190A759 +:04224A0000FF000091 +:04224B0000014056F8 +:04224C0000017620F7 +:04224D00000000018C +:04224E00000190A853 +:04224F00003F00004C +:0422500000014056F3 +:0422510000017630E2 +:042252000000000088 +:04225300000190AA4C +:04225400000700007F +:0422550000018514EB +:042256000001763CD1 +:042257000000000182 +:04225800000190A948 +:04225900000F000072 +:04225A0000013F9CA4 +:04225B000001764CBC +:04225C00000000017D +:04225D00000190BE2E +:04225E00001F00005D +:04225F0000013F9C9F +:0422600000017658AB +:042261000000000475 +:04226200000127F858 +:04226300000173946F +:042264000000000076 +:042265000001781CE0 +:042266000000000074 +:04226700000190AD35 +:04226800000300016E +:0422690000018DC023 +:04226A000001782CCB +:04226B00000000006F +:04226C00000190AE2F +:04226D00000100016B +:04226E0000018EECF1 +:04226F000001783CB6 +:04227000000000006A +:04227100000190AF29 +:042272000001000166 +:0422730000018ECC0C +:04227400000178509D +:042275000000000065 +:04227600000190B023 +:042277000001000161 +:0422780000018ECC07 +:042279000001786484 +:04227A00000000035D +:04227B0000018F08C7 +:04227C0000017DD010 +:04227D0000013D140B +:04227E00000176687D +:04227F00000000005B +:042280000001908841 +:042281000002000156 +:0422820000018DD0FA +:04228300000176746C +:042284000000000155 +:042285000001908E36 +:04228600000F000045 +:0422870000014030E2 +:042288000001768457 +:042289000000000150 +:04228A000001908A35 +:04228B00001C000033 +:04228C0000013F46C8 +:04228D000001769442 +:04228E00000000004C +:04228F000001908B2F +:042290000001000148 +:0422910000018EFCBE +:04229200000176A031 +:042293000000000047 +:042294000001908C29 +:042295000001000143 +:0422960000018ECCE9 +:04229700000176B01C +:042298000000000042 +:042299000001908F21 +:04229A00000100013E +:04229B0000018EF4BC +:04229C00000176C007 +:04229D00000000003D +:04229E000001908D1E +:04229F000001000139 +:0422A00000018ECCDF +:0422A100000176D4EE +:0422A2000000000038 +:0422A300000190891D +:0422A4000003000132 +:0422A50000018DDCCB +:0422A600000176E0DD +:0422A7000000000330 +:0422A80000018F2082 +:0422A9000000000031 +:0422AA000000000030 +:0422AB000001748436 +:0422AC00000000042A +:0422AD0000012560A7 +:0422AE00000173AC0C +:0422AF00000000002B +:0422B0000001749421 +:0422B1000000000425 +:0422B200000125C240 +:0422B300000173AC07 +:0422B4000000000026 +:0422B500000174A40C +:0422B6000000000420 +:0422B70000012F14DF +:0422B8000000000022 +:0422B9000000000021 +:0422BA00000174B8F3 +:0422BB00000000011E +:0422BC00000190CDC0 +:0422BD00000A010111 +:0422BE00000140BC1F +:0422BF00000174CCDA +:0422C000000000001A +:0422C1000001900682 +:0422C2000001000116 +:0422C30000018ECCBC +:0422C400000174E0C1 +:0422C5000000000015 +:0422C60000018FB2D2 +:0422C700000A000108 +:0422C800000184A0ED +:0422C900000174F0AC +:0422CA000000000010 +:0422CB0000019084FA +:0422CC00000200010B +:0422CD000001848800 +:0422CE000001750492 +:0422CF00000000000B +:0422D00000018FE694 +:0422D1000001000107 +:0422D20000018EE495 +:0422D300000175147D +:0422D4000000000006 +:0422D50000018EABCB +:0422D6000001000102 +:0422D70000018EE490 +:0422D8000001752468 +:0422D9000000000001 +:0422DA0000018FB8B8 +:0422DB0000010001FD +:0422DC0000018EE48B +:0422DD000001753453 +:0422DE0000000000FC +:0422DF0000018FF279 +:0422E00000030001F6 +:0422E100000185046F +:0422E200000175443E +:0422E30000000000F7 +:0422E40000018EB0B7 +:0422E50000020001F2 +:0422E60000018D6CFA +:0422E7000001754835 +:0422E80000000000F2 +:0422E90000018EB1B1 +:0422EA0000030001EC +:0422EB0000018D78E9 +:0422EC000001755C1C +:0422ED0000000004E9 +:0422EE0000014A643D +:0422EF0000000000EB +:0422F00000000000EA +:0422F1000001757003 +:0422F20000000004E4 +:0422F3000001395855 +:0422F40000000000E6 +:0422F50000000000E5 +:0422F600000177A8C4 +:0422F70000000000E3 +:0422F800000190B59C +:0422F90000030001DD +:0422FA0000018DF85A +:0422FB00000177B8AF +:0422FC0000000000DE +:0422FD00000190B696 +:0422FE0000020001D9 +:0422FF0000018DEC61 +:04230000000177C899 +:0423010000000001D7 +:04230200000190B294 +:04230300001F0000B7 +:042304000001400C88 +:04230500000177D884 +:0423060000000001D2 +:04230700000190B38E +:0423080000FF0000D2 +:0423090000013FC8C8 +:04230A00000177E86F +:04230B0000000001CD +:04230C00000190B488 +:04230D0000C80A00FA +:04230E0000013FC8C3 +:04230F00000177F85A +:0423100000000001C8 +:04231100000190B87F +:0423120000050000C2 +:0423130000013FB2D4 +:042314000001780844 +:0423150000000001C3 +:04231600000190B979 +:0423170000050000BD +:0423180000013FB2CF +:0423190000017878CF +:04231A0000000000BF +:04231B00000190B776 +:04231C0000050001B7 +:04231D0000018E1419 +:04231E0000017884BE +:04231F0000000000BA +:04232000000190B177 +:0423210000020001B5 +:0423220000018E2CFC +:0423230000017894A9 +:0423240000000001B4 +:04232500000190C65D +:0423260000FF0000B4 +:0423270000013F9CD6 +:04232800000178A098 +:0423290000000001AF +:04232A00000190C757 +:04232B0000FF0000AF +:04232C0000013F9CD1 +:04232D00000178AC87 +:04232E0000000001AA +:04232F00000190C851 +:0423300000FF0000AA +:0423310000013F9CCC +:04233200000178B876 +:0423330000000001A5 +:04233400000190C94B +:0423350000FF0000A5 +:0423360000013F9CC7 +:04233700000178C465 +:0423380000000001A0 +:04233900000190CA45 +:04233A0000FF0000A0 +:04233B0000013F9CC2 +:04233C00000178D054 +:04233D00000000019B +:04233E00000190CB3F +:04233F0000FF00009B +:0423400000013F9CBD +:04234100000178DC43 +:042342000000000196 +:04234300000190CC39 +:04234400000F000086 +:0423450000013F9CB8 +:04234600000178EC2E +:042347000000000191 +:04234800000190C33D +:0423490000E41C0090 +:04234A0000013F80CF +:04234B000001790014 +:04234C00000000018C +:04234D00000190C536 +:04234E00000A000081 +:04234F0000013F66E4 +:0423500000017910FF +:042351000000000187 +:04235200000190C432 +:04235300000700007F +:0423540000013F4AFB +:0423550000018F28CC +:042356000000000083 +:042357000000000082 +:042358000000000081 +:042359000000000080 +:04235A00000000007F +:04235B0000017A689B +:04235C0000017AD82A +:04235D0000017AE021 +:04235E0000017ACC34 +:04235F0000017AD02F +:0423600000017AD42A +:0423610000017A6895 +:0423620000017BC437 +:0423630000017BE01A +:0423640000017C38C0 +:0423650000017C40B7 +:0423660000017C48AE +:0423670000017BC432 +:0423680000017BE015 +:0423690000017BE80C +:04236A0000017BF8FB +:04236B0000017C08E9 +:04236C0000017BC42D +:04236D0000017BD020 +:04236E0000017C18D6 +:04236F0000017C28C5 +:0423700000017B9459 +:0423710000017D4C9E +:0423720000017D5891 +:0423730000017D6880 +:0423740000017A6882 +:0423750000017B9454 +:0423760000017B9C4B +:0423770000017B489E +:0423780000017B5491 +:0423790000017B6084 +:04237A0000017B7073 +:04237B0000017CD011 +:04237C0000017CE4FC +:04237D0000017CF4EB +:04237E0000017D04D9 +:04237F0000017D14C8 +:0423800000017D20BB +:0423810000017A6875 +:0423820000017BA03B +:0423830000017BAC2E +:0423840000017BBC1D +:0423850000017B9444 +:0423860000017A6870 +:0423870000017D8C48 +:0423880000017D9C37 +:0423890000017DAC26 +:04238A0000017DBC15 +:04238B0000017D745C +:04238C0000017D804F +:04238D0000017B943C +:04238E00000000004B +:04238F00000000004A +:042390000000000049 +:042391000000000048 +:042392000000000047 +:042393000000000046 +:042394000000000045 +:042395000000000044 +:042396000000000043 +:042397000000000042 +:042398000000000041 +:042399000000000040 +:04239A00000000003F +:04239B00000000003E +:04239C00000000003D +:04239D00000000003C +:04239E00000000003B +:04239F00000000003A +:0423A0000000000039 +:0423A1000000000038 +:0423A2000000000037 +:0423A3000000000036 +:0423A4000000000035 +:0423A5000000000034 +:0423A60000018E980C +:0423A70000018E980B +:0423A80000016C8242 +:0423A9000010801090 +:0423AA0001008000AE +:0423AB0000024000EC +:0423AC00000001012B +:0423AD0000028000AA +:0423AE000002200009 +:0423AF0000017C7C31 +:0423B00000017BC0ED +:0423B10000017B1498 +:0423B20000017B2883 +:0423B30000017A6843 +:0423B40000017B9C0D +:0423B50000017BC4E4 +:0423B60000017BD0D7 +:0423B70000017BC4E2 +:0423B80000017BE0C5 +:0423B90000016E644D +:0423BA0000016E7040 +:0423BB0000017D2C74 +:0423BC0000017D3C63 +:0423BD0000017B3C64 +:0423BE0000017B405F +:0423BF0000017B7826 +:0423C00000017B8815 +:0423C10000018E3851 +:0423C200000000090E +:0423C300000185345C +:0423C4000000000312 +:0423C500000185E8A6 +:0423C600000000050E +:0423C7000001862467 +:0423C8000000000B06 +:0423C9000001868801 +:0423CA000000000906 +:0423CB000001876422 +:0423CC000000000D00 +:0423CD00000188186B +:0423CE000000000605 +:0423CF000001891C64 +:0423D0000000000504 +:0423D10000018994EA +:0423D20000000009FE +:0423D300000189F884 +:0423D4000000000FF6 +:0423D50000018AACCD +:0423D60000000007FC +:0423D70000018BD89E +:0423D8000000000CF5 +:0423D90000018C640F +:0423DA00C896554B01 :00000001FF diff --git a/software/sys_controller/memory/flash.c b/software/sys_controller/memory/flash.c index b47e45b..9c13ffa 100644 --- a/software/sys_controller/memory/flash.c +++ b/software/sys_controller/memory/flash.c @@ -23,75 +23,23 @@ #include "flash.h" #include "utils.h" -extern alt_epcq_controller_dev epcq_controller_0; +// save some code space +#define SINGLE_FLASH_INSTANCE -alt_epcq_controller_dev *epcq_controller_dev; +alt_flash_dev *epcq_dev; -int check_flash() +int init_flash() { - epcq_controller_dev = &epcq_controller_0; +#ifdef SINGLE_FLASH_INSTANCE + extern alt_llist alt_flash_dev_list; + epcq_dev = (alt_flash_dev*)alt_flash_dev_list.next; +#else + epcq_dev = alt_flash_open_dev(EPCQ_CONTROLLER_0_AVL_MEM_NAME); +#endif - if ((epcq_controller_dev == NULL) || !(epcq_controller_dev->is_epcs && (epcq_controller_dev->page_size == PAGESIZE))) - return -FLASH_DETECT_ERROR; - - printf("Flash size in bytes: %lu\nSector size: %lu (%lu pages)\nPage size: %lu\n", - epcq_controller_dev->size_in_bytes, epcq_controller_dev->sector_size, epcq_controller_dev->sector_size/epcq_controller_dev->page_size, epcq_controller_dev->page_size); - - return 0; -} - -int read_flash(alt_u32 offset, alt_u32 length, alt_u8 *dstbuf) -{ - int retval, i; - - retval = alt_epcq_controller_read(&epcq_controller_dev->dev, offset, dstbuf, length); - if (retval != 0) - return -FLASH_READ_ERROR; - - return 0; -} - -int write_flash_page(alt_u8 *pagedata, alt_u32 length, alt_u32 pagenum) -{ - int retval, i; - - if ((pagenum % PAGES_PER_SECTOR) == 0) { - printf("Erasing sector %u\n", (unsigned)(pagenum/PAGES_PER_SECTOR)); - retval = alt_epcq_controller_erase_block(&epcq_controller_dev->dev, pagenum*PAGESIZE); - - if (retval != 0) { - printf("Flash erase error, sector %u\nRetval %d\n", (unsigned)(pagenum/PAGES_PER_SECTOR), retval); - return -FLASH_ERASE_ERROR; - } - } - - retval = alt_epcq_controller_write_block(&epcq_controller_dev->dev, (pagenum/PAGES_PER_SECTOR)*PAGES_PER_SECTOR*PAGESIZE, pagenum*PAGESIZE, pagedata, length); - - if (retval != 0) { - printf("Flash write error, page %u\nRetval %d\n", (unsigned)pagenum, retval); - return -FLASH_WRITE_ERROR; - } - - return 0; -} - -int write_flash(alt_u8 *buf, alt_u32 length, alt_u32 pagenum) -{ - int retval; - alt_u32 bytes_to_w; - - while (length > 0) { - bytes_to_w = (length > PAGESIZE) ? PAGESIZE : length; - - retval = write_flash_page(buf, bytes_to_w, pagenum); - if (retval != 0) - return retval; - - buf += bytes_to_w; - length -= bytes_to_w; - ++pagenum; - } + if (epcq_dev == NULL) + return -1; return 0; } @@ -104,7 +52,8 @@ int verify_flash(alt_u32 offset, alt_u32 length, alt_u32 golden_crc, alt_u8 *tmp for (i=0; i 0) { bytes_to_rw = (length < SD_BLK_SIZE) ? length : SD_BLK_SIZE; - retval = SD_Read(&sdcard_dev, tmpbuf, sd_blknum, 0, bytes_to_rw); - if (retval != 0) { + res = SD_Read(&sdcard_dev, tmpbuf, sd_blknum, 0, bytes_to_rw); + if (res != SD_OK) { printf("Failed to read SD card\n"); - return -retval; + return -res; } - retval = write_flash(tmpbuf, bytes_to_rw, flash_pagenum); + if ((flash_pagenum % PAGES_PER_SECTOR) == 0) { + retval = alt_epcq_controller_erase_block(epcq_dev, flash_pagenum*PAGESIZE); + if (retval != 0) + return retval; + } + + retval = alt_epcq_controller_write_block(epcq_dev, ((flash_pagenum/PAGES_PER_SECTOR)*SECTORSIZE), flash_pagenum*PAGESIZE, tmpbuf, bytes_to_rw); if (retval != 0) return retval; diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index c4f326b..c7f394b 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -106,17 +106,38 @@ volatile sc_regs *sc = (volatile sc_regs*)SC_CONFIG_0_BASE; volatile osd_regs *osd = (volatile osd_regs*)OSD_GENERATOR_0_BASE; volatile pll_reconfig_regs *pll_reconfig = (volatile pll_reconfig_regs*)PLL_RECONFIG_0_BASE; -inline void lcd_write_menu() +void ui_disp_menu(alt_u8 osd_mode) { - strncpy((char*)osd->osd_chars.row1, menu_row1, LCD_ROW_LEN); - strncpy((char*)osd->osd_chars.row2, menu_row2, LCD_ROW_LEN); + alt_u8 menu_page; + + if ((osd_mode == 1) || (osd_enable == 2)) { + strncpy((char*)osd->osd_array.data[0][0], menu_row1, OSD_CHAR_COLS); + strncpy((char*)osd->osd_array.data[1][0], menu_row2, OSD_CHAR_COLS); + osd->osd_row_color.mask = 0; + osd->osd_sec_enable[0].mask = 3; + osd->osd_sec_enable[1].mask = 0; + } else if (osd_mode == 2) { + menu_page = get_current_menunavi()->mp; + strncpy((char*)osd->osd_array.data[menu_page][1], menu_row2, OSD_CHAR_COLS); + osd->osd_sec_enable[1].mask |= (1<osd_chars.row1, row1, LCD_ROW_LEN); - strncpy((char*)osd->osd_chars.row2, row2, LCD_ROW_LEN); - lcd_write((char*)&row1, (char*)&row2); +void ui_disp_status(alt_u8 refresh_osd_timer) { + if (!menu_active) { + if (refresh_osd_timer) + osd->osd_config.status_refresh = 1; + + strncpy((char*)osd->osd_array.data[0][0], row1, OSD_CHAR_COLS); + strncpy((char*)osd->osd_array.data[1][0], row2, OSD_CHAR_COLS); + osd->osd_row_color.mask = 0; + osd->osd_sec_enable[0].mask = 3; + osd->osd_sec_enable[1].mask = 0; + + lcd_write((char*)&row1, (char*)&row2); + } } #ifdef ENABLE_AUDIO @@ -651,10 +672,7 @@ void program_mode() sniprintf(row1, LCD_ROW_LEN+1, "%s %u-%c", avinput_str[cm.avinput], (unsigned)cm.totlines, cm.progressive ? 'p' : 'i'); sniprintf(row2, LCD_ROW_LEN+1, "%u.%.2ukHz %u.%.2uHz", (unsigned)(h_hz/1000), (unsigned)((h_hz%1000)/10), (unsigned)(v_hz_x100/100), (unsigned)(v_hz_x100%100)); - if (!menu_active) { - osd->osd_config.status_refresh = 1; - lcd_write_status(); - } + ui_disp_status(1); //printf ("Get mode id with %u %u %f\n", totlines, progressive, hz); cm.id = get_mode_id(cm.totlines, cm.progressive, v_hz_x100/100, h_syncinlen); @@ -842,8 +860,8 @@ int init_hw() } #endif - if (check_flash() != 0) { - printf("Error: incorrect flash type detected\n"); + if (init_flash() != 0) { + printf("Error: could not find flash\n"); return -1; } @@ -863,17 +881,18 @@ int init_hw() osd->osd_config.y_size = 0; osd->osd_config.x_offset = 3; osd->osd_config.y_offset = 3; - osd->osd_config.enable = osd_enable; + osd->osd_config.enable = !!osd_enable; osd->osd_config.status_timeout = osd_status_timeout; - - // Setup remote keymap - if (!(IORD_ALTERA_AVALON_PIO_DATA(PIO_1_BASE) & PB1_BIT)) - setup_rc(); + osd->osd_config.border_color = 1; // init always in HDMI mode (fixes yellow screen bug) cm.hdmitx_vic = HDMI_480p60; TX_enable(TX_HDMI_RGB); + // Setup remote keymap + if (!(IORD_ALTERA_AVALON_PIO_DATA(PIO_1_BASE) & PB1_BIT)) + setup_rc(); + return 0; } @@ -886,7 +905,7 @@ int latency_test() { sys_ctrl |= LT_ACTIVE|(position<osd_config.status_refresh = 1; - lcd_write_status(); + ui_disp_status(1); usleep(500000); } else { sniprintf(row1, LCD_ROW_LEN+1, "Init error %d", init_stat); strncpy(row2, "", LCD_ROW_LEN+1); - osd->osd_config.status_refresh = 1; - lcd_write_status(); + ui_disp_status(1); while (1) {} } @@ -1146,10 +1163,7 @@ int main() cm.clkcnt = 0; //TODO: proper invalidate strncpy(row1, avinput_str[cm.avinput], LCD_ROW_LEN+1); strncpy(row2, " NO SYNC", LCD_ROW_LEN+1); - if (!menu_active) { - osd->osd_config.status_refresh = 1; - lcd_write_status(); - } + ui_disp_status(1); if (man_input_change) { // record last input if it was selected manually if (def_input == AV_LAST) @@ -1180,8 +1194,13 @@ int main() if ((osd_enable != osd_enable_pre) || (osd_status_timeout != osd_status_timeout_pre)) { osd_enable = osd_enable_pre; osd_status_timeout = osd_status_timeout_pre; - osd->osd_config.enable = osd_enable; + osd->osd_config.enable = !!osd_enable; osd->osd_config.status_timeout = osd_status_timeout; + if (menu_active) { + remote_code = 0; + render_osd_page(); + display_menu(1); + } } if (cm.avinput != AV_TESTPAT) { @@ -1201,10 +1220,7 @@ int main() //ths_source_sel(THS_STANDBY, 0); strncpy(row1, avinput_str[cm.avinput], LCD_ROW_LEN+1); strncpy(row2, " NO SYNC", LCD_ROW_LEN+1); - if (!menu_active) { - osd->osd_config.status_refresh = 1; - lcd_write_status(); - } + ui_disp_status(1); alt_timestamp_start();// reset auto input timer auto_input_ctr = 0; auto_input_current_ctr = 0; diff --git a/software/sys_controller/ossc/av_controller.h b/software/sys_controller/ossc/av_controller.h index e0f4f63..e52dbab 100644 --- a/software/sys_controller/ossc/av_controller.h +++ b/software/sys_controller/ossc/av_controller.h @@ -115,8 +115,8 @@ typedef struct { avconfig_t cc; } avmode_t; -inline void lcd_write_menu(); -inline void lcd_write_status(); +void ui_disp_menu(alt_u8 osd_mode); +void ui_disp_status(alt_u8 refresh_osd_timer); int load_profile(); int save_profile(); diff --git a/software/sys_controller/ossc/controls.c b/software/sys_controller/ossc/controls.c index 52389b8..8de62e9 100644 --- a/software/sys_controller/ossc/controls.c +++ b/software/sys_controller/ossc/controls.c @@ -25,6 +25,7 @@ #include "av_controller.h" #include "video_modes.h" #include "userdata.h" +#include "firmware.h" #include "lcd.h" #include "altera_avalon_pio_regs.h" @@ -50,6 +51,8 @@ extern alt_u8 update_cur_vm, vm_edit; extern volatile sc_regs *sc; extern volatile osd_regs *osd; +extern menu_t menu_scanlines, menu_advtiming; + alt_u32 remote_code; alt_u8 remote_rpt, remote_rpt_prev; alt_u32 btn_code, btn_code_prev; @@ -62,7 +65,8 @@ void setup_rc() for (i=0; iosd_config.menu_active = 1; + ui_disp_menu(1); confirm = 0; while (1) { @@ -73,14 +77,14 @@ void setup_rc() if (confirm == 0) { rc_keymap[i] = remote_code; strncpy(menu_row1, "Confirm", LCD_ROW_LEN+1); - lcd_write_menu(); + ui_disp_menu(1); confirm = 1; } else { if (remote_code == rc_keymap[i]) { confirm = 2; } else { strncpy(menu_row1, "Mismatch, retry", LCD_ROW_LEN+1); - lcd_write_menu(); + ui_disp_menu(1); confirm = 0; } } @@ -106,6 +110,8 @@ void setup_rc() } } write_userdata(INIT_CONFIG_SLOT); + + osd->osd_config.menu_active = 0; } int parse_control() @@ -153,43 +159,96 @@ int parse_control() osd->osd_config.menu_active = menu_active; profile_sel_menu = profile_sel; - if (menu_active) + if (menu_active) { + render_osd_page(); display_menu(1); - else - lcd_write_status(); + } else { + ui_disp_status(0); + } break; case RC_INFO: sc_status = sc->sc_status; sc_status2 = sc->sc_status2; - sniprintf(menu_row1, LCD_ROW_LEN+1, "Prof.%u %9s", profile_sel, video_modes[cm.id].name); - if (cm.sync_active) { - //fpga_v_hz_x100 = (100*TVP_EXTCLK_HZ)/IORD_ALTERA_AVALON_PIO_DATA(PIO_8_BASE); - /*sniprintf(menu_row2, LCD_ROW_LEN+1, "%4lu%c%c %3lu.%.2luHz", (((fpga_status & 0x7ff)+1)<> 16) & 0x3) ? '*' : ' ', - fpga_v_hz_x100/100, - fpga_v_hz_x100%100);*/ - sniprintf(menu_row2, LCD_ROW_LEN+1, "%4lu-%c%c %lu", (unsigned long)((sc_status.vmax+1)<osd_array.data, 0, sizeof(osd_char_array)); + sniprintf((char*)osd->osd_array.data[0][0], OSD_CHAR_COLS, "Profile:"); + sniprintf((char*)osd->osd_array.data[0][1], OSD_CHAR_COLS, "%u", profile_sel); + if (cm.sync_active) { + sniprintf((char*)osd->osd_array.data[1][0], OSD_CHAR_COLS, "Mode preset:"); + sniprintf((char*)osd->osd_array.data[1][1], OSD_CHAR_COLS, "%s", video_modes[cm.id].name); + sniprintf((char*)osd->osd_array.data[2][0], OSD_CHAR_COLS, "Imode (FPGA):"); + sniprintf((char*)osd->osd_array.data[2][1], OSD_CHAR_COLS, "%lu-%c%c %lu.%.2luHz", (unsigned long)((sc_status.vmax+1)<osd_array.data[3][0], OSD_CHAR_COLS, "Ccnt / frame:"); + sniprintf((char*)osd->osd_array.data[3][1], OSD_CHAR_COLS, "%lu", (unsigned long)sc_status2.pcnt_frame); + } + sniprintf((char*)osd->osd_array.data[4][0], OSD_CHAR_COLS, "Firmware:"); + sniprintf((char*)osd->osd_array.data[4][1], OSD_CHAR_COLS, "%u.%.2u" FW_SUFFIX1 FW_SUFFIX2, FW_VER_MAJOR, FW_VER_MINOR); + osd->osd_config.status_refresh = 1; + osd->osd_row_color.mask = 0; + osd->osd_sec_enable[0].mask = 0x1f; + osd->osd_sec_enable[1].mask = 0x1f; } - osd->osd_config.menu_active = 1; - lcd_write_menu(); break; case RC_LCDBL: sys_ctrl ^= LCD_BL; break; - case RC_SL_MODE: tc.sl_mode = (tc.sl_mode < SL_MODE_MAX) ? (tc.sl_mode + 1) : 0; break; - case RC_SL_TYPE: tc.sl_type = (tc.sl_type < SL_TYPE_MAX) ? (tc.sl_type + 1) : 0; break; - case RC_SL_MINUS: tc.sl_str = tc.sl_str ? (tc.sl_str - 1) : 0; break; - case RC_SL_PLUS: tc.sl_str = (tc.sl_str < SCANLINESTR_MAX) ? (tc.sl_str + 1) : SCANLINESTR_MAX; break; + case RC_SL_MODE: + tc.sl_mode = (tc.sl_mode < SL_MODE_MAX) ? (tc.sl_mode + 1) : 0; + if (!menu_active) { + strncpy((char*)osd->osd_array.data[0][0], menu_scanlines.items[0].name, OSD_CHAR_COLS); + strncpy((char*)osd->osd_array.data[1][0], menu_scanlines.items[0].sel.setting_str[tc.sl_mode], OSD_CHAR_COLS); + osd->osd_config.status_refresh = 1; + osd->osd_row_color.mask = 0; + osd->osd_sec_enable[0].mask = 3; + osd->osd_sec_enable[1].mask = 0; + } else if (get_current_menunavi()->m == &menu_scanlines) { + render_osd_page(); + } + break; + case RC_SL_TYPE: + tc.sl_type = (tc.sl_type < SL_TYPE_MAX) ? (tc.sl_type + 1) : 0; + if (!menu_active) { + strncpy((char*)osd->osd_array.data[0][0], menu_scanlines.items[7].name, OSD_CHAR_COLS); + strncpy((char*)osd->osd_array.data[1][0], menu_scanlines.items[7].sel.setting_str[tc.sl_type], OSD_CHAR_COLS); + osd->osd_config.status_refresh = 1; + osd->osd_row_color.mask = 0; + osd->osd_sec_enable[0].mask = 3; + osd->osd_sec_enable[1].mask = 0; + } else if (get_current_menunavi()->m == &menu_scanlines) { + render_osd_page(); + } + break; + case RC_SL_MINUS: + case RC_SL_PLUS: + if (i == RC_SL_MINUS) + tc.sl_str = tc.sl_str ? (tc.sl_str - 1) : 0; + else + tc.sl_str = (tc.sl_str < SCANLINESTR_MAX) ? (tc.sl_str + 1) : SCANLINESTR_MAX; + + if (!menu_active) { + strncpy((char*)osd->osd_array.data[0][0], menu_scanlines.items[1].name, OSD_CHAR_COLS); + menu_scanlines.items[1].num.df(tc.sl_str); + strncpy((char*)osd->osd_array.data[1][0], menu_row2, OSD_CHAR_COLS); + osd->osd_config.status_refresh = 1; + osd->osd_row_color.mask = 0; + osd->osd_sec_enable[0].mask = 3; + osd->osd_sec_enable[1].mask = 0; + } else if (get_current_menunavi()->m == &menu_scanlines) { + render_osd_page(); + } + break; case RC_LM_MODE: strncpy(menu_row1, "Linemult mode:", LCD_ROW_LEN+1); strncpy(menu_row2, "press 1-5", LCD_ROW_LEN+1); osd->osd_config.menu_active = 1; - lcd_write_menu(); + ui_disp_menu(1); while (1) { btn_vec = IORD_ALTERA_AVALON_PIO_DATA(PIO_1_BASE) & RC_MASK; @@ -208,7 +267,7 @@ int parse_control() *pmcfg_ptr[video_modes[cm.id].group] = i; } else { sniprintf(menu_row2, LCD_ROW_LEN+1, "%ux unsupported", i+1); - lcd_write_menu(); + ui_disp_menu(1); usleep(500000); } break; @@ -218,27 +277,39 @@ int parse_control() usleep(WAITLOOP_SLEEP_US); } - osd->osd_config.menu_active = 0; - lcd_write_status(); menu_active = 0; + osd->osd_config.menu_active = 0; + ui_disp_status(0); break; + case RC_PHASE_MINUS: case RC_PHASE_PLUS: - video_modes[cm.id].sampler_phase = (video_modes[cm.id].sampler_phase < SAMPLER_PHASE_MAX) ? (video_modes[cm.id].sampler_phase + 1) : 0; - update_cur_vm = 1; - if (cm.id == vm_edit) - tc_sampler_phase = video_modes[vm_edit].sampler_phase; - break; - case RC_PHASE_MINUS: video_modes[cm.id].sampler_phase = video_modes[cm.id].sampler_phase ? (video_modes[cm.id].sampler_phase - 1) : SAMPLER_PHASE_MAX; + if (i == RC_PHASE_MINUS) + video_modes[cm.id].sampler_phase = video_modes[cm.id].sampler_phase ? (video_modes[cm.id].sampler_phase - 1) : SAMPLER_PHASE_MAX; + else + video_modes[cm.id].sampler_phase = (video_modes[cm.id].sampler_phase < SAMPLER_PHASE_MAX) ? (video_modes[cm.id].sampler_phase + 1) : 0; + update_cur_vm = 1; if (cm.id == vm_edit) tc_sampler_phase = video_modes[vm_edit].sampler_phase; + + if (!menu_active) { + strncpy((char*)osd->osd_array.data[0][0], menu_advtiming.items[8].name, OSD_CHAR_COLS); + sniprintf(menu_row2, LCD_ROW_LEN+1, "%d deg", (video_modes[cm.id].sampler_phase*1125)/100); + strncpy((char*)osd->osd_array.data[1][0], menu_row2, OSD_CHAR_COLS); + osd->osd_config.status_refresh = 1; + osd->osd_row_color.mask = 0; + osd->osd_sec_enable[0].mask = 3; + osd->osd_sec_enable[1].mask = 0; + } else if (get_current_menunavi()->m == &menu_advtiming) { + render_osd_page(); + } break; case RC_PROF_HOTKEY: Prof_Hotkey_Prompt: strncpy(menu_row1, "Profile load:", LCD_ROW_LEN+1); sniprintf(menu_row2, LCD_ROW_LEN+1, "press %u-%u", prof_x10*10, ((prof_x10*10+9) > MAX_PROFILE) ? MAX_PROFILE : (prof_x10*10+9)); osd->osd_config.menu_active = 1; - lcd_write_menu(); + ui_disp_menu(1); while (1) { btn_vec = IORD_ALTERA_AVALON_PIO_DATA(PIO_1_BASE) & RC_MASK; @@ -253,7 +324,7 @@ Prof_Hotkey_Prompt: profile_sel_menu = prof_x10*10 + ((i+1)%10); retval = load_profile(); sniprintf(menu_row2, LCD_ROW_LEN+1, "%s", (retval==0) ? "Done" : "Failed"); - lcd_write_menu(); + ui_disp_menu(1); usleep(500000); break; } else if (i == RC_PROF_HOTKEY) { @@ -269,9 +340,9 @@ Prof_Hotkey_Prompt: usleep(WAITLOOP_SLEEP_US); } - osd->osd_config.menu_active = 0; - lcd_write_status(); menu_active = 0; + osd->osd_config.menu_active = 0; + ui_disp_status(0); break; case RC_RIGHT: if (!menu_active) diff --git a/software/sys_controller/ossc/firmware.c b/software/sys_controller/ossc/firmware.c index 950bbb6..9ba1576 100644 --- a/software/sys_controller/ossc/firmware.c +++ b/software/sys_controller/ossc/firmware.c @@ -27,6 +27,7 @@ #include "av_controller.h" #include "lcd.h" #include "utils.h" +#include "menu.h" #include "altera_avalon_pio_regs.h" extern char menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; @@ -71,15 +72,14 @@ static int check_fw_header(alt_u8 *databuf, fw_hdr *hdr) static int check_fw_image(alt_u32 offset, alt_u32 size, alt_u32 golden_crc, alt_u8 *tmpbuf) { alt_u32 crcval=0, i, bytes_to_read; - int retval; + SDRESULTS res; for (i=0; i", OPT_FUNC_CALL, { .fun = { import_userdata, NULL } } }, @@ -260,10 +261,73 @@ menunavi navi[] = {{&menu_main, 0}, {NULL, 0}, {NULL, 0}}; alt_u8 navlvl = 0; +menunavi* get_current_menunavi() { + return &navi[navlvl]; +} + +void write_option_value(menuitem_t *item, int func_called, int retval) +{ + switch (item->type) { + case OPT_AVCONFIG_SELECTION: + strncpy(menu_row2, item->sel.setting_str[*(item->sel.data)], LCD_ROW_LEN+1); + break; + case OPT_AVCONFIG_NUMVALUE: + item->num.df(*(item->num.data)); + break; + case OPT_AVCONFIG_NUMVAL_U16: + item->num_u16.df(item->num_u16.data); + break; + case OPT_SUBMENU: + if (item->sub.arg_info) + item->sub.arg_info->df(*item->sub.arg_info->data); + else + menu_row2[0] = 0; + break; + case OPT_FUNC_CALL: + if (func_called) { + if (retval == 0) + strncpy(menu_row2, "Done", LCD_ROW_LEN+1); + else if (retval < 0) + sniprintf(menu_row2, LCD_ROW_LEN+1, "Failed (%d)", retval); + } else if (item->fun.arg_info) { + item->fun.arg_info->df(*item->fun.arg_info->data); + } else { + menu_row2[0] = 0; + } + break; + default: + break; + } +} + +void render_osd_page() { + int i; + menuitem_t *item; + uint32_t row_mask[2] = {0, 0}; + + if (!menu_active || (osd_enable != 1)) + return; + + for (i=0; i < navi[navlvl].m->num_items; i++) { + item = &navi[navlvl].m->items[i]; + strncpy((char*)osd->osd_array.data[i][0], item->name, OSD_CHAR_COLS); + row_mask[0] |= (1<type != OPT_SUBMENU) && (item->type != OPT_FUNC_CALL)) { + write_option_value(item, 0, 0); + strncpy((char*)osd->osd_array.data[i][1], menu_row2, OSD_CHAR_COLS); + row_mask[1] |= (1<osd_sec_enable[0].mask = row_mask[0]; + osd->osd_sec_enable[1].mask = row_mask[1]; +} + void display_menu(alt_u8 forcedisp) { menucode_id code = NO_ACTION; - menuitem_type type; + menuitem_t *item; alt_u8 *val, val_wrap, val_min, val_max; alt_u16 *val_u16, val_u16_min, val_u16_max; int i, func_called = 0, retval = 0; @@ -278,40 +342,46 @@ void display_menu(alt_u8 forcedisp) if (!forcedisp && !remote_code) return; - type = navi[navlvl].m->items[navi[navlvl].mp].type; + item = &navi[navlvl].m->items[navi[navlvl].mp]; // Parse menu control switch (code) { case PREV_PAGE: - navi[navlvl].mp = (navi[navlvl].mp == 0) ? navi[navlvl].m->num_items-1 : (navi[navlvl].mp-1); - break; case NEXT_PAGE: - navi[navlvl].mp = (navi[navlvl].mp+1) % navi[navlvl].m->num_items; + if ((item->type == OPT_FUNC_CALL) || (item->type == OPT_SUBMENU)) + osd->osd_sec_enable[1].mask &= ~(1<num_items-1 : (navi[navlvl].mp-1); + else + navi[navlvl].mp = (navi[navlvl].mp+1) % navi[navlvl].m->num_items; break; case PREV_MENU: if (navlvl > 0) { navlvl--; + render_osd_page(); } else { menu_active = 0; osd->osd_config.menu_active = 0; - lcd_write_status(); + ui_disp_status(0); return; } break; case OPT_SELECT: - switch (navi[navlvl].m->items[navi[navlvl].mp].type) { + switch (item->type) { case OPT_SUBMENU: - if (navi[navlvl].m->items[navi[navlvl].mp].sub.arg_f) - navi[navlvl].m->items[navi[navlvl].mp].sub.arg_f(); + if (item->sub.arg_f) + item->sub.arg_f(); - if (navi[navlvl+1].m != navi[navlvl].m->items[navi[navlvl].mp].sub.menu) + if (navi[navlvl+1].m != item->sub.menu) navi[navlvl+1].mp = 0; - navi[navlvl+1].m = navi[navlvl].m->items[navi[navlvl].mp].sub.menu; + navi[navlvl+1].m = item->sub.menu; navlvl++; + render_osd_page(); break; case OPT_FUNC_CALL: - retval = navi[navlvl].m->items[navi[navlvl].mp].fun.f(); + retval = item->fun.f(); func_called = 1; break; default: @@ -320,13 +390,13 @@ void display_menu(alt_u8 forcedisp) break; case VAL_MINUS: case VAL_PLUS: - switch (navi[navlvl].m->items[navi[navlvl].mp].type) { + switch (item->type) { case OPT_AVCONFIG_SELECTION: case OPT_AVCONFIG_NUMVALUE: - val = navi[navlvl].m->items[navi[navlvl].mp].sel.data; - val_wrap = navi[navlvl].m->items[navi[navlvl].mp].sel.wrap_cfg; - val_min = navi[navlvl].m->items[navi[navlvl].mp].sel.min; - val_max = navi[navlvl].m->items[navi[navlvl].mp].sel.max; + val = item->sel.data; + val_wrap = item->sel.wrap_cfg; + val_min = item->sel.min; + val_max = item->sel.max; if (code == VAL_MINUS) *val = (*val > val_min) ? (*val-1) : (val_wrap ? val_max : val_min); @@ -334,9 +404,9 @@ void display_menu(alt_u8 forcedisp) *val = (*val < val_max) ? (*val+1) : (val_wrap ? val_min : val_max); break; case OPT_AVCONFIG_NUMVAL_U16: - val_u16 = navi[navlvl].m->items[navi[navlvl].mp].num_u16.data; - val_u16_min = navi[navlvl].m->items[navi[navlvl].mp].num_u16.min; - val_u16_max = navi[navlvl].m->items[navi[navlvl].mp].num_u16.max; + val_u16 = item->num_u16.data; + val_u16_min = item->num_u16.min; + val_u16_max = item->num_u16.max; val_wrap = (val_u16_min == 0); if (code == VAL_MINUS) *val_u16 = (*val_u16 > val_u16_min) ? (*val_u16-1) : (val_wrap ? val_u16_max : val_u16_min); @@ -344,10 +414,10 @@ void display_menu(alt_u8 forcedisp) *val_u16 = (*val_u16 < val_u16_max) ? (*val_u16+1) : (val_wrap ? val_u16_min : val_u16_max); break; case OPT_SUBMENU: - val = navi[navlvl].m->items[navi[navlvl].mp].sub.arg_info->data; - val_max = navi[navlvl].m->items[navi[navlvl].mp].sub.arg_info->max; + val = item->sub.arg_info->data; + val_max = item->sub.arg_info->max; - if (navi[navlvl].m->items[navi[navlvl].mp].sub.arg_info) { + if (item->sub.arg_info) { if (code == VAL_MINUS) *val = (*val > 0) ? (*val-1) : 0; else @@ -355,10 +425,10 @@ void display_menu(alt_u8 forcedisp) } break; case OPT_FUNC_CALL: - val = navi[navlvl].m->items[navi[navlvl].mp].fun.arg_info->data; - val_max = navi[navlvl].m->items[navi[navlvl].mp].fun.arg_info->max; + val = item->fun.arg_info->data; + val_max = item->fun.arg_info->max; - if (navi[navlvl].m->items[navi[navlvl].mp].fun.arg_info) { + if (item->fun.arg_info) { if (code == VAL_MINUS) *val = (*val > 0) ? (*val-1) : 0; else @@ -374,37 +444,15 @@ void display_menu(alt_u8 forcedisp) } // Generate menu text - type = navi[navlvl].m->items[navi[navlvl].mp].type; - strncpy(menu_row1, navi[navlvl].m->items[navi[navlvl].mp].name, LCD_ROW_LEN+1); - switch (navi[navlvl].m->items[navi[navlvl].mp].type) { - case OPT_AVCONFIG_SELECTION: - strncpy(menu_row2, navi[navlvl].m->items[navi[navlvl].mp].sel.setting_str[*(navi[navlvl].m->items[navi[navlvl].mp].sel.data)], LCD_ROW_LEN+1); - break; - case OPT_AVCONFIG_NUMVALUE: - navi[navlvl].m->items[navi[navlvl].mp].num.df(*(navi[navlvl].m->items[navi[navlvl].mp].num.data)); - break; - case OPT_AVCONFIG_NUMVAL_U16: - navi[navlvl].m->items[navi[navlvl].mp].num_u16.df(navi[navlvl].m->items[navi[navlvl].mp].num_u16.data); - break; - case OPT_SUBMENU: - if (navi[navlvl].m->items[navi[navlvl].mp].sub.arg_info) - navi[navlvl].m->items[navi[navlvl].mp].sub.arg_info->df(*navi[navlvl].m->items[navi[navlvl].mp].sub.arg_info->data); - else - menu_row2[0] = 0; - break; - case OPT_FUNC_CALL: - if (func_called) - sniprintf(menu_row2, LCD_ROW_LEN+1, "%s", (retval==0) ? "Done" : "Failed"); - else if (navi[navlvl].m->items[navi[navlvl].mp].fun.arg_info) - navi[navlvl].m->items[navi[navlvl].mp].fun.arg_info->df(*navi[navlvl].m->items[navi[navlvl].mp].fun.arg_info->data); - else - menu_row2[0] = 0; - break; - default: - break; - } + item = &navi[navlvl].m->items[navi[navlvl].mp]; + strncpy(menu_row1, item->name, LCD_ROW_LEN+1); + write_option_value(item, func_called, retval); + strncpy((char*)osd->osd_array.data[navi[navlvl].mp][1], menu_row2, OSD_CHAR_COLS); + osd->osd_row_color.mask = (1<type == OPT_FUNC_CALL) && item->fun.arg_info != NULL) || ((item->type == OPT_SUBMENU) && item->sub.arg_info != NULL)) + osd->osd_sec_enable[1].mask |= (1<osd_enable = osd_enable_pre; ((ude_initcfg*)databuf)->osd_status_timeout = osd_status_timeout_pre; memcpy(((ude_initcfg*)databuf)->keys, rc_keymap, sizeof(rc_keymap)); - retval = write_flash_page(databuf, sizeof(ude_initcfg), (USERDATA_OFFSET+entry*SECTORSIZE)/PAGESIZE); + retval = alt_epcq_controller_write(epcq_dev, (USERDATA_OFFSET+entry*SECTORSIZE), databuf, sizeof(ude_initcfg)); if (retval != 0) - return -1; + return retval; printf("Initconfig data written (%u bytes)\n", sizeof(ude_initcfg) - offsetof(ude_initcfg, last_profile)); break; @@ -103,15 +104,20 @@ int write_userdata(alt_u8 entry) memcpy(databuf+pageoffset, &tc, sizeof(avconfig_t)); pageoffset += sizeof(avconfig_t); - // write a full page first + // erase sector and write a full page first, assume sizeof(video_modes) >> PAGESIZE memcpy(databuf+pageoffset, (char*)video_modes, PAGESIZE-pageoffset); srcoffset = PAGESIZE-pageoffset; vm_to_write -= PAGESIZE-pageoffset; - write_flash_page(databuf, PAGESIZE, ((USERDATA_OFFSET+entry*SECTORSIZE)/PAGESIZE)); + retval = alt_epcq_controller_write(epcq_dev, (USERDATA_OFFSET+entry*SECTORSIZE), databuf, PAGESIZE); + if (retval != 0) + return retval; // then write the rest - if (vm_to_write > 0) - write_flash((alt_u8*)video_modes+srcoffset, vm_to_write, ((USERDATA_OFFSET+entry*SECTORSIZE)/PAGESIZE) + 1); + if (vm_to_write > 0) { + retval = alt_epcq_controller_write_block(epcq_dev, (USERDATA_OFFSET+entry*SECTORSIZE), (USERDATA_OFFSET+entry*SECTORSIZE+PAGESIZE), (alt_u8*)video_modes+srcoffset, vm_to_write); + if (retval != 0) + return retval; + } printf("Profile %u data written (%u bytes)\n", entry, sizeof(avconfig_t)+VIDEO_MODES_SIZE); break; @@ -137,11 +143,9 @@ int read_userdata(alt_u8 entry, int dry_run) return -1; } - retval = read_flash(USERDATA_OFFSET+(entry*SECTORSIZE), PAGESIZE, databuf); - if (retval != 0) { - printf("Flash read error\n"); - return -1; - } + retval = alt_epcq_controller_read(epcq_dev, (USERDATA_OFFSET+entry*SECTORSIZE), databuf, PAGESIZE); + if (retval != 0) + return retval; if (strncmp(((ude_hdr*)databuf)->userdata_key, "USRDATA", 8)) { printf("No userdata found on entry %u\n", entry); @@ -207,7 +211,9 @@ int read_userdata(alt_u8 entry, int dry_run) pageoffset = 0; pageno++; // check - read_flash(USERDATA_OFFSET+(entry*SECTORSIZE)+pageno*PAGESIZE, PAGESIZE, databuf); + retval = alt_epcq_controller_read(epcq_dev, (USERDATA_OFFSET+entry*SECTORSIZE+pageno*PAGESIZE), databuf, PAGESIZE); + if (retval != 0) + return retval; } else { memcpy((char*)video_modes+dstoffset, databuf+pageoffset, vm_to_read); pageoffset += vm_to_read; @@ -229,6 +235,7 @@ int read_userdata(alt_u8 entry, int dry_run) int import_userdata() { + SDRESULTS res; int retval; int n, entries_imported=0; char *errmsg; @@ -239,11 +246,10 @@ int import_userdata() retval = check_sdcard(databuf); SPI_CS_High(); if (retval != 0) - goto failure; + goto sd_disable; - strncpy(menu_row1, "Import? 1=Y, 2=N", LCD_ROW_LEN+1); - *menu_row2 = '\0'; - lcd_write_menu(); + strncpy(menu_row2, "Import? 1=Y, 2=N", LCD_ROW_LEN+1); + ui_disp_menu(2); while (1) { btn_vec = IORD_ALTERA_AVALON_PIO_DATA(PIO_1_BASE) & RC_MASK; @@ -252,23 +258,23 @@ int import_userdata() break; } else if (btn_vec == rc_keymap[RC_BTN2]) { retval = UDATA_IMPT_CANCELLED; - goto failure; + strncpy(menu_row2, "Cancelled", LCD_ROW_LEN+1); + goto sd_disable; } usleep(WAITLOOP_SLEEP_US); } - strncpy(menu_row1, "Loading settings", LCD_ROW_LEN+1); - strncpy(menu_row2, "please wait...", LCD_ROW_LEN+1); - lcd_write_menu(); + strncpy(menu_row2, "Loading...", LCD_ROW_LEN+1); + ui_disp_menu(2); // Import the userdata for (n=0; n<=MAX_USERDATA_ENTRY; ++n) { - retval = SD_Read(&sdcard_dev, &header, (512+n*SECTORSIZE)/SD_BLK_SIZE, 0, sizeof(header)); - if (retval != 0) { + res = SD_Read(&sdcard_dev, &header, (512+n*SECTORSIZE)/SD_BLK_SIZE, 0, sizeof(header)); + if (res != SD_OK) { printf("Failed to read SD card\n"); - retval = -retval; - goto failure; + retval = -res; + goto sd_disable; } if (strncmp(header.userdata_key, "USRDATA", 8)) { @@ -291,27 +297,21 @@ int import_userdata() (header.type == UDE_PROFILE) ? sizeof(ude_profile) : sizeof(ude_initcfg), databuf); if (retval != 0) { printf("Copy from SD to flash failed (error %d)\n", retval); - goto failure; + goto sd_disable; } entries_imported++; } - SPI_CS_High(); - read_userdata(INIT_CONFIG_SLOT, 0); profile_sel = input_profiles[target_input]; read_userdata(profile_sel, 0); - sniprintf(menu_row1, LCD_ROW_LEN+1, "%d entries", entries_imported); - strncpy(menu_row2, "imported", LCD_ROW_LEN+1); - lcd_write_menu(); - usleep(1000000); + sniprintf(menu_row2, LCD_ROW_LEN+1, "%d slots loaded", entries_imported); + retval = 1; - return 0; - -failure: +sd_disable: SPI_CS_High(); - return -1; + return retval; } diff --git a/software/sys_controller_bsp/libhal_bsp.a b/software/sys_controller_bsp/libhal_bsp.a index 768227d..be244e2 100644 Binary files a/software/sys_controller_bsp/libhal_bsp.a and b/software/sys_controller_bsp/libhal_bsp.a differ diff --git a/software/sys_controller_bsp/system.h b/software/sys_controller_bsp/system.h index 3ef2fc2..638db36 100644 --- a/software/sys_controller_bsp/system.h +++ b/software/sys_controller_bsp/system.h @@ -202,12 +202,12 @@ #define ALT_MODULE_CLASS_epcq_controller_0_avl_csr altera_epcq_controller_mod #define EPCQ_CONTROLLER_0_AVL_CSR_BASE 0x20100 -#define EPCQ_CONTROLLER_0_AVL_CSR_FLASH_TYPE "EPCS64" +#define EPCQ_CONTROLLER_0_AVL_CSR_FLASH_TYPE "EPCS16" #define EPCQ_CONTROLLER_0_AVL_CSR_IRQ 2 #define EPCQ_CONTROLLER_0_AVL_CSR_IRQ_INTERRUPT_CONTROLLER_ID 0 #define EPCQ_CONTROLLER_0_AVL_CSR_IS_EPCS 1 #define EPCQ_CONTROLLER_0_AVL_CSR_NAME "/dev/epcq_controller_0_avl_csr" -#define EPCQ_CONTROLLER_0_AVL_CSR_NUMBER_OF_SECTORS 128 +#define EPCQ_CONTROLLER_0_AVL_CSR_NUMBER_OF_SECTORS 32 #define EPCQ_CONTROLLER_0_AVL_CSR_PAGE_SIZE 256 #define EPCQ_CONTROLLER_0_AVL_CSR_SECTOR_SIZE 65536 #define EPCQ_CONTROLLER_0_AVL_CSR_SPAN 32 @@ -222,15 +222,15 @@ #define ALT_MODULE_CLASS_epcq_controller_0_avl_mem altera_epcq_controller_mod #define EPCQ_CONTROLLER_0_AVL_MEM_BASE 0x800000 -#define EPCQ_CONTROLLER_0_AVL_MEM_FLASH_TYPE "EPCS64" +#define EPCQ_CONTROLLER_0_AVL_MEM_FLASH_TYPE "EPCS16" #define EPCQ_CONTROLLER_0_AVL_MEM_IRQ -1 #define EPCQ_CONTROLLER_0_AVL_MEM_IRQ_INTERRUPT_CONTROLLER_ID -1 #define EPCQ_CONTROLLER_0_AVL_MEM_IS_EPCS 1 #define EPCQ_CONTROLLER_0_AVL_MEM_NAME "/dev/epcq_controller_0_avl_mem" -#define EPCQ_CONTROLLER_0_AVL_MEM_NUMBER_OF_SECTORS 128 +#define EPCQ_CONTROLLER_0_AVL_MEM_NUMBER_OF_SECTORS 32 #define EPCQ_CONTROLLER_0_AVL_MEM_PAGE_SIZE 256 #define EPCQ_CONTROLLER_0_AVL_MEM_SECTOR_SIZE 65536 -#define EPCQ_CONTROLLER_0_AVL_MEM_SPAN 8388608 +#define EPCQ_CONTROLLER_0_AVL_MEM_SPAN 2097152 #define EPCQ_CONTROLLER_0_AVL_MEM_SUBSECTOR_SIZE 4096 #define EPCQ_CONTROLLER_0_AVL_MEM_TYPE "altera_epcq_controller_mod" @@ -397,7 +397,7 @@ #define ALT_MODULE_CLASS_osd_generator_0 osd_generator #define OSD_GENERATOR_0_BASE 0x24000 -#define OSD_GENERATOR_0_SPAN 16 +#define OSD_GENERATOR_0_SPAN 1024 /* * pll_reconfig configuration diff --git a/sys.qsys b/sys.qsys index dd70d7e..c3b1955 100644 --- a/sys.qsys +++ b/sys.qsys @@ -396,12 +396,12 @@ - + ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 @@ -443,7 +443,7 @@ @@ -487,9 +487,7 @@ - - - + diff --git a/sys.sopcinfo b/sys.sopcinfo index 93a34d5..1c45997 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1581272712 + 1601738060 false true false @@ -480,13 +480,13 @@ parameters are a RESULT of the module parameters. --> embeddedsw.CMacro.FLASH_TYPE - EPCS64 + EPCS16 embeddedsw.CMacro.IS_EPCS @@ -494,7 +494,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.NUMBER_OF_SECTORS - 128 + 32 embeddedsw.CMacro.PAGE_SIZE @@ -579,7 +579,7 @@ the requested settings for a module instance. --> int - 21 + 19 true true false @@ -630,7 +630,7 @@ the requested settings for a module instance. --> java.lang.String - EPCS64 + EPCS16 false true true @@ -1189,7 +1189,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 8388608 + 2097152 true true false @@ -1512,7 +1512,7 @@ parameters are a RESULT of the module parameters. --> avl_mem_addr Input - 21 + 19 address @@ -4109,7 +4109,7 @@ parameters are a RESULT of the module parameters. --> @@ -5950,14 +5950,6 @@ parameters are a RESULT of the module parameters. --> path="osd_generator_0"> - - int - 1 - false - true - true - true - java.lang.String UNKNOWN @@ -6102,7 +6094,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 64 + 1024 true true false @@ -6401,7 +6393,7 @@ parameters are a RESULT of the module parameters. --> avalon_s_address Input - 4 + 8 address @@ -6512,7 +6504,7 @@ parameters are a RESULT of the module parameters. --> osd_color Output - 1 + 2 osd_color @@ -9622,7 +9614,7 @@ parameters are a RESULT of the module parameters. --> avalon_s osd_generator_0.avalon_s 147456 - 64 + 1024 false @@ -9670,7 +9662,7 @@ parameters are a RESULT of the module parameters. --> avl_mem epcq_controller_0.avl_mem 8388608 - 8388608 + 2097152 false @@ -13244,7 +13236,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Altera Serial Flash Controller - 17.1 + 19.1 14 @@ -13316,7 +13308,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule JTAG to Avalon Master Bridge (customized) - 17.1 + 19.1 3