diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 76c984a..9cb9583 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -1,7 +1,7 @@ :020000020000FC :2000000000402074084008140800683A0000000000000000000000000000000000000000FE -:2000080006C02074DEE4001406802074D6BC9F1400802074109EEB1400C0207418DFE71442 -:2000100010C00326100000151080010410FFFD360814D7000814E680003FFF06DEFFFB0446 +:2000080006C02074DEE4001406802074D6BCC41400802074109F151400C0207418E01214C6 +:2000100010C00326100000151080010410FFFD360814DE000814ED80003FFF06DEFFFB0438 :2000180000BFE084D880000500800084D880004500800344D8800085DFC0041529403FCCD7 :200020000080004428800426008000842880041E008014040000030600800C040000010624 :2000280000800404D88000C5D88000C331803FCC10800094D88000C5300002260080080417 @@ -10,20 +10,20 @@ :20004000D8000205D8000245D8000285D80002C5D8000305D8000345D8000385D80003C5A4 :2000480008104640DFC00417DEC00504F800283ADEFFFC04DC000015040020B48405080404 :20005000DC80021504801304DC400115900B883A2023883A000D883A8009883ADFC003151D -:20005800081581408009883A89403FCC000D883A08158780044000448009883A900B883A68 -:20006000880D883A081581408009883A880B883ADFC00317DC800217DC400117DC00001756 -:20006800DEC00404081584C1DEFFFC04DC000015040020B484050804DC800215DC40011590 -:200070002025883A2823883A8009883A01401304000D883ADFC00315081581408009883A0A -:2000780091403FCC000D883A081587808009883A89403FCC01800044DFC00317DC80021787 -:20008000DC400117DC000017DEC0040408158781DEFFFF0421003FCCDFC000150810130083 +:20005800081588408009883A89403FCC000D883A08158E80044000448009883A900B883A5A +:20006000880D883A081588408009883A880B883ADFC00317DC800217DC400117DC0000174F +:20006800DEC0040408158BC1DEFFFC04DC000015040020B484050804DC800215DC40011589 +:200070002025883A2823883A8009883A01401304000D883ADFC00315081588408009883A03 +:2000780091403FCC000D883A08158E808009883A89403FCC01800044DFC00317DC80021780 +:20008000DC400117DC000017DEC0040408158E81DEFFFF0421003FCCDFC00015081013007C :20008800DFC00017DEC00104F800283ADEFFFF0421003FCC29403FCCDFC0001508101A80BF :200090000005883ADFC00017DEC00104F800283ADEFFFB04DCC00315DC800215DC400115A1 :20009800DC000015DFC004152827883A3023883A2025883A0021883A8440070E9C05883A53 :2000A000114000038489883A21003FCC08101A8084000044003FF8060005883ADFC00417B9 :2000A800DCC00317DC800217DC400117DC000017DEC00504F800283ADEFFFF040140FA04C6 -:2000B000DFC00015081449401009883ADFC00017DEC001040814EA81DEFFFF04010003C474 +:2000B000DFC00015081450401009883ADFC00017DEC001040814F181DEFFFF04010003C466 :2000B800000B883ADFC00015081022C001001844000B883ADFC00017DEC00104081022C12F -:2000C000DEFFFD04DC00001504002074841F0A048140008301000144DFC00215DC40011596 +:2000C000DEFFFD04DC00001504002074841F34048140008301000144DFC00215DC4001156C :2000C800081022C0808000838100030300FFFEC41004D1FA20C6703A044001041085883A44 :2000D0001085883A1884B03A8809883A01400F4480800305081022C00100004408102B8042 :2000D8008809883A01400744081022C00100184401400C04081022C00100024401402C844F @@ -47,7 +47,7 @@ :200168002021883A010003C4DFC00215DC400115081022C0044030448809883A0810210086 :2001700084003FCC800AC03A10803F8C8809883A114AB03A081022C001003184014000C4B4 :20017800DFC00217DC400117DC000017DEC00304081022C1DEFFF904DCC00315DC800215E7 -:20018000DC4001152025883A2823883A010001040140074404C020749CDF0A04DD000415B0 +:20018000DC4001152025883A2823883A010001040140074404C020749CDF3404DD00041586 :20018800DC000015DFC006153821883ADD4005153029883A081022C098C0030381C0004C5A :2001900000BFFF441884703A39CF883A11CEB03A99C00305988003171080008C100002268D :20019800010000440810594001001C049C0000C308102100108000CC8D4000CC010000445E @@ -66,11 +66,11 @@ :200200000100010408102100010001041140054C081022C00400190401000384081021001B :200208001080040C1000321E0100004408102B8080BFFFC41021883A10BFFFCC103FF61EDC :2002100000002B06053FE8048C400C0C008008048880081E01001CC4D16000C4018000C4B4 -:20021800081025000140207401001D84295AA6840000090600800C0488800B1E01001CC4B4 -:20022000D16000C4018000C4081025000140207401001D84295A9D840180048408102500E6 +:20021800081025000140207401001D84295AC6840000090600800C0488800B1E01001CC494 +:20022000D16000C4018000C4081025000140207401001D84295ABD840180048408102500C6 :2002280004000084003FA306008004048880081E01001CC4D1600004018000C408102500F8 -:200230000140207401001D84295A9904003FF20601001CC4D1600004018000C40810250048 -:200238000140207401001D84295AA204003FEA0601000304000B883A081022C001000344C0 +:200230000140207401001D84295AB904003FF20601001CC4D1600004018000C40810250028 +:200238000140207401001D84295AC204003FEA0601000304000B883A081022C001000344A0 :2002400001401004081022C00100038401400044081022C001000384000B883A081022C0F9 :2002480008102D8000800044DFC00617DD400517DD000417DCC00317DC800217DC400117C1 :20025000DC000017DEC00704F800283ADEFFFD04DC0000152021883A01001644DC40011539 @@ -78,783 +78,783 @@ :20026000081022C0010003C401400044081022C001001704800B883A00000A06802091BAD9 :200268000100164481400414114AB03A081022C0010003C401400044081022C001001704A0 :20027000000B883A081022C0010003C4000B883ADFC00217DC400117DC000017DEC003048E -:20027800081022C100802074109BF30410C03217D0A24D15180004261080351710804018C2 -:200280000085C83AF800283A00BFFFC4F800283ADEFFFD042005883AD1224D17DC40011553 -:200288002823883A880F883A100B883ADC000015DFC002153021883A081556C010000B1EED +:20027800081022C100802074109C180410C03217D0A2521518000426108035171080401897 +:200280000085C83AF800283A00BFFFC4F800283ADEFFFD042005883AD1225217DC4001154E +:200288002823883A880F883A100B883ADC000015DFC002153021883A08155DC010000B1EE6 :20029000800D883A8463883A34400626308000031005C2721005D63A3180004430BFFFC5ED :20029800003FF9060005883A0000010600BFFFC4DFC00217DC400117DC000017DEC0030434 :2002A000F800283ADEFFFA04DC800315DC400215DC000115DFC00515DCC0041530803FCC47 -:2002A8002023883A2825883A3021883A100003268805883A8C89883A00001706D1224D173C -:2002B000300A923A081527001027883A103FF8260100207401402074211F0E042957BB0483 -:2002B80001800444081461000100207401802074211F0E04014004443197BE04980F883A68 -:2002C0000814514000802074109F16841000000500FFCE0400001D061100062610C00003FB -:2002C8001807C2721807D63A1080004410FFFFC5003FF906800AD23AD1224D17800C923A6A -:2002D000280A943ADC800015880F883A081530400007883A10000D26010020740140207441 -:2002D800211F0E042957C10401800444081461000100207401402074211F16842957C4049D -:2002E000018004440814610000FFCDC41805883ADFC00517DCC00417DC800317DC4002172C +:2002A8002023883A2825883A3021883A100003268805883A8C89883A00001706D122521737 +:2002B000300A923A08152E001027883A103FF8260100207401402074211F38042957D70436 +:2002B80001800444081468000100207401802074211F3804014004443197DA04980F883A1B +:2002C0000814584000802074109F40841000000500FFCE0400001D061100062610C00003CA +:2002C8001807C2721807D63A1080004410FFFFC5003FF906800AD23AD1225217800C923A65 +:2002D000280A943ADC800015880F883A081537400007883A10000D2601002074014020743A +:2002D800211F38042957DD0401800444081468000100207401402074211F40842957E0040A +:2002E000018004440814680000FFCDC41805883ADFC00517DCC00417DC800317DC40021725 :2002E800DC000117DEC00604F800283ADEFFF904DD400515DD000415DCC00315DC800215D2 :2002F000DC000015DFC00615DC4001152825883A3029883A3827883A0021883A0007883A1A :2002F8000540400484800F2E9423C83AAC40012E044040048009883A880B883A980D883AB9 -:200300000810A1001000141E800D003A9809883A880B883A081572C01007883A8400400473 -:20030800003FF0060005883A1D000C260100207401802074211F0E04014004443197C60473 -:200310000814514000802074109F16841000000500BFCD440000010600BFCD84DFC006170B +:200300000810A1001000141E800D003A9809883A880B883A081579C01007883A840040046C +:20030800003FF0060005883A1D000C260100207401802074211F3804014004443197E2042D +:200310000814584000802074109F40841000000500BFCD440000010600BFCD84DFC00617DA :20031800DD400517DD000417DCC00317DC800217DC400117DC000017DEC00704F800283A3F :20032000DEFFFD04DFC00215DC400115DC00001520807FCC1000031E008080042821883ADB -:2003280011400B2E0100207401802074211F0E04014004443197CB0408145140008020744E -:20033000109F16841000000500BFFFC400001C062008D27A000B883A3023883A08150480B4 -:2003380010803FCC0007883A10000B1E0100207401802074211F0E04014004443197D004E7 -:200340000814514000802074109F16841000000500BFFF8400000A061C00082ED0A24E1703 +:2003280011400B2E0100207401802074211F3804014004443197E704081458400080207401 +:20033000109F40841000000500BFFFC400001C062008D27A000B883A3023883A08150B8083 +:2003380010803FCC0007883A10000B1E0100207401802074211F3804014004443197EC04A1 +:200340000814584000802074109F40841000000500BFFF8400000A061C00082ED0A25317CD :2003480010800A171885883A1100003788C5883A1100001518C00104003FF7060005883A28 :20035000DFC00217DC400117DC000017DEC00304F800283ADEFFFE04DC0000152021883ADC -:20035800010020742117D404DFC001150814F180D0A24E1510000A260814F74010803FCC9B +:20035800010020742117F004DFC001150814F880D0A2531510000A260814FE4010803FCC6C :20036000100007260009883A01408004800D883ADFC00117DC000017DEC002040810C80132 -:200368000100207401802074211F0E04014004443197DF040814514000802074109F16843B +:200368000100207401802074211F3804014004443197FB040814584000802074109F4084C4 :200370001000000500800044DFC00117DC000017DEC00204F800283A010020740140207482 -:20037800211F0E04295F1684081345C10100207401402074211F1244295F2604081345C1FD +:20037800211F3804295F408408134C410100207401402074211F3C44295F500408134C4147 :20038000DEFFFE04DC0000152021883A01000044DFC0011508105940081042400009883A7A :20038800000B883A84003FCC081046408021003A01000044000B883A000D883A800F883A4E :2003900008105F408000052601000084000B883A000D883A000F883A081005C00009883A51 :20039800DFC00117DC000017DEC0020408105941DEFFFC0400802074DC800215DC400115AF -:2003A000DC000015109F1B04DFC003152021883A110002870140070414800117081449408D -:2003A80000C0207418DF350410C5883A84003FCC1440000B80001E1ED0A2504300C00204A5 -:2003B00010C0142600C0040410C0062600C0010410C0131E0009883A0813D340010000445B -:2003B8000000250601006734213F3004900B883A081448401009883A897FFFCC0814494076 -:2003C00000C072B418F0DFC418800236010003C4000001060009883A0813D34000001506D9 -:2003C8000009883A0813D3400009883A0000120600802074109F2A4410C003C304400084AA -:2003D0001021883A1C4002260009883A00000106010003C40813D340810003C320803FCCDC +:2003A000DC000015109F4504DFC003152021883A110002870140070414800117081450405C +:2003A80000C0207418DF5F8410C5883A84003FCC1440000B80001E1ED0A2554300C00204F6 +:2003B00010C0142600C0040410C0062600C0010410C0131E0009883A0813DA800100004414 +:2003B8000000250601006734213F3004900B883A08144F401009883A897FFFCC0814504068 +:2003C00000C072B418F0DFC418800236010003C4000001060009883A0813DA800000150692 +:2003C8000009883A0813DA800009883A0000120600802074109F544410C0040304400084F8 +:2003D0001021883A1C4002260009883A00000106010003C40813DA808100040320803FCC54 :2003D8008880042E008001441109C83A21003FCC00000106010000C4DFC00317DC800217C4 -:2003E000DC400117DC000017DEC0040408137181DEFFF80400C020B4DFC00715DD80061583 -:2003E800DD400515DD000415DCC00315DC800215DC400115DC0000150089C40418C5140438 -:2003F00019800037050020B4A50514043000020E10BFFFC4103FFA1E21003FCC29403FCCA9 -:2003F8000400207408142140841F1B041025883A808002C310000326A44000378822D43A46 -:20040000000001060023883A01000DC40813808001000E041027883A08138080110003CCFC -:200408001007883A2008923A1004D1BA24E6B03A1080004C80800245A08000370100008475 -:200410008C403FCC89000E2601002074211F1B04210002C32000021E010063C424C0082EDC -:20041800010000448900041E9908983A10BFFFCC213FFF842080053618C0080C18000426DB -:200420009D40322805800044000003061027883A9D406428002D883A80C002C390803FCC42 -:200428001800101E10001E26A8001D26D0A24F030100008410C03FCC18C0201C18FFE004FC -:2004300020C0060E0080207400C00044109F1B04D0224F0510C002C500000C06108000440F -:2004380000000D0610000126A8000D1ED0A24F0300FFFF0411003FCC2100201C213FE00404 -:2004400020C0040ED0224F05800002C5044001040000050610BFFFC4D0A24F05000001066A -:20044800D0224F050023883A01000E440813808001000E84048020741029883A949F2A44B4 -:2004500008138080A8003D2610C003CC1806923A808000171D28B03A00C0207418DF1B042D -:200458009880051E18800117A080031E18C00203B0803FCC10C00226D022528500000C066D -:20046000D0E252830080004419003FCC208008261887883AD0E2528518C03FCC1880041EB8 -:2004680088C03FCC008000841880012E1023883A90C00103808004831880071E00802074B5 -:20047000109F2A4410C0014300802074109F1B04108004C31880042688C03FCC00800084E9 -:200478001880012E1023883A90C00243808005C318800F2600802074109F1B041100028702 -:20048000014007040814494000C0207418DF370410C5883A1080008B1080600C10000426FD -:2004880088C03FCC008000841880012E1023883A84C000158500011585800205914000036D -:200490008100038300C020740080207418DF2A44109F1B042900151E19400043110003C3DB -:200498002900121E194000831100040329000F1E194000C31100044329000C1E19400183FD -:2004A000110005032900091E194001C3110005432900061E19400483110008032900031ECA -:2004A80018C004C3108008431880032688803FCC1000011E04400044910002838080060310 -:2004B000208001260813DD009100030380800683208001260813EA0091000343808006C3E1 -:2004B8002080012608139FC091000403808007832080071E00802074109F2A4410C00443B4 -:2004C00000802074109F1B04108007C3188002269140044308139C80910002C38080064332 -:2004C800208006260140060408144940010020742119C7042089883A0813C1C0910003C360 -:2004D00080800743208001260810E7009100038380800703208001260813D7C001002074CD -:2004D80001402074211F2F84295F23C40180018408144BC01000031E01002074211F23C4B3 -:2004E0000813A0800100207401402074211F1E84295F2A44018006C408144EC08805883ABB -:2004E800DFC00717DD800617DD400517DD000417DCC00317DC800217DC400117DC0000173A -:2004F000DEC00804F800283ADEFFF804DC00001504002074DCC00315DFC00715DD8006159F -:2004F800DD400515DD000415DC800215DC400115841F1B04858002870140070404C020741E -:20050000B009883A081449409CDF3104108006049885883A10C0008B190003CC2000092600 -:2005080080800443048000848480030510001226808003C3010000441100101E1025883AEF -:2005100000000E061900040C2000051E8100080320000626108000031080010C100003260A -:200518000080004480800305000001068000030584800443000001060025883A80800383A9 -:20052000010000841100051E00802074109F1B04144003C38C40004400000A0601000044A1 -:200528001100051E1880080C1000051E1C4007CC8822C03A000003060023883A00000106D8 -:20053000044000C4808008431000062618C0080C1800042600802074109F1B0410000305F4 -:200538000023883A85000303808004C3B009883AA02897BA1004973A0140070405402074CE -:20054000A0A8B03A0814494080C005039885883A10800503180695BAB009883AA0A8B03AEB -:2005480001400704A0E8B03A081449409885883A1080028B00C020B4AD5F1B04100492BA15 -:2005500018C51C04A084B03A1880003580C0040380800543858002871806963A100494BA46 -:20055800B009883A0140070418A0B03A081449401029883A9885883A10C00543B009883A08 -:200560000140070480E0B03A081449409885883A10C0030B9024973A882297BA180691FA5A -:20056800008020B41085180480C6B03A1CA4B03A9462B03A144000359D27883A9880060384 -:200570001080010C10000226A900080300000E06B009883A014007040814494000C020740E -:2005780018DF31041885883A1080068B1080080C10000426A9400583A9000843280B003A07 -:20058000000002060009883A000B883ADFC00717DD800617DD400517DD000417DCC003179D -:20058800DC800217DC400117DC000017DEC00804081094C1DEFFF404DC000415040020743E -:20059000841F1B04DC4005158440011700800044DFC00B15DD800A15DD400915DD00081543 -:20059800DCC00715DC800615D0A252858800152684C000179800132601006734213F3004AC -:2005A000880B883A081448401025883A8080020301283BF4212EC004980B883A100003263D -:2005A800081448401009883A00000206081448401089883A880B883A081448401023883ABF -:2005B000000002060445DC04048F550401000EC40813808001000F041027883A08138080F8 -:2005B80080C003430080207410981B0418C7883A18C7883A10C7883A8080020319C00017F2 -:2005C00080C000171000021E00801A440000010600801C040100207401802074D8C00015B8 -:2005C8003197E304211F124401400444D8800115081451408809883A0140190408144840D5 -:2005D0009009883A0140FA041029883A081448409009883A0140FA04102B883A081448C017 -:2005D8001009883A01400284081448408809883A01401904D8800015DD000115081448C088 -:2005E0000100207401802074D8800215211F2604014004443197E504A80F883A0814514018 -:2005E80000802074109EF884108000031000011E0810DEC080800483810000178140020358 -:2005F000D8800015808004C3D1E25383A00D883AD8800115808005C3044020748C5F1B04A7 -:2005F800D88002150814284011003FCC2100201C213FE00400FFFFC420C0011E00800104ED -:2006000088800285848002830140070405802074D4A2500594803FCC9480201C94BFE004EC -:200608009009883A08144940B59F3104B087883A1C400603D0E25383B085883A99003FCCC8 -:2006100088E2703AD46250451140040B05402074AD5F1B0408144940814001171009883A2E -:200618000814484080C0020389403FCCA98000171800011E300CD07A10803FCCD880001500 -:20062000808007839009883AA1C03FCCD8800115808007C3D8800215808006C3D880031589 -:200628000813F680810007430810E700DFC00B17DD800A17DD400917DD000817DCC0071725 -:20063000DC800617DC400517DC000417DEC00C0408113C8121003FCC008003C420802A261B -:200638000080040420802D26DEFFFD04DC000015DFC0021504002074DC400115008002C492 -:20064000841F310420802F1ED462500301400704D46252458C403FCC8809883A0814494064 -:200648008087883A18C0040B01400704D0E2518D10C0050480C7883A19000083D122540D34 -:200650008089883A2100028B8085883AD122510D1080030B8809883AD0A2508D188000031E -:20065800D0A24F8D081449408085883A10800543D0A2530DDFC00217DC400117DC0000173F -:20066000DEC00304F800283AD0A2500310C03FCC18000A2610BFFFC40000080600C020749F -:2006680018DCB804D0A2500318C0000311003FCC18FFFFC420C0010E10800044D0A25005A2 -:20067000F800283AD1225003014007040814494001002074211F1684808B883A01800444D4 -:20067800DFC00217DC400117DC000017DEC0030408146101DEFFFA04DCC0031504C0207479 -:20068000DC800215DC000015DFC00515DD000415DC4001159CDF1B049D000287D0A252434E -:20068800040020742025883A841F3104A0803E1EA009883A01400704081449408085883A3C -:200690001440040BD0A2518B88FFFFCC18801126A009883A01400704081449408085883A55 -:200698001080068B1080100C100001268C63883A8C7FFFCC880A913A0100008429403C0C24 -:2006A00008138880880AD13A0100004429403FCC081388809900028701400704081449408B -:2006A8008085883A11400583D0A2540B28C03FCC18800326010001C4180B883A081388803F -:2006B0009CC0028701400704044020749809883A081449408087883A1900028BD0E2510B9C -:2006B8008C5F310420C00F1E8887883A1900030BD0E2508B20C00B1E8885883A10C00503C0 -:2006C000D0A24F831880071E9809883A01400704081449408885883A10C00543D0A2530316 -:2006C8001880012608113C80D4625243014007048809883A08144940D122518B8087883AD7 -:2006D0001900040DD122540B10C0050480C7883A19000085D162510B8089883A2005883ACD -:2006D8002140028DD122508B014007041100030DD0A24F8B8809883A1880000508144940F6 -:2006E000D0E2530B01002074018020748085883A211F1684014004443198B40491FFFFCC3A -:2006E80010C00545DFC00517DD000417DCC00317DC800217DC400117DC000017DEC006042B -:2006F00008145141DEFFFD04DC000015040020B4DC400115DFC00215044000C484052404F4 -:2006F8008440003580000035008020B410851C0410000035008020B410851804100000359C -:200700000109C4040814EA8084400035010000F4210350040814EA80010020B401406734E4 -:20070800018001B421050804297F30043186A00408157A00081332C008136A0010001926BA -:200710000009883A0813808000C03FC410C017260813E1C0010000840810210010803FCCFE -:2007180000C004C410C0131E0810300008109E401021883A1000111E0812170008131E005E -:20072000008020B41085200410800037108000AC1000011E08121EC00009883A0810E000BF -:2007280000000706043FFF8400000506043FFF4400000306043FFF0400000106043FFFC4F1 -:200730008005883ADFC00217DC400117DC000017DEC00304F800283ADEFFFF04DFC00015F0 -:2007380008116340081397C000802074109F2A4411000203DFC00017DEC001040810E001DA -:20074000DEFFF404DFC00B15DF000A15DDC00915DD800815DD400715DD000615DCC00515EB -:20074800DC800415DC400315DC0002150811BC4010001D160080120401002074018020745D -:20075000000F883A211F1244014004443197EB04D88000150814514001002074014020745E -:20075800211F26042957F7040180044408144EC00810DEC000802074109F2A4410C00503EA -:2007600005C0207407002074D0E252050027883A0021883A0023883A0025883ABDDEF7044E -:20076800E71EF804000047060100207401802074211F1244014004443197F004100F883ABD -:20077000081451400100207401402074211F26042958C30401800444081461000810DEC0A4 -:20077800003FFF0610FFFF8418C03FCC010000C420C0432EA8C0000310C041260500207457 -:20078000081232C0A51EF884A0800003100002260009883A0812C60005802074B59F1B047C -:20078800D1225203B08003432080011ED0225205D12252030140020420BFFFC410803FCCBF -:200790002880332E20803FCC10006A1E00C0207418DF2A4419000203B14005830080207499 -:20079800109F1B0421400826D88001150810E00001002074211F2A44D880011721000203A5 -:2007A000100001151100058598803FCC1000811E00802074109EF70410C0001700802074EE -:2007A800109EF40410C0001500802074109EF8041080000301004B04D0225205A88000058F -:2007B0000814EA8000C020B418C52004188000370100207410FFFFCC211EF60420C00015A2 -:2007B8000086303A1004D63A18C000EC05402074B8C00015E0800005AD5EF504103FB91E54 -:2007C00000802074109EF60410000015003FBB06100490BA014020742947CA041145883AAF -:2007C800108000171000683A00811F4C00811F5C00811F7400811F8400811F9400811FA81C -:2007D00000811FB800811FC800811FE0008003C4D0A253850021883A00000306008003C405 -:2007D800D0A2538504000084044000440025883A00002006008003C4D0A25385040000C441 -:2007E000003FF906008003C4D0A25385040000C400000306008003C4D0A253850400008440 -:2007E8000023883A003FF10600800404D0A253850400004400000D06008003C4D0A2538518 -:2007F0000021883A0000090604000084008003C4D0A253858023883A8025883A0000050607 -:2007F800008003C4D0A25385040000C4044000848825883AB1400743B1000345B00002C5A6 -:2008000028803FCC0180004489003FCC3080042E00800144114BC83A29403FCC000001064C -:20080800014000C4081378C008138F0091003FCC81403FCC08140DC0B08003430100207472 -:2008100021181B041085883A1085883A2085883A1140001701002074211F124401800444FF -:20081800B0000115081461000100207401402074211F26042957F4040180044408146100EB -:20082000A08000031000011E0810DEC004C00044003F6E0691003FCC81403FCC0810F9007C -:2008280010803FCC0100008411002926010001041100032601000044113F751E000028069A -:20083000B0C002C300802074109F1B041800072600C020B418C5240418800037108001143F -:20083800188000350811CD80003F690610000115D880011508138F00D880011700C02074BD -:2008400018D81B041080034301002074211F12441085883A1085883A1885883A1140001713 -:2008480001800444081461000100207401402074211F26042957F4040180044408146100B8 -:20085000A0800003103F521E0810DEC0003F5006B08002C3103F4E2608116340003F4C0656 -:20085800B08002C3103F4A2608113C80003F480600C0207418DF2A4401402074DEFFFF04FC -:200860001809883A29582504018006C4DFC0001508144EC01007883A008020B410852004DC -:2008680010800037014007041004D4BA1080004C1880020500802074109CB80411000003B0 -:20087000081449400100207401402074211F31042959D304100D883A08144EC00005883ABB -:20087800DFC00017DEC00104F800283ADEFFF804DD400515DD000415DCC00315050020B41A -:2008800004C0207405402074DC800215DC000015DFC00715DD800615DC4001150021883A7B -:200888000025883A9CD86A04A5052004AD5AAB040100207401402074211F0E0429582C0496 -:2008900001800444081461008405883A14C5883A1140001701002074211F1684018004447C -:20089800081461000810DD800023883A856D883AA080003710BFFFCCD0A257151000182698 -:2008A0001480172688000A1E0100207401402074211F0E0429582E0401800444B080000D42 -:2008A800081461000810DD800440004400000C06B0C0000B10C009260100207401402074C0 -:2008B000211F0E042958300401800444081461000810DD800023883A0000010604400084B2 -:2008B80000800084D4A25717888003260109C4040814EA80003FDE068400008400800C04F4 -:2008C00080BFCB1EDFC00717DD800617DD400517DD000417DCC00317DC800217DC40011729 -:2008C800DC000017DEC00804F800283AD1225717DEFFFE0401402074DFC001150005883A88 -:2008D000295AAB04018005C41087883A1947883A18C0000B20C00326118094261080004406 -:2008D800003FF90600C005C418809036100490BA00C0207418C8E10410C5883A1080001726 -:2008E0001000683A008123E40081240800812418008123EC008124100081242000812400A5 -:2008E800008125AC008124280081243000812438008125AC008125AC008125AC008125ACD7 -:2008F000008125AC008125AC00812464008124DC008124F4008125200081256C0081254C57 -:2008F8000081259800C000440000010600C0008400802074109EF10410C0000500006B0656 -:2009000000C000C4003FFA0600C00104003FF80600C00144003FF60600C00184003FF40654 -:2009080000C001C4003FF20600C00204003FF00600C00244003FEE0600C0207418DEF88419 -:20091000188000031005003A1880000510000326010000440812C600000054060810DEC0D2 -:200918000000520600802074109F1B0411000287014007040814494001C020740100207410 -:200920000180207439DF3104211F0E040140044431983404388F883A08145140008020B4EF -:200928001085140411C0003710800037010020741005D43A01802074211F1684108000CC30 -:20093000014004443198370439FFFFCCD8800015081451400810DD800000340600C020B4BA -:2009380018C52404188000371080009C1880003500002E0600C0207418DF2A44188000034A -:200940000140004411003FCC290002361145883A000001060005883A1880000500002306E9 -:2009480000C0207418DF2A44188000430140004411003FCC290002361145883A00000106DA -:200950000005883A188000450000180600802074109F2A4410C0008319003FCC20000126D6 -:2009580018FFFFC410C000850000100600C0207418DF2A44188000830140038411003FCC82 -:20096000290002361080004400000106008003C4188000850000050600C0207418DF2A4413 -:20096800188001031005003A18800105D0A2551710001B1ED0E258171880006C10000C2658 -:2009700000802074109F1B04108003430100024411403FCC290002261080004400000106E0 -:200978000080004401002074211EF1042080000518C000AC18000A2600C0207418DF2A44A8 -:20098000188000030140004411003FCC290002361145883A000001060005883A1880000537 -:20098800DFC00117DEC00204F800283ADEFF6C04D9000304DC008C15DFC09315DD809215A5 -:20099000DD409115DD009015DCC08F15DC808E15DC408D150810D5401021883A1000821E35 -:20099800D9008304D9400304018001040814610001402074D90083042958820401800104FA -:2009A00008145CC010000B260100207401802074211F0E040140044431988404081451403B -:2009A80000802074109F1684100000050400004400006D06D8800403DC808484D94004849E -:2009B000D8808405D88004439009883A01800204D880844508146100D880068BD80086459B -:2009B800D8808B0DD880070BD8808B8DD9408B17280BC232D880078BD9408715D8808B0DE4 -:2009C000D880080BD8808B8DD8808B171005C232D8808815D880088BD8808B0DD880090BFD -:2009C800D8808B8DD8808B171005C232D8808915D8808217D8808B151005C232D8808A15BD -:2009D00000C0788428BFF98418800B2E0100207401802074211F0E0401400444319888043C -:2009D8000814514000802074109F168410000005043FFFC400003C06D900030401800044F3 -:2009E000081572C0D8C08A1701002074211F0E040140044410C007260180207431988C0494 -:2009E8000814514000802074109F16841000000500002C060180207431989004081451407F -:2009F000D9C088170100207401802074211F16840140044431989404081451400810DD801F -:2009F800DCC08817DD4089170021883A0005883A0580800484C00F2E9C23C83AB440012ECF -:200A00000440800485008004A009883A880B883AD98003040810C8001000121E800D003AFE -:200A0800D9000304880B883A081572C0A021883A003FF00615405D26010020740180207410 -:200A1000211F0E0401400444319897040814514000802074109F168410000005043FFF44E2 -:200A180000000106043FFF8404C000C40810DD80010003F4211090040814EA8000BFCE44E0 -:200A20008080480E04C0470E0100207401802074211F0E04014004443198AA04081451409E -:200A28009CFFFFC40100207401402074211F16842958A20401800444081461000810DD802A -:200A30000021883A0580800405004004DC40881784401C2E8C23C83AB440012E044080040C -:200A380085408004A809883A880B883AD98003040810C8001000291E8025D23A880B883AF0 -:200A4000A440012E01404004D9000304900D883A0810A8401021883A103FD41EA44002366F -:200A4800A821883A003FE906D9004304897FC004918000440810A8401021883A103FF82634 -:200A5000003FCA060100207401402074211F0E042958A604018004440814610001002074B5 -:200A580001402074211F16842958A20401800444081461000810DD80D9408817D98089173C -:200A60000009883AD9C003040810BAC01021883A103FB61E0005883A00003B0600BFCE04CA -:200A68000000390600BFFFC400003706D8808487D9C08403D8C08443100003260080207441 -:200A70001098650400000206008020741098C3040100207401802074D8C00015211F0E0421 -:200A78000140044431989C04D8800115DC800215081451400100207401402074211F16849A -:200A80002958AE040180044408144EC0044020B4040020740810DD808C452004841AAB04C9 -:200A88008880003780C0000B10BFFFCC10C0052680C0008B10C013260109C4040814EA8003 -:200A9000003FF70608138F0000C020B418C524041880003710800114188000350109C404B4 -:200A98000814EA800100207401402074211F0E0429589F04018004440814610004C000C40A -:200AA000003F880600800044DFC09317DD809217DD409117DD009017DCC08F17DC808E17CA -:200AA800DC408D17DC008C17DEC09404F800283A21C03FCC0180207401002074211F1684EF -:200AB000014004443198B30408145141DEFFFF0421003FCC01411944DFC00015081449406B -:200AB8001009883A0140190408143D400100207401802074211F1684014004443198B504BD -:200AC000100F883ADFC00017DEC001040814514121C03FCC0180207401002074211F1684BE -:200AC800014004443198B70408145141014003F4DEFFFC0421003FCC29509004DFC003154E -:200AD000DC400215DC000115081449401009883A01465904081448401009883A0140FA04FF -:200AD8001021883A081448408009883A0140FA041023883A081448C01009883A0140028422 -:200AE000081448400100207401802074D8800015211F1684014004443198BA04880F883AF8 -:200AE80008145140DFC00317DC400217DC000117DEC00404F800283ADEFFFF0421003FCC53 -:200AF000014119C4DFC00015081449401009883A0140190408143D400100207401802074F2 -:200AF800211F1684014004443198BD04100F883ADFC00017DEC001040814514121C03FCC1D -:200B00000180207401002074211F1684014004443198BF040814514121003FCCDEFFFF0482 -:200B08002100004401409C44DFC00015081449401009883A0140190408143D400100207487 -:200B100001802074211F1684014004443198C204100F883ADFC00017DEC0010408145141D6 -:200B180000802074109EF60411400017DEFFFA0401802074DC000015DFC00515DD00041509 -:200B2000DCC00315DC800215DC400115040002C4319AAB0400C004448405883A1185883A6D -:200B28001080000B2880101E80BFFD0410803FCC050001048025883AA0803A36100490BA02 -:200B300000C0207418CB350410C5883A108000171000683A00812D6800812CF400812D30B0 -:200B380000812E6800812E688400004480FFEA1E0000F806D0E2594301002074211AB70449 -:200B4000180490FA2087883A18C0010319403FCC2800031E2087883A18C0001718C00003CA -:200B480018FFFFC42085883A10C0010500009406D0E2594301402074295AB704180490FAD5 -:200B5000140001042885883A108000172C21883A810000031140000321000044081442802C -:200B58008080000500008606D0A2594310C03FCC1800032610BFFFC4D0A25945000080069A -:200B6000D0225985DFC00517DD000417DCC00317DC800217DC400117DC000017DEC00604F8 -:200B68000810DEC1D4225943044020748C5AB704802490FA014005048C85883A110001034B -:200B700014C000170814494098C00117010000C41885883A10C00103190006261D00671E86 -:200B780010800217048002C4103EE83A1021883A0000640684000044802090FA1080021702 -:200B80008C07883A18C00017188002268C05883A100001058C85883A1100010301400504B6 -:200B88008C21883A0814494098C001178CA3883A1887883A18C0021780C0001588C00017D2 -:200B900018C001171885883A1080031710000226010002C4103EE83AD0A2594310800044FB -:200B9800D0A2594500004506D0E2594301002074211AB704180490FA014005042087883AB0 -:200BA000190001031C4000170814494088C00117010000841885883A10C001031900202689 -:200BA80019000336010000C419002F26000034061180021782003FCC01C003C41100030398 -:200BB00010C00343314000031080038341C00A1E29C03FCC1A003FCC41C0022E28BFFFC468 -:200BB8000000030621003FCC2000011E1805883A308000050000220629C03FCC12003FCCDC -:200BC0003A00022E288000440000030621003FCC200001261805883A308000050000100699 -:200BC8001100021781803FCC014003C420C0000B3140061E1080030B197FFFCC1140012ECE -:200BD00018FFFFC420C0000D00000D061080038B197FFFCC2880012E18C0004420C0000DCA -:200BD800048004040000060610800317100004268009883A103EE83A00000106048002C475 -:200BE0000021883AD0E2594301002074211AB704180490FA014005042087883A19000103C3 -:200BE8001CC00017081449401023883A9880011701002074211F0E041445883A11400017C6 -:200BF000018004440814610098800117010001041445883A10C0010320C03636180690BAC6 -:200BF80001002074210BFD041907883A18C000171800683A008130080081303800813048F5 -:200C0000008130580081306C10C00217110004170180044418C0000318C5883A1085883AFF -:200C08002085883A1140001701002074211F16840814610000001F0610C002171080041758 -:200C1000190000030000070610C00217108004171900000B000003061080031710000626F4 -:200C18000009883A103EE83A0000120694803FCC00C002C490C0042600802074109F1684ED -:200C20001000000500000B068000021E11C003170000020601C0207439D8C4040100207438 -:200C280001802074211F1684014004443198C60408145140DFC00517DD000417DCC003178B -:200C3000DC800217DC400117DC000017DEC006040810DD8121003FCC200002260025883A8F -:200C3800003FA706DFC00517DD000417DCC00317DC800217DC400117DC000017DEC0060403 -:200C4000F800283A009114B4DEFFBF041094D544D88000150080107410951044D8800115AC -:200C480000801204D8800245D809883A00800084014002C401840004DFC04015D80002054D -:200C5000D88002850810A8401000022600BFFFC4000020060140207400800D04D900010481 -:200C5800295AAB0401800C04D8000005D8800045D80000C5D800008508144EC0D809883A78 -:200C600001400D04018400440810A840103FEF1E0080004401402074D8800005D900010429 -:200C6800008007C4295F2A44018006C4D8800045D80000C5D800008508144EC0D809883A7C -:200C7000014007C4018400840810A8401004C03A0085C83ADFC04017DEC04104F800283A87 -:200C7800DEFFB50401000434014002C4D80D883ADFC04A15DD804915DD404815DD00471573 -:200C8000DCC04615DC804515DC404415DC0043150810A10010000226043FFFC400003806C9 -:200C8800D9004004D80B883A018002040814610001402074D90040042959C40401800204C3 -:200C900008145CC01021883A10002C1ED8800203DD4002830023883AD8804205D88002439F -:200C9800DD40428505800D04D8804245A8803FCC8880230E8CC400449826923A0140008494 -:200CA000D80D883A9809883A0810A100103FE21EDD0000439809883AD80D883AA00B883A8B -:200CA800DC8000030810A100103FDB1E90803FCC1000032600C0004410C0072600000D0664 -:200CB000A5800C1E01002074211AAB04D940010401800C0400000606008007C4A080051E0D -:200CB80001002074211F2A44D9400104018006C408144EC08C400044003FDC0604000044CD -:200CC0008005883ADFC04A17DD804917DD404817DD004717DCC04617DC804517DC40441731 -:200CC800DC004317DEC04B04F800283ADEFFFA04DC800215048020B4DFC00515DD0004153A -:200CD000DCC00315DC400115DC0000159485100490000035044005048809883A040020B4C3 -:200CD800840508040814EA808009883A01400E0408158D408809883A0814EA808009883A35 -:200CE00001400E4408158D408809883A0814EA80880B883A8009883A08158D408809883AC1 -:200CE8000814EA808009883A01401C4408158D408809883A0814EA808009883A0140178490 -:200CF00008158D408809883A0814EA808009883A01401B4408158D408809883A0814EA8073 -:200CF8008009883A0140030408158D408809883A0814EA8004C00044980B883A8009883ACE -:200D000008158D400500C804A009883A0814EA808009883A0140018408158D408809883AD9 -:200D08000814EA808009883A0140008408158D40A009883A0814EA8094C00035DFC0051716 -:200D1000DD000417DCC00317DC800217DC400117DC000017DEC00604F800283ADEFFFA049C -:200D1800DC000015040020B4DCC00315DC800215DFC00515DD000415DC4001152027883AE1 -:200D20002825883A8405100480000035010020B4014000442105080408158D400100C8040F -:200D28000814EA8000800084808000359809883A01400404081465C01007883A10803FCC8B -:200D30001000061E014020749809883A2959C604018004440814610000C000449829883A1E -:200D38001C403FCCA1400003010020B42105080408158D40010005040814EA80A5000044E6 -:200D4000A4C5C83A10803FCC147FF63680000035010020B4014030042105080408158D40B3 -:200D4800010005040814EA8000800084808000359009883A01400404081465C01007883A04 -:200D500010803FCC1000061E014020749009883A2959C604018004440814610000C00044EE -:200D58009027883A1C403FCC99400003010020B42105080408158D40010005040814EA803E -:200D60009CC000449C85C83A10803FCC147FF636008000C480800035DFC00517DD0004172A -:200D6800DCC00317DC800217DC400117DC000017DEC00604F800283ADEFFFB04DC0000154A -:200D7000040020B484050804DC80021504800B04DCC00315900B883A2027883A000D883A07 -:200D78008009883ADFC00415DC40011508158140044000448009883A99403FCC880D883A3A -:200D8000081587808009883A900B883A880D883A081581408009883A880B883ADFC00417F8 -:200D8800DCC00317DC800217DC400117DC000017DEC00504081584C1DEFFFC04DC00001522 -:200D9000040020B484050804DC800215DC4001152025883A2823883A8009883A01400B0482 -:200D9800000D883ADFC00315081581408009883A91403FCC000D883A081587808009883A72 -:200DA00089403FCC01800044DFC00317DC800217DC400117DC000017DEC00404081587817A -:200DA800010020B4DEFFFD04000D883A000B883A21050804DFC00215DC400115DC000015D1 -:200DB00008158780010002840814EA8004000604044000448809883A800B883A08136380BE -:200DB800800B883A0100008408136380800B883A010000C4081363808809883A08135B80F2 -:200DC0001405003ADFC00217DC400117DC000017DEC00304F800283ADEFFFC04DC400115D3 -:200DC8002023883ADC8002158C403FCC048000449009883A882290FADFC00315DC000015BD -:200DD00008135B80043FF9C41404703A1460B03A84003FCC9009883A800B883A08136380B8 -:200DD800800B883A0100008408136380010000C4800B883ADFC00317DC800217DC400117B7 -:200DE000DC000017DEC0040408136381DEFFFD04DC4001152023883A01000044DC00001510 -:200DE800DFC00215043FF60408135B801420703A89003FCC008000842080021E84000054F4 -:200DF000000003062008917A2080011480A0B03A84003FCC800B883A0100004408136380C9 -:200DF800800B883A0100008408136380010000C4800B883ADFC00217DC400117DC0000171A -:200E0000DEC0030408136381DEFFFB04DC000015040020B484050804DC80021504801704E2 -:200E0800DCC00315900B883A2027883A000D883A8009883ADFC00415DC40011508158140CE -:200E1000044000448009883A99403FCC880D883A081587808009883A900B883A880D883A91 -:200E1800081581408009883A880B883ADFC00417DCC00317DC800217DC400117DC00001730 -:200E2000DEC00504081584C1DEFFFC04DC000015040020B484050804DC800215DC400115C9 -:200E28002025883A2823883A8009883A01401704000D883ADFC00315081581408009883A40 -:200E300091403FCC000D883A081587808009883A89403FCC01800044DFC00317DC800217C1 -:200E3800DC400117DC000017DEC0040408158781DEFFFC04DC000015040008848009883AFF -:200E4000DFC00315DC800215DC40011508138080014004C4010005841023883A0489C4043E -:200E4800081388809009883A0814EA80014000C4010005C4081388809009883A0814EA80B3 -:200E500000FFE00488CAB03A8009883A29403FCC081388800100FA040814EA808009883AB1 -:200E580089401FCCDFC00317DC800217DC400117DC000017DEC0040408138881DEFFFE04C8 -:200E6000DC0000150409C4048009883ADFC001150814EA80014004440100058408138880F0 -:200E68008009883A0814EA80010005C401400084081388808009883ADFC00117DC000017F2 -:200E7000DEC002040814EA81DEFFFE04DC0000152821883A21403FCC01000484DFC00115B2 -:200E780008138880010004C481403FCCDFC00117DC000017DEC002040813888121403FCCC4 -:200E80000100044408138881DEFFFE04DC0000152021883A814000C301000284DFC0011552 -:200E8800081388808140010301000244081388808140014301000204081388808140000305 -:200E9000010003440813888081400043010003040813888081400083010002C4DFC00117E6 -:200E9800DC000017DEC0020408138881DEFFFA04DC0000152021883A01000104DD000415B4 -:200EA000DCC00315DC8002153829883ADFC00515DC4001152827883A3025883A08138080BA -:200EA80000FFFE04A5003FCC10C4703AA00006261080005411403FCC0100010408138880C6 -:200EB0008423883A0000040611403FCC010001048023883A081388808C7FFFCC880AD13A52 -:200EB8000100004429403FCC08138880880A913A0100008429403C0C08138880993FFFCCE5 -:200EC00091403FCC081449401009883A880B883A081449401009883A0140FA0408144840FE -:200EC80000E327D41880092E00C0007418C45BC41880082E00C000B418C3D5C41885403A61 -:200ED00000C000C41885C83A000003060005883A000001060080004414003FCCD0A0180499 -:200ED8001405883A1100000301400A04081449408808D07A880B883A1109883A08143D4073 -:200EE000100B883A00C001C410803FCC1880012E180B883A29403FCC800491BA280A90FA4A -:200EE800010000C4288AB03A29403FCCDFC00517DD000417DCC00317DC800217DC40011703 -:200EF000DC000017DEC0060408138881DEFFFE04DC0000152021883A01000684DFC0011510 -:200EF80008138080017FFE8484003FCC00C000441144703A80C0021E1080009400000106A0 -:200F00001080029411403FCC01000684DFC00117DC000017DEC00204081388812140028B64 -:200F0800DEFFFE04DC000015280AD23A2021883A010012C4DFC001150813888081400283C3 -:200F100001001284081388808140030B01001344280AD23A081388808140030301001304A0 -:200F1800081388808140038B010013C4280AD23A081388808140038301001384081388800C -:200F20008140010B01001444280AD23A081388808140010301001404081388808140018BDC -:200F2800010014C4280AD23A081388808140018301001484081388808140020B0100154446 -:200F3000280AD23A081388808140020301001504081388808140040B010015C4280AD23A55 -:200F3800081388808140040301001584081388808140048B01001644280AD23A08138880E5 -:200F40008140048301001604081388808140050B010016C4280AD23A081388808140050335 -:200F480001001684DFC00117DC000017DEC0020408138881DEFFFD04DC00001504000FC4D6 -:200F5000DC4001152023883A8009883ADFC002150813808000FFFC0410C4703A888AB03AB5 -:200F58008009883A29403FCCDFC00217DC400117DC000017DEC0030408138881DEFFFD0434 -:200F6000DC40011504400684DC0000152021883A8809883ADFC002150813808081403FCC8D -:200F680000C000C4194BC83A280A91BA10800FCC8809883A114AB03A29403FCCDFC00217D3 -:200F7000DC400117DC000017DEC0030408138881DEFFFD04DC0000152021883ADC4001156D -:200F780084003FCC044001048809883A802090FADFC0021508138080108001CC140AB03ACE -:200F80008809883A29403FCCDFC00217DC400117DC000017DEC0030408138881DEFFFF0402 -:200F8800DFC0001508138F000009883A0813BCC0000B883A01000D440813888001002074B2 -:200F90002119C7040813C1C0010004040813DD000009883A0813D3400009883A0813D7C029 -:200F980001000F440140018408138880014000C401000D04081388800100110408139FC032 -:200FA000010006C401402204081388800100070401400204DFC00017DEC00104081388810C -:200FA800DEFFFD04DC0000152021883ADC40011584003FCC044004048809883A802090FACD -:200FB000DFC0021508138080108001CC140AB03A8809883A29403FCCDFC00217DC40011738 -:200FB800DC000017DEC003040813888121003FCC20001A26DEFFFD04DC4001150100098433 -:200FC0002823883A01402004DC000015DFC002153021883A0813888089403FCC008000442A -:200FC80028800426008002042880041E8140168400000306814002440000010681400604AA -:200FD00001000C4429403FCCDFC00217DC400117DC000017DEC00304000002060100098422 -:200FD800000B883A08138881DEFFF704D8800917DDC00715DD800615DD400515DD0004155A -:200FE000DCC00315DC800215DC400115DC000015DFC008152C403FCC00C000442021883A6D -:200FE800302B883A3829883ADCC00A17DC800B17DD800C1715C03FCC88C0092600C00204D1 -:200FF00088C00E1E11400C840100014429403FCC08138880010001840140080400000D06C9 -:200FF800114000840100014429403FCC0813888001000184200B883A0000060611400184D2 -:201000000100014429403FCC0813888001000184014004040813888001000044880B883A67 -:20100800B80D883A0813EEC0B1003FCC08139FC000800404010008848880021E01400304BD -:20101000000001060140020484003FCC8400201C08138880843FE0048009883A01400704C2 -:2010180004402074081449408C5F31048887883A19C0068B1900040BA1803FCC39C0100C77 -:20102000380EC03AA97FFFCC0813A6C099003FCC91403FCC08139C808009883A01400704AE -:20102800081449408885883A11400583010001C4DFC00817DDC00717DD800617DD40051769 -:20103000DD000417DCC00317DC800217DC400117DC000017DEC0090408138881DEFFFD04A9 -:2010380029BFFF84DC400115DC000015DFC0021531803FCC0080004424403FCC2C003FCC2E -:2010400011800436008000848880071E8805883A000008068800061E8005003A00C0008488 -:201048001885C83A000003060005883A0000010600800084880A913A8C47883A10803FCC81 -:20105000100491BA18CD883A2986B03A20CAB03A288AB03A0100064429403FCC081388808F -:20105800008000C4010004048080031E0140174408138880000011060140160408138880B6 -:201060000080008488800D1E0080004414000B36010003848080021E014014840000010698 -:20106800014014C4081388800100FA040814EA80010005040813808000000C06014016C455 -:2010700001000384081388800100FA040814EA800100050408138080008000C48080031EA6 -:2010780001000604014004040000020601000604000B883A0813888001000D84000B883AA2 -:20108000DFC00217DC400117DC000017DEC0030408138881DEFFFD04DC4001152023883A93 -:2010880001000504DC000015DFC002152821883A081380808C403FCC00C0008488C00A1EE6 -:2010900081403FCC00C0004428C0031E1080240C10802420000006062800031E1080220CC0 -:2010980010802220000002061004D07A1080004CDFC00217DC400117DC000017DEC00304A0 -:2010A000F800283ADEFFFE04DAC0030302800044DC00001552D6983ADA400403DC000203A4 -:2010A80002002074DC400115421F37040005883A037FFEC40380008403FFFBC429403FCC7D -:2010B000030006844180008B40C000033440200C880005264800021E78C6703A0000090692 -:2010B8004B80081E000006063440400C880005264800021E68C6703A000002064A80011E77 -:2010C0000007883A19C6703A18C03FCC18000C2630C0080C1807003A28C0091E40FFFE8B5D -:2010C80018C0078419000636318003CC8000032630FFFFCC180001261AC00726300006268B -:2010D00010C000441805883A18C03FCC420007041B3FDC1E00BFFFC4DC400117DC000017E0 -:2010D800DEC00204F800283A2900182E280017160080080400C00044000002061000112657 -:2010E00028000516294B883A10BFFFC418C7883A293FFA3618000B260005883A2140023603 -:2010E8002149C83A10C4B03A1806D07A280AD07A183FFA1E3000011EF800283A2005883ADB -:2010F000F800283A0005883A003FFA0600C00044003FF106DEFFFE04DFC00115DC000015C1 -:2010F80020000B160021883A28000C16000D883A081436800407C83A1884F03A1405883A1B -:20110000DFC00117DC000017DEC00204F800283A0109C83A04000044283FF40E014BC83A1C -:201108008400005C003FF106DEFFFD04DFC00215DC400115DC00001520000C160023883AD3 -:201110000021883A28000D1601800044081436801404F03A8885883ADFC00217DC400117FD -:20111800DC000017DEC00304F800283A0109C83A04400044043FFFC4283FF30E014BC83A75 -:20112000003FF106000D883A0814368101800044081436810005883A2000072620C0004CFF -:201128002008D07A180001261145883A294B883A203FFA1EF800283AF800283A218D883A0D -:20113000218008262080000328C0000310C0022610C5C83AF800283A210000442940004407 -:20113800003FF7060005883AF800283A2005883A0007883A1980062628C9883A21C000032E -:2011400010C9883A18C0004421C00005003FF906F800283ADEFFF504DFC00915DC4008158E -:20114800DC000715D9C00A1500802074109CB904144000172800040E008022C48880001532 -:2011500000BFFFC400001C0600C08204D8C0000DD9000415D90002152800022628FFFFC4D4 -:20115800000001060007883AD8C00515D8C003151100001700FFFFC4D8C0008D00C02074E2 -:2011600018D316042821883AD9C00A04D80B883AD8C00115D800061508146EC000FFFFC466 -:2011680010C0020E00C022C488C0001580000226D8C0041718000005DFC00917DC40081712 -:20117000DC000717DEC00B04F800283A30000E2631BFFFC4218D883A2080000728C0000741 -:2011780010C0011E2180041E2080000328C0000310C5C83AF800283A103FFB262100004411 -:2011800029400044003FF4060005883AF800283A2005883A2007883A1809883A3000092636 -:2011880029C0000331BFFFC418C0004421C0000539C03FCC39C0201C39FFE00429400044A4 -:20119000383FF51E198D883A198003261800000518C00044003FFC06F800283A214B883A89 -:201198002005883A1140021E1105C83AF800283A10C00007183FFC2610800044003FF9060B -:2011A000DEFFFB04DC800315DC400215DC000115DFC004152025883A2823883AD980000590 -:2011A8003821883A04000A0E888001179009883A880B883AD80D883A01C00044103EE83A04 -:2011B000843FFFC4103FF72600BFFFC4000001060005883ADFC00417DC800317DC40021778 -:2011B800DC000117DEC00504F800283ADEFFE304D8C00804DF001B15DDC01A15DD80191554 -:2011C000DD401815DD001715DCC01615DC801515DC401415DC001315DFC01C152029883A4B -:2011C8002823883A3839883AD9800F150021883AD8000E15002F883A002B883A0027883AA5 -:2011D0000025883AD8000C15D8000B15002D883AD8C00915D8C00F171900000320803FCCFD -:2011D8001080201C10BFE00410012E2601400044B14014262D800216B00006260001250696 -:2011E00001400084B1401D26014000C4B1402B26000120060140094411410D268880011755 -:2011E800D9000005880B883AA009883AD80D883A01C00044103EE83A1000E81E840000444F -:2011F0000001140601400C0411410A260140094411400A1ED880000588800117A009883A02 -:2011F800880B883AD80D883AB00F883A103EE83A1000DA1E840000440001050625BFF40432 -:20120000B5803FCC00C002441D80093600BFFFC4908004269009883A014002840814494029 -:20120800000001060005883A15A5883A0000F20601400B841140F42605800084213FF404E8 -:2012100020C03FCC0100024420C00B3600BFFFC4988006269809883A01400284D8C01115BD -:2012180008144940D8C01117000001060005883A10E7883A0000E70601401B041140E02626 -:20122000013FFFC499000226D8000B150000010604C0004401001A44110016262080091678 -:20122800010018C4110096260100190411001126010016041100D61E00C00044D8C00E15B7 -:201230000000140601001CC41100A6262080041601001BC41100CE1E0540020400000E06D0 -:2012380001001D4411000C2601001E04110009260000C706E0800104B8000726D8800D15FD -:20124000E7000017E000080E0739C83A020000440000060605400404E0C00104D8C00D155A -:20124800E7000017002F883A0011883A002D883ADD87883AE0001A26E009883AA80B883A6F -:20125000D8C01115DA00121508144840A809883A100B883AD880101508144940E085C83A42 -:2012580001000244D8C01117D9801017DA0012172080021617000C0400000506D9400E17C4 -:201260002800022617000DC400000106170015C41F000005B58000443039883A003FE4064E -:201268001EC5C83AD8800A159885C83A1839883A00800E0E182D883A1885883A01000C04C5 -:20127000D9400917B007883AB140052EB580004419000005B039883AB0BFF91E00000106B9 -:20127800B039883AB6EDC83ADD800A15D8800A171207883A90EDC83AD8C00B1718001726A8 -:2012800040000A2600800B44D880080588800117A009883A880B883AD980080401C0004460 -:20128800103EE83A10004D1E840000440580070EA009883A880B883A01800C04B00F883A27 -:20129000081468001000451E85A1883AD9000A17E007883A272DC83A000022060580090EA2 -:20129800A009883A880B883A01800804B00F883ADA00121508146800DA0012171000371E7B -:2012A00085A1883A403FF12600800B44D880080588800117A009883A880B883AD980080437 -:2012A80001C00044103EE83A10002C1E84000044003FE60618FFFFC418800003A009883A84 -:2012B000D8C01115D880080588800117880B883AD980080401C00044103EE83AD8C01117EC -:2012B80010001E1E80C5C83A1D89883AE085883A013FF0161021883ADF000D17000044066E -:2012C000010000442480080E95BFFFC4A009883A880B883A01800804B00F883A08146800A6 -:2012C80010000E1E85A1883AE0800017A009883A880B883AD880000588800117D80D883A87 -:2012D00001C00044E5800104103EE83A1000031E84000044B039883A00002D0600BFFFC4C6 -:2012D80000003106E5800017E0C00104D8C00A15B009883A0814D5409091C83A1039883A0D -:2012E0000200090E400F883AA009883A880B883A01800804DA00121508146800DA001217EF -:2012E800103FEE1E8221883A88800117A009883A880B883AB00D883AE00F883A103EE83AA6 -:2012F000103FE61E8721883ADF000A170000100605C0004404FFFFC4D8000E150540028476 -:2012F8009825883AD8000C15D8000B15B82D883A00000806DD800B15058000840000050620 -:2013000000C00044D8C00C15058000C400000106002D883AD8C00F1718C00044D8C00F153B -:20130800003ECC068005883ADFC01C17DF001B17DDC01A17DD801917DD401817DD001717D9 -:20131000DCC01617DC801517DC401417DC001317DEC01D04F800283A2880000BDEFFFD0475 -:20131800DC000015DFC00215DC40011510C0020C2821883A1800192628C0008F1800150EEA -:2013200010C0800C180013262C40051789C0030E10C0200C1800032600000E063C40010E42 -:201328003823883A81000417300B883A880D883A0814CF40808005171445C83A80800515DC -:20133000808004171463883A844004150005883A00000306108010148080000D00BFFFC459 -:20133800DFC00217DC400117DC000017DEC00304F800283A2005883A29000D2E298F883AF2 -:2013400021C00B2E3007883A017FFFC418FFFFC4194006261989C83A3909883A220000030B -:2013480010C9883A22000005003FF806F800283A0007883A1980062628C9883A21C0000307 -:2013500010C9883A18C0004421C00005003FF906F800283A2005883A10C00007180002264A -:2013580010800044003FFC061105C83AF800283ADEFFFF040100207401402074DFC00015F0 -:20136000211A9904295CC2042140061E010020740140207421000804294008042140141E26 -:2013680000000D0600C0207418DCC20400BFFF041907C83A1886703A0005883A10FFF32629 -:20137000114F883A39C00017110D883A1080010431C00015003FF906010020740140207408 -:201378002117BB042957BB042140121E00000D0600C0207418C0080400BFFF041907C83A5F -:201380001886703A0005883A10FFF326114F883A39C00017110D883A1080010431C0001569 -:20138800003FF90608159780DFC00017DEC001040815A70100C0207418DA990400BFFF0410 -:201390001907C83A1886703A0005883A10FFF526114F883A39C00017110D883A108001043B -:2013980031C00015003FF906DEFFFF040009883ADFC000150814EAC00814ECC0010020746F -:2013A000211A8904200B883A200D883A0815B140D1225C17D1625B17D1A25A17DFC00017D6 -:2013A800DEC001040811D00108158F81DEFFFF04DFC000150815DAC0008000441001703AA1 -:2013B000DFC00017DEC00104F800283ADEFFFE04DC00001504002074841CBB04010020740E -:2013B800800B883A211C2A04DFC001150815984001002074211BF30408155B80010020745E -:2013C000211BE804800B883ADFC00117DC000017DEC002040815984101402074DEFFFF049F -:2013C800295CBB04DFC0001508159FC010000E2610C00A17D0A25F15D022691519008D045C -:2013D000D122671519008904D122661519008404D122651519008C04D122641519008B04AF -:2013D800D1226315D0E26215DFC00017DEC00104F800283AD0E25F17008000441800041E88 -:2013E000D0E269171880071ED022691500000506D0E2671718C0002B18C0008C183FF82677 -:2013E800F800283A0005883AF800283ADEFFFD04DC400115DC000015DFC002152023883AAE -:2013F0002821883A0814F7401000021E0005883A000011068C09883A2006927AD0A26317FC -:2013F80010C00035D0A2641700C0060410C0002DD0A267171080002B10BFFFCC10C0010CFA -:20140000183FFB1E1080040C103FF01ED0225E15D1225D1500800044DFC00217DC400117E5 -:20140800DC000017DEC00304F800283AD0A25E1710000326D1225D17000B883A0814FAC1A7 -:2014100000800044F800283ADEFFFD04DC400115DC000015DFC002152023883A2821883AD7 -:201418000814F7401000021E0005883A00001906D0A25E171000081E8C09883A2006927AA5 -:20142000D0A2631710C00035D0A2641700C0044410C0002D00000506D1225D17000B883A8A -:201428000814FAC0103FF41E003FEF06D0A267171080002B10BFFFCC10C0010C183FFB1EA7 -:201430001080040C103FE81ED0225E15D1225D1500800044DFC00217DC400117DC0000173A -:20143800DEC00304F800283A2005883A2100001711C0021721000A04081511012005883A47 -:201440002100001711C0021721000A04081516C121000017298D883A2805883A3A10000C52 -:2014480011800B2E20C000371A60000C4800032610C0000510800044003FF906114002264C -:201450001145C83AF800283A403FF52600000106117FFB1E39D0000C3800021E00BFFEC492 -:20145800F800283A00BFFD44F800283A210000173005883A298D883A21C001042980072E55 -:2014600038C0003718FFFFEC183FFC2628C0000720C0003529400044003FF806F800283A7A -:2014680020001D262804923A20C03017DEFFFD04DC400115DC000015DFC002152823883A1E -:201470002021883A108000D41880033580C03017188000371080004C100003260100004475 -:2014780008158F80003FF90618C000371809D0FA2140020C1809D0BA1807D07A210001CC7F -:201480002908B03A18C0040C20C6B03A1C40042600BFF4840000020600BFFA84F800283A1D -:20148800DFC00217DC400117DC000017DEC00304F800283A20000A26280009263000082661 -:2014900020800C173080001520800C171000062621000D04290000150005883AF800283A24 -:2014980000BFFA84F800283A00BFFEC4F800283A2005883A20001D262809883A28001B162C -:2014A00010C0311728C0192E1140341728FFFFC420C6703A1800151EDEFFFE04DC000015B4 -:2014A800DFC001151021883A081448401004923A00C0403418FFC00410C4703A80C03017E4 -:2014B000108000941880033580C0301718C00404188000371080004C100006260080004416 -:2014B8001880003500BFFEC40000020600BFFA84F800283ADFC00117DC000017DEC00204D9 -:2014C000F800283ADEFFF504DC000115DFC00A15DF000915DDC00815DD800715DD400615C4 -:2014C800DD000515DCC00415DC800315DC400215DC000B17280039163023883A30003716AA -:2014D0002027883A20003526382B883A38003326208031173080312E2880302E20803417DA -:2014D8002987C83A1887883A1C002C3680002B1610BFFFC4288A703A2800281E0029883A5B -:2014E00005BFFFC405C000C40700010480002126DD800015890000CC20000526E125C83AEF -:2014E8008480012E8025883A8923C83A00000406BC0002368025883A0000010604800104A7 -:2014F000AD0B883AD909883A900D883A08144EC098802E17D8C00017A4A9883A84A1C83AF3 -:2014F8008885883A10C00035988030171080040410C0003718C0008C1800042600C0008418 -:2015000010C0003500BFFEC4000005068C400104003FDE060005883A0000010600BFFA843B -:20150800DFC00A17DF000917DDC00817DD800717DD400617DD000517DCC00417DC8003176D -:20151000DC400217DC000117DEC00B04F800283ADEFFF604DC000115DFC00915DDC008154B -:20151800DD800715DD400615DD000515DCC00415DC800315DC4002152021883A20000A265C -:201520003029883A3000082620802E1780C02F172823883A2885883A382B883A11C9883A8A -:2015280010C0012E20C0023600BFFA84000024062809883A81403417002D883A0814484093 -:20153000102F883A80803317B8801C2EA8001B2684C0341704E7C83A8CE6703A9C40022649 -:201538008CE5C83A000001060025883A8009883A980B883A081527001000111E80C0341774 -:201540001CA5C83AAC80012EA825883ADC8000158009883A980B883A880D883AA58F883A70 -:20154800081530401000061EACABC83AB4AD883A8CA3883ABDC00044003FE2060005883AA6 -:20155000DFC00917DDC00817DD800717DD400617DD000517DCC00417DC800317DC400217F0 -:20155800DC000117DEC00A04F800283A200007263000062620802E17288B883A20802F1790 -:2015600029C7883A2880012E1880023600BFFA84F800283ADEFFFF043009883A380D883A99 -:20156800DFC0001508144EC00005883ADFC00017DEC00104F800283A20005026208030178E -:201570001000502620C03217DEFFFB04DFC00415DCC00315DC800215DC400115DC000015CE -:2015780018001E1E1480023700C0030494803FCC90BFFAC418804536100490BA00C020747A -:2015800018D5840410C5883A108000171000683A0081567C0081568C00815694008156846A -:20158800008156440081570C0081570C0081570C0081570C0081570C0081570C0081564CAD -:2015900000815654044080040000130604410004000011060442000400000F0614800137A4 -:201598000080058494803FCC90800A260080060490800426008005049080241E04400804DC -:2015A00000000506044040040000030604401004000001060440200424C034172021883A96 -:2015A8008809883A980B883A0814494080C0311710C0021E80C0331788C0022680000C15B3 -:2015B0000000120600C0004401402074848036158440331580C00C1580000D1580800E15A9 -:2015B80084400F1584C010158009883A295CC004081598400005883A0000050600BFFA842A -:2015C000F800283A00BFFB44F800283A00BFFB44DFC00417DCC00317DC800217DC40011742 -:2015C800DC000017DEC00504F800283A300001260005C03200FFFF042005883A28C6703A40 -:2015D000110DC83A30C0042E11800017300DC0F210800104003FFA06294000CC00C000C495 -:2015D80028C0041E10C0000B1807C0B2108000830000080600C0008428C0031E1080000B74 -:2015E0001005C0B20000040600C0004428C0021E108000031005C0720005C132F800283A22 -:2015E800DEFFFD04DC400115DC0000152823883A2021883A014001443009883ADFC002159B -:2015F000081449408809883A100B883A0814484010BFFFC480C002041800003501000044F8 -:2015F8008100043511003FCC810000351004D23A10803FCC808001350080200418800035E5 -:20160000DFC00217DC400117DC000017DEC00304F800283A3180004C294B883A298B883A43 -:2016080021400335210004040080240420800035208000371080008C103FFD1E208000374F -:201610001004D1FA1080004CF800283A20C004042800022600801A0400000106008008043C -:2016180018800035188000371080008C103FFD1E20800337F800283A29403FCC214003354F -:20162000210004043000022600801404000001060080040420800035208000371080008C3A -:20162800103FFD1E208000371004D1FA1080004CF800283A20C0040400800B041880003508 -:20163000188000371080008C103FFD1E20800337F800283A29403FCC2140033521000404DB -:201638000080070420800035208000371080008C103FFD1EF800283A014AAAF4DEFFFE04B3 -:20164000296AAA84DC000015DFC001152021883A0814484010000F260160003401355574A3 -:201648000007883A297FFFC421155584297FFFC4283FFE1E18C000448121883A18BFFB16E9 -:201650008405883A1421883A843FFFC4803FFE1E000004068405883A1421883A843FFFC405 -:20165800043FFE160005883ADFC00117DC000017DEC00204F800283AF800283A3005883A5B -:20166000F800283A20000226208002171000131E00802074109CBF04108000171000092665 -:20166800DEFFFF04DFC00015103EE83A00C0058410C0001500BFFA84DFC00017DEC001049A -:20167000F800283A00802074109F090400C0058410C0001500BFFA84F800283A28800017AC -:2016780021400115208000152880001711000115290000150005883AF800283ADEFFFB0405 -:20168000DCC00315DC800215DC400115DC000015DFC004152027883A2823883A2C000017EF -:201688000814D540148000448440072681000217980B883A900D883A08144BC01000042689 -:2016900084000017003FF8060005883A000001068005883ADFC00417DCC00317DC80021768 -:20169800DC400117DC000017DEC00504F800283AF800283ADEFFFC04DC4001152023883A9C -:2016A0002809883A300B883A01807FC4DC000015DFC00315DC8002150815B8C01021883AD3 -:2016A800100016161009883A014003040480207408144940949C35041007883A9085883AED -:2016B000108000178009883A8880001590C5883A1080011790C7883A888001151880021764 -:2016B80088800215DFC00317DC800217DC400117DC000017DEC004040815D501DFC003174C -:2016C000DC800217DC400117DC000017DEC00404F800283ADEFFFB04DC0000150400207409 -:2016C8002005883A841C3804DC40011504400044DCC00315DC8002152827883A3025883A36 -:2016D000100B883A8009883A880D883ADFC004150815A740813FFD04980B883A000D883ACA -:2016D8000815A74081000304900B883A880D883ADFC00417DCC00317DC800217DC40011799 -:2016E000DC000017DEC005040815A741DEFFF504DC4002152823883A01402074295CBB041C -:2016E800DD400615DD000515DCC00415DC800315DFC00A15DF000915DDC00815DD8007159C -:2016F000DC0001152025883A3027883A08159FC0102B883A0029883A1000051E9009883AD6 -:2016F8000815DB40102B883A1000462605000044A809883A0815E6001021883A1000441605 -:201700001009883A01400304081449401007883A0210003400802074109C3504423FFFC4A5 -:2017080018B9883A8A10703A102F883A18C00204A000062610C7883A1A000015A880031735 -:2017100010001A1E8005883A0000350610C7883A0080207442100034109CBA041A00001523 -:2017180010C00017B829883A002D883A8009883A01400304D8C0001508144940B885883A54 -:20172000A100001710800017D8C000172080031EA08002171000010EA7001F1EB580004425 -:20172800A50003041DBFF12E003FE406880D883AE009883A900B883A980F883A103EE83A99 -:201730001023883A8005883A8800150E8009883A0815D50000802074109CBF04108000174B -:2017380010000226103EE83A0000020600802074109F09040463C83A1440001500BFFFC4BD -:2017400000000706047FFB44043FFFC4003FEF061023883A003FED06047FFCC4003FEB06E6 -:20174800DFC00A17DF000917DDC00817DD800717DD400617DD000517DCC00417DC8003172B -:20175000DC400217DC000117DEC00B04F800283A00C000841900140EDEFFFD04DC000015FB -:201758000140030404002074DFC00215DC400115841C35042023883A081449408085883A5F -:201760008809883A0140030410000215081449408085883A10000015DFC00217DC4001172A -:20176800DC000017DEC00304F800283A000170FAF800283A00802074DEFFFA04109CBD044E -:20177000DC00001514000017DD000415DCC00315DC800215DFC00515DC4001152027883A2C -:201778001025883A05000BC484801226844002178809883A0814D5408887883A18FFFFC73B -:201780001D00011E10BFFFC49887883A18C000071D0001261800051E8809883A980B883A84 -:20178800100D883A08144BC01000042684000017003FED060005883A000001068005883A1F -:20179000DFC00517DD000417DCC00317DC800217DC400117DC000017DEC00604F800283A32 -:20179800DEFFFB04DCC0031504C02074DC800215DC400115DC000015DFC004152025883AF4 -:2017A0000021883A9CDC3504044008048009883A01400304081449409887883A188000177D -:2017A8001000081E1C80001500C0207418DCBA04190000178005883A2400050E1C00001555 -:2017B0000000030684000044847FF01E00BFFA04DFC00417DCC00317DC800217DC40011761 -:2017B800DC000017DEC00504F800283A73616C4672652068006573616F727265642520722C -:2017C0000000000073616C4672772068006574696F7272650000007273616C4665762068BD -:2017C800206669726C6961660000000061766E492064696C64616572646D6320000000002D -:2017D00072204453206461656C696166006572757665642F746C412F5F617265535F5055F2 -:2017D80061435F44415F64726F6C61766E495F6E667265745F6563610000003053206F4E65 -:2017E0006163204464206472002E74652520732500632575252E75256B75322E25207A4852 -:2017E8002E252E757A487532000000004353534F776620207525202E322E252E000000751D -:2017F00074696E49727265202020726F000064252020202053204F4E00434E5934313032F1 -:2017F8003130322D6D202036737172610000000074736554746170206E72657400000000B9 -:201800003A31564142475220000000533A31564173475220000000423A31564162505920DB -:20180800000072503A32564162505920000072503A32564173475220000000423A33564199 -:2018100042475220000056483A33564142475220000000533A33564173475220000000425B -:201818003A335641625059200000725000815FF0008160000081600C008160180081602423 -:20182000008160300081603C008160480081605400816060000000000000000000100000CB -:201828000000440B0000000180808000001A1A1A7365725000000073666E6F43006D7269A7 -:201830006D73694D686374616572202C00797274646F4D567325203A00000000203A4F4C83 -:2018380056207525203A4D53000075250000003100000032000000330000003400000035ED -:2018400000000036000000370000003800000039554E454D0000000000004B4F4B434142CA -:2018480000000000000050554E574F44000000005446454C000000004847495200000054FA -:201850004F464E49000000005F44434C4B4341424847494C000000544E414353454E494C44 -:20185800444F4D5F000000454E414353454E494C5059545F000000454E414353454E494CB1 -:20186000544E495F0000002B4E414353454E494C544E495F0000002D454E494C544C554DC5 -:20186800444F4D5F00000045008160EC008160F0008160F4008160F8008160FC00816100D1 -:2018700000816104008161080081610C00815F7800816110008161180081611C0081612452 -:201878000081612800816130008161380081614000816148008161580081616800816178F0 -:2018800000816188008161984353534F0000000061766E492064696C67616D690000006542 -:2018880061766E492064696C646165680000726561766E492064696C2072646800435243D3 -:20189000696C6156697461646420676E0061746162207525736574790000000061766E490C -:201898002064696C617461644352432000000000252E75252575322E0073257361647055C9 -:2018A000676E69740057462061656C70772065732E74696100002E2E697265566E69796694 -:2018A8006C6620670068736172746552676E6979647075200065746161647055203F6574D2 -:2018B0002C593D314E3D322000000000202020200000752564206425000067656C2075252F -:2018B80073656E6900000000252E75252075322E000073756D206425000000567020752501 -:2018C0006C6578690000007325257525000000006C6961660000646500007325656469567A -:2018C8006E69206F6F7270203E20206300000000706D6153676E696C74706F203E20202EEE -:2018D00000000000636E795374706F202020202E3E202020000000007074754F6F2074759C -:2018D800202E74703E2020200000000074736F506F72702D20202E633E202020000000008D -:2018E0002E77463C64707520206574613E202020000000002D204B4F736C702073657220A0 -:2018E80074726174000000007365523C73207465697474653E73676E000000006573655288 -:2018F0006F6420740000656E7661533C657320656E6974743E207367000000006576615355 -:2018F800000000646E616353656E696C000000736E616353656E696C727473200000002EF8 -:201900006E616353656E696C70797420000000656E616353656E696C696C61202E6D6E67C5 -:201908000000000069726F48746E6F7A6D206C61006B7361747265566C61636973616D202E -:201910000000006B703034323838322F696C20703358656E00000000656E694C706972746B -:201918006D20656C0065646F703038343637352F696C20703258656E000000006930383475 -:201920003637352F6170206972747373000000006D2058540065646F74696E49206C616955 -:2019280075706E69000000746C616E417320676F20636E790046504C6C616E417320676F59 -:2019300020636E79006874566E797356687420636873657200646C6F4C502D487250204CBC -:201938006F432D65007473614C502D486F50204C432D74737473616F00000000706D615328 -:20194000676E696C616870200000657370303834206E6920706D61730072656C7664413C3E -:201948006974202E676E696D3E2020200000000065646956504C206F0000004650625059B7 -:201950006E6920726C6F43200061705372502F5266666F200074657320592F477366666F25 -:201958000000746562502F4266666F200074657372502F52696167200000006E20592F47DB -:201960006E6961670000000062502F42696167200000006E73202E486C706D617461726587 -:201968000000006573202E486C636E7900006E6561202E48766974630000006561202E5651 -:20197000766974630000006562202E48706B63616863726F0000000062202E56706B636154 -:201978006863726F0000000000706F5474746F4200006D6F69726F48746E6F7A00006C61D1 -:20198000747265566C6163690000000065746C4174616E7200676E690066664F6F747541E0 -:2019880000000000756E614D00006C61494D44480000000000495644656E654720636972FF -:20199000393A363100000000656E65472063697200333A3478303233203034326974706F60 -:2019980000002E6D78363532203034326974706F00002E6D484D33336D28207A00296E6948 -:2019A000484D30316D28207A002964654D352E3228207A482978616D0000000020565444A7 -:2019A8007030383400000000415345563034362030383478003036402E6365523130362071 -:2019B000000000002E6365523930372000000000484D35394828207A205654440029494939 -:2019B800484D35334828207A2056544400002949484D36314528207A29565444000000006E -:2019C0007A484D39445328200029565400006E4F445253550041544100000020008166B87D -:2019C8000000200020002CE5E926F4FD38BC200000000000008166C4000020002000323E3F -:2019D000F113FA043B61200000000000703034324D334C5F05000030177000F00106061837 -:2019D800034810AA00410012703034324D334C5F03C00031177000F0010604920336108095 -:2019E00000420012703034324D334C5F01400032177000F0010601AA031F1031004400110E -:2019E800703034324D334C5F01000033177000F001060155031910270048001170303432F4 -:2019F0000000000002D00000177000F00106035A033C104100500012703838324D334C5FFB -:2019F800050000301388012001380618034810AA00410012703838324D334C5F03C00031FE -:201A000013880120013804920336108000420012703838324D334C5F01400032138800F0E3 -:201A0800013801AA031F293100440011703838324D334C5F01000033138800F0013801557E -:201A10000319292700480011703838320000000002D000001388012001380360033C104125 -:201A180000500012703438330000000001F000001686018001A70280033E1D320050000421 -:201A2000783034360034383302800000157C018001EC032002603F3000100010693038345B -:201A28000000000002D00000176A00F0020D035A033C1041007000127030383400000000D1 -:201A300002D00000176A01E0020D035A063E1E3C0080001478303436003038340280000094 -:201A3800177001E0020D032002602130010000147830343600323135028000001770020077 -:201A40000238032002601C3000000010693637350000000002D000001388012002710360FC -:201A4800033C104100700012703637350000000002D000001388024002710360063C204132 -:201A500000000004783030380030303603200000177002580274042004801758000000102B -:201A5800703032370000000005000000176A02D002EE0672052814FF000000083038323192 -:201A60003032377805000000177002D002EE0672052814DC0000001034323031383637787E -:201A680004000000177003000326054006881DA000000010303832313230317805000034F8 -:201A700017700400042A0698037026F800000010303830310000006907800000176A0438E8 -:201A780004650898052C109400200008303830310000007007800000176A043804650898C2 -:201A8000052C24BC000000083032393138303178078000301770043804650898052C2494E4 -:201A8800000000107665642F61746A2F61755F67305F7472000000007665642F6370652F6C -:201A90006F635F716F72746E72656C6C615F305F6D5F6C7600006D657665642F6C756E2F67 -:201A98000000006C00000000000000000000000000000000000000000000000000000000C2 -:201AA000000000000000000000000000000000000000000000000000000000000000000026 -:201AA80000000000000000000000000000000000000000000000000000000000000000001E -:201AB000000000000000000000000000000000000000000000000000000000000000000016 -:201AB80000000000000000000000000000000000000000000000000000000000000000000E +:2003E000DC400117DC000017DEC0040408137801DEFFF70400C020B4DFC00815DDC00715BB +:2003E800DD800615DD400515DD000415DCC00315DC800215DC400115DC0000150089C404B5 +:2003F00018C514041980003704C020B49CC514043000020E10BFFFC4103FFA1E21003FCCB2 +:2003F80029403FCC0400207408142840841F45041025883A808002C3100003269C40003761 +:200400008822D43A000001060023883A01000DC40813870001000E041029883A0813870014 +:20040800110003CC1007883A2008923A1004D1BA2528B03A1080004C8080024598800037DF +:20041000010000848C403FCC89000E2601002074211F4504210002C32000021E010063C447 +:200418002500082E010000448900041EA108983A10BFFFCC213FFF842080053618C0080CBA +:2004200018000426A4C0322805C00044000003061029883AA4C06428002F883A80C002C3C9 +:2004280090803FCC1800101E10001E2698001D26D0A254030100008410C03FCC18C0201CE7 +:2004300018FFE00420C0060E0080207400C00044109F4504D022540510C002C500000C06B9 +:200438001080004400000D061000012698000D1ED0A2540300FFFF0411003FCC2100201C7F +:20044000213FE00420C0040ED0225405800002C5044001040000050610BFFFC4D0A2540523 +:2004480000000106D02254050023883A01000E440813870001000E8404802074102D883ABE +:20045000949F5444081387009800662610C003CC1806923A808000171DACB03A00C0207454 +:2004580018DF4504A080051E18800117B080031E18C00203B8803FCC10C00226D02257851A +:2004600000000C06D0A2578300C0004411003FCC20C0082610C5883AD0A2578510803FCC70 +:2004680010C0041E88C03FCC008000841880012E1023883A90C00103808004831880071ED7 +:2004700000802074109F544410C0014300802074109F4504108004C31880042688C03FCC85 +:20047800008000841880012E1023883A90C00243808005C318800F2600802074109F45046E +:2004800011000287014007040814504000C0207418DF618410C5883A1080008B1080600CEC +:200488001000042688C03FCC008000841880012E1023883AD0A258C31000272684C0028750 +:2004900001400704054020749809883A08145040AD5F5B84A885883A10C0068B9809883A7A +:200498000140070418C0100C1800052608145040A885883A14C0040B9CE7883A00000306F5 +:2004A00008145040A885883A14C0040B9CFFFFCC980A913A0100008429403C0C08138F000B +:2004A800980AD13A0100004429403FCC08138F00810002870140070408145040A885883A33 +:2004B00011400583010001C408138F0088803FCC1000011E04400044850000158580011564 +:2004B80085C00205914000038100038300C020740080207418DF5444109F45042900151EB2 +:2004C00019400043110003C32900121E194000831100040329000F1E194000C31100044392 +:2004C80029000C1E19400183110005032900091E194001C3110005432900061E194004C398 +:2004D000110008432900031E18C00503108008831880032688803FCC1000011E04400044E0 +:2004D8009100028380800603208001260813E4409100030380800683208001260813F100EC +:2004E00091000343808006C3208001260813A6409100038380800703208001260813A700EA +:2004E80091000443808007C32080071E00802074109F544410C0048300802074109F4504CF +:2004F0001080080318800226914004830813A300910002C3808006432080062601400604C5 +:2004F80008145040010020742119E7042089883A0813C90091000403808007832080012646 +:200500000810E700910003C380800743208001260813DF000100207401402074211F59C4B3 +:20050800295F4E0401800184081452C01000031E01002074211F4E040813A7C00100207456 +:2005100001402074211F4884295F544401800704081455C08805883AD02258C5DFC00817F1 +:20051800DDC00717DD800617DD400517DD000417DCC00317DC800217DC400117DC0000170B +:20052000DEC00904F800283ADEFFF804DC00001504002074DCC00315DFC00715DD8006156D +:20052800DD400515DD000415DC800215DC400115841F4504858002870140070404C02074C3 +:20053000B009883A081450409CDF5B84108006049885883A10C0008B190003CC200009261F +:2005380080800443048000848480030510001226808003C3010000441100101E1025883ABF +:2005400000000E061900040C2000051E8100084320000626108000031080010C100003269A +:200548000080004480800305000001068000030584800443000001060025883A8080038379 +:20055000010000841100051E00802074109F4504144003C38C40004400000A060100004447 +:200558001100051E1880080C1000051E1C4007CC8822C03A000003060023883A00000106A8 +:20056000044000C4808008831000062618C0080C1800042600802074109F4504100003055A +:200568000023883A85000303808004C3B009883AA02897BA1004973A01400704054020749E +:20057000A0A8B03A0814504080C005039885883A10800503180695BAB009883AA0A8B03AB4 +:2005780001400704A0E8B03A081450409885883A1080028B00C020B4AD5F4504100492BAB4 +:2005800018C51C04A084B03A1880003580C0040380800543858002871806963A100494BA16 +:20058800B009883A0140070418A0B03A081450401029883A9885883A10C00543B009883AD1 +:200590000140070480E0B03A081450409885883A10C0030B9024973A882297BA180691FA23 +:20059800008020B41085180480C6B03A1CA4B03A9462B03A144000359D27883A9880060354 +:2005A0001080010C10000226A900084300000E06B009883A014007040814504000C0207497 +:2005A80018DF5B841885883A1080068B1080080C10000426A9400583A9000883280B003AED +:2005B000000002060009883A000B883ADFC00717DD800617DD400517DD000417DCC003176D +:2005B800DC800217DC400117DC000017DEC00804081094C1DEFFF404DC000415040020740E +:2005C000841F4504DC4005158440011700800044DFC00B15DD800A15DD400915DD000815E9 +:2005C800DCC00715DC800615D0A257858800152684C000179800132601006734213F300477 +:2005D000880B883A08144F401025883A8080020301283BF4212EC004980B883A1000032606 +:2005D80008144F401009883A0000020608144F401089883A880B883A08144F401023883A7A +:2005E000000002060445DC04048F550401000EC40813870001000F041027883A08138700BA +:2005E80080C00343008020741098370418C7883A18C7883A10C7883A8080020319C00017A6 +:2005F00080C000171000021E00801A440000010600801C040100207401802074D8C0001588 +:2005F8003197FF04211F3C4401400444D8800115081458408809883A0140190408144F4051 +:200600009009883A0140FA041029883A08144F409009883A0140FA04102B883A08144FC0D8 +:200608001009883A0140028408144F408809883A01401904D8800015DD00011508144FC049 +:200610000100207401802074D8800215211F50040140044431980104A80F883A0814584099 +:2006180000802074109F2284108000031000011E0810DEC0808004838100001781400203FC +:20062000D8800015808004C3D1E25883A00D883AD8800115808005C3044020748C5F450447 +:20062800D880021508142F4011003FCC2100201C213FE00400FFFFC420C0011E00800104B5 +:2006300088800285848002830140070405802074D4A2550594803FCC9480201C94BFE004B7 +:200638009009883A08145040B59F5B84B087883A1C400603D0E25883B085883A99003FCCE2 +:2006400088E2703AD46255451140040B05402074AD5F450408145040814001171009883AC8 +:2006480008144F4080C0020389403FCCA98000171800011E300CD07A10803FCCD8800015C9 +:20065000808007C39009883AA1C03FCCD880011580800803D880021580800703D880031597 +:200658000813FD80810007830810E700DFC00B17DD800A17DD400917DD000817DCC00717AE +:20066000DC800617DC400517DC000417DEC00C040811488121003FCC008003C420802A26DF +:200668000080040420802D26DEFFFD04DC000015DFC0021504002074DC400115008002C462 +:20067000841F5B8420802F1ED462550301400704D46257458C403FCC8809883A0814504079 +:200678008087883A18C0040B01400704D0E2568D10C0050480C7883A19000083D122590DFA +:200680008089883A2100028B8085883AD122560D1080030B8809883AD0A2558D18800003E4 +:20068800D0A2548D081450408085883A10800543D0A2580DDFC00217DC400117DC000017FE +:20069000DEC00304F800283AD0A2550310C03FCC18000A2610BFFFC40000080600C020746A +:2006980018DCDD04D0A2550318C0000311003FCC18FFFFC420C0010E10800044D0A2550543 +:2006A000F800283AD1225503014007040814504001002074211F4084808B883A018004446E +:2006A800DFC00217DC400117DC000017DEC0030408146801DEFFFA0400802074DD00041544 +:2006B000DCC00315DC800215DC400115DC000015DFC00515109F450410C002C30400207402 +:2006B8002027883AD4625743841F5B84D522548BD4A2580B18002226108002871440201E0D +:2006C0008809883A01400704081450408087883A1900040BD0E2568B20C0171E10C005045D +:2006C80080C7883A19400083D122590B2900121E8089883A2140028BD122560B29000E1E1B +:2006D0008085883A1100030BD0A2558B20800A1E18C00003A0803FCC1880071E8809883AF4 +:2006D80001400704081450408085883A10C0054390803FCC1880022600800044D0A258C5FD +:2006E0008809883A0140070408145040D122568B8087883A1900040DD122590B10C00504B8 +:2006E80080C7883A19000085D162560B8089883A2005883A2140028DD122558B01400704F6 +:2006F0001100030D1D0000058809883A0814504001002074018020748085883A211F408433 +:2006F800014004443198D00499FFFFCC14800545DFC00517DD000417DCC00317DC8002179D +:20070000DC400117DC000017DEC0060408145841DEFFFD04DC000015040020B4DC4001157C +:20070800DFC00215044000C4840524048440003580000035008020B410851C041000003566 +:20071000008020B410851804100000350109C4040814F18084400035010000F421035004BA +:200718000814F180010020B401406734018001B421050804297F30043186A0040815810046 +:200720000813394008137080100019260009883A0813870000C03FC410C017260813E9008A +:20072800010000840810210010803FCC00C004C410C0131E0810300008109E401021883A9E +:200730001000111E08121BC008132480008020B41085200410800037108000AC1000011E77 +:20073800081225400009883A0810E00000000706043FFF8400000506043FFF4400000306F2 +:20074000043FFF0400000106043FFFC48005883ADFC00217DC400117DC000017DEC003047B +:20074800F800283ADEFFFF04DFC0001508116F4008139E4000802074109F54441100020371 +:20075000DFC00017DEC001040810E001DEFFF404DFC00B15DF000A15DDC00915DD80081570 +:20075800DD400715DD000615DCC00515DC800415DC400315DC0002150811C10010001D16E1 +:20076000008012440100207401802074000F883A211F3C440140044431980704D88000159E +:20076800081458400100207401402074211F50042958130401800444081455C00810DEC077 +:2007700000802074109F544410C0054305C0207407002074D0E257050027883A0021883A28 +:200778000023883A0025883ABDDF2104E71F2204000047060100207401802074211F3C44F1 +:200780000140044431980C04100F883A081458400100207401402074211F50042958DF0400 +:2007880001800444081468000810DEC0003FFF0610FFFF8418C03FCC010000C420C0432E7F +:20079000A8C0000310C041260500207408123940A51F2284A0800003100002260009883AEB +:200798000812CC8005802074B59F4504D1225703B08003432080011ED0225705D122570308 +:2007A0000140020420BFFFC410803FCC2880332E20803FCC10006A1E00C0207418DF544486 +:2007A80019000203B140058300802074109F450421400826D88001150810E0000100207404 +:2007B000211F5444D880011721000203100001151100058598803FCC1000811E0080207414 +:2007B800109F210410C0001700802074109F1E0410C0001500802074109F22041080000320 +:2007C00001004B04D0225705A88000050814F18000C020B418C520041880003701002074C8 +:2007C80010FFFFCC211F200420C000150086303A1004D63A18C000EC05402074B8C00015A0 +:2007D000E0800005AD5F1F04103FB91E00802074109F200410000015003FBB06100490BAE5 +:2007D800014020742947DD041145883A108000171000683A00811F9800811FA800811FC08A +:2007E00000811FD000811FE000811FF400812004008120140081202C008003C4D0A25885B8 +:2007E8000021883A00000306008003C4D0A2588504000084044000440025883A0000200652 +:2007F000008003C4D0A25885040000C4003FF906008003C4D0A25885040000C400000306E6 +:2007F800008003C4D0A25885040000840023883A003FF10600800404D0A258850400004489 +:2008000000000D06008003C4D0A258850021883A0000090604000084008003C4D0A258851F +:200808008023883A8025883A00000506008003C4D0A25885040000C4044000848825883A64 +:20081000B1400783B1000345B00002C528803FCC0180004489003FCC3080042E008001442A +:20081800114BC83A29403FCC00000106014000C408137F400813958091003FCC81403FCC70 +:20082000081414C0B080034301002074211837041085883A1085883A2085883A11400017CC +:2008280001002074211F3C4401800444B0000115081468000100207401402074211F50044A +:20083000295810040180044408146800A08000031000011E0810DEC004C00044003F6E0603 +:2008380091003FCC81403FCC0810F90010803FCC0100008411002926010001041100032667 +:2008400001000044113F751E00002806B0C002C300802074109F45041800072600C020B428 +:2008480018C524041880003710800114188000350811D240003F690610000115D8800115DD +:2008500008139580D880011700C0207418D837041080034301002074211F3C441085883AE7 +:200858001085883A1885883A1140001701800444081468000100207401402074211F500417 +:20086000295810040180044408146800A0800003103F521E0810DEC0003F5006B08002C374 +:20086800103F4E2608116F40003F4C06B08002C3103F4A2608114880003F4806DEFFFE0453 +:2008700000C02074DC00001518DF544404000704014020741809883A29584104800D883AB8 +:20087800DFC00115081455C01007883A008020B410852004108000371004D4BA800B883ADE +:200880001080004C1880020500802074109CDD041100000308145040010020740140207412 +:20088800211F5B842959F304100D883A081455C00080207400C00044109F1CC410C000052C +:200890000005883ADFC00117DC000017DEC00204F800283ADEFFF804DD400515DD000415D3 +:20089800DCC00315050020B404C0207405402074DC800215DC000015DFC00715DD800615EB +:2008A000DC4001150021883A0025883A9CD88604A5052004AD5ACB04010020740140207430 +:2008A800211F38042958480401800444081468008405883A14C5883A1140001701002074B7 +:2008B000211F408401800444081468000810DD800023883A856D883AA080003710BFFFCCD8 +:2008B800D0A25C15100018261480172688000A1E0100207401402074211F380429584A04B9 +:2008C00001800444B080000D081468000810DD800440004400000C06B0C0000B10C0092605 +:2008C8000100207401402074211F380429584C0401800444081468000810DD800023883AB2 +:2008D000000001060440008400800084D4A25C17888003260109C4040814F180003FDE0699 +:2008D8008400008400800C0480BFCB1EDFC00717DD800617DD400517DD000417DCC0031722 +:2008E000DC800217DC400117DC000017DEC00804F800283AD1225C17DEFFFE04014020743E +:2008E800DFC001150005883A295ACB04018005C41087883A1947883A18C0000B20C0032671 +:2008F0001180942610800044003FF90600C005C418809036100490BA00C0207418C8FB0413 +:2008F80010C5883A108000171000683A0081244C00812470008124800081245400812478AF +:200900000081248800812468008126140081249000812498008124A00081261400812614B5 +:2009080000812614008126140081261400812614008124CC008125440081255C0081258858 +:20091000008125D4008125B40081260000C000440000010600C0008400802074109F1B041B +:2009180010C0000500006B0600C000C4003FFA0600C00104003FF80600C00144003FF60674 +:2009200000C00184003FF40600C001C4003FF20600C00204003FF00600C00244003FEE0649 +:2009280000C0207418DF2284188000031005003A1880000510000326010000440812CC8053 +:20093000000054060810DEC00000520600802074109F4504110002870140070408145040A1 +:2009380001C02074010020740180207439DF5B84211F38040140044431985004388F883AFE +:2009400008145840008020B41085140411C0003710800037010020741005D43A0180207446 +:20094800211F4084108000CC014004443198530439FFFFCCD8800015081458400810DD80ED +:200950000000340600C020B418C52404188000371080009C1880003500002E0600C0207464 +:2009580018DF5444188000030140004411003FCC290002361145883A000001060005883A6D +:20096000188000050000230600C0207418DF5444188000430140004411003FCC29000236F1 +:200968001145883A000001060005883A188000450000180600802074109F544410C00083E0 +:2009700019003FCC2000012618FFFFC410C000850000100600C0207418DF544418800083B9 +:200978000140038411003FCC290002361080004400000106008003C41880008500000506D0 +:2009800000C0207418DF5444188001031005003A18800105D0A25A1710001B1ED0E25D1799 +:200988001880006C10000C2600802074109F4504108003430100024411403FCC2900022633 +:2009900010800044000001060080004401002074211F1B042080000518C000AC18000A2643 +:2009980000C0207418DF5444188000030140004411003FCC290002361145883A00000106A0 +:2009A0000005883A18800005DFC00117DEC00204F800283ADEFF6C04D9000304DC008C1574 +:2009A800DFC09315DD809215DD409115DD009015DCC08F15DC808E15DC408D150810D54075 +:2009B0001021883A1000821ED9008304D9400304018001040814680001402074D9008304C5 +:2009B80029589E0401800104081463C010000B260100207401802074211F38040140044447 +:2009C0003198A0040814584000802074109F4084100000050400004400006D06D880040340 +:2009C800DC808484D9400484D8808405D88004439009883A01800204D88084450814680003 +:2009D000D880068BD8008645D8808B0DD880070BD8808B8DD9408B17280BC232D880078BE5 +:2009D800D9408715D8808B0DD880080BD8808B8DD8808B171005C232D8808815D880088B9C +:2009E000D8808B0DD880090BD8808B8DD8808B171005C232D8808915D8808217D8808B1549 +:2009E8001005C232D8808A1500C0788428BFF98418800B2E0100207401802074211F3804D8 +:2009F000014004443198A4040814584000802074109F408410000005043FFFC400003C0655 +:2009F800D900030401800044081579C0D8C08A1701002074211F38040140044410C0072614 +:200A0000018020743198A8040814584000802074109F40841000000500002C0601802074B5 +:200A08003198AC0408145840D9C088170100207401802074211F4084014004443198B004B5 +:200A1000081458400810DD80DCC08817DD4089170021883A0005883A0580800484C00F2E71 +:200A18009C23C83AB440012E0440800485008004A009883A880B883AD98003040810C80009 +:200A20001000121E800D003AD9000304880B883A081579C0A021883A003FF00615405D2694 +:200A28000100207401802074211F3804014004443198B3040814584000802074109F408444 +:200A300010000005043FFF4400000106043FFF8404C000C40810DD80010003F42110900484 +:200A38000814F18000BFCE448080480E04C0470E0100207401802074211F38040140044422 +:200A40003198C604081458409CFFFFC40100207401402074211F40842958BE040180044477 +:200A4800081468000810DD800021883A0580800405004004DC40881784401C2E8C23C83AE6 +:200A5000B440012E0440800485408004A809883A880B883AD98003040810C8001000291EF3 +:200A58008025D23A880B883AA440012E01404004D9000304900D883A0810A8401021883AAE +:200A6000103FD41EA4400236A821883A003FE906D9004304897FC004918000440810A8401F +:200A68001021883A103FF826003FCA060100207401402074211F38042958C2040180044409 +:200A7000081468000100207401402074211F40842958BE0401800444081468000810DD806F +:200A7800D9408817D98089170009883AD9C003040810BAC01021883A103FB61E0005883AD3 +:200A800000003B0600BFCE040000390600BFFFC400003706D8808487D9C08403D8C08443A4 +:200A880010000326008020741098810400000206008020741098DF04010020740180207483 +:200A9000D8C00015211F3804014004443198B804D8800115DC8002150814584001002074E5 +:200A980001402074211F40842958CA0401800444081455C0044020B4040020740810DD80F7 +:200AA0008C452004841ACB048880003780C0000B10BFFFCC10C0052680C0008B10C01326E1 +:200AA8000109C4040814F180003FF7060813958000C020B418C52404188000371080011456 +:200AB000188000350109C4040814F1800100207401402074211F38042958BB04018004440B +:200AB8000814680004C000C4003F880600800044DFC09317DD809217DD409117DD009017E9 +:200AC000DCC08F17DC808E17DC408D17DC008C17DEC09404F800283A21C03FCC0180207403 +:200AC80001002074211F4084014004443198CF0408145841DEFFFF0421003FCC01411944F0 +:200AD000DFC00015081450401009883A01401904081444400100207401802074211F40841F +:200AD800014004443198D104100F883ADFC00017DEC001040814584121C03FCC01802074E7 +:200AE00001002074211F4084014004443198D30408145841014003F4DEFFFC0421003FCC3E +:200AE80029509004DFC00315DC400215DC000115081450401009883A0146590408144F402F +:200AF0001009883A0140FA041021883A08144F408009883A0140FA041023883A08144FC084 +:200AF8001009883A0140028408144F400100207401802074D8800015211F408401400444ED +:200B00003198D604880F883A08145840DFC00317DC400217DC000117DEC00404F800283A43 +:200B0800DEFFFF0421003FCC014119C4DFC00015081450401009883A014019040814444069 +:200B10000100207401802074211F4084014004443198D904100F883ADFC00017DEC00104AE +:200B18000814584121C03FCC0180207401002074211F4084014004443198DB040814584188 +:200B200021003FCCDEFFFF042100004401409C44DFC00015081450401009883A014019048A +:200B2800081444400100207401802074211F4084014004443198DE04100F883ADFC0001794 +:200B3000DEC001040814584100802074109F200411400017DEFFFA0401802074DC0000151D +:200B3800DFC00515DD000415DCC00315DC800215DC400115040002C4319ACB0400C0044429 +:200B40008405883A1185883A1080000B2880101E80BFFD0410803FCC050001048025883A35 +:200B4800A0803A36100490BA00C0207418CB4F0410C5883A108000171000683A00812DD0A7 +:200B500000812D5C00812D9800812ED000812ED08400004480FFEA1E0000F806D0E25E4397 +:200B580001002074211AD704180490FA2087883A18C0010319403FCC2800031E2087883AD1 +:200B600018C0001718C0000318FFFFC42085883A10C0010500009406D0E25E4301402074D2 +:200B6800295AD704180490FA140001042885883A108000172C21883A810000031140000353 +:200B700021000044081449808080000500008606D0A25E4310C03FCC1800032610BFFFC4C9 +:200B7800D0A25E4500008006D0225E85DFC00517DD000417DCC00317DC800217DC400117DB +:200B8000DC000017DEC006040810DEC1D4225E43044020748C5AD704802490FA014005045B +:200B88008C85883A1100010314C000170814504098C00117010000C41885883A10C0010366 +:200B9000190006261D00671E10800217048002C4103EE83A1021883A0000640684000044D6 +:200B9800802090FA108002178C07883A18C00017188002268C05883A100001058C85883A2A +:200BA00011000103014005048C21883A0814504098C001178CA3883A1887883A18C0021708 +:200BA80080C0001588C0001718C001171885883A1080031710000226010002C4103EE83A11 +:200BB000D0A25E4310800044D0A25E4500004506D0E25E4301002074211AD704180490FA3A +:200BB800014005042087883A190001031C4000170814504088C00117010000841885883AEA +:200BC00010C001031900202619000336010000C419002F26000034061180021782003FCCEC +:200BC80001C003C41100030310C00343314000031080038341C00A1E29C03FCC1A003FCC8C +:200BD00041C0022E28BFFFC40000030621003FCC2000011E1805883A3080000500002206FA +:200BD80029C03FCC12003FCC3A00022E288000440000030621003FCC200001261805883A3B +:200BE00030800005000010061100021781803FCC014003C420C0000B3140061E1080030BCE +:200BE800197FFFCC1140012E18FFFFC420C0000D00000D061080038B197FFFCC2880012ED8 +:200BF00018C0004420C0000D048004040000060610800317100004268009883A103EE83AA5 +:200BF80000000106048002C40021883AD0E25E4301002074211AD704180490FA01400504BB +:200C00002087883A190001031CC00017081450401023883A9880011701002074211F380479 +:200C08001445883A11400017018004440814680098800117010001041445883A10C00103D7 +:200C100020C03636180690BA01002074210C17041907883A18C000171800683A0081307087 +:200C1800008130A0008130B0008130C0008130D410C00217110004170180044418C000035B +:200C200018C5883A1085883A2085883A1140001701002074211F40840814680000001F06AD +:200C280010C0021710800417190000030000070610C00217108004171900000B000003062E +:200C300010800317100006260009883A103EE83A0000120694803FCC00C002C490C004264C +:200C380000802074109F40841000000500000B068000021E11C003170000020601C0207407 +:200C400039D8E0040100207401802074211F4084014004443198E20408145840DFC005174A +:200C4800DD000417DCC00317DC800217DC400117DC000017DEC006040810DD8121003FCCF8 +:200C5000200002260025883A003FA706DFC00517DD000417DCC00317DC800217DC40011757 +:200C5800DC000017DEC00604F800283A009114B4DEFFBF041094D544D88000150080107460 +:200C600010951044D880011500801244D8800245D809883A00800084014002C40184000461 +:200C6800DFC04015D8000205D88002850810A8401000022600BFFFC4000020060140207405 +:200C700000800D04D9000104295ACB0401800C04D8000005D8800045D80000C5D80000859E +:200C7800081455C0D809883A01400D04018400440810A840103FEF1E008000440140207478 +:200C8000D8800005D900010400800804295F544401800704D8800045D80000C5D80000854A +:200C8800081455C0D809883A01400804018400840810A8401004C03A0085C83ADFC0401797 +:200C9000DEC04104F800283ADEFFB50401000434014002C4D80D883ADFC04A15DD804915D1 +:200C9800DD404815DD004715DCC04615DC804515DC404415DC0043150810A1001000022642 +:200CA000043FFFC400003806D9004004D80B883A018002040814680001402074D900400431 +:200CA8002959E40401800204081463C01021883A10002C1ED8800203DD4002830023883ACB +:200CB000D8804205D8800243DD40428505800D04D8804245A8803FCC8880230E8CC400448F +:200CB8009826923A01400084D80D883A9809883A0810A100103FE21EDD0000439809883A38 +:200CC000D80D883AA00B883ADC8000030810A100103FDB1E90803FCC1000032600C0004448 +:200CC80010C0072600000D06A5800C1E01002074211ACB04D940010401800C040000060653 +:200CD00000800804A080051E01002074211F5444D940010401800704081455C08C400044DD +:200CD800003FDC06040000448005883ADFC04A17DD804917DD404817DD004717DCC04617DF +:200CE000DC804517DC404417DC004317DEC04B04F800283ADEFFFA04DC800215048020B4A2 +:200CE800DFC00515DD000415DCC00315DC400115DC00001594851004900000350440050427 +:200CF0008809883A040020B4840508040814F1808009883A01400E04081594408809883AB5 +:200CF8000814F1808009883A01400E44081594408809883A0814F180880B883A8009883A00 +:200D0000081594408809883A0814F1808009883A01401C44081594408809883A0814F18045 +:200D08008009883A01401784081594408809883A0814F1808009883A01401B4408159440F7 +:200D10008809883A0814F1808009883A01400304081594408809883A0814F18004C0004477 +:200D1800980B883A8009883A081594400500C804A009883A0814F1808009883A0140018440 +:200D2000081594408809883A0814F1808009883A0140008408159440A009883A0814F180E9 +:200D280094C00035DFC00517DD000417DCC00317DC800217DC400117DC000017DEC0060475 +:200D3000F800283ADEFFFA04DC000015040020B4DCC00315DC800215DFC00515DD000415CF +:200D3800DC4001152027883A2825883A8405100480000035010020B4014000442105080473 +:200D4000081594400100C8040814F18000800084808000359809883A0140040408146CC01B +:200D48001007883A10803FCC1000061E014020749809883A2959E6040180044408146800F2 +:200D500000C000449829883A1C403FCCA1400003010020B4210508040815944001000504AF +:200D58000814F180A5000044A4C5C83A10803FCC147FF63680000035010020B40140300441 +:200D60002105080408159440010005040814F18000800084808000359009883A01400404DC +:200D680008146CC01007883A10803FCC1000061E014020749009883A2959E6040180044416 +:200D70000814680000C000449027883A1C403FCC99400003010020B4210508040815944027 +:200D7800010005040814F1809CC000449C85C83A10803FCC147FF636008000C4808000352E +:200D8000DFC00517DD000417DCC00317DC800217DC400117DC000017DEC00604F800283A4C +:200D8800DEFFFB04DC000015040020B484050804DC80021504800B04DCC00315900B883AFA +:200D90002027883A000D883A8009883ADFC00415DC40011508158840044000448009883A7E +:200D980099403FCC880D883A08158E808009883A900B883A880D883A081588408009883AA6 +:200DA000880B883ADFC00417DCC00317DC800217DC400117DC000017DEC0050408158BC1C2 +:200DA800DEFFFC04DC000015040020B484050804DC800215DC4001152025883A2823883A37 +:200DB0008009883A01400B04000D883ADFC00315081588408009883A91403FCC000D883A27 +:200DB80008158E808009883A89403FCC01800044DFC00317DC800217DC400117DC000017B7 +:200DC000DEC0040408158E81010020B4DEFFFD04000D883A000B883A21050804DFC002150A +:200DC800DC400115DC00001508158E80010002840814F18004000604044000448809883AC0 +:200DD000800B883A08136A00800B883A0100008408136A00800B883A010000C408136A0043 +:200DD8008809883A081362001405003ADFC00217DC400117DC000017DEC00304F800283AFA +:200DE000DEFFFC04DC4001152023883ADC8002158C403FCC048000449009883A882290FA3E +:200DE800DFC00315DC00001508136200043FF9C41404703A1460B03A84003FCC9009883ABC +:200DF000800B883A08136A00800B883A0100008408136A00010000C4800B883ADFC00317EF +:200DF800DC800217DC400117DC000017DEC0040408136A01DEFFFD04DC4001152023883AFE +:200E000001000044DC000015DFC00215043FF604081362001420703A89003FCC00800084B6 +:200E08002080021E84000054000003062008917A2080011480A0B03A84003FCC800B883A5B +:200E10000100004408136A00800B883A0100008408136A00010000C4800B883ADFC00217D7 +:200E1800DC400117DC000017DEC0030408136A01DEFFFB04DC000015040020B4840508042E +:200E2000DC80021504801704DCC00315900B883A2027883A000D883A8009883ADFC00415B4 +:200E2800DC40011508158840044000448009883A99403FCC880D883A08158E808009883A0F +:200E3000900B883A880D883A081588408009883A880B883ADFC00417DCC00317DC80021784 +:200E3800DC400117DC000017DEC0050408158BC1DEFFFC04DC000015040020B48405080428 +:200E4000DC800215DC4001152025883A2823883A8009883A01401704000D883ADFC00315AC +:200E4800081588408009883A91403FCC000D883A08158E808009883A89403FCC01800044A0 +:200E5000DFC00317DC800217DC400117DC000017DEC0040408158E81DEFFFC04DC0000158D +:200E5800040008848009883ADFC00315DC800215DC40011508138700014004C4010005840E +:200E60001023883A0489C40408138F009009883A0814F180014000C4010005C408138F001D +:200E68009009883A0814F18000FFE00488CAB03A8009883A29403FCC08138F000100FA04FB +:200E70000814F1808009883A89401FCCDFC00317DC800217DC400117DC000017DEC00404DB +:200E780008138F01DEFFFE04DC0000150409C4048009883ADFC001150814F18001400444F4 +:200E80000100058408138F008009883A0814F180010005C40140008408138F008009883AC2 +:200E8800DFC00117DC000017DEC002040814F181DEFFFE04DC0000152821883A21403FCC27 +:200E900001000484DFC0011508138F00010004C481403FCCDFC00117DC000017DEC0020477 +:200E980008138F0121403FCC01000F4408138F0121403FCC0100044408138F01DEFFFE04E5 +:200EA000DC0000152021883A814000C301000284DFC0011508138F008140010301000244C8 +:200EA80008138F00814001430100020408138F00814000030100034408138F008140004310 +:200EB0000100030408138F0081400083010002C4DFC00117DC000017DEC0020408138F016C +:200EB800DEFFFA04DC0000152021883A01000104DD000415DCC00315DC8002153829883A05 +:200EC000DFC00515DC4001152827883A3025883A0813870000FFFE04A5003FCC10C4703A2E +:200EC800A00006261080005411403FCC0100010408138F008423883A0000040611403FCC7F +:200ED000010001048023883A08138F008C7FFFCC880AD13A0100004429403FCC08138F0017 +:200ED800880A913A0100008429403C0C08138F00993FFFCC91403FCC081450401009883AB7 +:200EE000880B883A081450401009883A0140FA0408144F4000E327D41880092E00C000744B +:200EE80018C45BC41880082E00C000B418C3D5C41885403A00C000C41885C83A00000306F6 +:200EF0000005883A000001060080004414003FCCD0A018041405883A1100000301400A0467 +:200EF800081450408808D07A880B883A1109883A08144440100B883A00C001C410803FCC86 +:200F00001880012E180B883A29403FCC800491BA280A90FA010000C4288AB03A29403FCC51 +:200F0800DFC00517DD000417DCC00317DC800217DC400117DC000017DEC0060408138F0171 +:200F1000DEFFFE04DC0000152021883A01000684DFC0011508138700017FFE8484003FCC7B +:200F180000C000441144703A80C0021E10800094000001061080029411403FCC010006841E +:200F2000DFC00117DC000017DEC0020408138F012140028BDEFFFE04DC000015280AD23ABC +:200F28002021883A010012C4DFC0011508138F00814002830100128408138F008140030B1A +:200F300001001344280AD23A08138F00814003030100130408138F008140038B010013C4B1 +:200F3800280AD23A08138F00814003830100138408138F008140010B01001444280AD23AC4 +:200F400008138F00814001030100140408138F008140018B010014C4280AD23A08138F0051 +:200F4800814001830100148408138F008140020B01001544280AD23A08138F00814002032B +:200F50000100150408138F008140040B010015C4280AD23A08138F008140040301001584C9 +:200F580008138F008140048B01001644280AD23A08138F00814004830100160408138F002F +:200F60008140050B010016C4280AD23A08138F008140050301001684DFC00117DC000017CF +:200F6800DEC0020408138F01DEFFFD04DC00001504000FC4DC4001152023883A8009883AF2 +:200F7000DFC002150813870000FFFC0410C4703A888AB03A8009883A29403FCCDFC0021719 +:200F7800DC400117DC000017DEC0030408138F01DEFFFD04DC40011504400684DC00001513 +:200F80002021883A8809883ADFC002150813870081403FCC00C000C4194BC83A280A91BA70 +:200F880010800FCC8809883A114AB03A29403FCCDFC00217DC400117DC000017DEC003044E +:200F900008138F01DEFFFD04DC0000152021883ADC40011584003FCC044001048809883A67 +:200F9800802090FADFC0021508138700108001CC140AB03A8809883A29403FCCDFC00217D3 +:200FA000DC400117DC000017DEC0030408138F01DEFFFF04DFC00015081395800009883A2B +:200FA8000813C400000B883A01000D4408138F00010020742119E7040813C90001000404DA +:200FB0000813E4400009883A0813DA800009883A0813DF00010001840813A640014000C451 +:200FB80001000D0408138F00010011040813A700010006C40140220408138F00010007049D +:200FC00001400204DFC00017DEC0010408138F01DEFFFD04DC0000152021883ADC400115C2 +:200FC80084003FCC044004048809883A802090FADFC0021508138700108001CC140AB03AF4 +:200FD0008809883A29403FCCDFC00217DC400117DC000017DEC0030408138F0121003FCCDF +:200FD80020001A26DEFFFD04DC400115010009842823883A01402004DC000015DFC00215E2 +:200FE0003021883A08138F0089403FCC0080004428800426008002042880041E814016841F +:200FE8000000030681400244000001068140060401000C4429403FCCDFC00217DC40011756 +:200FF000DC000017DEC003040000020601000984000B883A08138F01DEFFF704D8800917EB +:200FF800DDC00715DD800615DD400515DD000415DCC00315DC800215DC400115DC00001531 +:20100000DFC008152C403FCC00C000442021883A302B883A3829883ADCC00A17DC800B171B +:20100800DD800C1715C03FCC88C0092600C0020488C00E1E11400C840100014429403FCC1C +:2010100008138F00010001840140080400000D06114000840100014429403FCC08138F00F7 +:2010180001000184200B883A00000606114001840100014429403FCC08138F000100018479 +:201020000140040408138F0001000044880B883AB80D883A0813F5C0B1003FCC0813A7004E +:2010280000800404010008848880021E01400304000001060140020484003FCC8400201C86 +:2010300008138F00843FE0048009883A0140070404402074081450408C5F5B848887883A99 +:2010380019C0068B1900040BA1803FCC39C0100C380EC03AA97FFFCC0813AE0099003FCC25 +:2010400091403FCC0813A3008009883A01400704081450408885883A11400583010001C445 +:20104800DFC00817DDC00717DD800617DD400517DD000417DCC00317DC800217DC40011705 +:20105000DC000017DEC0090408138F01DEFFFD0429BFFF84DC400115DC000015DFC0021515 +:2010580031803FCC0080004424403FCC2C003FCC11800436008000848880071E8805883A07 +:20106000000008068800061E8005003A00C000841885C83A000003060005883A000001063D +:2010680000800084880A913A8C47883A10803FCC100491BA18CD883A2986B03A20CAB03A64 +:20107000288AB03A0100064429403FCC08138F00008000C4010004048080031E0140174451 +:2010780008138F00000011060140160408138F000080008488800D1E0080004414000B3642 +:20108000010003848080021E0140148400000106014014C408138F000100FA040814F18079 +:20108800010005040813870000000C06014016C40100038408138F000100FA040814F180B1 +:201090000100050408138700008000C48080031E01000604014004040000020601000604C8 +:20109800000B883A08138F0001000D84000B883ADFC00217DC400117DC000017DEC00304DE +:2010A00008138F01DEFFFD04DC4001152023883A01000504DC000015DFC002152821883AB4 +:2010A800081387008C403FCC00C0008488C00A1E81403FCC00C0004428C0031E1080240C62 +:2010B00010802420000006062800031E1080220C10802220000002061004D07A1080004C25 +:2010B800DFC00217DC400117DC000017DEC00304F800283ADEFFFE04DAC0030302800044F5 +:2010C000DC00001552D6983ADA400403DC00020302002074DC400115421F61840005883A4E +:2010C800037FFEC40380008403FFFBC429403FCC030006844180008B40C000033440200C0C +:2010D000880005264800021E78C6703A000009064B80081E000006063440400C880005267E +:2010D8004800021E68C6703A000002064A80011E0007883A19C6703A18C03FCC18000C2648 +:2010E00030C0080C1807003A28C0091E40FFFE8B18C0078419000636318003CC80000326DB +:2010E80030FFFFCC180001261AC007263000062610C000441805883A18C03FCC4200070429 +:2010F0001B3FDC1E00BFFFC4DC400117DC000017DEC00204F800283A2900182E2800171621 +:2010F8000080080400C00044000002061000112628000516294B883A10BFFFC418C7883A4D +:20110000293FFA3618000B260005883A214002362149C83A10C4B03A1806D07A280AD07A80 +:20110800183FFA1E3000011EF800283A2005883AF800283A0005883A003FFA0600C0004464 +:20111000003FF106DEFFFE04DFC00115DC00001520000B160021883A28000C16000D883AC7 +:2011180008143D800407C83A1884F03A1405883ADFC00117DC000017DEC00204F800283A88 +:201120000109C83A04000044283FF40E014BC83A8400005C003FF106DEFFFD04DFC00215FA +:20112800DC400115DC00001520000C160023883A0021883A28000D160180004408143D8091 +:201130001404F03A8885883ADFC00217DC400117DC000017DEC00304F800283A0109C83AA4 +:2011380004400044043FFFC4283FF30E014BC83A003FF106000D883A08143D8101800044AF +:2011400008143D810005883A2000072620C0004C2008D07A180001261145883A294B883A76 +:20114800203FFA1EF800283AF800283A218D883A218008262080000328C0000310C0022697 +:2011500010C5C83AF800283A2100004429400044003FF7060005883AF800283A2005883AF8 +:201158000007883A1980062628C9883A21C0000310C9883A18C0004421C00005003FF90677 +:20116000F800283ADEFFF504DFC00915DC400815DC000715D9C00A1500802074109CDE04F7 +:20116800144000172800040E008022C48880001500BFFFC400001C0600C08204D8C0000DB0 +:20117000D9000415D90002152800022628FFFFC4000001060007883AD8C00515D8C0031511 +:201178001100001700FFFFC4D8C0008D00C0207418D332042821883AD9C00A04D80B883A7C +:20118000D8C00115D8000615081475C000FFFFC410C0020E00C022C488C000158000022610 +:20118800D8C0041718000005DFC00917DC400817DC000717DEC00B04F800283A30000E2618 +:2011900031BFFFC4218D883A2080000728C0000710C0011E2180041E2080000328C0000346 +:2011980010C5C83AF800283A103FFB262100004429400044003FF4060005883AF800283A2A +:2011A0002005883A2007883A1809883A3000092629C0000331BFFFC418C0004421C000057C +:2011A80039C03FCC39C0201C39FFE00429400044383FF51E198D883A198003261800000554 +:2011B00018C00044003FFC06F800283A214B883A2005883A1140021E1105C83AF800283A70 +:2011B80010C00007183FFC2610800044003FF906DEFFFB04DC800315DC400215DC00011540 +:2011C000DFC004152025883A2823883AD98000053821883A04000A0E888001179009883A33 +:2011C800880B883AD80D883A01C00044103EE83A843FFFC4103FF72600BFFFC4000001061B +:2011D0000005883ADFC00417DC800317DC400217DC000117DEC00504F800283ADEFFE3041A +:2011D800D8C00804DF001B15DDC01A15DD801915DD401815DD001715DCC01615DC8015154D +:2011E000DC401415DC001315DFC01C152029883A2823883A3839883AD9800F150021883A2B +:2011E800D8000E15002F883A002B883A0027883A0025883AD8000C15D8000B15002D883A5E +:2011F000D8C00915D8C00F171900000320803FCC1080201C10BFE00410012E26014000443B +:2011F800B14014262D800216B00006260001250601400084B1401D26014000C4B1402B269F +:20120000000120060140094411410D2688800117D9000005880B883AA009883AD80D883A2F +:2012080001C00044103EE83A1000E81E840000440001140601400C0411410A2601400944F7 +:2012100011400A1ED880000588800117A009883A880B883AD80D883AB00F883A103EE83A70 +:201218001000DA1E840000440001050625BFF404B5803FCC00C002441D80093600BFFFC45A +:20122000908004269009883A0140028408145040000001060005883A15A5883A0000F20664 +:2012280001400B841140F42605800084213FF40420C03FCC0100024420C00B3600BFFFC435 +:20123000988006269809883A01400284D8C0111508145040D8C01117000001060005883A38 +:2012380010E7883A0000E70601401B041140E026013FFFC499000226D8000B150000010676 +:2012400004C0004401001A441100162620800916010018C41100962601001904110011260B +:20124800010016041100D61E00C00044D8C00E150000140601001CC41100A6262080041615 +:2012500001001BC41100CE1E0540020400000E0601001D4411000C2601001E04110009263A +:201258000000C706E0800104B8000726D8800D15E7000017E000080E0739C83A0200004469 +:201260000000060605400404E0C00104D8C00D15E7000017002F883A0011883A002D883A05 +:20126800DD87883AE0001A26E009883AA80B883AD8C01115DA00121508144F40A809883A23 +:20127000100B883AD880101508145040E085C83A01000244D8C01117D9801017DA00121767 +:201278002080021617000C0400000506D9400E172800022617000DC400000106170015C4FF +:201280001F000005B58000443039883A003FE4061EC5C83AD8800A159885C83A1839883ACF +:2012880000800E0E182D883A1885883A01000C04D9400917B007883AB140052EB5800044E4 +:2012900019000005B039883AB0BFF91E00000106B039883AB6EDC83ADD800A15D8800A17A3 +:201298001207883A90EDC83AD8C00B171800172640000A2600800B44D88008058880011709 +:2012A000A009883A880B883AD980080401C00044103EE83A10004D1E840000440580070EB7 +:2012A800A009883A880B883A01800C04B00F883A08146F001000451E85A1883AD9000A1774 +:2012B000E007883A272DC83A000022060580090EA009883A880B883A01800804B00F883A8D +:2012B800DA00121508146F00DA0012171000371E85A1883A403FF12600800B44D880080570 +:2012C00088800117A009883A880B883AD980080401C00044103EE83A10002C1E8400004432 +:2012C800003FE60618FFFFC418800003A009883AD8C01115D880080588800117880B883A63 +:2012D000D980080401C00044103EE83AD8C0111710001E1E80C5C83A1D89883AE085883A42 +:2012D800013FF0161021883ADF000D1700004406010000442480080E95BFFFC4A009883AEF +:2012E000880B883A01800804B00F883A08146F0010000E1E85A1883AE0800017A009883AFA +:2012E800880B883AD880000588800117D80D883A01C00044E5800104103EE83A1000031E5D +:2012F00084000044B039883A00002D0600BFFFC400003106E5800017E0C00104D8C00A15A7 +:2012F800B009883A0814DC409091C83A1039883A0200090E400F883AA009883A880B883A0B +:2013000001800804DA00121508146F00DA001217103FEE1E8221883A88800117A009883A66 +:20130800880B883AB00D883AE00F883A103EE83A103FE61E8721883ADF000A1700001006FD +:2013100005C0004404FFFFC4D8000E15054002849825883AD8000C15D8000B15B82D883A11 +:2013180000000806DD800B15058000840000050600C00044D8C00C15058000C40000010609 +:20132000002D883AD8C00F1718C00044D8C00F15003ECC068005883ADFC01C17DF001B17EE +:20132800DDC01A17DD801917DD401817DD001717DCC01617DC801517DC401417DC00131755 +:20133000DEC01D04F800283A2880000BDEFFFD04DC000015DFC00215DC40011510C0020C3C +:201338002821883A1800192628C0008F1800150E10C0800C180013262C40051789C0030EF2 +:2013400010C0200C1800032600000E063C40010E3823883A81000417300B883A880D883AA4 +:201348000814D640808005171445C83A80800515808004171463883A844004150005883ACA +:2013500000000306108010148080000D00BFFFC4DFC00217DC400117DC000017DEC00304AD +:20135800F800283A2005883A29000D2E298F883A21C00B2E3007883A017FFFC418FFFFC426 +:20136000194006261989C83A3909883A2200000310C9883A22000005003FF806F800283AC2 +:201368000007883A1980062628C9883A21C0000310C9883A18C0004421C00005003FF90665 +:20137000F800283A2005883A10C000071800022610800044003FFC061105C83AF800283A7E +:20137800DEFFFF040100207401402074DFC00015211AB904295CE7042140061E01002074D5 +:201380000140207421000804294008042140141E00000D0600C0207418DCE70400BFFF043B +:201388001907C83A1886703A0005883A10FFF326114F883A39C00017110D883A1080010445 +:2013900031C00015003FF90601002074014020742117D7042957D7042140121E00000D067D +:2013980000C0207418C0080400BFFF041907C83A1886703A0005883A10FFF326114F883AC0 +:2013A00039C00017110D883A1080010431C00015003FF90608159E80DFC00017DEC00104D0 +:2013A8000815AE0100C0207418DAB90400BFFF041907C83A1886703A0005883A10FFF52639 +:2013B000114F883A39C00017110D883A1080010431C00015003FF906DEFFFF040009883A87 +:2013B800DFC000150814F1C00814F3C001002074211AA904200B883A200D883A0815B84057 +:2013C000D1226117D1626017D1A25F17DFC00017DEC001040811D4C108159681DEFFFF04F4 +:2013C800DFC000150815E1C0008000441001703ADFC00017DEC00104F800283ADEFFFE0482 +:2013D000DC00001504002074841CE00401002074800B883A211C4F04DFC0011508159F40CD +:2013D80001002074211C18040815628001002074211C0D04800B883ADFC00117DC0000172E +:2013E000DEC0020408159F4101402074DEFFFF04295CE004DFC000150815A6C010000E26B3 +:2013E80010C00A17D0A26415D0226E1519008D04D1226C1519008904D1226B1519008404BC +:2013F000D1226A1519008C04D122691519008B04D1226815D0E26715DFC00017DEC00104B2 +:2013F800F800283AD0E26417008000441800041ED0E26E171880071ED0226E1500000506DC +:20140000D0E26C1718C0002B18C0008C183FF826F800283A0005883AF800283ADEFFFD0462 +:20140800DC400115DC000015DFC002152023883A2821883A0814FE401000021E0005883A8A +:20141000000011068C09883A2006927AD0A2681710C00035D0A2691700C0060410C0002D6D +:20141800D0A26C171080002B10BFFFCC10C0010C183FFB1E1080040C103FF01ED0226315B6 +:20142000D122621500800044DFC00217DC400117DC000017DEC00304F800283AD0A26317B4 +:2014280010000326D1226217000B883A081501C100800044F800283ADEFFFD04DC40011525 +:20143000DC000015DFC002152023883A2821883A0814FE401000021E0005883A0000190675 +:20143800D0A263171000081E8C09883A2006927AD0A2681710C00035D0A2691700C00444F9 +:2014400010C0002D00000506D1226217000B883A081501C0103FF41E003FEF06D0A26C17E3 +:201448001080002B10BFFFCC10C0010C183FFB1E1080040C103FE81ED0226315D122621519 +:2014500000800044DFC00217DC400117DC000017DEC00304F800283A2005883A21000017BB +:2014580011C0021721000A04081518012005883A2100001711C0021721000A0408151DC1F2 +:2014600021000017298D883A2805883A3A10000C11800B2E20C000371A60000C480003269F +:2014680010C0000510800044003FF906114002261145C83AF800283A403FF52600000106B1 +:20147000117FFB1E39D0000C3800021E00BFFEC4F800283A00BFFD44F800283A21000017D9 +:201478003005883A298D883A21C001042980072E38C0003718FFFFEC183FFC2628C0000788 +:2014800020C0003529400044003FF806F800283A20001D262804923A20C03017DEFFFD0493 +:20148800DC400115DC000015DFC002152823883A2021883A108000D41880033580C03017A0 +:20149000188000371080004C100003260100004408159680003FF90618C000371809D0FAA8 +:201498002140020C1809D0BA1807D07A210001CC2908B03A18C0040C20C6B03A1C4004266A +:2014A00000BFF4840000020600BFFA84F800283ADFC00217DC400117DC000017DEC00304D2 +:2014A800F800283A20000A26280009263000082620800C173080001520800C17100006263E +:2014B00021000D04290000150005883AF800283A00BFFA84F800283A00BFFEC4F800283A19 +:2014B8002005883A20001D262809883A28001B1610C0311728C0192E1140341728FFFFC4B1 +:2014C00020C6703A1800151EDEFFFE04DC000015DFC001151021883A08144F401004923A2E +:2014C80000C0403418FFC00410C4703A80C03017108000941880033580C0301718C0040495 +:2014D000188000371080004C10000626008000441880003500BFFEC40000020600BFFA84BE +:2014D800F800283ADFC00117DC000017DEC00204F800283ADEFFF504DC000115DFC00A156C +:2014E000DF000915DDC00815DD800715DD400615DD000515DCC00415DC800315DC40021531 +:2014E800DC000B17280039163023883A300037162027883A20003526382B883A38003326A3 +:2014F000208031173080312E2880302E208034172987C83A1887883A1C002C3680002B16A2 +:2014F80010BFFFC4288A703A2800281E0029883A05BFFFC405C000C40700010480002126AA +:20150000DD800015890000CC20000526E125C83A8480012E8025883A8923C83A000004065F +:20150800BC0002368025883A0000010604800104AD0B883AD909883A900D883A081455C02A +:2015100098802E17D8C00017A4A9883A84A1C83A8885883A10C000359880301710800404AE +:2015180010C0003718C0008C1800042600C0008410C0003500BFFEC4000005068C40010460 +:20152000003FDE060005883A0000010600BFFA84DFC00A17DF000917DDC00817DD80071787 +:20152800DD400617DD000517DCC00417DC800317DC400217DC000117DEC00B04F800283A13 +:20153000DEFFF604DC000115DFC00915DDC00815DD800715DD400615DD000515DCC00415FE +:20153800DC800315DC4002152021883A20000A263029883A3000082620802E1780C02F17B5 +:201540002823883A2885883A382B883A11C9883A10C0012E20C0023600BFFA8400002406D0 +:201548002809883A81403417002D883A08144F40102F883A80803317B8801C2EA8001B2634 +:2015500084C0341704E7C83A8CE6703A9C4002268CE5C83A000001060025883A8009883A33 +:20155800980B883A08152E001000111E80C034171CA5C83AAC80012EA825883ADC800015DB +:201560008009883A980B883A880D883AA58F883A081537401000061EACABC83AB4AD883A2A +:201568008CA3883ABDC00044003FE2060005883ADFC00917DDC00817DD800717DD40061793 +:20157000DD000517DCC00417DC800317DC400217DC000117DEC00A04F800283A20000726B9 +:201578003000062620802E17288B883A20802F1729C7883A2880012E1880023600BFFA8421 +:20158000F800283ADEFFFF043009883A380D883ADFC00015081455C00005883ADFC00017AD +:20158800DEC00104F800283A20005026208030171000502620C03217DEFFFB04DFC0041586 +:20159000DCC00315DC800215DC400115DC00001518001E1E1480023700C0030494803FCCEA +:2015980090BFFAC418804536100490BA00C0207418D5A00410C5883A108000171000683AE0 +:2015A000008156EC008156FC00815704008156F4008156B40081577C0081577C0081577C67 +:2015A8000081577C0081577C0081577C008156BC008156C4044080040000130604410004CF +:2015B000000011060442000400000F06148001370080058494803FCC90800A2600800604E7 +:2015B80090800426008005049080241E0440080400000506044040040000030604401004BA +:2015C000000001060440200424C034172021883A8809883A980B883A0814504080C031177E +:2015C80010C0021E80C0331788C0022680000C150000120600C00044014020748480361538 +:2015D0008440331580C00C1580000D1580800E1584400F1584C010158009883A295CE504BF +:2015D80008159F400005883A0000050600BFFA84F800283A00BFFB44F800283A00BFFB4438 +:2015E000DFC00417DCC00317DC800217DC400117DC000017DEC00504F800283A3000012687 +:2015E8000005C03200FFFF042005883A28C6703A110DC83A30C0042E11800017300DC0F292 +:2015F00010800104003FFA06294000CC00C000C428C0041E10C0000B1807C0B210800083C5 +:2015F8000000080600C0008428C0031E1080000B1005C0B20000040600C0004428C0021E40 +:20160000108000031005C0720005C132F800283ADEFFFD04DC400115DC0000152823883A90 +:201608002021883A014001443009883ADFC00215081450408809883A100B883A08144F4001 +:2016100010BFFFC480C0020418000035010000448100043511003FCC810000351004D23AA4 +:2016180010803FCC808001350080200418800035DFC00217DC400117DC000017DEC00304EC +:20162000F800283A3180004C294B883A298B883A2140033521000404008024042080003568 +:20162800208000371080008C103FFD1E208000371004D1FA1080004CF800283A20C0040471 +:201630002800022600801A04000001060080080418800035188000371080008C103FFD1EF7 +:2016380020800337F800283A29403FCC214003352100040430000226008014040000010631 +:201640000080040420800035208000371080008C103FFD1E208000371004D1FA1080004C3E +:20164800F800283A20C0040400800B0418800035188000371080008C103FFD1E20800337B5 +:20165000F800283A29403FCC21400335210004040080070420800035208000371080008C97 +:20165800103FFD1EF800283A014AAAF4DEFFFE04296AAA84DC000015DFC001152021883A7C +:2016600008144F4010000F2601600034013555740007883A297FFFC421155584297FFFC438 +:20166800283FFE1E18C000448121883A18BFFB168405883A1421883A843FFFC4803FFE1ED4 +:20167000000004068405883A1421883A843FFFC4043FFE160005883ADFC00117DC000017C0 +:20167800DEC00204F800283AF800283A3005883AF800283A20000226208002171000131E67 +:2016800000802074109CE4041080001710000926DEFFFF04DFC00015103EE83A00C005846F +:2016880010C0001500BFFA84DFC00017DEC00104F800283A00802074109F330400C005842A +:2016900010C0001500BFFA84F800283A2880001721400115208000152880001711000115ED +:20169800290000150005883AF800283ADEFFFB04DCC00315DC800215DC400115DC000015AD +:2016A000DFC004152027883A2823883A2C0000170814DC401480004484400726810002177E +:2016A800980B883A900D883A081452C01000042684000017003FF8060005883A0000010650 +:2016B0008005883ADFC00417DCC00317DC800217DC400117DC000017DEC00504F800283AC6 +:2016B800F800283ADEFFFC04DC4001152023883A2809883A300B883A01807FC4DC000015FF +:2016C000DFC00315DC8002150815BFC01021883A100016161009883A0140030404802074DA +:2016C80008145040949C5A041007883A9085883A108000178009883A8880001590C5883AF2 +:2016D0001080011790C7883A888001151880021788800215DFC00317DC800217DC400117E9 +:2016D800DC000017DEC004040815DC01DFC00317DC800217DC400117DC000017DEC0040464 +:2016E000F800283ADEFFFB04DC000015040020742005883A841C5D04DC4001150440004489 +:2016E800DCC00315DC8002152827883A3025883A100B883A8009883A880D883ADFC004155C +:2016F0000815AE40813FFD04980B883A000D883A0815AE4081000304900B883A880D883A93 +:2016F800DFC00417DCC00317DC800217DC400117DC000017DEC005040815AE41DEFFF5043D +:20170000DC4002152823883A01402074295CE004DD400615DD000515DCC00415DC800315F3 +:20170800DFC00A15DF000915DDC00815DD800715DC0001152025883A3027883A0815A6C03E +:20171000102B883A0029883A1000051E9009883A0815E240102B883A100046260500004442 +:20171800A809883A0815ED001021883A100044161009883A01400304081450401007883A2F +:201720000210003400802074109C5A04423FFFC418B9883A8A10703A102F883A18C002044B +:20172800A000062610C7883A1A000015A880031710001A1E8005883A0000350610C7883A68 +:201730000080207442100034109CDF041A00001510C00017B829883A002D883A8009883A7D +:2017380001400304D8C0001508145040B885883AA100001710800017D8C000172080031E22 +:20174000A08002171000010EA7001F1EB5800044A50003041DBFF12E003FE406880D883AAD +:20174800E009883A900B883A980F883A103EE83A1023883A8005883A8800150E8009883A6E +:201750000815DC0000802074109CE4041080001710000226103EE83A00000206008020746D +:20175800109F33040463C83A1440001500BFFFC400000706047FFB44043FFFC4003FEF062E +:201760001023883A003FED06047FFCC4003FEB06DFC00A17DF000917DDC00817DD800717D9 +:20176800DD400617DD000517DCC00417DC800317DC400217DC000117DEC00B04F800283AD1 +:2017700000C000841900140EDEFFFD04DC0000150140030404002074DFC00215DC40011543 +:20177800841C5A042023883A081450408085883A8809883A0140030410000215081450406D +:201780008085883A10000015DFC00217DC400117DC000017DEC00304F800283A000170FA14 +:20178800F800283A00802074DEFFFA04109CE204DC00001514000017DD000415DCC00315A0 +:20179000DC800215DFC00515DC4001152027883A1025883A05000BC48480122684400217EE +:201798008809883A0814DC408887883A18FFFFC71D00011E10BFFFC49887883A18C000076A +:2017A0001D0001261800051E8809883A980B883A100D883A081452C0100004268400001710 +:2017A800003FED060005883A000001068005883ADFC00517DD000417DCC00317DC800217FC +:2017B000DC400117DC000017DEC00604F800283ADEFFFB04DCC0031504C02074DC80021595 +:2017B800DC400115DC000015DFC004152025883A0021883A9CDC5A04044008048009883ADB +:2017C00001400304081450409887883A188000171000081E1C80001500C0207418DCDF0473 +:2017C800190000178005883A2400050E1C0000150000030684000044847FF01E00BFFA0483 +:2017D000DFC00417DCC00317DC800217DC400117DC000017DEC00504F800283A73616C4666 +:2017D80072652068006573616F727265642520720000000073616C4672772068006574694D +:2017E0006F7272650000007273616C4665762068206669726C6961660000000061766E494B +:2017E8002064696C64616572646D63200000000072204453206461656C696166006572753D +:2017F0007665642F746C412F5F617265535F505561435F44415F64726F6C61766E495F6E3A +:2017F800667265745F6563610000003053206F4E6163204464206472002E746525207325D2 +:2018000000632575252E75256B75322E25207A482E252E757A487532000000004353534F00 +:20180800776620207525202E322E252E0000007574696E49727265202020726F00006425EC +:201810002020202053204F4E00434E59343130323130322D6D20203673717261000000001D +:2018180074736554746170206E726574000000003A31564142475220000000533A315641A0 +:2018200073475220000000423A31564162505920000072503A32564162505920000072505B +:201828003A32564173475220000000423A33564142475220000056483A3356414247522093 +:20183000000000533A33564173475220000000423A335641625059200000725000816060A1 +:20183800008160700081607C0081608800816094008160A0008160AC008160B8008160C4B8 +:20184000008160D00000000000000000001000000044060B00000100808000001A1A1A80A3 +:201848007365725000000073666E6F43006D72696D73694D686374616572202C00797274ED +:20185000646F4D567325203A00000000203A4F4C56207525203A4D53000075250000003146 +:201858000000003200000033000000340000003500000036000000370000003800000039C4 +:20186000554E454D0000000000004B4F4B43414200000000000050554E574F4400000000AB +:201868005446454C0000000048474952000000544F464E49000000005F44434C4B43414248 +:201870004847494C000000544E414353454E494C444F4D5F000000454E414353454E494CC2 +:201878005059545F000000454E414353454E494C544E495F0000002B4E414353454E494CA0 +:20188000544E495F0000002D454E494C544C554D444F4D5F000000450081615C0081616063 +:2018880000816164008161680081616C0081617000816174008161780081617C00815FE83A +:2018900000816180008161880081618C0081619400816198008161A0008161A8008161B070 +:20189800008161B8008161C8008161D8008161E8008161F8008162084353534F000000006B +:2018A00061766E492064696C67616D690000006561766E492064696C6461656800007265EE +:2018A80061766E492064696C2072646800435243696C6156697461646420676E0061746146 +:2018B00062207525736574790000000061766E492064696C617461644352432000000000BE +:2018B800252E75252575322E0073257361647055676E69740057462061656C707720657314 +:2018C0002E74696100002E2E697265566E6979666C6620670068736172746552676E69790B +:2018C800647075200065746161647055203F65742C593D314E3D322000000000202020204B +:2018D0000000752564206425000067656C20752573656E6900000000252E75252075322ECE +:2018D800000073756D20642500000056702075256C65786900000073252575250000000069 +:2018E0006C6961660000646500007325656469566E69206F6F7270203E20206300000000AB +:2018E800706D6153676E696C74706F203E20202E00000000636E795374706F202020202EE8 +:2018F0003E202020000000007074754F6F207475202E74703E2020200000000074736F50A4 +:2018F8006F72702D20202E633E202020000000002E77463C64707520206574613E2020205B +:20190000000000002D204B4F736C70207365722074726174000000007365523C732074657A +:20190800697474653E73676E00000000657365526F6420740000656E7661533C65732065F7 +:201910006E6974743E2073670000000065766153000000646E616353656E696C000000732D +:201918006E616353656E696C727473200000002E6E616353656E696C7079742000000065CC +:201920006E616353656E696C696C61202E6D6E670000000069726F48746E6F7A6D206C61FD +:20192800006B7361747265566C61636973616D200000006B703034323838322F696C20701E +:201930003358656E00000000656E694C706972746D20656C0065646F703038343637352F7F +:20193800696C20703258656E00000000693038343637352F617020697274737300000000D1 +:201940006D2058540065646F74696E49206C616975706E69000000746C616E417320676F17 +:2019480020636E790046504C6C616E417320676F20636E79006874566E7973486F742063AA +:201950006172656C0065636E6E797356687420636873657200646C6F4C502D487250204C5E +:201958006F432D65007473614C502D486F50204C432D74737473616F00000000706D615308 +:20196000676E696C616870200000657370303834206E6920706D61730072656C7664413C1E +:201968006974202E676E696D3E2020200000000065646956504C206F000000465062505997 +:201970006E6920726C6F43200061705372502F5266666F200074657320592F477366666F05 +:201978000000746562502F4266666F200074657372502F52696167200000006E20592F47BB +:201980006E6961670000000062502F42696167200000006E73202E486C706D617461726567 +:201988000000006573202E486C636E7900006E6561202E48766974630000006561202E5631 +:20199000766974630000006562202E48706B63616863726F0000000062202E56706B636134 +:201998006863726F0000000000706F5474746F4200006D6F69726F48746E6F7A00006C61B1 +:2019A000747265566C6163690000000065746C4174616E7200676E690066664F6F747541C0 +:2019A80000000000756E614D00006C61494D44480000000000495644656E654720636972DF +:2019B000393A363100000000656E65472063697200333A3478303233203034326974706F40 +:2019B80000002E6D78363532203034326974706F00002E6D484D33336D28207A00296E6928 +:2019C000484D30316D28207A002964654D352E3228207A482978616D000000002056544487 +:2019C8007030383400000000415345563034362030383478003036402E6365523130362051 +:2019D000000000002E6365523930372000000000484D35394828207A205654440029494919 +:2019D800484D35334828207A2056544400002949484D36314528207A29565444000000004E +:2019E0007A484D39445328200029565400006E4F44525355004154410000002000816738DC +:2019E8000000200020002CE5E926F4FD38BC20000000000000816744000020002000323E9E +:2019F000F113FA043B61200000000000703034324D334C5F05000030177000F00106061817 +:2019F800034810AA00410012703034324D334C5F03C00031177000F0010604920336108075 +:201A000000420012703034324D334C5F01400032177000F0010601AA031F103100440011ED +:201A0800703034324D334C5F01000033177000F001060155031910270048001170303432D3 +:201A10000000000002D00000177000F00106035A033C104100500012703838324D334C5FDA +:201A1800050000301388012001380618034810AA00410012703838324D334C5F03C00031DD +:201A200013880120013804920336108000420012703838324D334C5F01400032138800F0C3 +:201A2800013801AA031F293100440011703838324D334C5F01000033138800F0013801555E +:201A30000319292700480011703838320000000002D000001388012001380360033C104105 +:201A380000500012703438330000000001F000001686018001A70280033E1D320050000401 +:201A4000783034360034383302800000157C018001EC032002603F3000100010693038343B +:201A48000000000002D00000176A00F0020D035A033C1041007000127030383400000000B1 +:201A500002D00000176A01E0020D035A063E1E3C0080001478303436003038340280000074 +:201A5800177001E0020D032002602130010000147830343600323135028000001770020057 +:201A60000238032002601C3000000010693637350000000002D000001388012002710360DC +:201A6800033C104100700012703637350000000002D000001388024002710360063C204112 +:201A700000000004783030380030303603200000177002580274042004801758000000100B +:201A7800703032370000000005000000176A02D002EE0672052814FF000000083038323172 +:201A80003032377805000000177002D002EE0672052814DC0000001034323031383637785E +:201A880004000000177003000326054006881DA000000010303832313230317805000034D8 +:201A900017700400042A0698037026F800000010303830310000006907800000176A0438C8 +:201A980004650898052C109400200008303830310000007007800000176A043804650898A2 +:201AA000052C24BC000000083032393138303178078000301770043804650898052C2494C4 +:201AA800000000107665642F61746A2F61755F67305F7472000000007665642F6370652F4C +:201AB0006F635F716F72746E72656C6C615F305F6D5F6C7600006D657665642F6C756E2F47 +:201AB8000000006C00000000000000000000000000000000000000000000000000000000A2 :201AC000000000000000000000000000000000000000000000000000000000000000000006 :201AC8000000000000000000000000000000000000000000000000000000000000000000FE :201AD0000000000000000000000000000000000000000000000000000000000000000000F6 @@ -919,81 +919,81 @@ :201CA80000000000000000000000000000000000000000000000000000000000000000001C :201CB000000000000000000000000000000000000000000000000000000000000000000014 :201CB80000000000000000000000000000000000000000000000000000000000000000000C -:201CC0000000000000000000017804E53CCE00813FAE03833F333D4905B80383009301B421 -:201CC80004163C493CD93F9F04163F10020E04093D0E00C83F6E03833ED03DAC04B203836B -:201CD00000E9026404163C933D493F5604163E9F3EA93E293EE93E693E993E193ED93E59B2 -:201CD8003EC93E393E1D3E4D3E2D3EED3EAD3ECD3E653E6D1C483E011C501C181CC81CD09B -:201CE0000081728400000000000000000000000000000000000000000081631C000000036A -:201CE800008172AC00000000000000000081633000000003008172A400000000000000008F -:201CF00000816344000000030081729C000000000000000000816358000000030081729454 -:201CF80000000000000000000081636C000000030081728C00000000000000000081638096 -:201D0000000000040081262C0081639400000000008163A80000000400812170008163BC32 -:201D080000000000008163C80000000400813104008163DC00000000008163E400000000CD -:201D100000817CA90002000100816E48008163F00000000100817CAB000F000000812C1882 -:201D1800008164000000000000817CAA0002000100816E3C008164100000000000817CAC53 -:201D200000010001008172BC008164240000000100817CAF003F000000812BFC008164343C -:201D28000000000100817CB0003F000000812BFC008164440000000000817CAD0001000131 -:201D3000008172D4008164580000000000817CAE0003000100816E54008164680000000050 -:201D380000817CBB00010001008172D40081647C0000000000817CBC00010001008172D427 -:201D4000008164900000000000817CB100010001008172C4008164980000000000817CBD70 -:201D48000009000100816E98008164A80000000000817CB70003000100816E64008164B8B5 -:201D50000000000100817CB5001F000000812BB8008164C80000000100817CB600C80A000A -:201D580000812B2C008164D80000000100817CB90005000000812B10008164E80000000190 -:201D600000817CBA0005000000812B10008164FC0000000100817CB3001F000000812ACCC3 -:201D68000081650C0000000000817CB20002000100816E740081651C00000003008172B4A8 -:201D7000008118D400000000008165300000000000817CB80005000100816E800081653C84 -:201D78000000000000817CB400010001008172CC0081654C0000000100817CBE00FF0000EC -:201D800000812AB0008165580000000100817CBF00FF000000812AB0008165640000000148 -:201D880000817CC000FF000000812AB0008165700000000100817CC100FF000000812AB0B5 -:201D90000081657C0000000100817CC200FF000000812AB0008165880000000100817CC388 -:201D980000FF000000812AB0008165940000000200817BC208FC012C008119F4008165A44E -:201DA0000000000200817BCC00C8000A008119F4008165B00000000200817BC0078000C856 -:201DA800008119F4008165BC0000000200817BBE04B000C8008119F4008165C80000000275 -:201DB00000817BBA00FF0001008119F4008165D80000000200817BC800FF0001008119F4BD -:201DB800008165F4008166000081660C008166180081661C008166240081663800816648FC -:201DC00000816654008166640081661800816674008166800081668C0081661C0081669CC3 -:201DC800008166A80081661C00816618008166D0008166E0008166F00081670000815FF05D -:201DD000008160000081600C0081601800816024008160300081603C00816048008160549B -:201DD800008160600000000000816F8000816F8800816F9000000000000000000000000042 -:201DE0000000000000000000000000000000000000000000000000000000000000000000E3 -:201DE8000000000000000000000000000000000000000000000000000000000000000000DB -:201DF0000000000000000000000000000000000000000000000000000000000000000000D3 -:201DF8000000000000000000000000000000000000000000000000000000000000000000CB -:201E00000000000000000000000000000000000000000000000000000000000000000000C2 -:201E08000000000000000004000000000001000A000000000002000A00000000000000009F -:201E100000000000000000000000000000815F500000000000000000000000000000000082 -:201E180000000000000000000000000000821000000000000000000000816A3800000000F5 -:201E200000000000008154500081556C008152340081527000815304000000000080000099 +:201CC000000000000000000000000000000000000000000000000000000000000000000004 +:201CC8000000000000000000000000000000000000000000000000000000000000000000FC +:201CD0000000000000000000000000000000000000000000000000000000000000000000F4 +:201CD8000000000000000000000000000000000000000000000000000000000000000000EC +:201CE00000000000000000000000000000000000000000000000000000000000017804E582 +:201CE8003CCE00813FAE03833F333D4905B80383009301B404163C493CD93F9F04163F1060 +:201CF000020E04093D0E00C83F6E03833ED03DAC04B2038300E9026404163C933D493F56EB +:201CF80004163E9F3EA93E293EE93E693E993E193ED93E593EC93E393E1D3E4D3E2D3EEDE3 +:201D00003EAD3ECD3E653E6D1C483E011C501C181CC81CD000817318000000000000000060 +:201D08000000000000000000000000000081638C0000000300817340000000000000000014 +:201D1000008163A000000003008173380000000000000000008163B4000000030081733041 +:201D18000000000000000000008163C800000003008173280000000000000000008163DC20 +:201D200000000003008173200000000000000000008163F000000004008126940081640490 +:201D2800000000000081641800000004008121BC0081642C0000000000816438000000040A +:201D30000081316C0081644C00000000008164540000000000817D510002000100816EDCEE +:201D3800008164600000000100817D53000F000000812C80008164700000000000817D5213 +:201D40000002000100816ED0008164800000000000817D540001000100817350008164944B +:201D48000000000100817D57003F000000812C64008164A40000000100817D58003F0000B6 +:201D500000812C64008164B40000000000817D550001000100817368008164C8000000006B +:201D580000817D560003000100816EE8008164D80000000000817D640001000100817368BF +:201D6000008164EC0000000000817D650001000100817368008165000000000000817D5994 +:201D68000001000100817358008165080000000000817D660009000100816F2C0081651897 +:201D70000000000000817D600003000100816EF8008165280000000100817D5D001F000081 +:201D780000812C20008165380000000100817D5E00FF000000812B94008165480000000195 +:201D800000817D5F00C80A0000812B94008165580000000100817D620005000000812B780C +:201D8800008165680000000100817D630005000000812B780081657C0000000100817D5BA6 +:201D9000001F000000812B340081658C0000000000817D5A0002000100816F080081659CED +:201D980000000003008173480081199400000000008165B00000000000817D6100050001C3 +:201DA00000816F14008165BC0000000000817D5C0001000100817360008165CC000000011A +:201DA80000817D6700FF000000812B18008165D80000000100817D6800FF000000812B180B +:201DB000008165E40000000100817D6900FF000000812B18008165F00000000100817D6ADF +:201DB80000FF000000812B18008165FC0000000100817D6B00FF000000812B18008166084A +:201DC0000000000100817D6C00FF000000812B18008166140000000200817C6A08FC012C40 +:201DC80000811AB4008166240000000200817C7400C8000A00811AB40081663000000002F4 +:201DD00000817C68078000C800811AB40081663C0000000200817C6604B000C800811AB49D +:201DD800008166480000000200817C6200FF000100811AB4008166580000000200817C705E +:201DE00000FF000100811AB400816674008166800081668C008166980081669C008166A4D2 +:201DE800008166B8008166C8008166D4008166E400816698008166F4008167000081670CD1 +:201DF0000081669C0081671C008167280081669C0081669800816750008167600081677062 +:201DF8000081678000816060008160700081607C0081608800816094008160A0008160AC88 +:201E0000008160B8008160C4008160D000000000008170140081701C0081702400000000AC +:201E08000000000000000000000000000000000000000000000000000000000000000000BA +:201E10000000000000000000000000000000000000000000000000000000000000000000B2 +:201E18000000000000000000000000000000000000000000000000000000000000000000AA +:201E20000000000000000000000000000000000000000000000000000000000000000000A2 :201E280000000000000000000000000000000000000000000000000000000000000000009A -:201E3000000000000000000000000000000000000000000000000000000000000000000092 -:201E380000000000000000000000000000000000000000000000000000000000000000008A -:201E4000000000000000000000000000000000000000000000000000000000000000000082 -:201E480000000000008151A0000000000080000000821400008000000000000100000080F1 -:201E5000000100000000010000000000000000000000000000816A24000000000000000061 -:201E5800008150E8008150FC000000000000000000000000008214A0008172540000000067 -:201E60000000000000817254000000000000000000817254000000000000000000000000D4 +:201E300000000000000000000000000000000004000000000001000A000000000002000A77 +:201E3800000000000000000000000000000000000000000000815FC00000000000000000EA +:201E40000000000000000000000000000000000000000000008210000000000000000000F0 +:201E480000816AB80000000000000000008154C0008155DC008152A4008152E0008153741E +:201E50000000000000800000000000000000000000000000000000000000000000000000F2 +:201E580000000000000000000000000000000000000000000000000000000000000000006A +:201E6000000000000000000000000000000000000000000000000000000000000000000062 :201E680000000000000000000000000000000000000000000000000000000000000000005A -:201E7000000000000000000000000000000000000000000000000000000000000000000052 -:201E780000000000000000000000000000000000000000000000000000000000000000004A -:201E8000000000000000000000000000000000000000000000000000000000000000000042 -:201E880000000000000000000000000000000000000000000000000000000000000000003A +:201E70000000000000000000000000000081521000000000008000000082140000800000D9 +:201E78000000000100000080000100000000010000000000000000000000000000816AA438 +:201E80000000000000000000008151580081516C000000000000000000000000008214A0A4 +:201E8800008172E80000000000000000008172E80000000000000000008172E800000000A9 :201E9000000000000000000000000000000000000000000000000000000000000000000032 :201E980000000000000000000000000000000000000000000000000000000000000000002A :201EA000000000000000000000000000000000000000000000000000000000000000000022 :201EA80000000000000000000000000000000000000000000000000000000000000000001A :201EB000000000000000000000000000000000000000000000000000000000000000000012 -:201EB800000000000000000000000000000000000000000000000000008172EC008172EC4C -:201EC00000816A580000000000000000000000000081597C00000000000000000000000069 -:201EC80000108010000000800000000800816AF40000000600816B940000000600816C0C6E -:201ED0000000000500816C840000000300816CE80000000800816D240000000600816DC4D2 -:201ED800008165E8008165EC0081662C00816634008166B8008166C4008166180081670CDF -:201EE000C896554B0000001A00816EC0FFFFFFFF0081725400817254008172F4008172F4C3 -:201EE8000000000000817300008173000000000000000000000000000000000000000000F2 -:201EF0000000000000000000000000000000000000000000000000000000000000000000D2 -:201EF8000000000000000000000000000000000000000000000000000000000000000000CA -:201F00000000000000000000000000000000000000000000000000000000000000000000C1 -:201F08000000000000000000000000000000000000000000000000000000000000000000B9 -:201F10000000000000000000000000000000000000000000000000000000000000000000B1 +:201EB80000000000000000000000000000000000000000000000000000000000000000000A +:201EC000000000000000000000000000000000000000000000000000000000000000000002 +:201EC8000000000000000000000000000000000000000000000000000000000000000000FA +:201ED0000000000000000000000000000000000000000000000000000000000000000000F2 +:201ED8000000000000000000000000000000000000000000000000000000000000000000EA +:201EE0000000000000000000000000000000000000000000000000000000000000000000E2 +:201EE800008173800081738000816AD8000000000000000000000000008159EC0000000069 +:201EF000000000000000000000108010000000800000000800816B740000000600816C1443 +:201EF8000000000600816C8C0000000600816D040000000300816D7C0000000800816DB838 +:201F00000000000600816E58008166680081666C008166AC008166B4008167380081674458 +:201F0800008166980081678CC896554B0000001A00816F54FFFFFFFF008172E8008172E8B8 +:201F10000081738800817388000000000081739400817394000000000000000000000000A9 :201F18000000000000000000000000000000000000000000000000000000000000000000A9 :201F20000000000000000000000000000000000000000000000000000000000000000000A1 :201F2800000000000000000000000000000000000000000000000000000000000000000099 diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index e97c9f0..24e8468 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -62,6 +62,7 @@ extern alt_u8 video_mode_cnt; alt_u8 target_typemask; alt_u8 target_type; alt_u8 stable_frames; +alt_u8 update_cur_vm; alt_u8 vm_sel, vm_edit; alt_u16 tc_h_samplerate, tc_h_synclen, tc_h_active, tc_v_active, tc_h_bporch, tc_v_bporch; @@ -148,6 +149,7 @@ status_t get_status(tvp_input_t input, video_format format) alt_u8 sync_active; alt_u8 vsyncmode; alt_u16 fpga_totlines; + alt_u16 h_samplerate; status_t status; static alt_8 act_ctr; alt_u32 ctr; @@ -230,6 +232,19 @@ status_t get_status(tvp_input_t input, video_format format) if ((tc.s480p_mode != cm.cc.s480p_mode) && (video_modes[cm.id].flags & (MODE_DTV480P|MODE_VGA480P))) status = (status < MODE_CHANGE) ? MODE_CHANGE : status; + if (update_cur_vm) { + if (video_modes[cm.id].flags & MODE_PLLDIVBY2) + h_samplerate = 2*video_modes[cm.id].h_total; + else + h_samplerate = video_modes[cm.id].h_total; + + tvp_writereg(TVP_HPLLDIV_LSB, ((h_samplerate & 0xf) << 4)); + tvp_writereg(TVP_HPLLDIV_MSB, (h_samplerate >> 4)); + tvp_writereg(TVP_HSOUTWIDTH, video_modes[cm.id].h_synclen); + + status = (status < INFO_CHANGE) ? INFO_CHANGE : status; + } + cm.totlines = totlines; cm.clkcnt = clkcnt; cm.progressive = progressive; @@ -251,6 +266,9 @@ status_t get_status(tvp_input_t input, video_format format) if (tc.sync_vth != cm.cc.sync_vth) tvp_set_sog_thold(tc.sync_vth); + if (tc.linelen_tol != cm.cc.linelen_tol) + tvp_set_linelen_tol(tc.linelen_tol); + if (tc.vsync_thold != cm.cc.vsync_thold) tvp_set_ssthold(tc.vsync_thold); @@ -270,6 +288,7 @@ status_t get_status(tvp_input_t input, video_format format) tvp_set_fine_gain_offset(&cm.cc.col); cm.cc = tc; + update_cur_vm = 0; return status; } @@ -397,25 +416,14 @@ void vm_display(alt_u8 code) { } void vm_tweak(alt_u16 v) { - alt_u16 h_samplerate; - - if (cm.id == vm_edit) { - if (video_modes[cm.id].h_total != tc_h_samplerate) { - if (video_modes[cm.id].flags & MODE_PLLDIVBY2) - h_samplerate = 2*video_modes[cm.id].h_total; - else - h_samplerate = video_modes[cm.id].h_total; - - tvp_writereg(TVP_HPLLDIV_LSB, ((h_samplerate & 0xf) << 4)); - tvp_writereg(TVP_HPLLDIV_MSB, (h_samplerate >> 4)); - } - if (video_modes[cm.id].h_synclen != tc_h_synclen) - tvp_writereg(TVP_HSOUTWIDTH, video_modes[cm.id].h_synclen); - if ((video_modes[cm.id].h_active != tc_h_active) || + if (cm.sync_active && (cm.id == vm_edit)) { + if ((video_modes[cm.id].h_total != tc_h_samplerate) || + (video_modes[cm.id].h_synclen != tc_h_synclen) || + (video_modes[cm.id].h_active != tc_h_active) || (video_modes[cm.id].v_active != tc_v_active) || (video_modes[cm.id].h_backporch != (alt_u8)tc_h_bporch) || (video_modes[cm.id].v_backporch != (alt_u8)tc_v_bporch)) - set_videoinfo(); + update_cur_vm = 1; } video_modes[vm_edit].h_total = tc_h_samplerate; video_modes[vm_edit].h_synclen = (alt_u8)tc_h_synclen; diff --git a/software/sys_controller/ossc/avconfig.c b/software/sys_controller/ossc/avconfig.c index 8ca6369..cfa7812 100644 --- a/software/sys_controller/ossc/avconfig.c +++ b/software/sys_controller/ossc/avconfig.c @@ -33,6 +33,7 @@ extern mode_data_t video_modes[], video_modes_def[]; extern alt_u8 video_mode_cnt; +extern alt_u8 update_cur_vm; // Target configuration avconfig_t tc; @@ -41,6 +42,7 @@ avconfig_t tc; const avconfig_t tc_default = { .sampler_phase = DEFAULT_SAMPLER_PHASE, .sync_vth = DEFAULT_SYNC_VTH, + .linelen_tol = DEFAULT_LINELEN_TOL, .vsync_thold = DEFAULT_VSYNC_THOLD, .pre_coast = DEFAULT_PRE_COAST, .post_coast = DEFAULT_POST_COAST, @@ -60,6 +62,7 @@ int set_default_avconfig() tc.tx_mode = !!(IORD_ALTERA_AVALON_PIO_DATA(PIO_1_BASE) & HDMITX_MODE_MASK); memcpy(video_modes, video_modes_def, video_mode_cnt*sizeof(mode_data_t)); + update_cur_vm = 1; return 0; } diff --git a/software/sys_controller/ossc/avconfig.h b/software/sys_controller/ossc/avconfig.h index 1f2ec14..49d0b57 100644 --- a/software/sys_controller/ossc/avconfig.h +++ b/software/sys_controller/ossc/avconfig.h @@ -51,6 +51,7 @@ typedef struct { alt_u8 sampler_phase; alt_u8 ypbpr_cs; alt_u8 sync_vth; + alt_u8 linelen_tol; alt_u8 vsync_thold; alt_u8 sync_lpf; alt_u8 video_lpf; diff --git a/software/sys_controller/ossc/firmware.h b/software/sys_controller/ossc/firmware.h index fa6c6d9..6d0319b 100644 --- a/software/sys_controller/ossc/firmware.h +++ b/software/sys_controller/ossc/firmware.h @@ -23,7 +23,7 @@ #include "alt_types.h" #define FW_VER_MAJOR 0 -#define FW_VER_MINOR 72 +#define FW_VER_MINOR 73 #define FW_UPDATE_RETRIES 3 diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index e6763f1..e716105 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -87,6 +87,7 @@ MENU(menu_sampling, P99_PROTECT({ \ MENU(menu_sync, P99_PROTECT({ \ { "Analog sync LPF", OPT_AVCONFIG_SELECTION, { .sel = { &tc.sync_lpf, OPT_WRAP, SETTING_ITEM(sync_lpf_desc) } } }, { "Analog sync Vth", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sync_vth, OPT_NOWRAP, 0, SYNC_VTH_MAX, sync_vth_disp } } }, + { "Hsync tolerance", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.linelen_tol, OPT_NOWRAP, 0, 0xFF, intclks_to_time_disp } } }, { "Vsync threshold", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.vsync_thold, OPT_NOWRAP, VSYNC_THOLD_MIN, VSYNC_THOLD_MAX, intclks_to_time_disp } } }, { "H-PLL Pre-Coast", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.pre_coast, OPT_NOWRAP, 0, PLL_COAST_MAX, lines_disp } } }, { "H-PLL Post-Coast", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.post_coast, OPT_NOWRAP, 0, PLL_COAST_MAX, lines_disp } } }, diff --git a/software/sys_controller/tvp7002/tvp7002.c b/software/sys_controller/tvp7002/tvp7002.c index 6c7fcdb..943da5b 100644 --- a/software/sys_controller/tvp7002/tvp7002.c +++ b/software/sys_controller/tvp7002/tvp7002.c @@ -130,6 +130,10 @@ inline void tvp_set_hpllcoast(alt_u8 pre, alt_u8 post) tvp_writereg(TVP_HPLLPOSTCOAST, post); } +inline void tvp_set_linelen_tol(alt_u8 val) { + tvp_writereg(TVP_LINELENTOL, val); +} + inline void tvp_set_ssthold(alt_u8 vsdetect_thold) { tvp_writereg(TVP_SSTHOLD, vsdetect_thold); @@ -163,7 +167,7 @@ void tvp_init() tvp_set_sync_lpf(0); // Increase line length tolerance - tvp_writereg(TVP_LINELENTOL, 0x06); + tvp_set_linelen_tol(DEFAULT_LINELEN_TOL); // Use HSYNC leading edge as fine clamp reference // Allows minimizing HSYNC window @@ -338,8 +342,8 @@ void tvp_source_setup(alt_8 modeid, video_type type, alt_u32 vlines, alt_u8 hz, tvp_set_ssthold(vsync_thold); - // Setup Macrovision stripper and H-PLL coast. - // Coast needs to be enabled when HSYNC is missing during VSYNC. Disabled only for RGBHV. + // Setup Macrovision stripper and H-PLL coast signal. + // Coast needs to be enabled when HSYNC is missing during VSYNC. RGBHV mode cannot use it, so turn off the internal signal for this mode. // Macrovision stripper filters out glitches and serration pulses that may occur outside of sync window (HSYNC_lead +- TVP_MVSWIDTH*37ns). Enabled for all inputs. switch (type) { case VIDEO_PC: diff --git a/software/sys_controller/tvp7002/tvp7002.h b/software/sys_controller/tvp7002/tvp7002.h index aa2112d..9a9b8a0 100644 --- a/software/sys_controller/tvp7002/tvp7002.h +++ b/software/sys_controller/tvp7002/tvp7002.h @@ -28,6 +28,7 @@ #define I2CA_BASE I2C_OPENCORES_0_BASE #define DEFAULT_VSYNC_THOLD 0x44 +#define DEFAULT_LINELEN_TOL 0x06 typedef enum { TVP_INPUT1 = 0, @@ -80,6 +81,8 @@ inline void tvp_enable_output(); inline void tvp_set_hpllcoast(alt_u8 pre, alt_u8 post); +inline void tvp_set_linelen_tol(alt_u8 val); + inline void tvp_set_ssthold(alt_u8 vsdetect_thold); void tvp_init(); diff --git a/software/sys_controller_bsp/settings.bsp b/software/sys_controller_bsp/settings.bsp index 723c6ed..7cc67d7 100644 --- a/software/sys_controller_bsp/settings.bsp +++ b/software/sys_controller_bsp/settings.bsp @@ -2,8 +2,8 @@ hal default - Aug 23, 2016 7:19:50 PM - 1471969190153 + Sep 4, 2016 3:02:52 AM + 1472947372974 ./ settings.bsp ../../sys.sopcinfo diff --git a/sys.qsys b/sys.qsys index 349b161..65116c2 100644 --- a/sys.qsys +++ b/sys.qsys @@ -349,8 +349,8 @@ NO_INTERACTIVE_WINDOWS - - + + diff --git a/sys.sopcinfo b/sys.sopcinfo index dcff638..7ff6fb1 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1471646943 + 1472947093 false true false @@ -2904,7 +2904,7 @@ the requested settings for a module instance. --> boolean - false + true false true true @@ -2912,7 +2912,7 @@ the requested settings for a module instance. --> boolean - false + true false true true