diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 2b542b5..76c984a 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex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diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 72a6057..e97c9f0 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -101,7 +101,7 @@ void set_lpf(alt_u8 lpf) { alt_u32 pclk; pclk = (clkrate[REFCLK_EXT27]/cm.clkcnt)*video_modes[cm.id].h_total; - printf("PCLK: %luHz\n", pclk); + printf("PCLK_in: %luHz\n", pclk); //Auto if (lpf == 0) { @@ -327,8 +327,8 @@ void set_videoinfo() // Configure TVP7002 and scan converter logic based on the video mode void program_mode() { - alt_u32 data1, data2; - alt_u32 h_hz, v_hz_x100; + alt_u8 h_syncinlen, v_syncinlen; + alt_u32 h_hz, v_hz_x100, h_synclen_px; // Mark as stable (needed after sync up to avoid unnecessary mode switch) stable_frames = STABLE_THOLD; @@ -344,9 +344,9 @@ void program_mode() printf("\nLines: %u %c\n", (unsigned)cm.totlines, cm.progressive ? 'p' : 'i'); printf("Clocks per line: %u : HS %u.%.3u kHz VS %u.%.2u Hz\n", (unsigned)cm.clkcnt, (unsigned)(h_hz/1000), (unsigned)(h_hz%1000), (unsigned)(v_hz_x100/100), (unsigned)(v_hz_x100%100)); - data1 = tvp_readreg(TVP_HSINWIDTH); - data2 = tvp_readreg(TVP_VSINWIDTH); - printf("Hswidth: %u Vswidth: %u Macrovision: %u\n", (unsigned)data1, (unsigned)(data2 & 0x1F), (unsigned)cm.macrovis); + h_syncinlen = tvp_readreg(TVP_HSINWIDTH); + v_syncinlen = tvp_readreg(TVP_VSINWIDTH); + printf("Hswidth: %u Vswidth: %u Macrovision: %u\n", (unsigned)h_syncinlen, (unsigned)(v_syncinlen & 0x1F), (unsigned)cm.macrovis); sniprintf(row1, LCD_ROW_LEN+1, "%s %u%c", avinput_str[cm.avinput], (unsigned)cm.totlines, cm.progressive ? 'p' : 'i'); sniprintf(row2, LCD_ROW_LEN+1, "%u.%.2ukHz %u.%.2uHz", (unsigned)(h_hz/1000), (unsigned)((h_hz%1000)/10), (unsigned)(v_hz_x100/100), (unsigned)(v_hz_x100%100)); @@ -363,10 +363,11 @@ void program_mode() vm_sel = cm.id; target_type = target_typemask & video_modes[cm.id].type; + h_synclen_px = ((alt_u32)h_syncinlen * (alt_u32)video_modes[cm.id].h_total) / cm.clkcnt; - printf("Mode %s selected\n", video_modes[cm.id].name); + printf("Mode %s selected - hsync width: %upx\n", video_modes[cm.id].name, (unsigned)h_synclen_px); - tvp_source_setup(cm.id, target_type, (cm.progressive ? cm.totlines : cm.totlines/2), v_hz_x100/100, cm.cc.pre_coast, cm.cc.post_coast, cm.cc.vsync_thold); + tvp_source_setup(cm.id, target_type, (cm.progressive ? cm.totlines : cm.totlines/2), v_hz_x100/100, (alt_u8)h_synclen_px, cm.cc.pre_coast, cm.cc.post_coast, cm.cc.vsync_thold); set_lpf(cm.cc.video_lpf); set_videoinfo(); } diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index e8edb57..e6763f1 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -70,12 +70,12 @@ MENU(menu_advtiming, P99_PROTECT({ \ MENU(menu_vinputproc, P99_PROTECT({ \ { "Video LPF", OPT_AVCONFIG_SELECTION, { .sel = { &tc.video_lpf, OPT_WRAP, SETTING_ITEM(video_lpf_desc) } } }, { "YPbPr in ColSpa", OPT_AVCONFIG_SELECTION, { .sel = { &tc.ypbpr_cs, OPT_WRAP, SETTING_ITEM(ypbpr_cs_desc) } } }, - { "R. offset", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.col.r_f_off, OPT_NOWRAP, 0, 0xFF, value_disp } } }, - { "G. offset", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.col.g_f_off, OPT_NOWRAP, 0, 0xFF, value_disp } } }, - { "B. offset", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.col.b_f_off, OPT_NOWRAP, 0, 0xFF, value_disp } } }, - { "R. gain", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.col.r_f_gain, OPT_NOWRAP, 0, 0xFF, value_disp } } }, - { "G. gain", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.col.g_f_gain, OPT_NOWRAP, 0, 0xFF, value_disp } } }, - { "B. gain", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.col.b_f_gain, OPT_NOWRAP, 0, 0xFF, value_disp } } }, + { "R/Pr offset", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.col.r_f_off, OPT_NOWRAP, 0, 0xFF, value_disp } } }, + { "G/Y offset", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.col.g_f_off, OPT_NOWRAP, 0, 0xFF, value_disp } } }, + { "B/Pb offset", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.col.b_f_off, OPT_NOWRAP, 0, 0xFF, value_disp } } }, + { "R/Pr gain", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.col.r_f_gain, OPT_NOWRAP, 0, 0xFF, value_disp } } }, + { "G/Y gain", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.col.g_f_gain, OPT_NOWRAP, 0, 0xFF, value_disp } } }, + { "B/Pb gain", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.col.b_f_gain, OPT_NOWRAP, 0, 0xFF, value_disp } } }, })) MENU(menu_sampling, P99_PROTECT({ \ diff --git a/software/sys_controller/tvp7002/tvp7002.c b/software/sys_controller/tvp7002/tvp7002.c index a35f5cd..994bbe9 100755 --- a/software/sys_controller/tvp7002/tvp7002.c +++ b/software/sys_controller/tvp7002/tvp7002.c @@ -57,22 +57,22 @@ static void tvp_set_clamp(video_format fmt) } } -static void tvp_set_clamp_position(video_type type) +static void tvp_set_clamp_position(video_type type, alt_u8 h_syncinlen) { switch (type) { case VIDEO_LDTV: - tvp_writereg(TVP_CLAMPSTART, 0x2); + tvp_writereg(TVP_CLAMPSTART, h_syncinlen+0x2); tvp_writereg(TVP_CLAMPWIDTH, 0x6); break; case VIDEO_HDTV: - tvp_writereg(TVP_CLAMPSTART, 0x32); + tvp_writereg(TVP_CLAMPSTART, h_syncinlen+0x32); tvp_writereg(TVP_CLAMPWIDTH, 0x20); break; case VIDEO_SDTV: case VIDEO_EDTV: case VIDEO_PC: default: - tvp_writereg(TVP_CLAMPSTART, 0x6); + tvp_writereg(TVP_CLAMPSTART, h_syncinlen+0x6); tvp_writereg(TVP_CLAMPWIDTH, 0x10); break; } @@ -165,6 +165,10 @@ void tvp_init() // Increase line length tolerance tvp_writereg(TVP_LINELENTOL, 0x06); + // Use HSYNC leading edge as fine clamp reference + // Allows minimizing HSYNC window + //tvp_writereg(TVP_OUTFORMAT, 0x0C); + // Minimize HSYNC window for best sync stability tvp_writereg(TVP_MVSWIDTH, 0x03); @@ -212,7 +216,7 @@ void tvp_setup_hpll(alt_u16 h_samplerate, alt_u16 v_lines, alt_u8 hz, alt_u8 pll pclk_est = ((alt_u32)h_samplerate * v_lines * hz) / 1000; //in kHz - printf("Estimated PCLK: %lu.%.3lu MHz\n", pclk_est/1000, pclk_est%1000); + printf("Estimated PCLK_out: %lu.%.3lu MHz\n", pclk_est/1000, pclk_est%1000); if (pclk_est < 36000) { vco_range = 0; @@ -300,7 +304,7 @@ void tvp_set_sog_thold(alt_u8 val) printf("SOG thold set to 0x%x\n", val); } -void tvp_set_alc(alt_u8 en_alc, video_type type) +void tvp_set_alc(alt_u8 en_alc, video_type type, alt_u8 h_syncinlen) { if (en_alc) { tvp_writereg(TVP_ALCEN, 0x80); //enable ALC @@ -308,16 +312,16 @@ void tvp_set_alc(alt_u8 en_alc, video_type type) //select ALC placement switch (type) { case VIDEO_LDTV: - tvp_writereg(TVP_ALCPLACE, 0x9); + tvp_writereg(TVP_ALCPLACE, h_syncinlen+0x9); break; case VIDEO_HDTV: - tvp_writereg(TVP_ALCPLACE, 0x5A); + tvp_writereg(TVP_ALCPLACE, h_syncinlen+0x5A); break; case VIDEO_SDTV: case VIDEO_EDTV: case VIDEO_PC: default: - tvp_writereg(TVP_ALCPLACE, 0x18); + tvp_writereg(TVP_ALCPLACE, h_syncinlen+0x18); break; } } else { @@ -325,11 +329,11 @@ void tvp_set_alc(alt_u8 en_alc, video_type type) } } -void tvp_source_setup(alt_8 modeid, video_type type, alt_u32 vlines, alt_u8 hz, alt_u8 pre_coast, alt_u8 post_coast, alt_u8 vsync_thold) +void tvp_source_setup(alt_8 modeid, video_type type, alt_u32 vlines, alt_u8 hz, alt_u8 h_syncinlen, alt_u8 pre_coast, alt_u8 post_coast, alt_u8 vsync_thold) { // Clamp position and ALC - tvp_set_clamp_position(type); - tvp_set_alc(1, type); + tvp_set_clamp_position(type, h_syncinlen); + tvp_set_alc(1, type, h_syncinlen); tvp_set_ssthold(vsync_thold); diff --git a/software/sys_controller/tvp7002/tvp7002.h b/software/sys_controller/tvp7002/tvp7002.h index 73acf6b..aa2112d 100755 --- a/software/sys_controller/tvp7002/tvp7002.h +++ b/software/sys_controller/tvp7002/tvp7002.h @@ -100,9 +100,9 @@ void tvp_set_hpll_phase(alt_u8 val); void tvp_set_sog_thold(alt_u8 val); -void tvp_set_alc(alt_u8 en_alc, video_type type); +void tvp_set_alc(alt_u8 en_alc, video_type type, alt_u8 h_syncinlen); -void tvp_source_setup(alt_8 modeid, video_type type, alt_u32 vlines, alt_u8 hz, alt_u8 pre_coast, alt_u8 post_coast, alt_u8 vsync_thold); +void tvp_source_setup(alt_8 modeid, video_type type, alt_u32 vlines, alt_u8 hz, alt_u8 h_syncinlen, alt_u8 pre_coast, alt_u8 post_coast, alt_u8 vsync_thold); void tvp_source_sel(tvp_input_t input, video_format fmt); diff --git a/software/sys_controller_bsp/settings.bsp b/software/sys_controller_bsp/settings.bsp index 81bb890..98b927d 100644 --- a/software/sys_controller_bsp/settings.bsp +++ b/software/sys_controller_bsp/settings.bsp @@ -2,9 +2,9 @@ hal default - Aug 18, 2016 11:17:40 PM - 1471551460381 - ./ + Aug 22, 2016 11:41:13 PM + 1471898473341 + /home/markus/Code/ossc/software/sys_controller_bsp settings.bsp ../../sys.sopcinfo default