diff --git a/ossc.qsf b/ossc.qsf index e7563cc..49a9e51 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -41,7 +41,7 @@ set_global_assignment -name DEVICE EP4CE15E22C8 set_global_assignment -name TOP_LEVEL_ENTITY ossc set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.1 set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:27:03 MAY 17, 2014" -set_global_assignment -name LAST_QUARTUS_VERSION "17.1.0 Lite Edition" +set_global_assignment -name LAST_QUARTUS_VERSION "19.1.0 Lite Edition" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 @@ -179,7 +179,7 @@ set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE EPCS16 set_global_assignment -name VERILOG_INPUT_VERSION SYSTEMVERILOG_2005 set_global_assignment -name VERILOG_SHOW_LMF_MAPPING_MESSAGES OFF -set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS ON +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS ON set_global_assignment -name SMART_RECOMPILE ON set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT NORMAL @@ -218,7 +218,7 @@ set_global_assignment -name ENABLE_SIGNALTAP OFF set_global_assignment -name USE_SIGNALTAP_FILE output_files/ossc_la.stp set_global_assignment -name FITTER_EFFORT "AUTO FIT" -set_global_assignment -name SEED 6 +set_global_assignment -name SEED 8 diff --git a/rtl/pll_2x.qip b/rtl/pll_2x.qip index 152e3d0..76d8667 100644 --- a/rtl/pll_2x.qip +++ b/rtl/pll_2x.qip @@ -1,5 +1,5 @@ set_global_assignment -name IP_TOOL_NAME "ALTPLL" -set_global_assignment -name IP_TOOL_VERSION "17.1" +set_global_assignment -name IP_TOOL_VERSION "19.1" set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "pll_2x.v"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll_2x_inst.v"] diff --git a/rtl/pll_2x.v b/rtl/pll_2x.v index b84a7be..d54f7b1 100644 --- a/rtl/pll_2x.v +++ b/rtl/pll_2x.v @@ -14,13 +14,13 @@ // ************************************************************ // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! // -// 17.1.0 Build 590 10/25/2017 SJ Lite Edition +// 19.1.0 Build 670 09/22/2019 SJ Lite Edition // ************************************************************ -//Copyright (C) 2017 Intel Corporation. All rights reserved. +//Copyright (C) 2019 Intel Corporation. All rights reserved. //Your use of Intel Corporation's design tools, logic functions -//and other software and tools, and its AMPP partner logic +//and other software and tools, and any partner logic //functions, and any output files from any of the foregoing //(including device programming or simulation files), and any //associated documentation or information are expressly subject @@ -30,7 +30,8 @@ //agreement, including, without limitation, that your use is for //the sole purpose of programming logic devices manufactured by //Intel and sold by Intel or its authorized distributors. Please -//refer to the applicable agreement for further details. +//refer to the applicable agreement for further details, at +//https://fpgasoftware.intel.com/eula. // synopsys translate_off diff --git a/software/ossc_sw.project b/software/ossc_sw.project index 8837972..952f87c 100644 --- a/software/ossc_sw.project +++ b/software/ossc_sw.project @@ -139,7 +139,7 @@ cd ../sys_controller_bsp && touch bsp_timestamp make clean - make APP_CFLAGS_DEBUG_LEVEL="-DDEBUG" + make APP_CFLAGS_DEBUG_LEVEL="-DDEBUG" generate_hex @@ -185,7 +185,7 @@ cd ../sys_controller_bsp && touch bsp_timestamp make clean - make + make ENABLE_AUDIO=y generate_hex diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 97dfaec..0a9293e 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -45,9 +45,9 @@ :04002C000000A11718 :04002D00F5010113C5 :04002E000000871730 -:04002F005847071314 +:04002F007607071336 :04003000000097979E -:04003100B5C7879335 +:04003100D4078793D6 :0400320000F7576319 :04003300000720237F :04003400DDE30711F0 @@ -55,42 +55,42 @@ :040036001151004024 :04003700C4064501B5 :04003800200520057A -:04003900A60367E1D2 -:04003A0067E163C750 -:04003B006407A5832E -:04003C0040A267E196 -:04003D006447A5036C +:04003900A60367E5CE +:04003A0067E581876E +:04003B0081C7A58351 +:04003C0040A267E592 +:04003D008207A5038E :04003E00206F0131FD -:04003F00A3A1728087 -:0400400067E1808272 +:04003F00A3A174E025 +:0400400067E580826E :04004100000207377B -:0400420064E7A623A6 +:0400420082E7A4238A :0400430007B7656135 -:040044006761019C53 +:040044006765019C4F :04004500CC078793CA -:04004600AA450513AF -:0400470064F7242313 -:040048006761AE49F5 -:04004900648727039E -:04004A00A78367E140 -:04004B00557D64C7B4 +:04004600C185051358 +:0400470082F72223F7 +:040048006765AE49F1 +:0400490082472703C0 +:04004A00A78367E53C +:04004B00557D8287D6 :04004C004721CB116C :04004D006741C3D86C :04004E00C798177DBB :04004F004711C7D8B6 :040050004501C3D8CB -:0400510067E1808261 -:040052006487A78395 +:0400510067E580825D +:040052008247A783B7 :04005300CF91557D77 -:04005400A70367E1B6 -:04005500282364C731 +:04005400A70367E5B2 +:040055002823828753 :040056004B1C000738 :0400570007C24B4849 :0400580083C1054219 :0400590045138D5D61 :04005A008082FFF5AC -:04005B00A50367E1B1 -:04005C0080826487B3 +:04005B00A50367E5AD +:04005C0080828247D5 :04005D002703C93973 :04005E0011510C052B :04005F0000859793EE @@ -174,7 +174,7 @@ :0400AD00953E8626D0 :0400AE00CC3ACE1A60 :0400AF00C836CA166F -:0400B00060E050EFCD +:0400B0006F2050EF7E :0400B10007B3101071 :0400B200C03E0096B6 :0400B3008793678543 @@ -273,7 +273,7 @@ :04011000C222853A48 :040111008436C40666 :0401120050EFC026C4 -:04011300872A4840AF +:04011300872A568061 :0401140025B76605A0 :04011500652100025E :040116000613468105 @@ -308,7 +308,7 @@ :04013300E963FEB7C7 :0401340066D902F690 :040135008693078A1C -:0401360097B65086A2 +:0401360097B65EC654 :040137008782439CDC :040138002000079309 :040139000D05268307 @@ -341,7 +341,7 @@ :04015400D918DD5C7D :0401550002052A2352 :04015600C134DD10C3 -:0401570056C5859371 +:040157007485859393 :0401580040A222019E :04015900853E478117 :04015A00808201316D @@ -408,7 +408,7 @@ :04019700BFD5078544 :04019800451CC11928 :0401990067E1EF919A -:04019A005687A7835A +:04019A007447A7837C :04019B001151C395A6 :04019C009782C4067C :04019D0047D940A25C @@ -419,7 +419,7 @@ :0401A200C188C3C885 :0401A3008082450110 :0401A4000513656575 -:0401A50047D9C185F0 +:0401A50047D9DFC592 :0401A6005529C11CFA :0401A70067338082B8 :0401A80053FD00B54E @@ -600,8 +600,8 @@ :040257000610051375 :04025800BF59013158 :04025900C22211515B -:04025A0004136461C4 -:04025B00458366046D +:04025A0004136465C0 +:04025B00458383C490 :04025C004515002420 :04025D003749C40653 :04025E0000244783AE @@ -675,11 +675,11 @@ :0402A20035BD453DE4 :0402A3000513458179 :0402A400359D0C5028 -:0402A50064E14702C7 +:0402A50064E54702C3 :0402A6008693479262 -:0402A700C69866048B +:0402A700C69883C4AE :0402A80084934705EF -:0402A900440966049A +:0402A900440983C4BD :0402AA008363462202 :0402AB00442900E7FB :0402AC00822347055D @@ -858,13 +858,13 @@ :04035900C82245F57C :04035A008432C036F3 :04035B003669CA062F -:04035C00676146820D -:04035D006607061316 +:04035C006765468209 +:04035D0083C7061339 :04035E0097938A8562 :04035F0046830016BB :040360009AF500C644 :0403610007938EDD93 -:040362000623660701 +:04036200062383C724 :04036300C03E00D6C2 :04036400C2998A8927 :040365003F494505C2 @@ -905,11 +905,11 @@ :0403880047C104F471 :040389009F6365E128 :04038A00460D14F414 -:04038B0057458593BA +:04038B0075058593DC :04038C00073005131E :04038D0065E13CC921 :04038E0085934649C4 -:04038F00A835BA854E +:04038F00A835D1C5F7 :040390000405E593E8 :04039100E593BFA58C :04039200BF8D08050E @@ -920,11 +920,11 @@ :040397007413FA04DD :04039800B7750FF432 :04039900460D65E1C7 -:04039A00578585936B +:04039A00754585938D :04039B00073005130F :04039C0065E13C5982 :04039D0085934649B5 -:04039E000513BBC5C3 +:04039E000513D3056B :04039F00F0EF076014 :0403A0004489A89F45 :0403A1000720051319 @@ -986,17 +986,17 @@ :0403D9000161450574 :0403DA0065E18082D7 :0403DB008593460DB3 -:0403DC000513578529 +:0403DC00051375454B :0403DD00F0EF073006 :0403DE0065E1991F1D :0403DF008593464973 -:0403E000BDE5B94579 +:0403E000BDE5D08522 :0403E1008593460DAD -:0403E2000513574563 +:0403E2000513750585 :0403E300F0EF073000 :0403E40065E1979F99 :0403E500859346496D -:0403E600B5C5B805DC +:0403E600B5C5CF4585 :0403E7004481440108 :0403E80045E1B5D561 :0403E90006200513D2 @@ -1021,17 +1021,17 @@ :0403FC000131059036 :0403FD00905FF06FAE :0403FE00879367E199 -:0403FF006761AA4741 -:0404000066F7282350 +:0403FF006765C187E6 +:0404000084F7262334 :040401000C87A703BA :04040200F38005136B :04040300A703CB017F :0404040007930D4706 :04040500136310006D :04040600450100F7B5 -:0404070067E18082A7 +:0404070067E58082A3 :0404080085AA86AE8D -:040409006707A503D9 +:0404090084C7A503FC :04040A00C4061151C2 :04040B00BF0FF0EF40 :04040C000513C119FA @@ -1042,8 +1042,8 @@ :040411007793CA060D :0404120086AA0FF4B3 :040413000622872E08 -:04041400C38564E157 -:040415006704A503D0 +:04041400C38564E553 +:0404150084C4A503F3 :04041600F0047593E6 :04041700F0EF05A25B :04041800C1199D8FDA @@ -1051,7 +1051,7 @@ :04041A00444240D246 :04041B00016144B285 :04041C00C22A8082EE -:04041D006704A503C8 +:04041D0084C4A503EB :04041E0085B2C42EB1 :04041F00F0EFC03208 :04042000460296CF2B @@ -1097,19 +1097,19 @@ :040448000014B613D3 :04044900853685BAB5 :04044A0030EFC23697 -:04044B00872A3720A5 +:04044B00872A40C0FC :04044C001004849381 :04044D00B77D46929F :04044E00C422114172 -:04044F00C02A6461FA -:0404500067440513E5 +:04044F00C02A6465F6 +:040450008504051307 :0404510040EFC606AC -:0404520045824AA0F5 +:04045200458258E0A7 :040453000713E90999 :0404540046812000BD :040455000513460144 -:0404560040EF6744C8 -:0404570040B25DE072 +:0404560040EF8504EA +:0404570040B26C2023 :0404580001414422F8 :04045900112180826B :04045A00C626C822C8 @@ -1122,11 +1122,11 @@ :0404610003130097EA :0404620045822000AF :040463000103171367 -:04046400834167E188 +:04046400834167E584 :040465008622468124 -:04046600674785134C +:04046600850785136E :04046700C21AC41ED3 -:04046800598040EF88 +:0404680067C040EF3A :0404690043A2431255 :04046A000533C9018C :04046B0040D240A09B @@ -1141,54 +1141,54 @@ :0404740084B393BA00 :04047500B7454064E3 :04047600A78367E110 -:04047700114157C711 -:040478006461C422D5 -:0404790068C40593BB +:040477001141758733 +:040478006465C422D1 +:0404790086840593DD :04047A00851346415F :04047B00C60600476A :04047C00C03EC22696 -:04047D0004D040EF78 -:04047E0064E147826C -:04047F006C448593B1 +:04047D00131040EF29 +:04047E0064E5478268 +:04047F008A048593D3 :040480008513464159 :0404810040EF014700 -:04048200051303B0AB -:04048300442268C4E3 +:04048200051311F05D +:040483004422868405 :04048400859340B26A -:0404850044926C44ED +:0404850044928A040F :04048600306F014191 -:0404870067E14EC01B -:0404880057C7A78328 +:0404870067E1586071 +:040488007587A7834A :04048900C422114137 -:04048A000593646111 -:04048B0046416A0478 +:04048A00059364650D +:04048B00464187C49B :04048C00004785138D :04048D00C226C606B7 :04048E0040EFC03E3D -:04048F004782007030 -:04049000859364E10B -:04049100464173C4A9 +:04048F0047820EB0E2 +:04049000859364E507 +:04049100464191C48B :040492000147851386 -:040493007F4040EF77 -:040494006A040513DE +:040493000D9040EF99 +:0404940087C4051301 :0404950040B244220B -:0404960073C4859313 +:0404960091C48593F5 :040497000141449249 -:040498004A60306F17 +:040498005400306F6D :04049900C406115133 :04049A00842AC222CC :04049B00949FF0EF4B :04049C004501458150 :04049D00A31FF0EFBA :04049E000563478922 -:04049F00646102F49E -:0404A0007544041388 -:0404A100460367E1C6 -:0404A2004583037417 -:0404A300A503036446 -:0404A400F0EF6847C6 +:04049F00646502F49A +:0404A000934404136A +:0404A100460367E5C2 +:0404A2004583038407 +:0404A300A503037436 +:0404A400F0EF8607E8 :0404A5004503F86FA4 -:0404A6004412036495 +:0404A6004412037485 :0404A700013140A23D :0404A800D8EFF06F2A :0404A900441240A217 @@ -1200,10 +1200,10 @@ :0404AF008D7FF0EF5E :0404B000450145813C :0404B100909FF0EF39 -:0404B20007936461E7 -:0404B300C5036D848C +:0404B20007936465E3 +:0404B300C5038B44AE :0404B4004785010770 -:0404B5006D8404133B +:0404B5008B4404135D :0404B60000A035333A :0404B70046010505F0 :0404B80000F4936356 @@ -1212,16 +1212,16 @@ :0404BB00458100D0A7 :0404BC00A6BFF0EFF8 :0404BD00896347897F -:0404BE00636102F480 -:0404BF00754303136B +:0404BE00636502F47C +:0404BF00934303134D :0404C00000F447837A :0404C10002434703A8 :0404C20001144503D9 :0404C300009035B3BD :0404C4004601468126 :0404C500F0EF0586C9 -:0404C60067E1CA6FB1 -:0404C70075478313DF +:0404C60067E5CA6FAD +:0404C70093478313C1 :0404C8000243478321 :0404C90004F4012313 :0404CA003F2D852617 @@ -1232,33 +1232,33 @@ :0404CF000EA7EA6327 :0404D000C4221141F0 :0404D10027836461B8 -:0404D200C6065844BE +:0404D200C6067604E0 :0404D300C703C22673 :0404D40004130037D6 -:0404D500830D5844F7 +:0404D500830D760419 :0404D60006638B3DF1 :0404D70047510CA7D6 :0404D80002E50733FF :0404D900859365D9C9 -:0404DA0084AA644547 +:0404DA0084AA7285F9 :0404DB0085134651EE :0404DC00C03E0047D7 :0404DD0040EF95BA9D -:0404DE00478255807C +:0404DE00478263C02E :0404DF0000F4F5131D :0404E000C483050AC2 :0404E100F493000789 :0404E2008D45FC3414 -:0404E300802364E12D +:0404E300802364E529 :0404E400879300A753 -:0404E500C7836D84D8 +:0404E500C7838B44FA :0404E600849301C733 -:0404E700C3856D84D8 -:0404E800578367616E -:0404E90045296B47EF +:0404E700C3858B44FA +:0404E800578367656A +:0404E9004529890711 :0404EA0007C29BF5B5 -:0404EB001A2383C18C -:0404EC0017376AF75D +:0404EB00182383C18E +:0404EC00173788F73F :0404ED0020230002C6 :0404EE00E0EF0EF736 :0404EF0017B7D45F08 @@ -1282,7311 +1282,7430 @@ :04050100E0EF00F730 :04050200C783CF9F3D :04050300CF8101C4DF -:040504005783676151 -:04050500E7936B47C6 -:040506001A2300278D -:0405070017376AF741 +:04050400578367654D +:04050500E7938907E8 +:04050600182300278F +:04050700173788F723 :0405080020230002AA :0405090040B20EF7F7 :04050A0044924422B1 :04050B0080820141A8 :04050C001151808287 :04050D00C222C4063C -:04050E0067E1E55963 -:04050F006D878793DA +:04050E0067E5E5595F +:04050F008B478793FC :04051000043743D495 :040511000413019C32 :040512005433CC048E :04051300868302D405 :04051400467100A785 :04051500879367E57C -:0405160086B38087A1 +:0405160086B39EC743 :0405170097B602C6CB :0405180000E7D7839E :04051900043346A1C0 -:04051A0067E102F49F -:04051B006B27C78300 +:04051A0067E502F49B +:04051B0088E7C78323 :04051C0002D78D6312 :04051D00896346C1E7 :04051E00471100D7AA :04051F0004E79163F9 -:040520000A1030EF9E +:04052000141030EF94 :04052100A8294505BB :0405220001C9C7B78D :0405230037F787938C :04052400E363872ADC :04052500473D0087C7 :0405260030EF853AF3 -:04052700450D087006 +:04052700450D1270FC :0405280040A2441297 :04052900306F0131FD -:04052A0030EF44204A -:04052B00B537077069 +:04052A0030EF4DC0A1 +:04052B00B53711705F :04052C00051304C4EB :04052D0035333FF52E :04052E000509008536 :04052F0030EFB7D51D -:04053000450106304B -:0405310067E1BFF1CE -:04053200754787136F -:0405330002E7468312 +:040530004501103041 +:0405310067E5BFF1CA +:040532009347871351 +:0405330002F7468302 :0405340084134709DC -:04053500453D754784 +:04053500453D934766 :0405360000E68363F5 :0405370030EF45015B -:0405380047830430C1 -:04053900470902E488 +:0405380047830E30B7 +:04053900470902F478 :04053A007BE3450D0D :04053B004515FAF771 :04053C0075138D1D89 :04053D00B76D0FF592 :04053E00F863478592 -:04053F0067E100A7C9 -:040540006B27C5035D +:04053F0067E500A7C5 +:0405400088E7C50380 :0405410035131561F8 :0405420047E1001578 :0405430002F5053385 :04054400879367DD55 -:04054500953E5DC7BB -:040546007100306FA1 +:04054500953E750763 +:040546007B00306F97 :0405470026036761BF -:0405480001135887BC -:0405490067E1FDC1A8 -:04054A00D006CE22E7 -:04054B008793CC26A0 -:04054C0004136A0723 -:04054D004683588702 -:04054E00829D003654 -:04054F0017FDE29919 -:0405500030EFFBFD90 -:0405510067E1253009 -:040552006D87869398 -:0405530000B6C283A9 -:0405540083AAC03E78 -:040555006D87849397 -:0405560000028763B5 -:04055700C783401402 -:04055800F2930026F4 -:04055900051300374F -:04055A00CA1E037042 -:04055B0030EFC8169F -:04055C00C22A3F80F0 -:04055D0003800513FF -:04055E003EE030EF5C -:04055F0066054312D8 -:040560000085169369 -:04056100F006061387 -:0405620056138EF1AD -:04056300E6B3006596 -:040564008A0500669E -:040565000513C42A8C -:04056600C636039002 -:0405670000C484A3A5 -:040568003C6030EFD4 -:040569000513C22A8A -:04056A0030EF03A0CB -:04056B0040103BC041 -:04056C0046B242C28F -:04056D00002647031A -:04056E00531343D20E -:04056F004703002717 -:040570008B7D003649 -:0405710000671613F6 -:040572000066673385 -:04057300886346094A -:04057400C60302C2F6 -:0405750045A200B4E7 -:040576000613E60979 -:04057700706318F0A5 -:04057800460502D65C -:0405790000C29A63BF -:04057A0000170693CD -:04057B001906B59315 -:04057C000015C5930E -:04057D00A809478101 -:04057E000205F71368 -:04057F00B593DB65F0 -:04058000C5930C868D -:040581004785001595 -:0405820000B4C303FB -:0405830067616661E5 -:0405840065060613EF -:04058500754707139C -:0405860026031663CF -:040587004A038A6336 -:040588004A05886335 -:0405890000060583E0 -:04058A005863430966 -:04058B00002324B372 -:04058C00460500061A -:04058D0000C485A37E -:04058E0013134411EE -:04058F00461200858B -:0405900005136505E5 -:040591007333F005CB -:04059200633300A32C -:04059300460200C359 -:04059400260365E1F4 -:0405950005136D8657 -:040596006D6300167B -:04059700851300D5F3 -:04059800696300167D -:0405990040D000C589 -:04059A00006616637E -:04059B000084C6030F -:04059C0046F60363B9 -:04059D0072058C2334 -:04059E0001974583F9 -:04059F000374C60318 -:0405A0000AC5906395 -:0405A10001A74583E6 -:0405A2000384C60305 -:0405A30008C59A638A -:0405A40001B74583D3 -:0405A5000394C603F2 -:0405A60008C594638D -:0405A70001C74583C0 -:0405A80003A4C603DF -:0405A90006C59E6382 -:0405AA0001D74583AD -:0405AB0003B4C603CC -:0405AC0006C5986385 -:0405AD00014745833A -:0405AE000324C60359 -:0405AF0006C5926388 -:0405B0000157458327 -:0405B1000334C60346 -:0405B20004C59C637D -:0405B3000167458314 -:0405B4000344C60333 -:0405B50004C5966380 -:0405B6000177458301 -:0405B7000354C60320 -:0405B80004C5906383 -:0405B90001874583EE -:0405BA000364C6030D -:0405BB0002C59A6378 -:0405BC0002774583FA -:0405BD000454C60319 -:0405BE0002C594637B -:0405BF0002874583E7 -:0405C0000464C60306 -:0405C10000C59E6370 -:0405C2000327458343 -:0405C3000504C60362 -:0405C40000C5986373 -:0405C50003974583D0 -:0405C6000574C603EF -:0405C70000C589637F -:0405C80086224589B9 -:0405C90000B47363A4 -:0405CA007413460957 -:0405CB0045830FF65F -:0405CC00C603025709 -:0405CD008A63043405 -:0405CE00860302C5D9 -:0405CF0045F100A44E -:0405D00002B6063336 -:0405D100859365E5C4 -:0405D200962E80855C -:0405D30005934A1032 -:0405D400821520D09C -:0405D5007FF6761324 -:0405D60000B61963EF -:0405D70086224589AA -:0405D80000B4736395 -:0405D9007413460948 -:0405DA0045830FF650 -:0405DB00C6030267EA -:0405DC008A630444E6 -:0405DD00860302C5CA -:0405DE0045F100A43F -:0405DF0002B6063327 -:0405E000859365E5B5 -:0405E100962E80854D -:0405E20005934A1023 -:0405E30082151C1051 -:0405E4007FF6761315 -:0405E50000B61963E0 -:0405E600862245899B -:0405E70000B4736386 -:0405E8007413460939 -:0405E90066610FF642 -:0405EA005846260346 -:0405EB0001D4C583EF -:0405EC00003646038C -:0405ED008A3D820DB4 -:0405EE0000C5896358 -:0405EF008622458992 -:0405F00000B473637D -:0405F1007413460930 -:0405F20066610FF639 -:0405F30074E6460361 -:0405F4008383CE49E6 -:0405F500467100A4A7 -:0405F60083B362E584 -:0405F700861302C3A2 -:0405F800C5838082B5 -:0405F900451501247F -:0405FA000454C08362 -:0405FB00A60393B20E -:0405FC008A7D0103F0 -:0405FD0002B6063309 -:0405FE0002A6063318 -:0405FF00064005139A -:0406000003260613B4 -:0406010002A64633D4 -:0406020000E3D50339 -:0406030002A5853394 -:040604000103159346 -:04060500953281C1E8 -:0406060081410542E7 -:0406070000A49B238D -:0406080086634601BE -:04060900A603000044 -:04060A0082050183E1 -:04060B00C41A8A057E -:04060C00C63EC236EE -:04060D002EA030EFFC -:04060E0000A48603BB -:04060F0067E545F165 -:0406100002B60633F5 -:0406110080878293C9 -:040612000124C58377 -:04061300C50392B2D7 -:0406140030EF016260 -:0406150067615720A2 -:0406160000A489A310 -:0406170043224692A2 -:04061800071347B2CB -:04061900E011754730 -:04061A00460244054B -:04061B000064A223B2 -:04061C0000F484233F -:04061D006CD62C2348 -:04061E000585A03579 -:04061F0000B60023FE -:04062000BB65440171 -:0406210000038463EB -:0406220024059463B4 -:0406230000060303C7 -:040624005A6353F1D1 -:040625000023227319 -:0406260085A30006A2 -:040627004411000476 -:04062800D8059DE371 -:04062900C60367E1BC -:04062A00C6837547C7 -:04062B00106301E473 -:04062C0046030ED69D -:04062D00C683001769 -:04062E001A6301F456 -:04062F0046030CD69C -:04063000C683002756 -:040631001463020448 -:0406320046030CD699 -:04063300C683003743 -:040634001E6302142B -:0406350046030AD698 -:04063600C683006710 -:0406370018630244FE -:0406380065E10AD698 -:04063900461565619C -:04063A006FE5859350 -:04063B0075C5051369 -:04063C007B9030EF90 -:04063D00871367E1D7 -:04063E00E9517547C2 -:04063F00656165E1AB -:04064000859346193F -:0406410005137035F8 -:0406420030EF76150A -:0406430067E179F002 -:04064400754787135C -:040645004603ED2D4E -:04064600C683004720 -:04064700186302240E -:04064800460306D689 -:04064900C68300570D -:04064A001263023401 -:04064B00460306D686 -:04064C00C6830077EA -:04064D001C630254D4 -:04064E00460304D685 -:04064F00C68301F766 -:04065000166303D456 -:04065100460304D682 -:04065200C683020752 -:04065300106303E449 -:04065400460304D67F -:04065500C68302173F -:040656001A6303F42C -:04065700460302D67E -:04065800C68302272C -:04065900146304041E -:04065A00460302D67B -:04065B00C68301E76A -:04065C001E6303C452 -:04065D00460300D67A -:04065E00C6830357F5 -:04065F0018630534E3 -:04066000460300D677 -:04066100C683034702 -:040662000463052404 -:04066300E01100D6CC -:040664004503440501 -:04066500C68302A79F -:04066600876304841E -:0406670030EF00A6CA -:0406680067E145E021 -:040669007547871337 -:04066A0002B745038B -:04066B000494C683AA -:04066C0000A68763FA -:04066D000F0030EF5B -:04066E00871367E1A6 -:04066F004503754783 -:04067000C68302C774 -:04067100876304A4F3 -:0406720030EF00A6BF -:0406730067E10E40ED -:04067400754787132C -:0406750002F7450340 -:0406760004D4C6835F -:0406770003074583AD -:0406780000A69663DF -:0406790004E4C6834C -:04067A0000B68763DC -:04067B0009A030EFB3 -:04067C00871367E198 -:04067D004503754775 -:04067E00C683029796 -:04067F008763047415 -:04068000F0EF00A6F1 -:0406810067E1AF7FFF -:04068200754787131E -:0406830002E7450342 -:0406840004C4C68361 -:0406850000A68763E1 -:04068600A1BFF0EF31 -:04068700871367E18D -:04068800450375476A -:04068900C68302D74B -:04068A00846304B4CD -:04068B0030EF00A6A6 -:04068C0066E1316092 -:04068D00851365E18B -:04068E00461D78E6A7 -:04068F0073058593D7 -:04069000669030EF51 -:0406910066E167E1D6 -:04069200754787130E -:040693008513C90101 -:0406940030EF78E6E5 -:0406950067E10640D3 -:04069600754787130A -:0406970003674603AC -:040698000544C683CC -:0406990000D618630C -:04069A000377460399 -:04069B000554C683B9 -:04069C0000D6096318 -:04069D0002374503D8 -:04069E00FECFF0EFAC -:04069F00871367E175 -:0406A00066E1754753 -:0406A10074F6C683A2 -:0406A2004503CE81BD -:0406A300C7030387FF -:0406A400076305647F -:0406A500155100A744 -:0406A6008561056203 -:0406A70031F020EF1F -:0406A800859367E1EE -:0406A90085137547F9 -:0406AA00061301E44E -:0406AB0030EF042008 -:0406AC008522621031 -:0406AD0044725082C1 -:0406AE00872367E156 -:0406AF0044E27407A6 -:0406B00002410113EF -:0406B100137D8082B3 -:0406B20000660023BB -:0406B300BBC944017A -:0406B4000006002319 -:0406B500C603BFE5D4 -:0406B60045057385FE -:0406B700B8A60EE3F0 -:0406B80076130605AA -:0406B9008C230FF689 -:0406BA0017E372C50B -:0406BB004589B8A60F -:0406BC0073638622BC -:0406BD00460900B436 -:0406BE000FF67413AC -:0406BF000113BEB5B0 -:0406C00067E1FC8171 -:0406C100D826DA223B -:0406C2006D878713A6 -:0406C30000A7048305 -:0406C40065654671B1 -:0406C50002C48633B2 -:0406C6008085069392 -:0406C70001F745836F -:0406C8000127428341 -:0406C9006D8787931F -:0406CA008085031311 -:0406CB00D60396B20A -:0406CC00C40300C69D -:0406CD00CC320146E4 -:0406CE000136C683A8 -:0406CF00CE36C00261 -:0406D0000237468324 -:0406D10000C7470314 -:0406D200C43AD4361C -:0406D3000FD5F71335 -:0406D400C703EB1558 -:0406D500C29902576D -:0406D600A0054685B0 -:0406D700468D4622E4 -:0406D80000D60B63DA -:0406D900461145225F -:0406DA0010C50963DB -:0406DB0015E3468954 -:0406DC000706FED53A -:0406DD0046A2B7D5A5 -:0406DE009733070641 -:0406DF00771300E6A7 -:0406E000C03A0FF716 -:0406E100FFF5869308 -:0406E2000FF6F69386 -:0406E300C202470503 -:0406E40002D7606376 -:0406E500C603469171 -:0406E600E363025771 -:0406E70086930E5692 -:0406E80086B3FFF2E4 -:0406E90016B302C67C -:0406EA00F71300D72B -:0406EB00C23A0FF60A -:0406EC000247C703F7 -:0406ED00C60246817A -:0406EE00438DCA3A34 -:0406EF000026951339 -:0406F0000C759A6388 -:0406F10000D7873374 -:0406F2000267470351 -:0406F300FFF70613F4 -:0406F40016338A3DF2 -:0406F500453200A6E4 -:0406F60000E03733B6 -:0406F70000D71733DE -:0406F800C6328E492F -:0406F9008F514602D5 -:0406FA000FF777136C -:0406FB000685C03A76 -:0406FC0095E3471526 -:0406FD004681FCE650 -:0406FE00438DC8025E -:0406FF000026951329 -:040700000A75916382 -:0407010000D7873363 -:0407020002B74703F0 -:04070300FFF70613E3 -:0407040016338A3DE1 -:04070500454200A6C3 -:0407060000E03733A5 -:0407070000D71733CD -:04070800C8328E491C -:040709008F514612B4 -:04070A000FF777135B -:04070B000685C23A63 -:04070C0095E3471911 -:04070D004771FCE64E -:04070E0002E4873347 -:04070F004F18971ACE -:040710008B05D00283 -:040711004722CB01AF -:04071200C703C71141 -:04071300371302276F -:04071400D03A0017C0 -:0407150001E7C7032E -:040716001B63468596 -:04071700472204D79A -:040718004771CB1149 -:0407190002E487333C -:04071A00971A469153 -:04071B0083754B583F -:04071C0004D711638A -:04071D00C002C20252 -:04071E000733A82DC8 -:04071F00BDF502D74B -:04072000FFE285135C -:0407210002C50633D4 -:040722001633450D38 -:04072300771300C583 -:04072400BF310FF6DC -:0407250015334752EF -:04072600473200A7AF -:04072700C63A8F49F6 -:040728004752B7B9C4 -:0407290000A71533DD -:04072A008F4947426A -:04072B00B741C83AD0 -:04072C004F8CD371AA -:04072D008C63671161 -:04072E00666330E5E9 -:04072F00069302B774 -:04073000471110005D -:0407310002D58D63FD -:04073200470D668980 -:0407330002D58963FF -:040734000800069320 -:0407350032D59B63BB -:0407360003C7C683AC -:0407370007068F150D -:040738000FF777132D -:040739000737A829AD -:04073A0087630010C1 -:04073B0007372EE569 -:04073C0087630200CD -:04073D0066A12EE59E -:04073E00986347195C -:04073F0066E130D56A -:040740006B26C6035B -:04074100156346A155 -:04074200040600D6D3 -:0407430080410442AB -:040744000147C68320 -:04074500CA32460569 -:040746000086F763CF -:0407470040D406B3E1 -:0407480082C106C2A2 -:040749004571CA36F6 -:04074A0002A485334D -:04074B0040E286B34F -:04074C004609D6364E -:04074D000367C383F8 -:04074E0095A674E117 -:04074F0013FD44D280 -:04075000007033B34F -:040751002303951ACF -:0407520003F6008525 -:04075300029284B3D7 -:0407540001535313E7 -:040755000015B59343 -:0407560006B305F2EF -:04075700F49302D342 -:0407580004D20FF4C4 -:0407590002C6C6B35B -:04075A0082C106C290 -:04075B00C683D23649 -:04075C00561203D757 -:04075D00012544032B -:04075E0002D706B305 -:04075F0007428B1DA5 -:04076000C60396B284 -:04076100033300D787 -:04076200F6930253B5 -:04076300067A7FF69D -:040764000076663382 -:040765008DD106CE5E -:0407660047528ED98F -:04076700C6038DC573 -:0407680083B301371F -:040769007313028282 -:04076A008A1D7FF372 -:04076B008ED10636EF -:04076C0001554603EA -:04076D000537C50384 -:04076E0006468A1D94 -:04076F001FF3F393EE -:04077000E5B303AE3C -:04077100E3330075F9 -:04077200F593006596 -:0407730002B300725B -:040774005732008771 -:040775008ECD05AA76 -:0407760002E282B366 -:04077700897D57120F -:0407780092BA051E0E -:040779003FF2F293C6 -:04077A0003E7C703C7 -:04077B000056E2B38F -:04077C00771346A207 -:04077D00075203F725 -:04077E008F5506F697 -:04077F008F5146F25E -:0407800003F7C603B2 -:0407810003F6F693F2 -:0407820000B6959395 -:040783008F4D46E26E -:04078400F6938A3D21 -:0407850064337FF664 -:04078600C68300D74F -:040787008D51040785 -:040788000207C5831C -:0407890006928A9DAD -:04078A0046B28D5591 -:04078B000527C70374 -:04078C00961389FD3A -:04078D00468200C6DA -:04078E0005E68231C9 -:04078F0006D28AFD07 -:04079000C6038ED13D -:040791008B050217BB -:040792008A0507329B -:0407930001E61793D1 -:0407940000B6E63392 -:0407950057828E5D9C -:0407960005378F494B -:040797004513800086 -:0407980007FEFFF564 -:040799008E5D8E697A -:04079A00769347C249 -:04079B0094930FF331 -:04079C0047920087F9 -:04079D00F79380A1AD -:04079E0007E203F774 -:04079F0057A28CDDF4 -:0407A00007FE8CE9DB -:0407A10067E18CDDA3 -:0407A2005887A7834A -:0407A30000C7C58343 -:0407A40000D78623D1 -:0407A50000835693E4 -:0407A6000FF6F693C1 -:0407A70000D7C5832F -:0407A80000D786A34D -:0407A900010356935F -:0407AA000FF6F693BD -:0407AB0000E7C5831B -:0407AC0000D78723C8 -:0407AD0000F7C68308 -:0407AE00018353135D -:0407AF00006787A3B5 -:0407B0000FF2F693BB -:0407B1000107C583F4 -:0407B20000D78823C1 -:0407B3000082D69357 -:0407B4000FF6F693B3 -:0407B5000117C583E0 -:0407B60000D788A33D -:0407B7000102D693D2 -:0407B8000FF6F693AF -:0407B9000127C583CC -:0407BA0000D78923B8 -:0407BB000137C683B9 -:0407BC000182D29351 -:0407BD00005789A3B5 -:0407BE000FF476932B -:0407BF000147C583A6 -:0407C00000D78A23B1 -:0407C10000845693C7 -:0407C2000FF6F693A5 -:0407C3000157C58392 -:0407C40000D78AA32D -:0407C5000104569342 -:0407C6000FF6F693A1 -:0407C7000167C5837E -:0407C80000D78B23A8 -:0407C9000177C6836B -:0407CA008BA380611C -:0407CB00769300879A -:0407CC00C5830FF7DB -:0407CD008C230187F1 -:0407CE00569300D767 -:0407CF00C583008757 -:0407D0008CA301975E -:0407D100C68300D704 -:0407D200836101A797 -:0407D30000078D236B -:0407D40001B7C68320 -:0407D50000E78DA309 -:0407D6000FF6771390 -:0407D70001C7C6830D -:0407D80000E78E2385 -:0407D900008657132C -:0407DA000FF777138B -:0407DB0001D7C683F9 -:0407DC0000E78EA301 -:0407DD0001065713A7 -:0407DE000FF7771387 -:0407DF0001E7C683E5 -:0407E00000E78F237C -:0407E10001F7C70352 -:0407E2008FA38261FE -:0407E300F71300C741 -:0407E400C6830FF4C5 -:0407E5008023020764 -:0407E600D71302E73C -:0407E7007713008400 -:0407E800C6830FF7BE -:0407E90080A30217D0 -:0407EA00D71302E738 -:0407EB00771301047B -:0407EC00C6830FF7BA -:0407ED0080E102277E -:0407EE0002E781237A -:0407EF000237C70303 -:0407F000029781A348 -:0407F10054C2545248 -:0407F200038101136B -:0407F300C6838082B7 -:0407F400471103C7DF -:0407F500B3298F1580 -:0407F60003C7C683EC -:0407F700BFDD471506 -:0407F80003C7C683EA -:0407F9008F154719F8 -:0407FA000FF777136B -:0407FB000367C68347 -:0407FC00D00697E3A9 -:0407FD0016A146E219 -:0407FE0082C106C2EC -:0407FF0046F2CC36BC -:0408000006C206B175 -:04080100CE3682C1AC -:0408020006B7B9DD9F -:040803008716002034 -:04080400FCD5FEE33E -:0408050067E1B1ED09 -:040806008C234705F3 -:0408070067E172E74C -:040808008713110140 -:04080900CC226D8709 -:04080A00CA26434077 -:04080B00C23ECE0615 -:04080C006D878493DD -:04080D002E0406634C -:04080E006D87A70348 -:04080F002E0702634B -:04081000A0EEC7B7D8 -:04081100B007879312 -:0408120002E7D7B36F -:040813000084C70393 -:04081400019C02B78A -:04081500CC028293FC -:040816000282D2B3D5 -:040817002C07006347 -:040818000287D4334C -:0408190003B0051310 -:04081A0020EFC416F1 -:04081B00C62A0FD00A -:04081C0003C00513FD -:04081D000F3020EF89 -:04081E0001C4C783C7 -:04081F000084C60388 -:04082000971342A246 -:0408210067D900276C -:040822005907879358 -:04082300439497BAA9 -:04082400A70347924D -:0408250007936D8741 -:04082600E2190700CC -:04082700069007939D -:04082800000166372E -:0408290006136561EC -:04082A0045C5680652 -:04082B006A05051342 -:04082C0030EFC816CB -:04082D0042C20F5064 -:04082E0006400793E6 -:04082F003E8006936E -:0408300045C56561F4 -:0408310002F4573343 -:0408320073C5051372 -:0408330002D2F633C4 -:040834007433C43A1B -:0408350087BA02F488 -:0408360057334729C4 -:04083700663702E638 -:04083800C0220001D9 -:0408390068860613B4 -:04083A0004136461DE -:04083B00D6B357C415 -:04083C0030EF02D2C5 -:04083D0067E10B5014 -:04083E007E77C78377 -:04083F004018EB91E1 -:0408400000074783E3 -:040841000027E79312 -:0408420000F7002398 -:04084300912FF0EF12 -:04084400676147920F -:0408450074D746839B -:040846006D87A50312 -:04084700C5834622FD -:0408480020EF008419 -:0408490057FD6B707C -:04084A00026367617D -:04084B00852320F5EC -:04084C00878300A4FA -:04084D0066E100A4BC -:04084E008323467149 -:04084F0087B37EF6F7 -:04085000636502C713 -:040851008083061387 -:040852000124C68334 -:0408530074D747030C -:040854000454C28303 -:040855004B8C97B27F -:0408560089FD4615BD -:0408570002D585B38E -:0408580002C585B39D -:04085900064006133C -:04085A00032585935A -:04085B0002C5C5B35A -:04085C0000E7D603D8 -:04085D0002C686B396 -:04085E0095B640D03B -:04085F0081C105C28C -:0408600000B49B2322 -:040861000177C50353 -:0408620080830693F6 -:04086300897DC23693 -:0408640067618D79C2 -:040865006AA7092352 -:04086600468147324E -:040867000FF77713FD -:0408680002B7073399 -:0408690002C7573338 -:04086A00824106427F -:04086B00000285639F -:04086C0082854F949E -:04086D0077138A85EE -:04086E0020EF0FF771 -:04086F00C5034B7002 -:04087000F0EF04C4DD -:04087100C503A70F05 -:04087200F0EF04742B -:040873008783B2EFD6 -:04087400477100A424 -:040875000124C58312 -:0408760002E787B35B -:0408770097BA4712D3 -:040878000167C5034C -:040879003E1020EF1E -:04087A00A68367E109 -:04087B00C783584790 -:04087C0089A300C488 -:04087D00B71300A409 -:04087E00C783001715 -:04087F009BF90006DB -:0408800080238FD969 -:04088100C78300F633 -:04088200470D00C45A -:0408830012F76563A0 -:04088400F4634709C9 -:04088500470512E72A -:0408860000E795638F -:040887008EA3478570 -:04088800C50300F4B0 -:04088900F0EF01D4B7 -:04088A00C703914FC0 -:04088B00196300C429 -:04088C008783100747 -:04088D00477100A40B -:04088E0002E787B343 -:04088F0097BA4712BB -:0408900000C7D78343 -:040891002BD7B79317 -:040892000017C793F1 -:04089300401086BECD -:04089400078E8B8DB3 -:0408950000164703FF -:040896009B1D06960A -:0408970000A38FD952 -:04089800401800F60E -:04089900001747837A -:04089A00F9F7F793E0 -:04089B0000A38FD552 -:04089C00F0EF00F782 -:04089D00C70388DF26 -:04089E00478904146E -:04089F0000E4C503A9 -:0408A0000763458124 -:0408A100C58300F714 -:0408A2008D8900F448 -:0408A3000015B593F4 -:0408A400D2BFE0EFF0 -:0408A50007B740D879 -:0408A6008793019C97 -:0408A700D7B3CC07F0 -:0408A800D70302E789 -:0408A900C60301641D -:0408AA00468D00D4A3 -:0408AB0002E787B326 -:0408AC0000C4C703BA -:0408AD0087B3070501 -:0408AE00C70302E793 -:0408AF00070500E455 -:0408B00002E787B321 -:0408B1002223676136 -:0408B2001E6368F762 -:0408B300838508D65B -:0408B40068F722239C -:0408B50068472683E7 -:0408B60005110737EA -:0408B700F407071328 -:0408B8006B634785A2 -:0408B900773700D7B6 -:0408BA0007130478A4 -:0408BB0047818BF7EF -:0408BC0000D774638A -:0408BD000104C783E8 -:0408BE0004F4C70374 -:0408BF000414C583D5 -:0408C000C703E7097A -:0408C10007630104C4 -:0408C200446206F78F -:0408C30000F4882392 -:0408C40044D240F2E8 -:0408C5006105852E16 -:0408C600F95FE06F87 -:0408C700B389078664 -:0408C80062916405D0 -:0408C9007704041399 -:0408CA00D5428293FE -:0408CB004791BB2571 -:0408CC0000F485238C -:0408CD004711BBFD17 -:0408CE008EA3B5C57B -:0408CF00B5D500E4B7 -:0408D00000D4C78306 -:0408D10000E4C683F6 -:0408D200B79317F5CC -:0408D3008F950017E6 -:0408D40000178693F0 -:0408D500003737931E -:0408D6000017C793AD -:0408D700F79397B646 -:0408D80046810FF74F -:0408D9004585B5EDAF -:0408DA00F6B616E375 -:0408DB00D7B3078AFE -:0408DC00BFB902D7C7 -:0408DD008263478962 -:0408DE00C50302F557 -:0408DF00C1910114AE -:0408E00044624589A0 -:0408E10000F4C783D5 -:0408E2000424C70320 -:0408E30044D240F2C9 -:0408E4004601468102 -:0408E500E06F61055A -:0408E60040F2C26FAB -:0408E70044D2446251 -:0408E80080826105A4 -:0408E900C0261151C3 -:0408EA00C50364E1FD -:0408EB0045816824B7 -:0408EC00C406C2225A -:0408ED004FB010EF09 -:0408EE00E121842A56 -:0408EF006824C68330 -:0408F000472967E14C -:0408F1006CD78AA393 -:0408F200C78367E170 -:0408F3008563795749 -:0408F400676100E751 -:0408F50068F704A3F9 -:0408F600C70367E1EC -:0408F70047816D6761 -:0408F80067E1C701EC -:0408F9006897C783B2 -:0408FA000713676118 -:0408FB0097BA6B87B6 -:0408FC008023453DD3 -:0408FD0010EF00D721 -:0408FE00852233F02C -:0408FF00441240A2BD -:0409000001314482FB -:04090100115180828E -:0409020064E1C026C6 -:040903006824C5039C -:04090400C406C22241 -:04090500321010EFAD -:04090600E51D842A3D -:040907006824C68317 -:040908008AA367E176 -:0409090067E16CD75F -:04090A006D67C7034B -:04090B00C701478158 -:04090C00C78367E155 -:04090D0067616F4768 -:04090E006B870713D9 -:04090F00453D97BA11 -:0409100000D7802369 -:040911002F1010EFA4 -:0409120040A2852258 -:0409130044824412C4 -:0409140080820131AB -:04091500C4061151B2 -:04091600C026C22213 -:04091700000214378F -:04091800202347C58C -:0409190067E10EF490 -:04091A005887A783D0 -:04091B000E04202383 -:04091C00C70365099F -:04091D00862300C766 -:04091E00C703000704 -:04091F0086A300D7D4 -:04092000C703000702 -:04092100872300E741 -:04092200C703000700 -:0409230087A300F7AF -:04092400C7030007FE -:040925008A230147D9 -:04092600C7030007FC -:040927008AA3015747 -:04092800C7030007FA -:040929008B230167B4 -:04092A00C7030007F8 -:04092B000513017738 -:04092C008BA3710523 -:04092D00D0EF000700 -:04092E000713C49F48 -:04092F0067E11D104F -:040930006AE79A23B5 -:040931001D100793FB -:040932000EF420237C -:04093300E0EF4501AB -:040934001537E6DFAE -:0409350005130003A3 -:04093600D0EFD40525 -:040937002637C25F3E -:0409380005B70006F9 -:040939000513019C05 -:04093A000613040498 -:04093B008593A806F2 -:04093C00E0EFCC0517 -:04093D0020EF880F10 -:04093E0020EF17008F -:04093F0054793AA00D -:040940001205076332 -:0409410020EF45015D -:0409420007934600D1 -:0409430054750FF0E8 -:0409440010F50F6338 -:04094500059020EF0A -:04094600E0EF450990 -:0409470047CDBCEFED -:04094800166354716D -:04094900E0EF10F5D6 -:04094A0020EFC3EFE8 -:04094B00C5090B20AF -:04094C00470567E113 -:04094D0074E787A321 -:04094E00AC1FE0EF0B -:04094F001E63842A75 -:0409500000EF0E05A1 -:0409510064E103F06A -:040952006D84851318 -:04095300061365D949 -:040954008593042063 -:040955000579728529 -:04095600376030EFE7 -:04095700656165DD94 -:04095800036006131F -:040959008B85859372 -:04095A007985051383 -:04095B00362030EF23 -:04095C00453D45814F -:04095D0033B010EFB4 -:04095E00C50367E185 -:04095F0045816D570A -:040960006D8484938B -:0409610032B010EFB1 -:04096200C78367E1FF -:04096300666158076A -:0409640008A366E19D -:0409650067E16AF6E6 -:040966005817C783D4 -:040967006CF68BA3FC -:04096800879367E129 -:04096900438C57C79D -:04096A000015C703AA -:04096B0080A39B1DAD -:04096C00438C00E5D3 -:04096D000015C703A7 -:04096E00F9F777130B -:04096F0000E580A37C -:04097000C703438CEA -:040971008B7D000575 -:0409720006076713FA -:0409730000E58023F8 -:04097400C703438CE6 -:040975009B6100156D -:0409760000376713CC -:0409770000E580A374 -:040978004703438C62 -:0409790076136B1670 -:04097A00C703001798 -:04097B009B7900055F -:04097C0080238F51F4 -:04097D00439000E5BE -:04097E006D76C78348 -:04097F0097138B8DB2 -:040980004783003772 -:040981009B9D000634 -:0409820000238FD9E6 -:0409830017B700F6AC -:04098400A783000243 -:0409850097130D07B0 -:04098600446300E7DF -:0409870000EF000776 -:04098800478979E042 -:0409890088A34501F9 -:04098A00E0EF00F4A6 -:04098B008522C83FBA -:04098C00441240A22F -:04098D00013144826E -:04098E00547D808292 -:04098F0067E1BFCD90 -:040990006807C783AA -:04099100FDC1011390 -:040992000785CE22E5 -:040993000FF7F793D0 -:0409940007C207B2DD -:04099500C83E83C114 -:0409960057836461BE -:0409970047426B4424 -:04099800CC26D00693 -:0409990067218FD96A -:04099A001A238FD9B4 -:04099B0017376AF4AC -:04099C00C202000291 -:04099D006637C402F3 -:04099E0064E100010F -:04099F000EF720230C -:0409A0005BC6061319 -:0409A100851345C5B0 -:0409A20030EF6C4482 -:0409A300E0EF31C090 -:0409A4006741B4BF34 -:0409A5004785177DEE -:0409A6001737CA3AFB -:0409A70026830002A1 -:0409A80046520D079F -:0409A900C6368EF1CF -:0409AA00C6F1E7F9B2 -:0409AB00869366E1E8 -:0409AC00D60379866F -:0409AD0045B20166E8 -:0409AE006B445783BC -:0409AF0012B6146305 -:0409B00016FD76F5C5 -:0409B1001A238FF581 -:0409B20020236AF4A0 -:0409B30082230EF796 -:0409B400E0EF6C0400 -:0409B5002537B07FB3 -:0409B600051300061F -:0409B700D0EFA805D0 -:0409B8005783A21FA0 -:0409B90047426B4402 -:0409BA00051366912A -:0409BB008FD93E8012 -:0409BC001A238FD596 -:0409BD0017376AF48A -:0409BE0020230002F0 -:0409BF0030EF0EF710 -:0409C00030EF1600FE -:0409C10047851860EE -:0409C2001C6366E16B -:0409C300A60302F590 -:0409C4004783588687 -:0409C500470300865E -:0409C600072200966E -:0409C70047838F5D76 -:0409C80007C200A6BC -:0409C90047838F5D74 -:0409CA0007E200B68A -:0409CB0097138FD916 -:0409CC00834101075B -:0409CD009713C23A80 -:0409CE00835100470A -:0409CF00D2E3C43A71 -:0409D00030EFFC0701 -:0409D100479215E054 -:0409D2006637EF9500 -:0409D3000613000106 -:0409D40045C55C8633 -:0409D5006C448513D6 -:0409D60024E030EFFA -:0409D700A7DFE0EFC7 -:0409D8006B44570312 -:0409D90017FD77F19E -:0409DA001A238FF954 -:0409DB0017376AF46C -:0409DC0020230002D2 -:0409DD0065090EF7A3 -:0409DE007105051387 -:0409DF00983FD0EF7E -:0409E000BF2147B23A -:0409E10047524792A0 -:0409E20000E7976330 -:0409E3000001663772 -:0409E4005D86061313 -:0409E5004712BF7D79 -:0409E600660545A2BB -:0409E700064007932C -:0409E80056B3167D6F -:0409E900773302F767 -:0409EA009C6302F711 -:0409EB00663700C5A6 -:0409EC0006130001ED -:0409ED0045C55E0698 -:0409EE006C448513BD -:0409EF001EA030EF27 -:0409F0004622BF716B -:0409F100851345C560 -:0409F20076336C44A8 -:0409F300C03202F616 -:0409F40057B346228D -:0409F500663702F669 -:0409F60006130001E3 -:0409F70030EF5EC6B9 -:0409F800BFAD1C80F3 -:0409F9000186D6831A -:0409FA009BE3463203 -:0409FB0007D2F6C663 -:0409FC001A2383D166 -:0409FD0050826AF4C6 -:0409FE0020234472FC -:0409FF0044E20EF7C9 -:040A00000113450198 -:040A010080820241AC -:040A0200C4061151C4 -:040A0300244020EF7C -:040A0400807FF0EF10 -:040A050040A267E1C3 -:040A06007777C50336 -:040A0700E06F01316A -:040A08000113A8FF2F -:040A0900D806FCC14E -:040A0A00D426D622F6 -:040A0B00941FD0EF75 -:040A0C00F0EF842A59 -:040A0D006761C23F1C -:040A0E0057C707932C -:040A0F00C03E86AAB5 -:040A100064E16561D7 -:040A11001206CD6399 -:040A12000001663742 -:040A130007134681FE -:040A14000613055070 -:040A150045C560066D -:040A16006A05051355 -:040A170014A030EF08 -:040A1800000165B7BD -:040A19008593464536 -:040A1A0085136305D8 -:040A1B0030EF73C481 -:040A1C004782060007 -:040A1D004398802951 -:040A1E000007478303 -:040A1F000027E79332 -:040A200000F70023B8 -:040A210099BFE0EFAA -:040A22000007A537ED -:040A230012050513A0 -:040A240086FFD0EF8A -:040A250088FFD0EF87 -:040A260012C0079360 -:040A270002F407B31B -:040A2800C80264613B -:040A2900C402C6023B -:040A2A000413C202ED -:040A2B00CE3E6D84CA -:040A2C00CA3E4799DE -:040A2D00000217B7F5 -:040A2E000D07A78386 -:040A2F006561666136 -:040A30000107971310 -:040A3100C6938341A4 -:040A32002C23FFF77B -:040A330083E17CE6F9 -:040A3400000307377D -:040A3500F5938F7531 -:040A360066E10FF76F -:040A37007CE6AE2388 -:040A38007EB5002364 -:040A39008636853246 -:040A3A00CF8166E121 -:040A3B00FFE58793B9 -:040A3C000FF7F79326 -:040A3D007663430D8C -:040A3E00C78300F377 -:040A3F0094637D46F9 -:040A40002C2300B7AC -:040A410067E17C05E8 -:040A42007CB68A23D1 -:040A43007D07A68302 -:040A44007CE7A82380 -:040A45006761E2D52E -:040A46007507478366 -:040A4700CBF164E1AA -:040A480001C447831B -:040A490066E1C7F9A2 -:040A4A0000B447832A -:040A4B007E76C6836A -:040A4C00E3E18FD57E -:040A4D00813FD0EF26 -:040A4E006C6347F29C -:040A4F0047420AF51B -:040A500006300793D2 -:040A51000AE7E76366 -:040A5200468367610F -:040A53004785750757 -:040A54008763470568 -:040A550047D200F68E -:040A5600FFA787135C -:040A570000E0373351 -:040A580001C447830B -:040A590017FD46A19E -:040A5A000FF7F79308 -:040A5B0006F6E16357 -:040A5C00078A66D9C6 -:040A5D0053C6869363 -:040A5E00439C97B668 -:040A5F0066378782ED -:040A60000613000178 -:040A610045C56146E0 -:040A62006A05051309 -:040A630001A030EFCF -:040A6400000175B761 -:040A650085934645EA -:040A66008513BEC571 -:040A670030EF73C435 -:040A680047820A2097 -:040A690047834398E4 -:040A6A00E793000707 -:040A6B00002300273D -:040A6C00E0EF00F7C0 -:040A6D00A00186DF7F -:040A6E007C062E23B1 -:040A6F0067E1BFA9D3 -:040A70006B67C78366 -:040A710000F037B3A7 -:040A720084A30789C9 -:040A730047C268F41A -:040A74000785475259 -:040A75000FF7F793ED -:040A76004799C83E96 -:040A770000F707631A -:040A780000170793C9 -:040A79000FF7F793E9 -:040A7A00D0EFCA3EB1 -:040A7B004785F38F29 -:040A7C002321C63E2E -:040A7D00C78367E1E3 -:040A7E00CC2A7E7789 -:040A7F004501C781E5 -:040A800026C010EF8D -:040A81006894C7832B -:040A820001C4470361 -:040A830000F7046311 -:040A8400E7114762CD -:040A8500CB15473214 -:040A860000B447036E -:040A87006761C71DBF -:040A88006D6747034C -:040A8900C315C63A91 -:040A8A000713676186 -:040A8B0097BA6B8724 -:040A8C000007C50397 -:040A8D00C70367E153 -:040A8E00C6026D57D8 -:040A8F0000A7076352 -:040A90008AA345816F -:040A910010EF6CA74F -:040A9200C783668030 -:040A93004703689419 -:040A940064E101C454 -:040A9500754484938D -:040A960000F71C63E6 -:040A97004709469233 -:040A980016D77C638E -:040A99000334C683D9 -:040A9A0005144703F5 -:040A9B0016E6866372 -:040A9C006361473D0E -:040A9D0074E306A355 -:040A9E007E63470D1F -:040A9F00C70306F78C -:040AA000CB0103344F -:040AA100FFA7871311 -:040AA2000FF77713C0 -:040AA300F46346852D -:040AA400471506E606 -:040AA50006F7756378 -:040AA60045094605B3 -:040AA7008593468964 -:040AA800F713FFF74A -:040AA90042A10FF562 -:040AAA0004E2EF6310 -:040AAB00070A62D9FB -:040AAC0056028293D9 -:040AAD00431897163D -:040AAE0047858702EF -:040AAF00F00717E352 -:040AB000C78367E1B0 -:040AB100B79357B7E9 -:040AB2000791001791 -:040AB3004799BDFDA5 -:040AB400EE070DE359 -:040AB5006894C783F7 -:040AB600479DBDCDCE -:040AB70067E1B5FD41 -:040AB8006887C78301 -:040AB90000F037B35F -:040ABA00B5C507A116 -:040ABB001EE3479956 -:040ABC004785EC0777 -:040ABD00460DBDD94C -:040ABE004681450523 -:040ABF004609B74DE0 -:040AC000BFE5450148 -:040AC100F593C402E3 -:040AC20047210FF5C4 -:040AC3006963C2029F -:040AC400675900B7B7 -:040AC5005847071374 -:040AC6004703972E1D -:040AC700C23A000728 -:040AC80000F40E2305 -:040AC90004C4478397 -:040ACA00000405A37C -:040ACB00458D470509 -:040ACC0000F7766356 -:040ACD008D9D459521 -:040ACE000FF5F59398 -:040ACF00D036D23219 -:040AD0005DF010EFD6 -:040AD1006AF010EFC8 -:040AD20086CFE0EFFC -:040AD300C78367E18D -:040AD400568274F7DB -:040AD500C789561265 -:040AD60010EF853266 -:040AD700568223B070 -:040AD80045924622DB -:040AD90020EF85364F -:040ADA0047833920F5 -:040ADB00656101C48C -:040ADC0097134645E1 -:040ADD0067D90027AE -:040ADE00590787939A -:040ADF00438C97BAF3 -:040AE0006A0505138B -:040AE10000042223C8 -:040AE2006B9020EF06 -:040AE300000165B7F2 -:040AE40046456561BD -:040AE500624585934E -:040AE60073C50513BC -:040AE7006A5020EF42 -:040AE800C78367E178 -:040AE900EB997E7790 -:040AEA004398478264 -:040AEB000007478336 -:040AEC000027E79365 -:040AED0000F70023EB -:040AEE00E66FE0EFE0 -:040AEF00CF8947E282 -:040AF000C70367E1F0 -:040AF10047A9681792 -:040AF20000F7156391 -:040AF30010EF453D7E -:040AF400D0EF3660A9 -:040AF500C802D50F4F -:040AF6000234C703FC -:040AF7000414478319 -:040AF80002F7056399 -:040AF900011445039C -:040AFA0047014781E8 -:040AFB0046014681E9 -:040AFC00D0EF458171 -:040AFD00C503BCBFB2 -:040AFE00E0EF0234EF -:040AFF00C783EB2F8F -:040B00002223023476 -:040B010000A3000449 -:040B0200C58304F4AF -:040B030047890234E8 -:040B040002F586630D -:040B05000244C703DC -:040B060004244783F9 -:040B070002E780631E -:040B0800011445038C -:040B09004589C191C8 -:040B0A0000F4478329 -:040B0B0046014681D8 +:0405480011017647E0 +:04054900CE0667E192 +:04054A00CA26CC22CF +:04054B006A07879321 +:04054C0000364683AC +:04054D00E299829D10 +:04054E00FBFD17FD9D +:04054F0033D030EF86 +:04055000869367E542 +:04055100C5838B478C +:04055200C23E00B6EF +:040553008B478493BB +:0405540082AA67E12F +:04055500764787134B +:040556004314C591F4 +:040557000026C78330 +:040558000037F593E0 +:040559000370051313 +:04055A00C62EC816CB +:04055B00494030EFF4 +:04055C000513C02A99 +:04055D0030EF0380F8 +:04055E00430248A06C +:04055F001693660584 +:0405600006130085F9 +:040561008EF1F00621 +:0405620000655613C7 +:040563000066E6B395 +:04056400842A8A0556 +:0405650003900513E7 +:0405660084A3C03674 +:0405670030EF00C4AD +:04056800C42A46203B +:0405690003A00513D3 +:04056A00458030EFA9 +:04056B00871367E1AA +:04056C00431076477B +:04056D00468245B2CB +:04056E000026470319 +:04056F00531342C21E +:040570004703002716 +:040571008B7D003648 +:0405720000671613F5 +:040573000066673384 +:04057400876346094A +:04057500C60302C5F2 +:04057600E60900B4DE +:0405770018F006135F +:0405780002D67063D4 +:040579009A63460536 +:04057A00069300C51F +:04057B00B59300171D +:04057C00C593190604 +:04057D00478100159D +:04057E007713A8093E +:04057F00DB65020432 +:040580000C86B5939D +:040581000015C59309 +:04058200C3034785E3 +:04058300666500B4F5 +:04058400061367658E +:04058500041382C613 +:04058600186393471C +:04058700836328035F +:0405880081634C023D +:0405890005834C0595 +:04058A00430900061B +:04058B0026B35A63D6 +:04058C000006002342 +:04058D0046054711C7 +:04058E0000C485A37D +:04058F001313C03A48 +:040590004722008579 +:0405910005136505E4 +:040592007333F005CA +:04059300633300A32B +:04059400471200E327 +:04059500260365E5EF +:0405960005138B4777 +:040597006D6300167A +:04059800851300D5F2 +:04059900696300167C +:04059A0040D000C588 +:04059B00006616637D +:04059C000084C6030E +:04059D0046F60B63B0 +:04059E0090058C2315 +:04059F0001944583FB +:0405A0000374C60317 +:0405A1000AC596638E +:0405A20001A44583E8 +:0405A3000384C60304 +:0405A4000AC5906391 +:0405A50001B44583D5 +:0405A6000394C603F1 +:0405A70008C59A6386 +:0405A80001C44583C2 +:0405A90003A4C603DE +:0405AA0008C5946389 +:0405AB0001D44583AF +:0405AC0003B4C603CB +:0405AD0006C59E637E +:0405AE00014445833C +:0405AF000324C60358 +:0405B00006C5986381 +:0405B1000154458329 +:0405B2000334C60345 +:0405B30006C5926384 +:0405B4000164458316 +:0405B5000344C60332 +:0405B60004C59C6379 +:0405B7000174458303 +:0405B8000354C6031F +:0405B90004C596637C +:0405BA0001844583F0 +:0405BB000364C6030C +:0405BC0004C590637F +:0405BD0002744583FC +:0405BE000454C60318 +:0405BF0002C59A6374 +:0405C00002844583E9 +:0405C1000464C60305 +:0405C20002C5946377 +:0405C3000334458335 +:0405C4000514C60351 +:0405C50000C59E636C +:0405C60003A44583C2 +:0405C7000584C603DE +:0405C80000C598636F +:0405C90003B44583AF +:0405CA000594C603CB +:0405CB0000C58C6378 +:0405CC004589470214 +:0405CD0000014603E0 +:0405CE0000B773639C +:0405CF00771346094F +:0405D000C03A0FF628 +:0405D1000254458308 +:0405D2000434C60324 +:0405D30002C58D636D +:0405D40000A48603F6 +:0405D500063345F1B3 +:0405D60065E502B61F +:0405D7009EC58593A5 +:0405D8004A10962E01 +:0405D90020D0059396 +:0405DA0076138215FD +:0405DB001C637FF628 +:0405DC00470200B61C +:0405DD004603458903 +:0405DE007363000142 +:0405DF00460900B712 +:0405E0000FF6771388 +:0405E1004583C03A54 +:0405E200C6030264E6 +:0405E3008D630444DC +:0405E400860302C5C3 +:0405E50045F100A438 +:0405E60002B6063320 +:0405E700859365E5AE +:0405E800962E9EC5E8 +:0405E90005934A101C +:0405EA0082151C104A +:0405EB007FF676130E +:0405EC0000B61C63D6 +:0405ED0045894702F3 +:0405EE0000014603BF +:0405EF0000B773637B +:0405F000771346092E +:0405F100C03A0FF607 +:0405F2002603666115 +:0405F300C583760640 +:0405F400460301D4E5 +:0405F500820D00363D +:0405F6008C638A3D4B +:0405F700470200C5F2 +:0405F80046034589E8 +:0405F9007363000127 +:0405FA00460900B7F7 +:0405FB000FF677136D +:0405FC006765C03A35 +:0405FD0092E7460338 +:0405FE008383CE41E4 +:0405FF00467100A49D +:0406000083B362E579 +:04060100861302C397 +:04060200C5839EC24C +:040603004515012474 +:040604000454C08357 +:04060500A60393B203 +:040606008A7D0103E5 +:0406070002B60633FE +:0406080002A606330D +:04060900064005138F +:04060A0003260613AA +:04060B0002A64633CA +:04060C0000E3D5032F +:04060D0002A585338A +:04060E00010315933C +:04060F00953281C1DE +:0406100081410542DD +:0406110000A49B2383 +:0406120086634601B4 +:04061300A60300003A +:0406140082050183D7 +:04061500C61A8A0572 +:04061600C83EC436E0 +:0406170035C030EFCB +:0406180000A48603B1 +:0406190067E545F15B +:04061A0002B60633EB +:04061B009EC7829361 +:04061C000124C5836D +:04061D00C50392B2CD +:04061E0030EF016256 +:04061F0047026140ED +:0406200000A489A306 +:04062100433246A278 +:04062200E31947C2CF +:04062300C03A47058D +:04062400A2234712B4 +:0406250084230064C6 +:040626002A2300F48F +:04062700A03D8AD791 +:040628000023058521 +:04062900C00200B655 +:04062A008463BB59D1 +:04062B009B630002CB +:04062C00030322059D +:04062D0053F100067F +:04062E00227351637F +:04062F00002347114C +:0406300085A3000698 +:04063100C03A0004C7 +:04063200D6059BE36B +:04063300C60367E5AE +:04063400C68393479F +:040635001A6301E45F +:0406360046030CD695 +:04063700C683001462 +:04063800146301F452 +:0406390046030CD692 +:04063A00C68300244F +:04063B001E63020434 +:04063C0046030AD691 +:04063D00C68300343C +:04063E001863021427 +:04063F0046030AD68E +:04064000C683006409 +:0406410012630244FA +:0406420065E50AD68A +:04064300461565658E +:040644008DA5859368 +:0406450093C5051341 +:04064600074040EF3A +:0406470065E5E55927 +:040648004619656585 +:040649008DF5859313 +:04064A0094150513EB +:04064B00060040EF76 +:04064C004603ED2D47 +:04064D00C68300441C +:04064E001863022407 +:04064F00460306D682 +:04065000C683005409 +:0406510012630234FA +:04065200460306D67F +:04065300C6830074E6 +:040654001C630254CD +:04065500460304D67E +:04065600C68301F462 +:04065700166303D44F +:04065800460304D67B +:04065900C68302044E +:04065A00106303E442 +:04065B00460304D678 +:04065C00C68302143B +:04065D001A6303F425 +:04065E00460302D677 +:04065F00C683022428 +:040660001463040417 +:04066100460302D674 +:04066200C68301E466 +:040663001E6303C44B +:04066400460300D673 +:04066500C6830364E1 +:0406660018630544CC +:04066700460300D670 +:04066800C6830354EE +:0406690006630534EB +:04066A00478200D6ED +:04066B004785E39943 +:04066C004503C03E44 +:04066D00C68302A49A +:04066E008463048419 +:04066F0030EF00A6C2 +:04067000450350806E +:04067100C68302B486 +:040672008463049405 +:0406730030EF00A6BE +:040674004503170023 +:04067500C68302C472 +:04067600846304A4F1 +:0406770030EF00A6BA +:04067800450316A080 +:04067900C68303042D +:04067A00458304E4CC +:04067B00966303146B +:04067C00C68300A68B +:04067D00846304F49A +:04067E0030EF00B6A3 +:04067F0045031260BD +:04068000C683029497 +:040681008463047416 +:04068200F0EF00A6EF +:040683004503AEFF7E +:04068400C68302F433 +:04068500846304D4B2 +:04068600F0EF00A6EB +:040687004503A19FE7 +:04068800C68302D44F +:04068900846304B4CE +:04068A0030EF00A6A7 +:04068B0045033BA048 +:04068C00C68302E43B +:04068D00846304C4BA +:04068E0030EF00A6A3 +:04068F00458342C09D +:04069000C68303C456 +:04069100450305A474 +:04069200966303D494 +:04069300C68300B664 +:04069400846305B4C2 +:0406950030EF00A69C +:0406960066E54E4087 +:04069700851365E57D +:04069800461D97263E +:0406990091058593AF +:04069A00725030EF7B +:04069B00C50966E542 +:04069C009726851305 +:04069D000DC030EF6D +:04069E000374460398 +:04069F000554C683B5 +:0406A00000D6186305 +:0406A1000384460385 +:0406A2000564C683A2 +:0406A30000D6066314 +:0406A40002344503D4 +:0406A500FD0FF0EF66 +:0406A600C68366E5BC +:0406A700CE8192F678 +:0406A800039445036F +:0406A9000574C7030A +:0406AA0000A707633B +:0406AB00056215517E +:0406AC0020EF856155 +:0406AD0067E53A3093 +:0406AE009347859356 +:0406AF0001E48513CA +:0406B00004600613C9 +:0406B1006EF030EFC8 +:0406B200446240F26C +:0406B300872367E54D +:0406B4004502920762 +:0406B500610544D2C5 +:0406B600137D8082AE +:0406B70000660023B6 +:0406B800B3DDC002EC +:0406B9000006002314 +:0406BA00C603BFE5CF +:0406BB0045059185DB +:0406BC00B8A606E3F3 +:0406BD0076130605A5 +:0406BE008C230FF684 +:0406BF001FE390C5E0 +:0406C0004702B6A691 +:0406C100460345891E +:0406C200736300015D +:0406C300460900B72D +:0406C4000FF67713A3 +:0406C500B69DC03AE4 +:0406C600FC8101139F +:0406C700DA2267E5E7 +:0406C8008713D82696 +:0406C90004838B47D4 +:0406CA00467100A7CE +:0406CB0086336565A8 +:0406CC00069302C4CB +:0406CD0045839EC5FE +:0406CE00428301F76B +:0406CF0087930127E5 +:0406D00003138B473E +:0406D10096B29EC57A +:0406D20000C6D60385 +:0406D3000146C40315 +:0406D400C683CC32DB +:0406D500C002013628 +:0406D6004683CE3653 +:0406D700470302379C +:0406D800D43600C74D +:0406D900F713C43A15 +:0406DA00EB150FD538 +:0406DB000257C703F8 +:0406DC004685C299F4 +:0406DD004622A0050C +:0406DE000B63468DD7 +:0406DF00452200D6DA +:0406E0000963461153 +:0406E100468910C571 +:0406E200FED515E349 +:0406E300B7D507067A +:0406E400070646A21D +:0406E50000E6973361 +:0406E6000FF7771380 +:0406E7008693C03AFC +:0406E800F693FFF591 +:0406E90047050FF6BC +:0406EA006063C20285 +:0406EB00469102D75B +:0406EC000257C603E8 +:0406ED000E56E3635F +:0406EE00FFF28693FE +:0406EF0002C686B306 +:0406F00000D716B366 +:0406F1000FF6F713F6 +:0406F200C703C23A3E +:0406F30046810247F3 +:0406F400CA3AC60236 +:0406F5009513438D89 +:0406F6009A630026DD +:0406F70087330C75C4 +:0406F800470300D7DD +:0406F900061302677B +:0406FA008A3DFFF73F +:0406FB0000A616330C +:0406FC003733453219 +:0406FD00173300E0CF +:0406FE008E4900D74A +:0406FF004602C632B7 +:0407000077138F518B +:04070100C03A0FF7F4 +:04070200471506850C +:04070300FCE695E398 +:04070400C802468160 +:040705009513438D78 +:0407060091630026D5 +:0407070087330A75B5 +:04070800470300D7CC +:04070900061302B71A +:04070A008A3DFFF72E +:04070B0000A61633FB +:04070C0037334542F8 +:04070D00173300E0BE +:04070E008E4900D739 +:04070F004612C83294 +:0407100077138F517B +:04071100C23A0FF7E2 +:0407120047190685F8 +:04071300FCE695E388 +:04071400873347716F +:04071500971A02E449 +:04071600D0024F18A6 +:04071700CB018B0582 +:04071800C71147229C +:040719000227C703E9 +:04071A00001737137A +:04071B00C703D03A06 +:04071C00468501E726 +:04071D0004D71B637F +:04071E00CB11472292 +:04071F008733477164 +:04072000469102E418 +:040721004B58971A80 +:040722001163837567 +:04072300C20204D733 +:04072400A82DC0023A +:0407250002D70733BD +:040726008513BDF585 +:040727000633FFE2B4 +:04072800450D02C5B4 +:0407290000C51633BE +:04072A000FF677133C +:04072B004752BF3141 +:04072C0000A71533DA +:04072D008F49473277 +:04072E00B7B9C63A57 +:04072F0015334752E5 +:04073000474200A795 +:04073100C83A8F49EA +:04073200D371B74187 +:0407330067114F8C6F +:0407340030E58C63BD +:0407350002B766633E +:040736001000069316 +:040737008D63471176 +:04073800668902D5F7 +:040739008963470D7C +:04073A00069302D54B +:04073B009B630800B4 +:04073C00C68332D569 +:04073D008F1503C74A +:04073E007713070620 +:04073F00A8290FF7DF +:040740000010073767 +:040741002EE58763B7 +:040742000200073773 +:040743002EE58763B5 +:04074400471966A14A +:0407450030D59863B0 +:04074600C60366E59B +:0407470046A188E659 +:0407480000D615635F +:04074900044204065C +:04074A00C6838041A1 +:04074B004605014717 +:04074C00F763CA3253 +:04074D0006B3008669 +:04074E0006C240D4CB +:04074F00CA3682C163 +:040750008533457137 +:0407510086B302A4C5 +:04075200D63640E275 +:04075300C38346090D +:0407540074E10367E2 +:0407550044D295A64F +:0407560033B313FDA9 +:04075700951A00707F +:0407580000852303F2 +:0407590084B303F66C +:04075A0053130292A1 +:04075B00B5930153FE +:04075C0005F200158D +:04075D0002D306B30A +:04075E000FF4F4930D +:04075F00C6B304D247 +:0407600006C202C605 +:04076100D23682C149 +:0407620003D7C68370 +:0407630044035612E3 +:0407640006B30125B2 +:040765008B1D02D70F +:0407660096B20742FE +:0407670000D7C603EE +:040768000253033302 +:040769007FF6F6938E +:04076A006633067A72 +:04076B0006CE007640 +:04076C008ED98DD1C4 +:04076D008DC547529D +:04076E000137C60386 +:04076F00028283B3CC +:040770007FF373138D +:0407710006368A1DA1 +:0407720046038ED1DB +:04077300C503015564 +:040774008A1D05478E +:04077500F3930646AE +:0407760003AE1FF3BC +:040777000075E5B371 +:040778000065E33302 +:040779000072F59382 +:04077A00008702B33F +:04077B0005AA573242 +:04077C0082B38ECDE9 +:04077D00571202E22B +:04077E00051E897D4E +:04077F00F29392BAA5 +:04078000C7033FF27A +:04078100E2B303E7F5 +:0407820046A2005635 +:0407830003F77713EE +:0407840006F607521C +:0407850046F28F5554 +:04078600C6038F51C6 +:04078700F69303F7EB +:04078800959303F64C +:0407890046E200B68E +:04078A008A3D8F4DC8 +:04078B007FF6F6936C +:04078C0000D76433FB +:04078D000407C68314 +:04078E00C5838D5141 +:04078F008A9D020736 +:040790008D550692EB +:04079100C70346B2A2 +:0407920089FD0537A1 +:0407930000C69613F3 +:0407940082314682E6 +:040795008AFD05E6EE +:040796008ED106D228 +:040797000217C6037C +:0407980007328B0594 +:0407990017938A0523 +:04079A00E63301E65B +:04079B008E5D00B6B9 +:04079C008F495782A8 +:04079D00800005379C +:04079E00FFF545130B +:04079F008E6907FE5A +:0407A00047C28E5D61 +:0407A1000FF3769349 +:0407A20000879493A5 +:0407A30080A1479258 +:0407A40003F7F793CD +:0407A5008CDD07E2FE +:0407A6008CE957A2E1 +:0407A7008CDD07FEE0 +:0407A800A78367E1DB +:0407A900C583764747 +:0407AA00862300C7DB +:0407AB00569300D78A +:0407AC00F69300833D +:0407AD00C5830FF6FB +:0407AE0086A300D747 +:0407AF00569300D786 +:0407B000F6930103B8 +:0407B100C5830FF6F7 +:0407B200872300E7B2 +:0407B300C68300D722 +:0407B400531300F7E4 +:0407B50087A3018392 +:0407B600F69300674F +:0407B700C5830FF2F5 +:0407B800882301078A +:0407B900D69300D7FC +:0407BA00F693008230 +:0407BB00C5830FF6ED +:0407BC0088A30117F6 +:0407BD00D69300D7F8 +:0407BE00F6930102AB +:0407BF00C5830FF6E9 +:0407C0008923012761 +:0407C100C68300D714 +:0407C200D293013796 +:0407C30089A3018283 +:0407C40076930057D1 +:0407C500C5830FF4E5 +:0407C6008A2301473A +:0407C700569300D76E +:0407C800F693008420 +:0407C900C5830FF6DF +:0407CA008AA30157A6 +:0407CB00569300D76A +:0407CC00F69301049B +:0407CD00C5830FF6DB +:0407CE008B23016711 +:0407CF00C68300D706 +:0407D00080610177CC +:0407D10000878BA36F +:0407D2000FF7769314 +:0407D3000187C58352 +:0407D40000D78C239B +:0407D50000875693B0 +:0407D6000197C5833F +:0407D70000D78CA318 +:0407D80001A7C6832C +:0407D9008D23836188 +:0407DA00C6830007CB +:0407DB008DA301B732 +:0407DC00771300E7A8 +:0407DD00C6830FF6CA +:0407DE008E2301C79E +:0407DF00571300E7C5 +:0407E0007713008605 +:0407E100C6830FF7C5 +:0407E2008EA301D70A +:0407E300571300E7C1 +:0407E4007713010680 +:0407E500C6830FF7C1 +:0407E6008F2301E775 +:0407E700C70300E75D +:0407E800826101F732 +:0407E90000C78FA313 +:0407EA000FF4F713FE +:0407EB000207C683B8 +:0407EC0002E780237D +:0407ED000084D7139A +:0407EE000FF7771377 +:0407EF000217C683A4 +:0407F00002E780A3F9 +:0407F1000104D71315 +:0407F2000FF7771373 +:0407F3000227C68390 +:0407F400812380E1FC +:0407F500C70302E74D +:0407F60081A30237A2 +:0407F70054520297BF +:0407F800011354C2D3 +:0407F9008082038176 +:0407FA0003C7C683E8 +:0407FB008F154711FE +:0407FC00C683B329D4 +:0407FD00471503C7D2 +:0407FE00C683BFDD12 +:0407FF00471903C7CC +:0408000077138F15C6 +:04080100C6830FF7A4 +:0408020097E303670E +:0408030046E2D006F3 +:0408040006C216A171 +:04080500CC3682C1AA +:0408060006B146F2FF +:0408070082C106C2E2 +:04080800B9DDCE3652 +:04080900002006B70E +:04080A00FEE387166C +:04080B00B1EDFCD57A +:04080C00470567E550 +:04080D0090E78C23C1 +:04080E00110167E588 +:04080F008B47871379 +:040810004340CC2273 +:04081100CE06CA261F +:040812008493C23ECB +:040813000C638B47A0 +:04081400A7032E0404 +:0408150008638B47A2 +:04081600C7B72E072B +:040817008793A0EE35 +:04081800D7B3B0079B +:04081900C70302E728 +:04081A0002B700849D +:04081B008293019C27 +:04081C00D2B3CC0285 +:04081D0006630282EA +:04081E00D4332C079C +:04081F000513028734 +:04082000C41603B047 +:0408210017D020EFDD +:040822000513C62ACA +:0408230020EF03C0FF +:04082400C78317303F +:04082500C60301C441 +:0408260042A2008466 +:0408270000279713FC +:04082800879367D972 +:0408290097BA6747CC +:04082A00479243941A +:04082B008B47A7034D +:04082C000700079327 +:04082D000793E21932 +:04082E006637069093 +:04082F0065650001FA +:0408300076460613EF +:04083100051345C5A1 +:04083200C81687C598 +:040833001BF030EF97 +:04083400079342C222 +:0408350006930640E0 +:0408360065653E8036 +:04083700573345C529 +:04083800051302F4AE +:04083900F63391C53C +:04083A00C43A02D2E8 +:04083B0002F474331C +:04083C00472987BA07 +:04083D0002E6573345 +:04083E000001663718 +:04083F000613C022BA +:04084000646176C6B3 +:0408410075840413A3 +:0408420002D2D6B355 +:0408430017F030EF8B +:04084400C78367E51A +:04084500EB919CB7E0 +:04084600478340188C +:04084700E79300072C +:040848000023002762 +:04084900F0EF00F7D5 +:04084A0047928F8FB3 +:04084B004683676514 +:04084C00A50392D797 +:04084D0046228B476D +:04084E000084C583DA +:04084F00781020EF0E +:04085000676557FD84 +:0408510020F5086323 +:0408520000A4852356 +:0408530000A48783F3 +:04085400467166E59E +:040855009CF6852365 +:0408560002C787B39B +:0408570006136365BC +:04085800C6839EC3F2 +:04085900470301242C +:04085A00C28392D7EC +:04085B0097B20454F8 +:04085C0046154B8C66 +:04085D0085B389FDD9 +:04085E0085B302D587 +:04085F00061302C5B5 +:040860008593064036 +:04086100C5B30325F3 +:04086200D60302C5F2 +:0408630086B300E771 +:0408640040D002C6B8 +:0408650005C295B67D +:040866009B2381C18E +:04086700C50300B411 +:04086800069301777B +:04086900C2369EC332 +:04086A008D79897D7E +:04086B000723676593 +:04086C00473288A7E0 +:04086D007713468136 +:04086E0007330FF746 +:04086F00573302B742 +:04087000064202C773 +:0408710085638241D8 +:040872004F9400029D +:040873008A8582856B +:040874000594C7839D +:040875000FF77713EF +:04087600F807879365 +:0408770087E107E22C +:0408780056B020EF67 +:0408790004D4C503DB +:04087A00A4AFF0EF48 +:04087B000474C50339 +:04087C00B08FF0EF5A +:04087D0000A48783C9 +:04087E00C583477176 +:04087F0087B3012416 +:04088000471202E732 +:04088100C50397BA5A +:0408820020EF0167FB +:0408830067E1485091 +:040884007607A683CA +:0408850000C4C78361 +:0408860000A489A39E +:040887000017B7138C +:040888000006C7831C +:040889008FD99BF96F +:04088A0000F68023D1 +:04088B0000C4C7835B +:04088C006563470D4C +:04088D00470912F70E +:04088E0012E7F46316 +:04088F009563470521 +:04089000478500E7B1 +:0408910000F48EA33E +:0408920001D4C503C5 +:040893008EEFF0EF05 +:0408940000C4C703D2 +:0408950010071963CC +:0408960000A48783B0 +:0408970087B347716B +:04089800471202E71A +:04089900D78397BAB0 +:04089A00B79300C749 +:04089B00C7932BD7FD +:04089C0086BE0017FD +:04089D008B8D4010EF +:04089E004703078E77 +:04089F0006960016A3 +:0408A0008FD99B1D34 +:0408A10000F600A3BA +:0408A2004783401830 +:0408A300F7930017B0 +:0408A4008FD5F9F7FC +:0408A50000F700A3B5 +:0408A600881FF0EFC8 +:0408A7000414C7036B +:0408A800C5034789B4 +:0408A900458100E4A1 +:0408AA0000F70763E9 +:0408AB0000F4C5830D +:0408AC00B5938D89EA +:0408AD00E0EF001563 +:0408AE0040D8D05FFF +:0408AF00019C07B7EA +:0408B000CC07879357 +:0408B10002E7D7B3D0 +:0408B2000164D70303 +:0408B30000D4C603A4 +:0408B40087B3468D33 +:0408B500C70302E78C +:0408B600070500C46E +:0408B70002E787B31A +:0408B80000E4C7038E +:0408B90087B30705F5 +:0408BA00676502E785 +:0408BB0086F7202379 +:0408BC0008D61E63D9 +:0408BD0020238385EC +:0408BE00268386F710 +:0408BF00073786076A +:0408C0000713051104 +:0408C1004785F4076C +:0408C20000D76B638D +:0408C3000478773707 +:0408C4008BF7071394 +:0408C5007463478190 +:0408C600C78300D70D +:0408C700C70301045E +:0408C800C5830504DB +:0408C900E709041423 +:0408CA000104C7035B +:0408CB0006F70763C2 +:0408CC0088234462D7 +:0408CD0040F200F401 +:0408CE00852E44D25D +:0408CF00E06F610570 +:0408D0000786F6FFA2 +:0408D1006405BB1DE2 +:0408D2000413629118 +:0408D3008293770491 +:0408D400B335D54221 +:0408D500852347919F +:0408D600BBCD00F4A2 +:0408D700B5C547114B +:0408D80000E48EA307 +:0408D900C783B5D547 +:0408DA00C68300D4FD +:0408DB0017F500E429 +:0408DC000017B793B7 +:0408DD0086938F95DA +:0408DE003793001735 +:0408DF00C793003784 +:0408E00097B60017B0 +:0408E1000FF7F79383 +:0408E200B5ED4681A9 +:0408E30016E345854E +:0408E400078AF6B6D3 +:0408E50002D7D7B3AC +:0408E6004789BFB9C6 +:0408E70002F5826331 +:0408E8000114C5032F +:0408E9004589C191EB +:0408EA00C78344621A +:0408EB00C70300F44B +:0408EC0040F20424AE +:0408ED00468144D22A +:0408EE006105460159 +:0408EF00C00FE06FE7 +:0408F000446240F22C +:0408F100610544D287 +:0408F200115180829E +:0408F30064E5C026D2 +:0408F40085E4C503CF +:0408F500C222458155 +:0408F60010EFC40635 +:0408F700842A56F009 +:0408F800C683E121B1 +:0408F90067E585E446 +:0408FA0088A347295F +:0408FB0067E58AD74C +:0408FC009797C78380 +:0408FD0000E7856328 +:0408FE0002A3676585 +:0408FF0067E586F72C +:040900008B27C70377 +:04090100C701478162 +:04090200C78367E55B +:040903006765865747 +:040904008947071305 +:04090500453D97BA1B +:0409060000D7802373 +:040907003A9010EF23 +:0409080040A2852262 +:0409090044824412CE +:04090A0080820131B5 +:04090B00C0261151A0 +:04090C00C50364E5D6 +:04090D00C22285E499 +:04090E0010EFC4061C +:04090F00842A38B04E +:04091000C683E51D98 +:0409110067E585E42D +:040912008AD788A355 +:04091300C70367E5CA +:0409140047818B2765 +:0409150067E5C701CA +:040916008D07C783FF +:0409170007136765F6 +:0409180097BA8947BA +:040919008023453DB5 +:04091A0010EF00D703 +:04091B00852235B04C +:04091C00441240A29F +:04091D0001314482DE +:04091E001151808271 +:04091F00C222C40626 +:040920001437C026A2 +:0409210047C50002C4 +:040922000EF420238C +:04092300A78367E15E +:0409240020237647CF +:0409250065090E044E +:0409260000C7C7033C +:04092700000786231C +:0409280000D7C7032A +:04092900000786A39A +:04092A0000E7C70318 +:04092B000007872317 +:04092C0000F7C70306 +:04092D00000787A395 +:04092E000147C703B3 +:04092F0000078A2310 +:040930000157C703A1 +:0409310000078AA38E +:040932000167C7038F +:0409330000078B230B +:040934000177C7037D +:040935007105051330 +:0409360000078BA388 +:04093700C23FD0EFFC +:040938001D10071374 +:04093900982367E5B3 +:04093A00079388E7B0 +:04093B0020231D1048 +:04093C0045010EF46F +:04093D00E47FE0EF84 +:04093E000003153766 +:04093F00D4050513C3 +:04094000BFFFD0EF36 +:04094100000626374F +:04094200019C05B758 +:040943000404051390 +:04094400A8060613E8 +:04094500CC058593C5 +:0409460085AFE0EFAA +:040947001E4020EF3F +:0409480041E020EF7B +:040949000763547973 +:04094A00450112054C +:04094B004D4020EF0C +:04094C000FF007930E +:04094D000F6354756B +:04094E0020EF10F591 +:04094F0045090D3019 +:04095000BA8FE0EF8B +:04095100547147CDC9 +:0409520010F5166323 +:04095300C18FE0EF81 +:04095400126020EF1E +:0409550067E5C50984 +:0409560087A3470527 +:04095700E0EF92E754 +:04095800842AA9BF85 +:040959000E051E6306 +:04095A00057000EF35 +:04095B00851364E5B7 +:04095C0065DD8B4486 +:04095D000460061319 +:04095E0080C5859338 +:04095F0030EF0579F7 +:0409600065DD4340CE +:0409610006136565AF +:040962008593036016 +:0409630005139A05D9 +:0409640030EF97C514 +:040965004581420086 +:0409660010EF453D0C +:0409670067E53AF016 +:040968008B17C50321 +:0409690084934581AD +:04096A0010EF8B44BB +:04096B0067E139F017 +:04096C0075C7C78301 +:04096D0066E5666570 +:04096E0088F606A35E +:04096F00C78367E1F2 +:0409700089A375D70B +:0409710067E18AF6BA +:04097200758787936B +:04097300C703438CE7 +:040974009B1D0015B2 +:0409750000E580A376 +:04097600C703438CE4 +:0409770077130015DD +:0409780080A3F9F768 +:04097900438C00E5C6 +:04097A000005C703AA +:04097B0067138B7DF6 +:04097C0080230607C7 +:04097D00438C00E5C2 +:04097E000015C70396 +:04097F0067139B61FE +:0409800080A3003719 +:04098100438C00E5BE +:0409820088D64703C9 +:0409830000177613D0 +:040984000005C703A0 +:040985008F519B797A +:0409860000E58023E5 +:04098700C78343904F +:040988008B8D8B3692 +:040989000037971389 +:04098A000006478399 +:04098B008FD99B9DC8 +:04098C0000F600234E +:04098D00000217B796 +:04098E000D07A78327 +:04098F0000E79713D3 +:0409900000074463B5 +:040991007B6000EF98 +:04099200450147894B +:0409930000F488A341 +:04099400C5DFE0EFEC +:0409950040A28522D5 +:040996004482441241 +:040997008082013128 +:04099800BFCD547DFE +:04099900C78367E5C4 +:04099A00011385C7F9 +:04099B00CE22FDC1AA +:04099C00F793078541 +:04099D0007B20FF797 +:04099E0083C107C248 +:04099F006465C83E85 +:0409A00089045783EC +:0409A100D0064742F3 +:0409A2008FD9CC26F7 +:0409A3008FD9672160 +:0409A40088F4182398 +:0409A50000021737FE +:0409A600C402C202C3 +:0409A70000016637AE +:0409A800202364E5BF +:0409A90006130EF72C +:0409AA0045C56A06CF +:0409AB008A04851322 +:0409AC003DA030EF4B +:0409AD00B25FE0EF66 +:0409AE00177D674109 +:0409AF00CA3A478574 +:0409B00000021737F3 +:0409B1000D07268385 +:0409B2008EF146522A +:0409B300E7F9C63664 +:0409B40066E5C6F13D +:0409B50097C68693C8 +:0409B6000166D603FD +:0409B700578345B26B +:0409B8001463890437 +:0409B90076F512B607 +:0409BA008FF516FDA2 +:0409BB0088F4182381 +:0409BC000EF72023EF +:0409BD008A04802305 +:0409BE00AE1FE0EF99 +:0409BF0000062537D2 +:0409C000A80505136E +:0409C1009FBFD0EF15 +:0409C20089045783CA +:0409C30066914742B0 +:0409C4003E80051359 +:0409C5008FD58FD962 +:0409C60088F4182376 +:0409C70000021737DC +:0409C8000EF72023E3 +:0409C90021E030EF0A +:0409CA00244030EFA6 +:0409CB0066E1478515 +:0409CC0002F51C63B1 +:0409CD007646A603C1 +:0409CE0000864783D5 +:0409CF000096470344 +:0409D0008F5D07220E +:0409D10000A64783B2 +:0409D2008F5D07C26C +:0409D30000B64783A0 +:0409D4008FD907E2CE +:0409D500010797136C +:0409D600C23A83415D +:0409D700004797132B +:0409D800C43A835149 +:0409D900FC07D2E362 +:0409DA0021C030EF19 +:0409DB00EF954792BB +:0409DC000001663779 +:0409DD006AC60613CD +:0409DE00851345C573 +:0409DF0030EF8A0467 +:0409E000E0EF30C054 +:0409E1005703A57F94 +:0409E20077F189041C +:0409E3008FF917FD74 +:0409E40088F4182358 +:0409E50000021737BE +:0409E6000EF72023C5 +:0409E7000513650986 +:0409E800D0EF7105D6 +:0409E90047B295DF9D +:0409EA004792BF2150 +:0409EB009763475275 +:0409EC00663700E783 +:0409ED0006130001EC +:0409EE00BF7D6BC698 +:0409EF0045A24712C4 +:0409F00007936605FE +:0409F100167D064029 +:0409F20002F756B3FF +:0409F30002F777335D +:0409F40000C59C633B +:0409F5000001663760 +:0409F6006C46061332 +:0409F700851345C55A +:0409F80030EF8A044E +:0409F900BF712A8020 +:0409FA0045C5462287 +:0409FB008A048513D2 +:0409FC0002F6763356 +:0409FD004622C0329C +:0409FE0002F657B3F3 +:0409FF000001663756 +:040A00006D06061366 +:040A0100286030EF4A +:040A0200D683BFAD2B +:040A030046320186F0 +:040A0400F6C69BE3B4 +:040A050083D107D2C0 +:040A060088F4182335 +:040A07004472508263 +:040A08000EF72023A2 +:040A0900450144E27D +:040A0A000241011391 +:040A0B001151808283 +:040A0C0020EFC4060D +:040A0D00F0EF2B805B +:040A0E0067E5FFAFEA +:040A0F00C50340A239 +:040A100001319577A4 +:040A1100A69FE06F4D +:040A1200FCC101130F +:040A1300D622D80609 +:040A1400D0EFD42625 +:040A1500842A91BFDF +:040A1600C23FF0EFFC +:040A17000793676179 +:040A180086AA7587AE +:040A19006565C03E11 +:040A1A00CD6364E55F +:040A1B006637120622 +:040A1C00468100010E +:040A1D000560071356 +:040A1E006E46061307 +:040A1F00051345C5B1 +:040A200030EF87C567 +:040A210065B7208015 +:040A22004645000144 +:040A23007145859301 +:040A240091C48513E1 +:040A250011E030EFBD +:040A2600802947825A +:040A27004783439826 +:040A2800E793000749 +:040A2900002300277F +:040A2A00E0EF00F702 +:040A2B00A537975FF5 +:040A2C0005130007A7 +:040A2D00D0EF1205EF +:040A2E00D0EF849FE2 +:040A2F000793869F04 +:040A300007B312C036 +:040A3100646502F402 +:040A3200C602C8022E +:040A3300C202C40235 +:040A34008B440413D8 +:040A35004799CE3ED1 +:040A360017B7CA3EE6 +:040A3700A78300028F +:040A380066650D07DB +:040A39009713656545 +:040A3A0083410107EC +:040A3B00FFF7C69368 +:040A3C009AE62E23E5 +:040A3D00073783E113 +:040A3E008F750003AD +:040A3F000FF7F59325 +:040A4000A02366E5A4 +:040A410002239CE60A +:040A420085329CB5A8 +:040A430066E58636A8 +:040A44008793CF8144 +:040A4500F793FFE53F +:040A4600430D0FF756 +:040A470000F37663DF +:040A48009B86C7833F +:040A490000B79463FB +:040A4A009A052E23B8 +:040A4B008C2367E5AC +:040A4C00A6839AB62D +:040A4D00AA239B47F6 +:040A4E00E2D59AE76C +:040A4F00478367650D +:040A500064E59307BF +:040A51004783CBF11B +:040A5200C7F901C41B +:040A5300478366E58A +:040A5400C68300B4A1 +:040A55008FD59CB6E7 +:040A5600D0EFE3E119 +:040A570047F2FECF95 +:040A58000AF56C63CC +:040A59000793474276 +:040A5A00E763063018 +:040A5B0067650AE7DA +:040A5C009307468333 +:040A5D00470547857D +:040A5E0000F68763B4 +:040A5F00871347D2E0 +:040A60003733FFA782 +:040A6100478300E0E7 +:040A620046A101C4E4 +:040A6300F79317FDF1 +:040A6400E1630FF744 +:040A650066D906F652 +:040A66008693078AE2 +:040A670097B66206D6 +:040A68008782439CA2 +:040A690000016637EB +:040A6A006F8606137A +:040A6B00051345C565 +:040A6C0030EF87C51B +:040A6D0075B70D80CC +:040A6E0046450001F8 +:040A6F00CDC58593D9 +:040A700091C4851395 +:040A7100160030EF4C +:040A720043984782DC +:040A730000074783AE +:040A74000027E793DD +:040A750000F7002363 +:040A7600847FE0EFAA +:040A77002023A00197 +:040A7800BFA99C0670 +:040A7900C78367E5E3 +:040A7A0037B38927DE +:040A7B00078900F0F7 +:040A7C0086F482A3D7 +:040A7D00475247C2D3 +:040A7E00F79307855E +:040A7F00C83E0FF767 +:040A80000763479928 +:040A8100079300F7E0 +:040A8200F7930017CF +:040A8300CA3E0FF761 +:040A8400F12FD0EF8F +:040A8500C63E47859D +:040A860067E52305F8 +:040A87009CB7C783CE +:040A8800C781CC2A2C +:040A890010EF450124 +:040A8A00C7832D6091 +:040A8B004703865443 +:040A8C00046301C43A +:040A8D00476200F7C5 +:040A8E004732E711F3 +:040A8F004703CB1539 +:040A9000C71D00B4CA +:040A9100470367654B +:040A9200C63A8B27AE +:040A93006765C315BB +:040A94008947071374 +:040A9500C50397BA44 +:040A960067E5000709 +:040A97008B17C703EF +:040A98000763C60228 +:040A9900458100A7EC +:040A9A008AA788A3FC +:040A9B006DC010EF2B +:040A9C008654C78332 +:040A9D0001C4470346 +:040A9E00849364E5F4 +:040A9F001C639344FD +:040AA000469200F783 +:040AA1007863470926 +:040AA200C68318D718 +:040AA30047030344BE +:040AA4008263052440 +:040AA500473D18E6CB +:040AA60006A36365DB +:040AA700470D92E382 +:040AA80008F7786370 +:040AA9000344C70338 +:040AAA001863468502 +:040AAB00869300D757 +:040AAC00F693FFA717 +:040AAD007D630FF660 +:040AAE00469506D78C +:040AAF0008F6F063F2 +:040AB0001B634689F5 +:040AB100871306D7CA +:040AB2007713FFA710 +:040AB30046850FF76E +:040AB40006E6F663F9 +:040AB500470945099F +:040AB600FFF785932E +:040AB7000FF5F6132E +:040AB800E16342A113 +:040AB90062D906C236 +:040ABA008293060A13 +:040ABB0096166442E5 +:040ABC00860242105C +:040ABD001DE3478569 +:040ABE0067E1EE07F7 +:040ABF007577C783FD +:040AC0000017B793D1 +:040AC100B5ED0791F7 +:040AC20003E347996A +:040AC300C783EE07F0 +:040AC400BDF986549E +:040AC500BDE9479DA3 +:040AC600C78367E596 +:040AC70037B3864774 +:040AC80007A100F092 +:040AC9004799B5F1A3 +:040ACA00EC0714E33E +:040ACB00B5C94785DD +:040ACC004505468D09 +:040ACD00B74D4701D9 +:040ACE00BF69468531 +:040ACF00450146890E +:040AD000C402BFD5C8 +:040AD1000FF5F59395 +:040AD200C2024621F5 +:040AD30000B669639D +:040AD4000613665946 +:040AD500962E66866D +:040AD60000064603CD +:040AD7000E23C232F6 +:040AD800478300F45C +:040AD90005A304D499 +:040ADA0046050004C9 +:040ADB007663458D6C +:040ADC00459500F646 +:040ADD00F5938D9D63 +:040ADE00D2360FF508 +:040ADF0010EFD03A0A +:040AE00010EF63B000 +:040AE100E0EF70B022 +:040AE20067E582EF53 +:040AE30092F7C7833C +:040AE40056925702CD +:040AE5008536C78902 +:040AE600297010EF74 +:040AE700462257024A +:040AE800853A459274 +:040AE900438020EF37 +:040AEA0001C4478379 +:040AEB0046456565B2 +:040AEC000027971335 +:040AED00879367D9AB +:040AEE0097BA674705 +:040AEF000513438C1C +:040AF000222387C571 +:040AF10020EF0004EE +:040AF20065B775F07F +:040AF3006565000134 +:040AF400859346455B +:040AF50005137085F0 +:040AF60020EF91C597 +:040AF70067E574B08B +:040AF8009CB7C7835D +:040AF9004782EB99AC +:040AFA004783439853 +:040AFB00E793000776 +:040AFC0000230027AC +:040AFD00E0EF00F72F +:040AFE0047E2E28F5A +:040AFF0067E5CF894F +:040B000085D7C703CB +:040B0100156347A988 +:040B0200453D00F776 +:040B03003B8010EF34 +:040B0400D12FD0EF2E +:040B0500C703C80258 +:040B060047830234EB +:040B0700056304146A +:040B0800450302F7A8 +:040B0900478101140B +:040B0A0046814701D8 +:040B0B0045814601D9 :040B0C00B8DFD0EF8F -:040B0D000244C78354 -:040B0E0004F40123C7 -:040B0F000334C78361 -:040B1000051447037E -:040B110000F7046382 -:040B120004F408A33C -:040B130067E16761CE -:040B140058174683A5 -:040B1500C7836761CA -:040B160045835807B4 -:040B170066616B1791 -:040B180000F59663EB -:040B19006D7645832D -:040B1A0002D58D6310 -:040B1B006AF708A3CA -:040B1C000BA34702DE -:040B1D0043146CD63B -:040B1E000017F713B2 -:040B1F000006C78382 -:040B20008FD99BF9D5 -:040B210000F6802337 -:040B2200439447822F -:040B23006D76478321 -:040B240097138B8D0B -:040B2500C78300374B -:040B26009B9D00068D -:040B270080238FD9BF -:040B2800478300F609 -:040B2900C3B501C48B -:040B2A00E0EF4512A1 -:040B2B004789873F30 -:040B2C000CF502635F -:040B2D000A6347917F -:040B2E00478502F500 -:040B2F0004F517634F -:040B300000B4478343 -:040B3100E0EFC3B975 -:040B3200A081E39F1C -:040B3300C43A470970 -:040B3400470DBD1D8F -:040B3500C703BFED46 -:040B360042850334BD -:040B370014E3C416E9 -:040B38004741E20748 -:040B390074E306A3B8 -:040B3A004783BD39F7 -:040B3B00C39D00B4A2 -:040B3C005783676113 -:040B3D00E7936B4788 -:040B3E001A2300274F -:040B3F0017376AF703 -:040B4000202300026C -:040B4100F0EF0EF7CC -:040B42000513B03FA8 -:040B4300D0EF12C01D -:040B4400B64DBF0FDC -:040B45000004222363 -:040B46004DB010EFAF -:040B470001C447831B -:040B48004645656158 -:040B490000279713D7 -:040B4A00879367D94D -:040B4B0097BA5907F5 -:040B4C000513438CBE -:040B4D0020EF6A0526 -:040B4E0065B750B087 -:040B4F0065610001DB -:040B500085934645FE -:040B510005136245E1 -:040B520020EF73C558 -:040B530067E14F7097 -:040B54007E77C7835E -:040B55004782EB994F -:040B560047834398F6 -:040B5700E793000719 -:040B5800002300274F -:040B5900E0EF00F7D2 -:040B5A00D0EFCB8F7E -:040B5B00CA02BB8F80 -:040B5C00BF61C802AB -:040B5D0000B4478316 -:040B5E00F0EFDBC910 -:040B5F00B771A9CFF2 -:040B6000656165D98D -:040B61000613115115 -:040B62008593042053 -:040B6300051372857F -:040B6400C406754509 -:040B650033B020EF9A -:040B6600656565DD7F -:040B670040C0061371 -:040B680063458593C9 -:040B6900808505136B -:040B6A00327020EFD6 -:040B6B0067E140A25C -:040B6C00872347058F -:040B6D00450174E7E3 -:040B6E00808201314F -:040B6F001111675D9C -:040B700084C707939C -:040B7100CC06C826C0 -:040B7200C002CA22D1 -:040B7300C43E4481B7 -:040B740075B764618C -:040B750046450001F0 -:040B76008F058593CF -:040B770068C4051336 -:040B7800461020EF14 -:040B7900971347A2E5 -:040B7A00656100248D -:040B7B00430C973E52 -:040B7C0005134645D2 -:040B7D0020EF6C45B4 -:040B7E00E0EF44B0B0 -:040B7F004681BDEFFF -:040B80001737C2223F -:040B810025830002C6 -:040B820067C10D0733 -:040B8300F63317FD31 -:040B8400646100F5B3 -:040B85007CC42C23DD -:040B86000D0727032D -:040B8700000305372B -:040B8800471367E1C7 -:040B89008F69FFF77A -:040B8A007CE7AE2333 -:040B8B00CA15C63E83 -:040B8C000863478231 -:040B8D00676102F6A4 -:040B8E0000149513A7 -:040B8F007987071348 -:040B9000EABD972AF9 -:040B91001023479254 -:040B920075B700B77C -:040B930046450001D2 -:040B94008F85859331 -:040B950068C7851395 -:040B96003E9020EF7E -:040B9700B7CFE0EF05 -:040B980067614685C6 -:040B9900260347B236 -:040B9A00A3037D072D -:040B9B0087BA7DC7D1 -:040B9C006741E605C2 -:040B9D0002E31263FA -:040B9E0065DDE0BD74 -:040B9F000613656173 -:040BA00085930360D6 -:040BA10005138B8528 -:040BA200C01A798577 -:040BA300243020EFEB -:040BA4004689430239 -:040BA50067E144EDD3 -:040BA6007D84270320 -:040BA7007C67A8239C -:040BA800C03AC63653 -:040BA9008F63470906 -:040BAA00650902E6F1 -:040BAB0071050513B8 -:040BAC00A4EFD0EFF3 -:040BAD00B7B146B2E4 -:040BAE0000075703E2 -:040BAF0002E346898E -:040BB0004792FAE688 -:040BB100000175B713 -:040BB200859346459C -:040BB3008513900511 -:040BB40020EF68C7FF -:040BB500E0EF36F047 -:040BB6004681B02F95 -:040BB70014F9B7591D -:040BB800BF5D46894E -:040BB90047690485FF -:040BBA00EE9754E37B -:040BBB0040E244527E -:040BBC00453D44C2AD -:040BBD00106F017143 -:040BBE00011303E03C -:040BBF000793FBC1DC -:040BC000CE3E0131F3 -:040BC100879367E1CE -:040BC200D03E76D7D4 -:040BC300879367E1CC -:040BC400D23E76E7C0 -:040BC500879367E1CA -:040BC600D43E76F7AC -:040BC700879367E1C8 -:040BC800D63E770797 -:040BC90067E1D83ECA -:040BCA00771787937F -:040BCB0027B7DA3E30 -:040BCC0087930F03F9 -:040BCD00CA3EF01715 -:040BCE003030079329 -:040BCF001C2363611F -:040BD000478D00F15C -:040BD10000F10D23FF -:040BD2006D83079395 -:040BD30001C7C7830C -:040BD400C086DE22D7 -:040BD50009A3DC266E -:040BD60047250001AE -:040BD7006D83031314 -:040BD80086634405E7 -:040BD900841300E79A -:040BDA007413001779 -:040BDB0067E10FF4CB -:040BDC00A6036761A4 -:040BDD0007137D87F6 -:040BDE00478179874B -:040BDF00568345E90B -:040BE0001C6300078B -:040BE100476900D68A -:040BE20010F7626343 -:040BE300078A6759BD -:040BE40076C70713B6 -:040BE500439C97BADC -:040BE60007098782F2 -:040BE70050B78C6314 -:040BE800BFF10785CD -:040BE90066E14785F5 -:040BEA006B46D7037C -:040BEB001007471395 -:040BEC006AE69A23F8 -:040BED002703676112 -:040BEE0016937DC716 -:040BEF00D36300F7D5 -:040BF00087A20006D2 -:040BF10000E7169370 -:040BF2000006DE63B8 -:040BF300468367616D -:040BF4004585754777 -:040BF500E56346016D -:040BF600068500D59B -:040BF7000FF6F613EC -:040BF80074C70A2391 -:040BF9004501472942 -:040BFA0000E7866327 -:040BFB0004A3676187 -:040BFC00450568F74C -:040BFD00D70367E1D2 -:040BFE0066E16B47FA -:040BFF007E76C683B5 -:040C000007429B4DBF -:040C01009A2383416E -:040C0200EA816AE732 -:040C0300C68366E15D -:040C0400068A6C26CA -:040C05009A238F554A -:040C0600D7036AE7BF -:040C070040866B4771 -:040C080017B7547254 -:040C0900A023000222 -:040C0A0054E20EE7BB -:040C0B00044101138C -:040C0C004789808212 -:040C0D00478DBF8DC3 -:040C0E004791B7BD96 -:040C0F004795B7ADA1 -:040C10004799B79DAC -:040C1100479DB78DB7 -:040C120047A1BFB97E -:040C130047A5BFA989 -:040C140066E1BF993D -:040C15007E76C7839D -:040C160026036761E9 -:040C1700B79357C771 -:040C180083A300179B -:040C190047037EF619 -:040C1A00078A00063F -:040C1B008FD99B6D65 -:040C1C0000F60023BB -:040C1D00C70367E1C1 -:040C1E0067E16D57C6 -:040C1F0068E78123DE -:040C20007E76C78392 -:040C21004505C7912D -:040C22003E5000EF51 -:040C2300BF2147A9FD -:040C240098EFE0EF76 -:040C250067E1BFE5DF -:040C26005887A70341 -:040C2700000166372B -:040C2800448365613B -:040C290047830007F6 -:040C2A000613001796 -:040C2B0045C57E86B7 -:040C2C008FC507A2C7 -:040C2D0000274483D5 -:040C2E0068C505137D -:040C2F008FC504C2A7 -:040C300000374483C2 -:040C31008CDD04E270 -:040C320000B4D693A1 -:040C33000016F7931D -:040C34007FF4F393C3 -:040C3500C61EC43ED5 -:040C360000474683AA -:040C3700005742839D -:040C3800E6B302A27B -:040C3900428300D220 -:040C3A004703006705 -:040C3B0002C200777A -:040C3C0000D2E2B34D -:040C3D0000A3068387 -:040C3E0002B2477146 -:040C3F0002E686B390 -:040C400007136765CA -:040C4100D293808743 -:040C4200C21600C214 -:040C430066E1973699 -:040C44006D56C683A0 -:040C4500093020EF63 -:040C4600831367E1CC -:040C470047036D876B -:040C4800C72100B30D -:040C490047A243B2C9 -:040C4A0006900713F6 -:040C4B000013869379 -:040C4C0000F696B365 -:040C4D00979396BE25 -:040C4E004292014489 -:040C4F000007C46373 -:040C5000070007137F -:040C5100000307B7DE -:040C520007938CFD7B -:040C5300E09902A082 -:040C54000200079300 -:040C550000016637FD -:040C5600C0166561FE -:040C57007F460613BB -:040C5800051345C576 -:040C590020EF6C45D7 -:040C5A0067E104103A -:040C5B0057C7A703CD -:040C5C0000074783C3 -:040C5D000047E793D2 -:040C5E0000F7002378 -:040C5F0085CFE0EF6E -:040C60006761B731E0 -:040C61006B47578303 -:040C62000107C7932C -:040C63006AF71A23EF -:040C640067E1BDF592 -:040C65007547C70305 -:040C66004681460578 -:040C670000E66563DB -:040C68007693070573 -:040C69008A230FF7D4 -:040C6A00B5CD74D7B9 -:040C6B00871367E1A3 -:040C6C00470375477E -:040C6D004605001721 -:040C6E0075478793AC -:040C6F0065634681F2 -:040C7000070500E68E -:040C71000FF7769370 -:040C720000D780A384 -:040C73006761B5C13F -:040C74007547079326 -:040C75000067C783CA -:040C760075470713A4 -:040C770017FDC7811D -:040C78000FF7F793E8 -:040C790000F703235A -:040C7A006761B555A4 -:040C7B00754707139F -:040C7C000067478343 -:040C7D00F36346B91E -:040C7E0047B900F67C -:040C7F00B7DD078551 -:040C8000000175B743 -:040C8100464565611E -:040C82008045859391 -:040C830068C5051328 -:040C8400031020EF4A -:040C850075B762E1FC -:040C860085130001D1 -:040C870046456C4230 -:040C8800814585938A -:040C890001D020EF87 -:040C8A00A68364E1F8 -:040C8B00C78357C400 -:040C8C00E7930006E4 -:040C8D008023004779 -:040C8E00D0EF00F6AD -:040C8F006765F9FF9D -:040C900062E167E1D5 -:040C9100808707133E -:040C92006D878313D4 -:040C9300000217B78D -:040C94000D07A6039F -:040C9500879367E1F9 -:040C96000642798712 -:040C970046818241CF -:040C9800D50345ED4E -:040C990006630007E7 -:040C9A00068500C506 -:040C9B009AE3078948 -:040C9C000783FEB616 -:040C9D00467100A3F9 -:040C9E0002C787B34F -:040C9F0097BA4615A5 -:040CA00083F54BDCB1 -:040CA10002F6646390 -:040CA2004163461153 -:040CA300183806D621 -:040CA4004703973E2D -:040CA5005733FDC7FD -:040CA6008B0540D7A3 -:040CA700078AC70DE4 -:040CA80097BA1838A7 -:040CA900FE47A783D8 -:040CAA0000D78023CC -:040CAB0057C4A70380 -:040CAC000007478373 -:040CAD0000239BED98 -:040CAE00D0EF00F78C -:040CAF0067E1F65FA4 -:040CB0007E0783A395 -:040CB1007637B3E1FE -:040CB20006850001B2 -:040CB300820606139C -:040CB400851345C59A -:040CB50020EF6C427E -:040CB600D0EF6D000E -:040CB700A537EFFF6F -:040CB8000513000719 -:040CB900C0EF120571 -:040CBA00B7C9E19F36 -:040CBB008FE347B1CB -:040CBC006509FAF6D6 -:040CBD0071050513A5 -:040CBE00E07FC0EF24 -:040CBF00871367E54B -:040CC00067E18087E1 -:040CC1006D878313A5 -:040CC200B78962E1AB -:040CC30000A3068301 -:040CC40067E5467129 -:040CC50002C68633AA -:040CC6008087871389 -:040CC7008793458149 -:040CC8009732808758 -:040CC9000167470375 -:040CCA00656346799F -:040CCB00070500E633 -:040CCC000FF7759316 -:040CCD0086334671B3 -:040CCE00676102C692 -:040CCF008B2397B22A -:040CD000460500B71E -:040CD10074C70723BA -:040CD200470367610C -:040CD3009FE37F0715 -:040CD400C703D2E69A -:040CD50067E101676B -:040CD6007EE7922300 -:040CD7000683BB05D0 -:040CD800467100A3BE -:040CD900863367E512 -:040CDA00871302C6B4 -:040CDB0045FD8087CC -:040CDC0080878793F3 -:040CDD004703973200 -:040CDE00DF4D01677E -:040CDF00BF4D177D71 -:040CE000448147857F -:040CE1006561C23E49 -:040CE200000177B7DF -:040CE300859346456A -:040CE400051383076A -:040CE50020EF68C5CF -:040CE60046A96AA011 -:040CE70002D486B3FA -:040CE80047924615D4 -:040CE9005363873694 -:040CEA00471500D6D4 -:040CEB00763762E115 -:040CEC00851300016B -:040CED0007256C4229 -:040CEE00840606135F -:040CEF00C63E45C5F3 -:040CF0005E6020EF33 -:040CF100A60366E10F -:040CF200470357C697 -:040CF300671300067D -:040CF4000023004792 -:040CF500D0EF00E656 -:040CF60066E1E03F94 -:040CF700C23647B208 -:040CF80066C162E18E -:040CF90016FDC4160A -:040CFA0000021737A6 -:040CFB000D072703B7 -:040CFC00EF998F7568 -:040CFD0067E1CF11CB -:040CFE007987861359 -:040CFF00478145EDF7 -:040D00000006550391 -:040D010002E50263A2 -:040D02000609078552 -:040D0300FEB79AE3BA -:040D04000513650965 -:040D0500C63A710574 -:040D0600CE7FC0EFED -:040D070087BA47322E -:040D080006936741A6 -:040D0900B7C9FFF770 -:040D0A008763462590 -:040D0B00461100C7C6 -:040D0C004625E09107 -:040D0D0004C7DE63D6 -:040D0E0007854729E5 -:040D0F0002E7E7B35D -:040D100002E4833343 -:040D1100979A6761E5 -:040D120068F701235A -:040D1300F59FE0EF79 -:040D140066B7C91DD8 -:040D150086930001C0 -:040D160047A27E066C -:040D1700000176372A -:040D1800BE460613BA -:040D1900851345C534 -:040D1A0020EF6C4713 -:040D1B00D0EF53C002 -:040D1C00A537D6BF62 -:040D1D0005130007B3 -:040D1E00C0EF12050B -:040D1F004792C85FD0 -:040D200057C7A70307 -:040D210066B7B535C7 -:040D220086930001B3 -:040D2300B7F17D8621 -:040D24009663466923 -:040D2500C49300C7AC -:040D260087BA001474 -:040D27004631B5E5B7 -:040D2800F6C798E38F -:040D290067E1BFE9D6 -:040D2A007E77C78386 -:040D2B00BE0790E38C -:040D2C00BCD587A209 -:040D2D00BCFD47A919 -:040D2E00DBC1011311 -:040D2F00202318283D -:040D30002E23241139 -:040D31002C232281CC -:040D3200D0EF22914B -:040D3300C22AC6FF0B -:040D3400356020EF17 -:040D3500440D479290 -:040D36004611EBC5B2 -:040D37000828182C44 -:040D3800560020EF52 -:040D3900000175B789 -:040D3A008593461146 -:040D3B000828A9C516 -:040D3C00524020EF12 -:040D3D0006400793D2 -:040D3E005783E941AD -:040D3F00059303C154 -:040D4000462103E164 -:040D410001E10513B4 -:040D420000F11E237B -:040D4300534020EF0A -:040D4400046157836C -:040D4500020102A302 -:040D460000F11A237B -:040D47000481578349 -:040D480000F11B2378 -:040D490000EF455220 -:040D4A005783753026 -:040D4B00D42A04A101 -:040D4C0000F11A2375 -:040D4D0004C1578303 -:040D4E0000F11B2372 -:040D4F0000EF45521A -:040D5000578373B0A2 -:040D5100D62A04E1B9 -:040D520000F11A236F -:040D530005015783BC -:040D540000F11B236C -:040D550000EF455214 -:040D5600D82A7230F5 -:040D5700234125030C -:040D580000EFCA2AB4 -:040D590055A27170BE -:040D5A000793DA2AF7 -:040D5B0087131E20BC -:040D5C00E063FE65ED -:040D5D00460514E74C -:040D5E0000EF182862 -:040D5F0057D27230C5 -:040D600006F50B6326 -:040D6100066007938E -:040D620020EFC23E7E -:040D6300479229C0CA -:040D64008963470553 -:040D650047632AE7CF -:040D6600071326F752 -:040D67008863F3505A -:040D680044632AE7CF -:040D6900071324F751 -:040D6A008763F34068 -:040D6B0075B72AE747 -:040D6C00859300016A -:040D6D00C23E9E855F -:040D6E00851367E1A1 -:040D6F0046456C4742 -:040D7000480020EF28 -:040D7100C15FD0EF9F -:040D7200000F4537F2 -:040D7300240505133B -:040D7400B2FFC0EF1B -:040D7500DA63479264 -:040D760058632A078D -:040D770076372A8021 -:040D780065610001B0 -:040D7900B086061327 -:040D7A00051345C553 -:040D7B0020EF68C538 -:040D7C00147D3B8027 -:040D7D006461A2B952 -:040D7E0000017637C3 -:040D7F00AA46061367 -:040D8000051345C54D -:040D810020EF68C433 -:040D820056B23A002B -:040D8300763767E177 -:040D840085130001D2 -:040D850006136C479E -:040D860045C5AB466E -:040D870038A020EF81 -:040D8800BB9FD0EF4E -:040D890054B257C247 -:040D8A00C23E430121 -:040D8B00C422450138 -:040D8C000893646301 -:040D8D009263479294 -:040D8E0047831AA7D6 -:040D8F00468301E1B5 -:040D9000470301C153 -:040D9100CFD501D1E8 -:040D9200000177B72E -:040D93009B47879360 -:040D940006134522DB -:040D9500C03201E186 -:040D960000017637AB -:040D9700AC0606138D -:040D9800051345C535 -:040D990020EF68C51A -:040D9A0067E13400D9 -:040D9B00000175B727 -:040D9C0085934645B0 -:040D9D008513B18584 -:040D9E0020EF6C478F -:040D9F006441254046 -:040DA000B59FD0EF3C -:040DA10014B7147DF2 -:040DA200A783000221 -:040DA30067610D0473 -:040DA4007987568372 -:040DA5008B638FE1EC -:040DA600071306F633 -:040DA70057037987EE -:040DA80000630027BD -:040DA900650914F7CD -:040DAA0071050513B7 -:040DAB00A53FC0EFB1 -:040DAC000793BFD911 -:040DAD00BDC9065066 -:040DAE00406482B368 -:040DAF002000079386 -:040DB0000057F46391 -:040DB1002000029389 -:040DB200041367E1DE -:040DB300971320036F -:040DB40085130102A0 -:040DB50083416747C8 -:040DB6005613468109 -:040DB700182C009460 -:040DB800C616C81A79 -:040DB900054020EFE2 -:040DBA00166387AA8B -:040DBB0043420E059C -:040DBC00182842B2FF -:040DBD0000133613D6 -:040DBE0000EF859627 -:040DBF0083225A3001 -:040DC00077B7BF053D -:040DC1008793000113 -:040DC200B799BEC758 -:040DC3002E6010EF9F -:040DC4005783676189 -:040DC50065096B470A -:040DC600710505139B -:040DC7000027E79387 -:040DC8006AF71A2389 -:040DC9000EF4A02361 -:040DCA009D7FC0EF5A -:040DCB00000175B7F7 -:040DCC0046456561D2 -:040DCD00ACC5859399 -:040DCE0068C50513DC -:040DCF00304020EFA1 -:040DD00067E1440D86 -:040DD100000174B7F2 -:040DD2006C478513D2 -:040DD3008593464579 -:040DD40020EFAD84DB -:040DD500D0EF2EE04D -:040DD6005632A83FAA -:040DD7004581183406 -:040DD800D0EF45050E -:040DD90087AAA05FE6 -:040DDA00E20510E33B -:040DDB0075B7676120 -:040DDC0005130001FA -:040DDD00464568C758 -:040DDE00AE858593C6 -:040DDF002C4020EF95 -:040DE000AD848593C6 -:040DE100464564E13E -:040DE2006C448513C5 -:040DE3002B4020EF92 -:040DE400A49FD0EF09 -:040DE50055B256426B -:040DE6004501183477 -:040DE700939FD0EF17 -:040DE80013E387AAE0 -:040DE90020EFDE0514 -:040DEA006761080035 +:040B0D000234C503E6 +:040B0E00E74FE0EFDE +:040B0F000234C78362 +:040B10000004222398 +:040B110004F400A345 +:040B12000234C58361 +:040B13008663478925 +:040B1400C70302F51C +:040B150047830244CC +:040B160080630424D0 +:040B1700450302E7A9 +:040B1800C191011472 +:040B19004783458940 +:040B1A00468100F41C +:040B1B00D0EF4601D0 +:040B1C00C783B4FFD8 +:040B1D00012302446A +:040B1E00C78304F491 +:040B1F004703034441 +:040B20000463052441 +:040B2100092300F7AD +:040B2200676104F40F +:040B2300468367E1BD +:040B2400676575D7B5 +:040B250075C7C78346 +:040B260088D74583A4 +:040B27009663666506 +:040B2800458300F50C +:040B29008D638B3617 +:040B2A0006A302D547 +:040B2B00470288F7FE +:040B2C008AD609A3B9 +:040B2D00F713431463 +:040B2E00C783001762 +:040B2F009BF9000628 +:040B300080238FD9B6 +:040B3100478200F601 +:040B3200478343941E +:040B33008B8D8B36E5 +:040B340000379713DC +:040B35000006C7836C +:040B36008FD99B9D1B +:040B370000F6802321 +:040B380001C447832A +:040B39004512C3B5E9 +:040B3A00835FE0EF06 +:040B3B000263478981 +:040B3C0047910CF5DC +:040B3D0002F50A6350 +:040B3E00176347856D +:040B3F00478304F5EF +:040B4000C3B900B481 +:040B4100E15FE0EFA1 +:040B42004609A0813F +:040B4300BD1DC432DE +:040B4400BFED460DAE +:040B45000344C6039C +:040B4600C41642850A +:040B4700E20614E3CB +:040B480006A3464179 +:040B4900BD3992C35D +:040B4A0000B4478329 +:040B4B006765C39D7A +:040B4C00890757833B +:040B4D000027E79303 +:040B4E0088F71823E9 +:040B4F000002173752 +:040B50000EF7202359 +:040B5100AEBFF0EF54 +:040B520012C00513B5 +:040B5300BB2FD0EFF5 +:040B54002223B66939 +:040B550010EF000499 +:040B5600478353700E +:040B5700656501C40B +:040B58009713464564 +:040B590067D9002731 +:040B5A0067478793CF +:040B5B00438C97BA76 +:040B5C0087C5051331 +:040B5D005B1020EF1A +:040B5E00000165B776 +:040B5F00464565653D +:040B60007085859384 +:040B610091C5051322 +:040B620059D020EF57 +:040B6300C78367E5F8 +:040B6400EB999CB7B6 +:040B650043984782E8 +:040B660000074783BA +:040B67000027E793E9 +:040B680000F700236F +:040B6900C7AFE0EF43 +:040B6A00B7AFD0EF62 +:040B6B00C802CA02F0 +:040B6C004783BF619B +:040B6D00DBC900B42C +:040B6E00A78FF0EF6E +:040B6F0065DDB77118 +:040B70001151656555 +:040B71000460061303 +:040B720080C5859322 +:040B7300934505138E +:040B740020EFC406A4 +:040B750065DD3E10EC +:040B76000613656598 +:040B7700859340C062 +:040B780005137A8562 +:040B790020EF9EC506 +:040B7A0040A23CD089 +:040B7B00470567E5DE +:040B7C0092E7872352 +:040B7D0001314501FC +:040B7E00675D8082AD +:040B7F0007931111B6 +:040B8000C8269347A9 +:040B8100CA22CC06B2 +:040B82004481C002E8 +:040B83006465C43EA3 +:040B8400000175B740 +:040B850085934645C9 +:040B860005139D8531 +:040B870020EF868451 +:040B880047A25070C0 +:040B8900002497139A +:040B8A00973E6565C8 +:040B8B004645430C8C +:040B8C008A050513BE +:040B8D004F1020EFF6 +:040B8E00BA0FE0EFCB +:040B8F00C2224681B7 +:040B90000002173711 +:040B91000D072583A4 +:040B920017FD67C123 +:040B930000F5F63340 +:040B94002E23646543 +:040B950027039AC4D4 +:040B960005370D070B +:040B970067E500030B +:040B9800FFF7471309 +:040B9900A0238F699D +:040B9A00C63E9CE7D0 +:040B9B004782CA15AE +:040B9C0002F60863F2 +:040B9D0095136765E0 +:040B9E000713001425 +:040B9F00972A97C733 +:040BA0004792EABDD1 +:040BA10000B7102366 +:040BA200000175B722 +:040BA30085934645AB +:040BA40085139E0512 +:040BA50020EF868730 +:040BA600E0EF48F044 +:040BA7004685B3EFDD +:040BA80047B2676584 +:040BA9009B4726033D +:040BAA009C07A303FE +:040BAB00E60587BA1A +:040BAC001263674128 +:040BAD00E0BD02E3C2 +:040BAE00656565DD37 +:040BAF0003600613C6 +:040BB0009A0585938A +:040BB10097C50513CC +:040BB20020EFC01A56 +:040BB30043022E903B +:040BB40044ED46893D +:040BB500270367E5C6 +:040BB600AA239BC40F +:040BB700C6369A673D +:040BB8004709C03AEF +:040BB90002E68F635E +:040BBA0005136509B1 +:040BBB00D0EF710501 +:040BBC0046B2A10F8D +:040BBD005703B7B172 +:040BBE00468900075D +:040BBF00FAE602E36D +:040BC00075B747922C +:040BC10046450001A4 +:040BC2009E858593F4 +:040BC3008687851389 +:040BC400415020EF8D +:040BC500AC4FE0EF62 +:040BC600B759468154 +:040BC700468914F94E +:040BC8000485BF5D84 +:040BC90054E3476941 +:040BCA004452EE970C +:040BCB0044C240E2FE +:040BCC000171453D31 +:040BCD000900106F9C +:040BCE00FBC1011353 +:040BCF000131079356 +:040BD00067E5CE3EC9 +:040BD10094D787939B +:040BD20067E5D03EC5 +:040BD30094E7879389 +:040BD40067E5D23EC1 +:040BD50094F7879377 +:040BD60067E5D43EBD +:040BD7009507879364 +:040BD800D83ED63EEF +:040BD900879367E5B2 +:040BDA00DA3E951753 +:040BDB000F0327B726 +:040BDC00F0178793F4 +:040BDD000793CA3E72 +:040BDE0063653030EB +:040BDF0000F11C23E2 +:040BE0000D23478D0D +:040BE100079300F185 +:040BE200C7838B43F7 +:040BE300DE2201C746 +:040BE400DC26C086C5 +:040BE500000109A35F +:040BE6000313472589 +:040BE70044058B43F3 +:040BE80000E7866339 +:040BE900001784135A +:040BEA000FF474137D +:040BEB00676567E5EE +:040BEC009BC7A603FA +:040BED0097C707138C +:040BEE0045E947810D +:040BEF000007568322 +:040BF00000D61C63AC +:040BF100626347698B +:040BF200675D10F734 +:040BF3000713078A53 +:040BF40097BA8547E0 +:040BF5008782439C14 +:040BF6008C630709FC +:040BF700078550B767 +:040BF8004785BFF17D +:040BF900D70366E5D3 +:040BFA00471389060E +:040BFB009823100724 +:040BFC00676588E6BB +:040BFD009C07270327 +:040BFE0000F7169353 +:040BFF000006D363B6 +:040C0000169387A21E +:040C0100DE6300E7C7 +:040C0200676500061C +:040C0300934746834A +:040C040046014585DB +:040C050000D5E563CE +:040C0600F613068556 +:040C07000A230FF6B7 +:040C0800472992C71F +:040C090086634501B8 +:040C0A00676500E733 +:040C0B0086F702A3C3 +:040C0C0067E545054E +:040C0D008907D70379 +:040C0E00C68366E54E +:040C0F009B4D9CB6A7 +:040C100083410742D3 +:040C110088E79823B5 +:040C120066E5EA8128 +:040C130089E6C68325 +:040C14008F55068A68 +:040C150088E79823B1 +:040C16008907D70370 +:040C1700547240864D +:040C1800000217B708 +:040C19000EE7A0231F +:040C1A00011354E28C +:040C1B00808204418E +:040C1C00BF8D4789B8 +:040C1D00B7BD478D8B +:040C1E00B7AD479196 +:040C1F00B79D4795A1 +:040C2000B78D4799AC +:040C2100BFB9479D73 +:040C2200BFA947A17E +:040C2300BF9947A589 +:040C2400C78366E537 +:040C250067619CB6B1 +:040C260075872603A5 +:040C27000017B79368 +:040C28009CF685A30E +:040C29000006470377 +:040C2A009B6D078A2D +:040C2B0000238FD93A +:040C2C0067E500F682 +:040C2D008B17C70357 +:040C2E008F2367E5C4 +:040C2F00C78384E70C +:040C3000C7919CB616 +:040C310000EF450586 +:040C320047A943701B +:040C3300E0EFBF210E +:040C3400BFE5950F74 +:040C3500A70367E1C9 +:040C36007637764750 +:040C370065650001EE +:040C380000074483EA +:040C390000174783D6 +:040C3A008D0606130A +:040C3B0007A245C502 +:040C3C0044838FC599 +:040C3D000513002774 +:040C3E0004C28685E1 +:040C3F0044838FC596 +:040C400004E2003793 +:040C4100D6938CDDDD +:040C4200F79300B470 +:040C4300F393001611 +:040C4400C43E7FF437 +:040C45004683C61EFE +:040C4600428300479E +:040C470002A20057AE +:040C480000D2E6B33D +:040C4900006742837B +:040C4A0000774703E5 +:040C4B00E2B302C24C +:040C4C00068300D249 +:040C4D00477100A348 +:040C4E0086B302B2B5 +:040C4F00676502E6ED +:040C50009EC7071321 +:040C510000C2D29378 +:040C52009736C216F9 +:040C5300C68366E509 +:040C540020EF8B16EC +:040C550067E51390AC +:040C56008B47831332 +:040C570000B347039C +:040C580043B2C721BB +:040C5900071347A294 +:040C5A0086930690E7 +:040C5B0096B3001339 +:040C5C0096BE00F64A +:040C5D000144979324 +:040C5E00C463429297 +:040C5F000713000770 +:040C600007B70700CB +:040C61008CFD000303 +:040C620002A0079352 +:040C63000793E0997A +:040C640076370200DD +:040C650065650001C0 +:040C66000613C0169B +:040C670045C58DC62C +:040C68008A050513E1 +:040C69000E7020EFFA +:040C6A00A70367E194 +:040C6B0047837587BF +:040C6C00E793000703 +:040C6D000023004719 +:040C6E00E0EF00F7BC +:040C6F00B73181EF29 +:040C700057836765DA +:040C7100C793890795 +:040C7200182301073B +:040C7300BDF588F74C +:040C7400C70367E566 +:040C75004605934756 +:040C760065634681EB +:040C7700070500E687 +:040C78000FF7769369 +:040C790092D78A2361 +:040C7A0067E5B5CDA8 +:040C7B009347871301 +:040C7C000017470313 +:040C7D00879346050E +:040C7E0046819347D1 +:040C7F0000E66563C3 +:040C8000769307055B +:040C810080A30FF746 +:040C8200B5C100D721 +:040C83000793676507 +:040C8400C783934748 +:040C850007130067EA +:040C8600C781934748 +:040C8700F79317FDCB +:040C880003230FF73C +:040C8900B55500F766 +:040C8A000713676580 +:040C8B0047839347C1 +:040C8C0046B90067FE +:040C8D0000F6F36317 +:040C8E00078547B9D6 +:040C8F0075B7B7DDA1 +:040C90006565000195 +:040C910085934645BC +:040C920005138EC5F3 +:040C930020EF868543 +:040C940062E50D7098 +:040C9500000175B72E +:040C96008A02851336 +:040C970085934645B6 +:040C980020EF8FC5F5 +:040C990064E10C30D6 +:040C9A007584A68334 +:040C9B000006C78305 +:040C9C000047E79393 +:040C9D0000F68023BA +:040C9E00F61FD0EF7E +:040C9F0067E5676539 +:040CA000071362E5EF +:040CA10083139EC754 +:040CA20017B78B47AE +:040CA300A6030002A2 +:040CA40067E50D07EC +:040CA50097C78793D3 +:040CA600824106423F +:040CA70045ED468150 +:040CA8000007D50369 +:040CA90000C5066319 +:040CAA00078906852B +:040CAB00FEB69AE314 +:040CAC0000A3078317 +:040CAD0087B3467152 +:040CAE00461502C71E +:040CAF004BDC97BAC9 +:040CB000646383F501 +:040CB100461102F6F0 +:040CB20006D64163BE +:040CB300973E183818 +:040CB400FDC747032E +:040CB50040D757339A +:040CB600C70D8B05D6 +:040CB7001838078A58 +:040CB800A78397BABD +:040CB9008023FE474F +:040CBA00A70300D7B5 +:040CBB004783758472 +:040CBC009BED0007A5 +:040CBD0000F7002319 +:040CBE00F27FD0EF02 +:040CBF0085A367E5BD +:040CC000B3E19C07F9 +:040CC1000001763781 +:040CC200061306858A +:040CC30045C590860D +:040CC4008A02851308 +:040CC500776020EF45 +:040CC600EC1FD0EF60 +:040CC7000007A53746 +:040CC80012050513F9 +:040CC900DDBFC0EFDC +:040CCA0047B1B7C9AE +:040CCB00FAF68FE3C3 +:040CCC00051365099E +:040CCD00C0EF7105FE +:040CCE0067E5DC9F5B +:040CCF009EC7871322 +:040CD000831367E53E +:040CD10062E58B4706 +:040CD2000683B78955 +:040CD300467100A3C3 +:040CD400863367E517 +:040CD500871302C6B9 +:040CD60045819EC7EF +:040CD7009EC787939A +:040CD8004703973205 +:040CD90046790167F0 +:040CDA0000E6656368 +:040CDB007593070501 +:040CDC0046710FF757 +:040CDD0002C6863392 +:040CDE0097B26765FD +:040CDF0000B78B23AC +:040CE000072346059B +:040CE100676592C7EA +:040CE2009D474703E0 +:040CE300D2E69FE3D3 +:040CE4000167C703DA +:040CE500942367E508 +:040CE600BB059CE7C7 +:040CE70000A30683DD +:040CE80067E5467105 +:040CE90002C6863386 +:040CEA009EC7871307 +:040CEB00879345FDA9 +:040CEC0097329EC7D6 +:040CED000167470351 +:040CEE00177DDF4D42 +:040CEF004785BF4D29 +:040CF000C23E44813B +:040CF10077B7656507 +:040CF2004645000172 +:040CF30091878593CD +:040CF40086850513D9 +:040CF500750020EF77 +:040CF60086B346A9D2 +:040CF700461502D4C8 +:040CF8008736479262 +:040CF90000D653636B +:040CFA0062E5471553 +:040CFB000001763747 +:040CFC008A028513D0 +:040CFD0006130725AE +:040CFE0045C59286D0 +:040CFF0020EFC63EDE +:040D000066E168C080 +:040D01007586A6034A +:040D0200000647039D +:040D0300004767132B +:040D040000E60023E2 +:040D0500DC5FD0EFF0 +:040D060047B266E1A9 +:040D070062E5C236A9 +:040D0800C41666C1E6 +:040D0900173716FD85 +:040D0A0027030002B9 +:040D0B008F750D07CC +:040D0C00CF11EF997B +:040D0D00861367E5FD +:040D0E0045ED97C751 +:040D0F0055034781C0 +:040D10000263000674 +:040D1100078502E56B +:040D12009AE3060951 +:040D13006509FEB7B9 +:040D1400710505134D +:040D1500C0EFC63A2B +:040D16004732CA9FF7 +:040D1700674187BAEF +:040D1800FFF7069348 +:040D19004625B7C9EB +:040D1A0000C7876324 +:040D1B00E09146110C +:040D1C00DE63462527 +:040D1D00472904C797 +:040D1E00E7B30785AB +:040D1F00833302E731 +:040D2000676502E41D +:040D21000F23979A6B +:040D2200E0EF84F783 +:040D2300C91DF41FD3 +:040D2400000176B79D +:040D25008C8686939F +:040D2600763747A233 +:040D270006130001AE +:040D280045C5CCC62B +:040D29008A0785139D +:040D2A005E2020EF38 +:040D2B00D2DFD0EF54 +:040D2C000007A537E0 +:040D2D001205051393 +:040D2E00C47FC0EFCF +:040D2F00A70347923D +:040D3000B5357587D9 +:040D3100000176B790 +:040D32008C06869312 +:040D33004669B7F165 +:040D340000C79663FB +:040D35000014C4934F +:040D3600B5E587BADE +:040D370098E34631C6 +:040D3800BFE9F6C752 +:040D3900C78367E520 +:040D3A0090E39CB7EF +:040D3B0087A2BE07C6 +:040D3C0047A9BCD532 +:040D3D000113BCFDE5 +:040D3E001828DBC1D5 +:040D3F002411202338 +:040D400022812E23BB +:040D410022912C23AC +:040D4200C31FD0EF0C +:040D430020EFC22AB1 +:040D440047923FC0D3 +:040D4500EBC5440DA9 +:040D4600182C46110E +:040D470020EF082869 +:040D480075B76060BB +:040D4900461100014E +:040D4A00B845859390 +:040D4B0020EF082865 +:040D4C0007935CA00D +:040D4D00E941064032 +:040D4E0003C1578303 +:040D4F0003E1059324 +:040D50000513462120 +:040D51001E2301E17B +:040D520020EF00F19D +:040D530057835DA0C5 +:040D540002A3046191 +:040D55001A2302015A +:040D5600578300F1CE +:040D57001B230481D5 +:040D5800455200F10F +:040D59007AF000EF3D +:040D5A0004A1578316 +:040D5B001A23D42A59 +:040D5C00578300F1C8 +:040D5D001B2304C18F +:040D5E00455200F109 +:040D5F00797000EFB8 +:040D600004E15783D0 +:040D61001A23D62A51 +:040D6200578300F1C2 +:040D63001B23050148 +:040D6400455200F103 +:040D650077F000EF34 +:040D66002503D82A5F +:040D6700CA2A234130 +:040D6800773000EFF1 +:040D6900DA2A55A28B +:040D6A001E200793AD +:040D6B00FE65871387 +:040D6C0014E7E06345 +:040D6D0018284605F7 +:040D6E0077F000EF2B +:040D6F000B6357D2E9 +:040D7000079306F5EA +:040D7100C23E066018 +:040D7200342020EF1A +:040D73004705479257 +:040D74002AE789637E +:040D750026F74763B3 +:040D7600F35007131C +:040D77002AE788637C +:040D780024F74463B5 +:040D7900F340071329 +:040D7A002AE787637A +:040D7B00000175B747 +:040D7C00AD058593A9 +:040D7D0067E5C23E26 +:040D7E008A07851348 +:040D7F0020EF4645D6 +:040D8000D0EF5260FE +:040D81004537BD7FB6 +:040D82000513000F46 +:040D8300C0EF240594 +:040D84004792AF1FC4 +:040D85002A07DA63FC +:040D86002A80586304 +:040D870000017637BA +:040D88000613656584 +:040D890045C5BF0697 +:040D8A008685051342 +:040D8B0045E020EF30 +:040D8C00A2B9147D77 +:040D8D0076376465EC +:040D8E000613000147 +:040D8F0045C5B8C6D8 +:040D9000868405133D +:040D9100446020EFAB +:040D920067E556B209 +:040D930000017637AE +:040D94008A07851332 +:040D9500B9C60613C2 +:040D960020EF45C540 +:040D9700D0EF430056 +:040D980057C2B7BFC8 +:040D9900430154B20C +:040D9A004501C23E0F +:040D9B006463C422A7 +:040D9C0047920893DF +:040D9D001AA792639C +:040D9E0001E14783A5 +:040D9F0001C14683C5 +:040DA00001D1470333 +:040DA10077B7CFD57C +:040DA2008793000132 +:040DA3004522A9C775 +:040DA40001E1061350 +:040DA5007637C032AB +:040DA600061300012F +:040DA70045C5BA86FE +:040DA8008685051324 +:040DA9003E6020EF99 +:040DAA0075B767E5CD +:040DAB0046450001B8 +:040DAC00C005859366 +:040DAD008A07851319 +:040DAE002FA020EF63 +:040DAF00D0EF6441DC +:040DB000147DB1BF3E +:040DB100000214B771 +:040DB2000D04A78302 +:040DB3005683676597 +:040DB4008FE197C76D +:040DB50006F68B6350 +:040DB60097C70713C1 +:040DB70000275703B7 +:040DB80014F70063C9 +:040DB90005136509B0 +:040DBA00C0EF710510 +:040DBB00BFD9A15F9C +:040DBC000650079343 +:040DBD0082B3BDC977 +:040DBE0007934064F3 +:040DBF00F4632000B9 +:040DC0000293005743 +:040DC10067E52000C2 +:040DC20020030413F3 +:040DC300010297137F +:040DC4008507851307 +:040DC500468183419F +:040DC600009456132C +:040DC700C81A182C02 +:040DC80020EFC6163C +:040DC90087AA0FA046 +:040DCA000E05166399 +:040DCB0042B24342AB +:040DCC00361318289A +:040DCD0085960013F4 +:040DCE005FF000EFE3 +:040DCF00BF058322B7 +:040DD000000177B7F0 +:040DD100CDC7879370 +:040DD20010EFB799CE +:040DD30067653420FC +:040DD40089075783B1 +:040DD5000513650994 +:040DD600E793710529 +:040DD70018230027B6 +:040DD800A02388F7D5 +:040DD900C0EF0EF465 +:040DDA0075B7999FB1 +:040DDB006565000149 +:040DDC008593464570 +:040DDD000513BB45FA +:040DDE0020EF8685F7 +:040DDF00440D3AA0E5 +:040DE00074B767E598 +:040DE1008513000175 +:040DE20046458A07F1 +:040DE300BC04859334 +:040DE400394020EF83 +:040DE500A45FD0EF48 +:040DE6001834563235 +:040DE70045054581F8 +:040DE8009C7FD0EF2D +:040DE90010E387AAE2 +:040DEA006765E20552 :040DEB00000175B7D7 -:040DEC008593464560 -:040DED000513B2C573 -:040DEE0020EF68C7C3 -:040DEF0075B7114083 -:040DF0004645000173 -:040DF100AF858593B2 -:040DF2006C448513B5 -:040DF300274020EF86 -:040DF400A09FD0EFFD -:040DF500440DA00108 -:040DF600440DBB45A8 -:040DF70006700793E8 -:040DF800440DB3658E -:040DF90006800793D6 -:040DFA000713B345E3 -:040DFB008A63F360B4 -:040DFC00071306E7EC -:040DFD009CE3F37010 -:040DFE0075B7DAE704 -:040DFF0085930001D7 -:040E0000BB55A485B5 -:040E0100066007136D -:040E020006E782631A -:040E030000F74F6342 -:040E0400064007138A -:040E050006E7816318 -:040E06000650071378 -:040E0700D8E799E3AC -:040E0800000175B7B9 -:040E0900A005859328 -:040E0A000713B3799E -:040E0B008963067081 -:040E0C00071304E7DD -:040E0D009CE30680DC -:040E0E0075B7D6E7F7 -:040E0F0085930001C6 -:040E1000BB95A345A6 -:040E1100000175B7B0 -:040E12009F05859320 -:040E130075B7B3AD4F -:040E140085930001C1 -:040E1500B385A68576 -:040E1600000175B7AB -:040E1700A785859393 -:040E180075B7BB9956 -:040E190085930001BC -:040E1A00B3B1A58546 -:040E1B00000175B7A6 -:040E1C00A105859314 -:040E1D0075B7B38969 -:040E1E0085930001B7 -:040E1F00BB25A8C582 -:040E2000000175B7A1 -:040E2100A20585930E -:040E22002083B33D39 -:040E2300240324017F -:040E2400248323C13F -:040E2500557D238153 -:040E2600244101134F -:040E270067E180827D -:040E28007E67C70317 -:040E290067E146F146 -:040E2A007EE78823B4 -:040E2B0002D70733B0 -:040E2C00879367E55C -:040E2D0097BA808769 -:040E2E0000E7D68380 -:040E2F0017236761BD -:040E30004B987ED786 -:040E31008B7D66E16E -:040E32007EE69A239B -:040E33000147C6832A -:040E34001B236761B4 -:040E3500C6837ED71B -:040E360067610127C8 -:040E37007ED711232E -:040E380066E1479890 -:040E39009623835524 -:040E3A00C6837EE607 -:040E3B006761015793 -:040E3C007ED7142326 -:040E3D000137C68330 -:040E3E0019236761AC -:040E3F00D6837ED701 -:040E4000676100C71F -:040E41007ED7152320 -:040E42000167C7037A -:040E4300922367E1AE -:040E440080827EE743 -:040E4500C58367E119 -:040E460067E17F07DA -:040E47007EE7D683E9 -:040E4800D28367E109 -:040E490067E17F4797 -:040E4A007F67D78364 -:040E4B00CA26111191 -:040E4C0067E1C03E5C -:040E4D007E27C783B2 -:040E4E006765CC22E6 -:040E4F0067E1C23E57 -:040E50007EC7D783FF -:040E5100808707137C -:040E520067E1C43E52 -:040E53007E87D7833C -:040E540067E1C63E4E -:040E55007F27C783A9 -:040E560067E1C83E4A -:040E57007EA7D4831B -:040E5800D30367E178 -:040E590067E17E4788 -:040E5A006D87879386 -:040E5B0000B7C60313 -:040E5C008603C24106 -:040E5D001D6300A76A -:040E5E0047F106B69C -:040E5F0002F607B3DD -:040E6000D38397BAE7 -:040E6100916300E7B2 -:040E6200A38306D38D -:040E6300F41301077C -:040E6400F3930FF203 -:040E6500996301F399 -:040E6600C3830483BB -:040E670044020147F9 -:040E68000483946308 -:040E69000127C38317 -:040E6A009F6344122C -:040E6B00A3830283D8 -:040E6C004422008795 -:040E6D000153D393C7 -:040E6E000283986300 -:040E6F000157C383E1 -:040E70009363443212 -:040E7100C3830283B2 -:040E720044420137BE -:040E730000839E63F7 -:040E740000C7D78359 -:040E750000979A63E5 -:040E7600063347F107 -:040E7700963A02F6AF -:040E78000166478345 -:040E79000067866325 -:040E7A00460567E1E1 -:040E7B0074C787238E -:040E7C0087B347F100 -:040E7D00F29302F5F5 -:040E7E0097BA01F22C -:040E7F000107D6038E -:040E800000D79723DD -:040E810062B39A01BD -:040E8200982300565B -:040E830046020057CC -:040E840000C78A23F6 -:040E85008923461265 -:040E8600462200C739 -:040E8700005612936C -:040E880000A7D603E6 -:040E890066338A7DC5 -:040E8A009523005656 -:040E8B00463200C724 -:040E8C0000C78AA36E -:040E8D009623464220 -:040E8E008B2300971B -:040E8F0089A30067CC -:040E9000666100C7D0 -:040E91007E46061380 -:040E9200166367E19B -:040E9300069302C5FB -:040E9400033346508E -:040E9500069302D3EB -:040E96007637064065 -:040E9700061300013D -:040E980046B357C640 -:040E9900446202D3DA -:040E9A0045C544D234 -:040E9B006C47851308 -:040E9C00106F017161 -:040E9D0066617350C7 -:040E9E007EE60613D3 -:040E9F0000C5076320 -:040EA000061366616E -:040EA10017637F460E -:040EA200467102C5CE -:040EA30002C585B34C -:040EA4004462461549 -:040EA500851344D29B -:040EA600972E6C47D0 -:040EA70045C54B18DA -:040EA80007338B7D04 -:040EA900763702C7CF -:040EAA00061300012A -:040EAB000171584633 -:040EAC006F70106FE4 -:040EAD000001763793 -:040EAE000005568362 -:040EAF0056C606130A -:040EB0000693B75D91 -:040EB10006B327104D -:040EB200079302D5CB -:040EB3007637064048 -:040EB4006561000173 -:040EB500BE860613DC -:040EB600051345C516 -:040EB700C6B36C450D -:040EB800106F02F6BF -:040EB900F06F6C501A -:040EBA0086AAFDDF28 -:040EBB000001763785 -:040EBC000613656153 -:040EBD0045C556864B -:040EBE006C45051367 -:040EBF006AB0106F96 -:040EC000763786AA51 -:040EC1006561000166 -:040EC200B946061314 -:040EC300051345C509 -:040EC400106F6C45FA -:040EC50046B7695073 -:040EC6008693000F00 -:040EC70005332406C5 -:040EC800678902D55F -:040EC900964787932E -:040ECA003E8007134C -:040ECB00006336B7D3 -:040ECC00EA06869319 -:040ECD000001763773 -:040ECE00B8860613C9 -:040ECF0057B345C50B -:040ED000F7B302F57D -:040ED100472902E7C4 -:040ED20002D556B33C -:040ED300051365613D -:040ED400D7336C455F -:040ED500106F02E7B1 -:040ED600069365100A -:040ED70006B34670A8 -:040ED800079302D5A5 -:040ED9007637064022 -:040EDA00656100014D -:040EDB00560606139E +:040DEC0086870513DE +:040DED00859346455F +:040DEE0020EFBD0530 +:040DEF00859336A012 +:040DF00064E5BC04F6 +:040DF10085134645DB +:040DF20020EF8A0460 +:040DF300D0EF35A068 +:040DF4005642A0BF04 +:040DF500183455B2A7 +:040DF600D0EF4501F4 +:040DF70087AA8FBF79 +:040DF800DE0513E31E +:040DF900126020EF75 +:040DFA0075B76765FD +:040DFB004645000168 +:040DFC00C1458593D5 +:040DFD0086870513CD +:040DFE001BA020EF27 +:040DFF00000175B7C3 +:040E0000859346454B +:040E01008513BE0592 +:040E020020EF8A044F +:040E0300D0EF31A05B +:040E0400A0019CBFEE +:040E0500BB45440D98 +:040E06000793440DFD +:040E0700B365067059 +:040E08000793440DFB +:040E0900B345068067 +:040E0A00F360071377 +:040E0B0006E78A6309 +:040E0C00F370071365 +:040E0D00DAE79CE3A1 +:040E0E00000175B7B3 +:040E0F00B30585930F +:040E10000713BB55B4 +:040E11008263066092 +:040E12004F6306E73D +:040E1300071300F7CA +:040E140081630640B0 +:040E1500071306E7D2 +:040E160099E3065006 +:040E170075B7D8E7EC +:040E180085930001BD +:040E1900B379AE8576 +:040E1A000670071344 +:040E1B0004E78963FC +:040E1C000680071332 +:040E1D00D6E79CE395 +:040E1E00000175B7A3 +:040E1F00B1C5859341 +:040E200075B7BB9552 +:040E210085930001B4 +:040E2200B3ADAD853A +:040E2300000175B79E +:040E2400B5058593F8 +:040E250075B7B38565 +:040E260085930001AF +:040E2700BB99B605B8 +:040E2800000175B799 +:040E2900B4058593F4 +:040E2A0075B7B3B134 +:040E2B0085930001AA +:040E2C00B389AF8552 +:040E2D00000175B794 +:040E2E00B7458593AC +:040E2F0075B7BB25B3 +:040E300085930001A5 +:040E3100B33DB08598 +:040E320024012083F4 +:040E330023C12403B0 +:040E3400238124836F +:040E35000113557DD3 +:040E36008082244151 +:040E3700C70367E5A1 +:040E380046F19CA73C +:040E39008A2367E5BC +:040E3A0007339CE7F7 +:040E3B0067E502D78E +:040E3C009EC7879333 +:040E3D00D68397BA07 +:040E3E00676500E7FD +:040E3F009CD7192300 +:040E400066E54B9880 +:040E41009C238B7DE6 +:040E4200C6839CE6E1 +:040E43006765014797 +:040E44009CD71D23F7 +:040E45000127C68338 +:040E460013236765A6 +:040E470047989CD755 +:040E4800835566E583 +:040E49009CE6982368 +:040E4A000157C68303 +:040E4B00162367659E +:040E4C00C6839CD7E6 +:040E4D00676501379D +:040E4E009CD71B23EF +:040E4F0000C7D6837F +:040E50001723676598 +:040E5100C7039CD760 +:040E520067E50167E8 +:040E53009CE7942361 +:040E540067E580824C +:040E55009D47C5836D +:040E5600D68367E5F3 +:040E570067E59D2787 +:040E58009D87D2831D +:040E5900D78367E5EF +:040E5A0011119DA72E +:040E5B00C03ECA26A5 +:040E5C00C78367E5FC +:040E5D00CC229C67A0 +:040E5E00C23E6765C4 +:040E5F00D78367E5E9 +:040E600007139D07D0 +:040E6100C43E9EC726 +:040E6200D78367E5E6 +:040E6300C63E9CC724 +:040E6400C78367E5F4 +:040E6500C83E9D677F +:040E6600D48367E5E5 +:040E670067E59CE7B8 +:040E68009C87D3038D +:040E6900879367E51F +:040E6A00C6038B47E9 +:040E6B00C24100B7C9 +:040E6C0000A7860352 +:040E6D0006B61D6345 +:040E6E0007B347F18E +:040E6F0097BA02F636 +:040E700000E7D38341 +:040E710006D39163B0 +:040E72000107A3834E +:040E73000FF2F41373 +:040E740001F3F39300 +:040E750004839963F6 +:040E76000147C383EA +:040E7700946344023A +:040E7800C3830483A9 +:040E790044120127F7 +:040E7A0002839F63ED +:040E7B000087A383C6 +:040E7C00D3934422A6 +:040E7D009863015322 +:040E7E00C3830283A5 +:040E7F0044320157A1 +:040E800002839363F3 +:040E81000137C383EF +:040E82009E634442E5 +:040E8300D78300838E +:040E84009A6300C7A6 +:040E850047F100979A +:040E860002F6063337 +:040E87004783963ACD +:040E88008663016616 +:040E890067E50067B2 +:040E8A00872346056F +:040E8B0047F192C7D2 +:040E8C0002F587B331 +:040E8D0001F2F293E9 +:040E8E00D60397BA36 +:040E8F00972301079D +:040E90009A0100D7EC +:040E9100005662B3F2 +:040E9200005798234A +:040E93008A23460266 +:040E9400461200C73B +:040E950000C78923E6 +:040E9600129346224B +:040E9700D603005628 +:040E98008A7D00A7A8 +:040E99000056663366 +:040E9A0000C79523D5 +:040E9B008AA34632AE +:040E9C00464200C703 +:040E9D000097962301 +:040E9E0000678B233B +:040E9F0000C789A35C +:040EA000061366656A +:040EA10067E59C86DF +:040EA20002C516630C +:040EA300465006931C +:040EA40002D303333F +:040EA500064006936A +:040EA600000176379A +:040EA7006F060613B9 +:040EA80002D346B378 +:040EA90044D2446289 +:040EAA00851345C5A2 +:040EAB0001718A0740 +:040EAC007DB0106F96 +:040EAD00061366655D +:040EAE0007639D2613 +:040EAF00666500C5AF +:040EB0009D86061302 +:040EB10002C51763FC +:040EB20085B346714D +:040EB300461502C519 +:040EB40044D244627E +:040EB5008A07851310 +:040EB6004B18972E10 +:040EB7008B7D45C525 +:040EB80002C7073333 +:040EB9000001763787 +:040EBA006F86061326 +:040EBB00106F017142 +:040EBC00763779D03C +:040EBD005683000157 +:040EBE000613000512 +:040EBF00B75D6E06A7 +:040EC000271006935E +:040EC10002D506B39D +:040EC200064007934C +:040EC300000176377D +:040EC4000613656547 +:040EC50045C5CD86CC +:040EC6008A05051381 +:040EC70002F6C6B3B6 +:040EC80076B0106F81 +:040EC900FDDFF06FEA +:040ECA00468505054F +:040ECB0000A696B334 +:040ECC000001763774 +:040ECD00061365653E +:040ECE0045C5C686CA +:040ECF008A05051378 +:040ED00074B0106F7B +:040ED10096B3468509 +:040ED200763700A6C9 +:040ED3006565000150 +:040ED400C7460613F4 +:040ED500051345C5F7 +:040ED600106F8A050A +:040ED70006937310FB +:040ED80006E2F80531 +:040ED9000001763767 +:040EDA0086E16565E3 +:040EDB00CD06061327 :040EDC00051345C5F0 -:040EDD00C6B36C45E7 -:040EDE00106F02F699 -:040EDF00069362D044 -:040EE00005052710CD -:040EE10002D50533FE -:040EE200064006932D -:040EE300000176375D -:040EE400BE860613AD -:040EE50046B345C506 -:040EE600656102D56B -:040EE7006C4505133E -:040EE8006070106FB7 -:040EE900763786AA28 -:040EEA00656100013D -:040EEB00BC06061328 -:040EEC00051345C5E0 -:040EED00106F6C45D1 -:040EEE0016935F10E8 -:040EEF0086E1018512 -:040EF0000001763750 -:040EF10016D1656150 -:040EF200B806061325 -:040EF300051345C5D9 -:040EF400106F6C45CA -:040EF50045F15D5016 -:040EF60002B5053309 -:040EF700859365E595 -:040EF8004645808566 -:040EF900656195AAF0 -:040EFA006C4505132B -:040EFB006550106FBF -:040EFC000025179323 -:040EFD000513655D17 -:040EFE00953EBB451D -:040EFF006561410CDC -:040F0000051346454A -:040F0100106F6C45BC -:040F020047A963B0E8 -:040F030000F50F6383 -:040F0400002517931A -:040F0500051365610A -:040F0600953EBDC592 -:040F07006561410CD3 -:040F08000513464542 -:040F0900106F6C45B4 -:040F0A0075B761B0A6 -:040F0B0085930001C9 -:040F0C00B7F5BA0576 -:040F0D0045851141C4 -:040F0E00C02AC60629 -:040F0F006761298D60 -:040F10007F8747830D -:040F110007134682FA -:040F1200E7897F8765 -:040F1300000177372B -:040F1400BD8707137B -:040F1500763740B239 -:040F16006561000110 -:040F1700BE060613F9 -:040F1800051345C5B3 -:040F190001416C45E1 -:040F1A0053F0106F11 -:040F1B00A70367E1E0 -:040F1C0067E17D8785 -:040F1D0079878793B6 -:040F1E00464546AD51 -:040F1F000167D5830E -:040F200006E59263ED -:040F21000FF6F6933E -:040F22000463E1196A -:040F230011312A0757 -:040F240064E1C4269A -:040F25006514C2830A -:040F26006461C6221A -:040F270044040793E4 -:040F2800003295936B -:040F2900C80697AEB1 -:040F2A000007A30316 -:040F2B000047C78331 -:040F2C008513465192 -:040F2D008733FF56B1 -:040F2E00260302C7CD -:040F2F0075130043F3 -:040F300043950FF5E1 -:040F3100651484932C -:040F3200440404135C -:040F33004703963AA0 -:040F3400E863004628 -:040F350063DD02A3D3 -:040F36008393050A92 -:040F3700951EB4034C -:040F380085024108E5 -:040F39000789068599 -:040F3A00F8C69AE378 -:040F3B00BF694681C3 -:040F3C004783E3996B -:040F3D0017FD000399 -:040F3E000FF7F7931F -:040F3F00822395A2D2 -:040F4000470100F570 -:040F4100A8914681AC -:040F4200000347035E -:040F430095A20785E7 -:040F440002E7E7B326 -:040F45008763B7ED1A -:040F4600879300028B -:040F47008023FFF212 -:040F4800B7C500F435 -:040F490083A367E136 -:040F4A0067E17E07D6 -:040F4B0057C7A703DA -:040F4C0000074783D0 -:040F4D0000239BEDF5 -:040F4E00443200F732 -:040F4F0044A240C2B6 -:040F5000D06F01510C -:040F5100478DCDCF2C -:040F520004F70E632F -:040F53001AE34791C5 -:040F5400461CFAF746 -:040F5500872A9782CE -:040F5600C783468582 -:040F570065610004CC -:040F5800078E464575 -:040F59004783943EF8 -:040F5A00400400440B -:040F5B008433445146 -:040F5C0040DC0287EC -:040F5D0068C505134B -:040F5E00C036C23A9D -:040F5F00438C97A286 -:040F60004C1010EF32 -:040F6100459140DC9A -:040F6200C60397A289 -:040F6300E3630047FD -:040F640065DD14C56E -:040F65008593060A60 -:040F6600962EB58589 -:040F6700468242106C -:040F680086024712A4 -:040F6900C3914A1CCA -:040F6A00C783978220 -:040F6B0043510004EA -:040F6C000017859352 -:040F6D000733078EB1 -:040F6E00431400F434 -:040F6F0000474703ED -:040F7000073342D031 -:040F710096930267EA -:040F7200053300350E -:040F7300230300D480 -:040F740097320005AB -:040F750004634718B2 -:040F7600022300E36F -:040F770097A2000538 -:040F78000047C783E4 -:040F790096A24751A4 -:040F7A0002E787B350 -:040F7B0000B480231B -:040F7C00479C97B245 -:040F7D00B731C29C2A -:040F7E00E4E34791D0 -:040F7F0067DDF0E753 -:040F8000B6C78793D6 -:040F8100973E070A86 -:040F82008782431C03 -:040F8300433D460C98 -:040F840000C645035B -:040F850000D6470348 -:040F86000005C78318 -:040F870000E6460337 -:040F880000669D63FF -:040F890000F7786392 -:040F8A00F61317FD46 -:040F8B0080230FF7B9 -:040F8C00BDC100C51E -:040F8D00863AFD6D36 -:040F8E00F863BFDD68 -:040F8F00078500C70B -:040F90000FF7F7134D -:040F910000E58023D4 -:040F9200FD6DBD6DC7 -:040F9300BFDD873205 -:040F94005583460833 -:040F9500570300C638 -:040F9600463D00E6EE -:040F97000005578377 -:040F980000C69E638E -:040F990000F5F76305 -:040F9A00971317FD95 -:040F9B008341010786 -:040F9C00C191A01946 -:040F9D001023872E68 -:040F9E00B56100E554 -:040F9F0000E7F46310 -:040FA000B7E5078525 -:040FA1004701F9ED1E -:040FA2004650B7FD01 -:040FA300421845BDEE -:040FA4000007478378 -:040FA50000B6996396 -:040FA60017FDC781EB -:040FA7000FF7F793B6 -:040FA80000F700232B -:040FA9004683BDB905 -:040FAA00F5630046A5 -:040FAB00078500D7DF -:040FAC000FF7F693B2 -:040FAD0000D7002346 -:040FAE004798B5A902 -:040FAF0065614B9C91 -:040FB00000074703EC -:040FB1000513464599 -:040FB200070A6C4579 -:040FB300438C97BA1A -:040FB400371010EFF3 -:040FB50040C24432C0 -:040FB600015144A2FF -:040FB700AFCFD06F79 -:040FB8004B9C47986F -:040FB90000074503E5 -:040FBA00B7ED978276 -:040FBB0047884B9880 -:040FBC00B7CD970214 -:040FBD00822367E143 -:040FBE00BFE96C0714 -:040FBF00C305C6950B -:040FC000000166B70F -:040FC1007E0686938F -:040FC200000176377D -:040FC300061365614B -:040FC40045C5BE461B -:040FC5006C4505135F -:040FC60028F010EF10 -:040FC70066B7BF65E5 -:040FC800869300010B -:040FC900B7CD7D869D -:040FCA00D7E947DC40 -:040FCB00479C439864 -:040FCC008082BF550B -:040FCD00EA6347BDCF -:040FCE0057B716A754 -:040FCF000113445274 -:040FD0008793EF41D3 -:040FD100C03E355792 -:040FD200004157B7CC -:040FD30044178793A5 -:040FD4003793C23E4F -:040FD500052300F5FB -:040FD600679500F12A -:040FD70050078793A5 -:040FD800108122233F -:040FD90010112423AC -:040FDA0001050413F6 -:040FDB00109120232E -:040FDC00142347395A -:040FDD00042200F1F9 -:040FDE000AA77E637D -:040FDF00079365E12E -:040FE000462904A0FA -:040FE1006B85859304 -:040FE20000D1051322 -:040FE30000F105A371 -:040FE40000010623DF -:040FE50013B010EF46 -:040FE600C78367E175 -:040FE70065E16897C1 -:040FE8000360061389 -:040FE90000F10C23E4 -:040FEA00C78367E171 -:040FEB00859368176B -:040FEC0005137985EB -:040FED000CA302113E -:040FEE0067E100F1C6 -:040FEF006D67C783E0 -:040FF00000F10BA35E -:040FF100C78367E16A -:040FF2000D236C2738 -:040FF30067E100F1C1 -:040FF4007507C78333 -:040FF50000F10DA357 -:040FF600C78367E165 -:040FF7000E236B67F3 -:040FF80067E100F1BC -:040FF90057B7C7839C -:040FFA0000F10EA351 -:040FFB00C78367E160 -:040FFC000F236887D0 -:040FFD0067E100F1B7 -:040FFE005807C78346 -:040FFF0000F10FA34B -:04100000C78367E15A -:041001000023581759 -:0410020010EF02F1F8 -:0410030086220C50E5 -:0410040005700593DB -:04100500D0EF850A99 -:041006003533826F8D -:04100700053300A00D -:04100800208340A061 -:04100900240310812B -:04100A0024831041EA -:04100B0001131001BC -:04100C00808210C10D -:04100D00040C07B711 -:04100E000427879399 -:04100F00CC3E64E18E -:041010007F84C7838F -:041011007637EB91B2 -:0410120006130001C0 -:0410130045B55D463C -:041014007F8485133D -:04101500153010EF93 -:041016008593463543 -:0410170005137F84BA -:0410180010EF00B124 -:0410190065E11DF080 -:04101A000420061395 -:04101B0075458593FF -:04101C0010EF086861 -:04101D0065E505D0B0 -:04101E000A2006138B -:04101F0080858593B0 -:0410200005E10513CE -:0410210004B010EF18 -:04102200059386228A -:04102300850A10002A -:04102400FADFC0EF40 -:0410250006136565E4 -:04102600059300141A -:04102700051336A0D7 -:04102800C0EF8AA5E6 -:041029004501FEFF80 -:04102A00557DBFAD84 -:04102B0067E1808277 -:04102C007E078C238C -:04102D00F56347BD63 -:04102E0057FD00A7C3 -:04102F008082853EF8 -:04103000EE81011339 -:04103100010507931B -:041032009513C22E22 -:041033000070010741 -:041034001000059310 -:041035002A23C03E6C -:04103600282310114A -:0410370026231081DB -:04103800C0EF109164 -:0410390057FDF3DF8D -:04103A0075B7E10D98 -:04103B008593000198 -:04103C0000685CC527 -:04103D00DAAFC0EF77 -:04103E001D6387AAFD -:04103F0047031C0542 -:04104000CF1101616A -:041041000E6346856F -:0410420020830ED722 -:041043002403114130 -:0410440024831101EF -:04104500853E10C113 -:041046001181011300 -:041047004703808259 -:041048001B630141E4 -:0410490046831A07B9 -:04104A000713015136 -:04104B009563055054 -:04104C0047031AE656 -:04104D004683018154 -:04104E000722017103 -:04104F0006938F5520 -:0410500014E304A001 -:041051004712FCD76F -:0410520066E1F369F7 -:041053004781007859 -:041054008693453901 -:0410550045A96B86B8 -:0410560000D7460376 -:0410570000C5666307 -:0410580000D7833307 -:0410590000C30023AD -:04105A0007050785FA -:04105B00FEB796E363 -:04105C000251478373 -:04105D0000A3676124 -:04105E00472568F7C3 -:04105F0000F76663CD -:0410600004A367611D -:04106100A02968F763 -:04106200024147837D -:04106300FEF77AE337 -:0410640002714703CB -:04106500656167E179 -:0410660074E7882380 -:0410670002814703B8 -:04106800061367E123 -:041069008B23036072 -:04106A0047036AE7E7 -:04106B0067E10291A6 -:04106C0002D1059315 -:04106D0056E78DA312 -:04106E0002A1470391 -:04106F00051367E11D -:0410700084237985D7 -:04107100470368E7E2 -:0410720067E102B17F -:0410730058E7802397 -:0410740002C147036B -:0410750080A367E10C -:04107600470358E7ED -:0410770067E10231FA -:041078006CE78B2373 -:04107900C70367E161 -:04107A0067E16B8738 -:04107B006CE78AA3F1 -:04107C0002614703C3 -:04107D00812367E183 -:04107E0010EF6CE71C -:04107F0047816D40F8 -:041080004483B729C5 -:04108100E8E9014158 -:04108200015146834F -:0410830005500713FA -:041084000CE694637F -:04108500024156834B -:041086000420071328 -:04108700EEE697E317 -:0410880002615403AA -:0410890040C0071349 -:04108A00EEE411E39C -:04108B00C42A67E12B -:04108C00851346354D -:04108D0005937F87C1 -:04108E0010EF0171ED -:04108F004712007094 -:0410900014E347A27C -:041091006561EC07A2 -:04109200042006131D -:041093000513102C05 -:0410940010EF75459F -:04109500478267C067 -:04109600470166E5C3 -:04109700C03E07A2AE -:041098008086869335 -:0410990005E00793D4 -:04109A001000061329 -:04109B0000D7053342 -:04109C008E1DC43AA7 -:04109D00C23E0078D7 -:04109E0000F705B39F -:04109F0004C44863DA -:0410A00064E010EF09 -:0410A1004722479209 -:0410A200943E0485EF -:0410A30047828F1DD4 -:0410A4001007071317 -:0410A5000FF4F493BD -:0410A60000F485339A -:0410A7008341074238 -:0410A800F004041339 -:0410A900059300703B -:0410AA00052210000B -:0410AB000442C23AFF -:0410AC00D6FFC0EFBC -:0410AD00804166E533 -:0410AE00471247811D -:0410AF00808686931E -:0410B00067E1F445BB -:0410B1008723470545 -:0410B200BF1574E70B -:0410B30010EF862292 -:0410B400BFC5600054 -:0410B500BD15478599 -:0410B600BD054789A4 -:0410B700DD810113C3 -:0410B800222308687F -:0410B90020232211BD -:0410BA002E2322813E -:0410BB00C0EF2091D1 -:0410BC00C02AE4BFA3 -:0410BD00532010EFBD -:0410BE009A63478268 -:0410BF00646116074B -:0410C000000175B7FF -:0410C1008593464588 -:0410C20005135A4573 -:0410C30064E168C4B8 -:0410C4005BE010EFEE -:0410C5006C04822312 -:0410C600EC1FC0EF6C -:0410C70064C1C62614 -:0410C80014FDC4222D -:0410C900000217B753 -:0410CA000D07A783E4 -:0410CB005683676180 -:0410CC008FE57987AC -:0410CD0000F68E6338 -:0410CE007987071304 -:0410CF00002757039C -:0410D00012F70763A9 -:0410D1000513650995 -:0410D200B0EF710505 -:0410D300BFD9DB5F47 -:0410D40075B747A203 -:0410D500464500018B -:0410D6005B8585931E -:0410D70068C785134E -:0410D80056E010EFDF -:0410D90075B747B2EE -:0410DA004645000186 -:0410DB00AD858593C7 -:0410DC006C478513C5 -:0410DD006CC010EFE4 -:0410DE00E61FC0EF5A -:0410DF004401448103 -:0410E00001041713DD -:0410E10020070713CA -:0410E2004097579349 -:0410E300863EC23E45 -:0410E400472D67E14C -:0410E500080C46812C -:0410E60067478513C0 -:0410E70039C010EF0D -:0410E80075B7E5797A -:0410E90085930001EA -:0410EA0008085CC5D1 -:0410EB00AF2FC0EF74 -:0410EC004703ED1DAC -:0410ED00EF05018189 -:0410EE0001914683A3 -:0410EF00055007138E -:0410F00002E697631A -:0410F10001A1468390 -:0410F20062634705E9 -:0410F300660502D7B5 -:0410F40000841593CC -:0410F500061395B297 -:0410F600846346A029 -:0410F700061300E6F6 -:0410F8004512057028 -:0410F900C0EF0874C8 -:0410FA00E151D81FC9 -:0410FB00040504855F -:0410FC0017E347416E -:0410FD0010EFF8E414 -:0410FE0045814300E5 -:0410FF00F0EF453D8C -:0411000067E1CB1FB9 -:041101006897C783A1 -:041102000713676107 -:0411030097BA6B87A5 -:041104000007C50318 -:0411050067E14581D8 -:041106006CA78AA3A5 -:04110700C93FF0EFFD -:04110800763747A24D -:0411090086A60001B5 -:04110A0058C60613AA -:04110B00851345C53E -:04110C0010EF68C7B1 -:04110D0047B257404E -:04110E00000175B7B0 -:04110F008593464539 -:041110008513598565 -:0411110010EF6C4728 -:04111200C0EF5FA02B -:041113004537D8FF85 -:041114000513000FB0 -:04111500B0EF24050E -:041116002083CA9FC9 -:04111700240322414A -:041118004502220169 -:0411190021C1248349 -:04111A00228101131A -:04111B0010EF8082CF -:04111C0057FD3B80C0 -:04111D00B7D5C03E44 -:04111E0001855713DD -:04111F00018517939C -:0411200006B78FD9A6 -:04112100171300FFA1 -:041122008F75008540 -:0411230067418FD9B8 -:04112400F0070713B6 -:041125008D7981211E -:0411260080828D5DD9 -:0411270017B7C60927 -:04112800A0230002FE -:041129004701000773 -:04112A00FFC5F69374 -:04112B000002163771 -:04112C0000E507B320 -:04112D0002D764631E -:04112E00470D898D53 -:04112F0002E59463DE -:041130000007D6835B -:04113100000217376A -:0411320000D71223AD -:041133000027C78347 -:0411340000F702239B -:04113500000217B7E6 -:0411360080824B88E0 -:041137000711439CBD -:04113800B7F9C25CE5 -:041139009963470966 -:04113A00D70300E5F2 -:04113B0017B70007DB -:04113C0092230002F8 -:04113D00BFF900E70F -:04113E009DE34705E1 -:04113F00C703FCE501 -:0411400017B70007D6 -:041141008223000203 -:04114200B7E900E722 -:04114300C222115162 -:04114400000214375A -:041145004601C02679 -:04114600059384AADF -:04114700051304A0E8 -:04114800C4060404D1 -:04114900878FC0EFDD -:04114A00460185A62F -:04114B000404051380 -:04114C008A6FC0EFF7 -:04114D0004A0059362 -:04114E00040405137D -:04114F00C0EF4605A2 -:04115000051385EF0F -:04115100441204043C -:04115200448240A2F1 -:04115300013145859C -:0411540086CFC06F13 -:04115500C42211415E -:041156000002143748 -:04115700C02AC226C2 -:04115800460184AE1A -:0411590004A0059356 -:04115A000404051371 -:04115B00C0EFC60615 -:04115C00478282EF55 -:04115D00051346012F -:04115E0085BE040442 -:04115F0085AFC0EFA9 -:04116000040405136B -:0411610040B2442232 -:04116200449285A688 -:0411630001414605FB -:04116400846FC06F65 -:04116500C222115140 -:0411660014334405F5 -:04116700741300A459 -:0411680085A20FF459 -:04116900C40645195A -:04116A00FADFF0EFC9 -:04116B00441285A203 -:04116C00451D40A23B -:04116D00F06F0131ED -:04116E001151F9FF23 -:04116F00C22205068D -:041170000FF57413F0 -:04117100450585A209 -:04117200F0EFC406D0 -:0411730085A2F8BF9A -:0411740040A244123F -:0411750001314509F6 -:04117600F7DFF06F40 -:0411770045151151B8 -:04117800F0EFC406CA -:041179000713F2BFA7 -:04117A004781086041 -:04117B0004E5136311 -:04117C0009000593CE -:04117D000200051354 -:04117E00F5DFF0EFBA -:04117F00051345818E -:04118000F0EF02107A -:041181004581F53F70 -:04118200022005132F -:04118300F49FF0EFF6 -:041184000513458D7D -:04118500F0EF023055 -:041186004581F3FFAD -:0411870002800513CA -:04118800F35FF0EF32 -:0411890003000593C7 -:04118A000710051332 -:04118B00F29FF0EFF0 -:04118C0040A24785B1 -:04118D000131853E69 -:04118E001141808209 -:04118F0000A101A317 -:04119000000215370D -:041191004605C42229 -:041192000513842E8F -:0411930005930405B7 -:04119400C60600315A -:04119500FCDFB0EFDC -:04119600B0EF85220F -:0411970040B2AA5F59 -:0411980001414422AB -:0411990011518082EE -:04119A006461C222A8 -:04119B006B445783C7 -:04119C00C026C4069F -:04119D00F9F7F793D4 -:04119E0083C107C240 -:04119F006AF41A23B1 -:0411A000000214B77E -:0411A1000EF4A02385 -:0411A200B0EF455114 -:0411A30045D1A75F2C -:0411A40003800513AC -:0411A500FA7FF0EFEE -:0411A600051345D117 -:0411A700F0EF0390D2 -:0411A80045D1F9DF55 -:0411A900F0EF4551CD -:0411AA0045D1F95FD3 -:0411AB000710051311 -:0411AC00F8BFF0EFA9 -:0411AD00051345D110 -:0411AE00F0EF05E079 -:0411AF0045D1F81F0F -:0411B00006D005134D -:0411B100F77FF0EFE5 -:0411B200453145D1AD -:0411B300F6FFF0EF64 -:0411B400320005936D -:0411B500F0EF45050D -:0411B60045D1F65FCA -:0411B700F0EF4519F7 -:0411B8000593F5DFC7 -:0411B90045093200B2 -:0411BA00F53FF0EF1E -:0411BB006B445783A7 -:0411BC00E79340A2D3 -:0411BD001A230407E6 -:0411BE0044126AF479 -:0411BF000EF4A02367 -:0411C0000131448233 -:0411C10011218082F6 -:0411C2006461C8227A -:0411C3006B4457839F -:0411C400C626CA066B -:0411C500F9F7F793AC -:0411C60083C107C218 -:0411C7001A23C02EF9 -:0411C80017376AF477 -:0411C90020230002DD -:0411CA0084AA0EF7EE -:0411CB003200059356 -:0411CC00F0EF4505F6 -:0411CD005783F09FB5 -:0411CE0017376B4420 -:0411CF0045C1000214 -:0411D0000207E79398 -:0411D1006AF41A237F -:0411D2000EF72023D1 -:0411D30010EF85266E -:0411D40077133160FC -:0411D500EB110FF516 -:0411D600000185B7D8 -:0411D7008593464571 -:0411D8008526A6457D -:0411D9002DC010EF26 -:0411DA0047814705FD -:0411DB0000F486B3E3 -:0411DC000006C50341 -:0411DD00C43A45D1FA -:0411DE00F0EFC23E2E -:0411DF004792EC1F28 -:0411E0000785472216 -:0411E1000FF7F6937B -:0411E200FEE6E2E360 -:0411E3006B4457837F -:0411E400000214B73A -:0411E500F79345D166 -:0411E60007C2FDF748 -:0411E7001A2383C183 -:0411E800A0236AF4E2 -:0411E90005130EF4E8 -:0411EA00F0EF0C0016 -:0411EB005783E91F1E -:0411EC0045026B4409 -:0411ED00E79345C17E -:0411EE001A230207B7 -:0411EF00A0236AF4DB -:0411F00010EF0EF4FA -:0411F10077932A20A6 -:0411F200EB910FF579 -:0411F30085B7450275 -:0411F400464500016B -:0411F500A6458593F3 -:0411F600268010EF50 -:0411F7004481478563 -:0411F8004782C23E2A -:0411F900873345D122 -:0411FA004503009712 -:0411FB000485000760 -:0411FC00E4BFF0EF6D -:0411FD00F71347920B -:0411FE0063E30FF4A4 -:0411FF005783FEF71D -:0412000040D26B4429 -:041201000002173799 -:041202000407E79363 -:041203006AF41A234C -:04120400202344421D -:0412050044B20EF7EA -:041206008082016180 -:04120700C22211519D -:041208000002143795 -:041209004601C026B4 -:04120A00059384AA1A -:04120B00051302C005 -:04120C00C40604040C -:04120D00D69FB0EFC9 -:04120E00460585A666 -:04120F0004040513BB -:04121000D97FB0EFE3 -:0412110002C005937F -:0412120004040513B8 -:04121300B0EF4605ED -:041214000513D4FFEB -:041215004412040477 -:04121600448240A22C -:0412170001314585D7 -:04121800D5DFB06FFF -:04121900C422114199 -:04121A000002143783 -:04121B00C02AC226FD -:04121C00460184AE55 -:04121D0002C0059373 -:04121E0004040513AC -:04121F00B0EFC60660 -:041220004782D1FF31 -:04122100051346016A -:0412220085BE04047D -:04122300D4BFB0EF95 -:0412240004040513A6 -:0412250040B244226D -:04122600449285A6C3 -:041227000141460536 -:04122800D37FB06F51 -:041229000002153773 -:04122A004601115117 -:04122B0005134581E1 -:04122C00C4060405EB -:04122D00D23FB0EF0D -:04122E00B0EF4529AF -:04122F0045E1845FB2 -:04123000F0EF450591 -:0412310045E1FA3F5A -:04123200F0EF45098B -:0412330045E1F9BFD9 -:04123400F0EF450D85 -:041235004505F93F33 -:04123600F45FF0EF82 -:04123700152140A29B -:041238000015351355 -:04123900808201317D -:04123A00C22211516A -:04123B004505842AB7 -:04123C00F0EFC40605 -:04123D00991DF2BF46 -:04123E008C49040EC5 -:04123F000FF4741321 -:04124000450585A239 -:04124100F61FF0EFB5 -:04124200450985A233 -:04124300F59FF0EF34 -:04124400441285A229 -:04124500450D40A271 -:04124600F06F013113 -:041247001151F4BF8E -:04124800842AC22210 -:04124900C40645058D -:04124A00EF5FF0EF73 -:04124B007513478947 -:04124C0013630D8596 -:04124D00641302F430 -:04124E0085A2001560 -:04124F00F0EF450572 -:0412500085A2F27F02 -:04125100F0EF45096C -:0412520085A2F1FF81 -:0412530040A244125F -:041254000131450D12 -:04125500F11FF06F26 -:041256008C490416A5 -:041257000FF4741309 -:0412580000446413D7 -:041259001151BFD997 -:04125A001437C22261 -:04125B00C0260002A7 -:04125C0084AA460119 -:04125D0005C0059330 -:04125E00040405136C -:04125F00B0EFC40622 -:04126000F593C1FF42 -:0412610046050FF43B -:041262000404051368 -:04126300C4BFB0EF65 -:0412640005C0059329 -:041265000404051365 -:04126600B0EF46059A -:041267000513C03F6C -:041268004412040424 -:04126900448240A2D9 -:04126A000131458584 -:04126B00C11FB06F80 -:04126C00C422114146 -:04126D000002143730 -:04126E00C02AC226AA -:04126F00460184AE02 -:0412700005C005931D -:041271000404051359 -:04127200B0EFC6060D -:041273004782BD3FB2 -:041274000513460117 -:04127500F5930404E5 -:04127600B0EF0FF7CF -:041277000513BFDFBD -:041278004422040404 -:0412790085A640B254 -:04127A00460544924F -:04127B00B06F01410E -:04127C001151BE9FAF -:04127D000220051333 -:04127E00C222C406BE -:04127F00F0EFC026A6 -:041280007413F69F4E -:0412810045CD0FF553 -:04128200F0EF4559EB -:041283006489FA7F01 -:041284007104851359 -:04128500EEAFB0EF29 -:04128600455D458DF0 -:04128700F95FF0EF2C -:041288007104851355 -:04128900EDAFB0EF26 -:04128A00080465935C -:04128B000220051325 -:04128C00F81FF0EF68 -:04128D003E80051387 -:04128E00EC6FB0EF62 -:04128F0007F4759358 -:0412900040A2441222 -:04129100051344827B -:041292000131022004 -:04129300F65FF06FA3 -:04129400C222115110 -:0412950005136409D0 -:04129600C406710415 -:04129700EA2FB0EF9B -:04129800455945C5AA -:04129900F4DFF0EF9F -:04129A0071040513C3 -:04129B00E92FB0EF98 -:04129C004589455DDE -:04129D00F3DFF0EF9C -:04129E0071040513BF -:04129F0040A2441213 -:0412A000B06F0131F9 -:0412A1001151E7CF31 -:0412A200842EC222B2 -:0412A300454985AA8A -:0412A400F0EFC4069D -:0412A50085A2F1FF2E -:0412A60040A244120C -:0412A7000131454D7F -:0412A800F11FF06FD3 -:0412A900051385AAFA -:0412AA00F06F03D00E -:0412AB0085AAF07FA1 -:0412AC00F06F454555 -:0412AD001151EFFFED -:0412AE00C222C4068E -:0412AF00006547830C -:0412B000456D842ADA -:0412B10000479593CA -:0412B200F5938DDD46 -:0412B300F0EF0FF554 -:0412B4004583EE3F41 -:0412B500457100641B -:0412B600ED9FF0EFC9 -:0412B7000034458337 -:0412B800F0EF4529E5 -:0412B9004583ECFF7E -:0412BA004525004482 -:0412BB00EC5FF0EF05 -:0412BC000054458312 -:0412BD00F0EF4521E8 -:0412BE004583EBBFBA -:0412BF0045350004AD -:0412C000EB1FF0EF41 -:0412C100001445834D -:0412C200F0EF4531D3 -:0412C3004583EA7FF6 -:0412C40044120024AC -:0412C500452D40A2D1 -:0412C600F06F013193 -:0412C7001141E97F69 -:0412C800842AC4228E -:0412C900C2264511E3 -:0412CA0084AEC032FC -:0412CB00F0EFC60674 -:0412CC004602E39F54 -:0412CD000F85759381 -:0412CE000793CE4D67 -:0412CF00EA637FF05F -:0412D00045110A8733 -:0412D1000015E5938C -:0412D200E69FF0EFB4 -:0412D30000141513DB -:0412D40001051413E9 -:0412D500559380416C -:0412D600F593004448 -:0412D70045050FF5C5 -:0412D800E51FF0EF2F -:0412D9000044159325 -:0412DA000F05F59374 -:0412DB00F0EF4509E2 -:0412DC000737E43FAD -:0412DD000713019C56 -:0412DE005733CC07AF -:0412DF0056B7029765 -:0412E00086930225CA -:0412E10047810FF63C -:0412E2000287073345 -:0412E30002E6F063CC -:0412E400042C26B7F9 -:0412E500D7F686931F -:0412E600F9634785DC -:0412E700F7B700E66F -:0412E8008793080BD5 -:0412E900B7B3FBF7A5 -:0412EA00078900E789 -:0412EB00071367611D -:0412EC00973E63873F -:0412ED0000074503AE -:0412EE000280071360 -:0412EF0002E50533DC -:0412F000001457137C -:0412F1004533953AB2 -:0412F200471D02850D -:0412F3000FF575136B -:0412F4000FF57593EA -:0412F50000A7736378 -:0412F6004422459DAC -:0412F700449240B22B -:0412F800079A058EBE -:0412F900F5938DDDFF -:0412FA00450D0F850A -:0412FB00F06F01414E -:0412FC004511DC3F7D -:0412FD00DBDFF0EF54 -:0412FE001151BFB912 -:0412FF00842AC22259 -:04130000C406456971 -:04130100D63FF0EFF4 -:041302007593478513 -:041303001A630FA5B5 -:04130400E59300F479 -:041305004412002569 -:04130600456940A253 -:04130700F06F013151 -:04130800E593D93F51 -:04130900BFC500A5B7 -:04130A0000A5558362 -:04130B00C222115198 -:04130C00842A81A10D -:04130D0004B0051310 -:04130E00F0EFC40632 -:04130F004583D77FBC -:04131000051300A41D -:04131100F0EF04A055 -:041312005583D6BF6A -:04131300051300C4FA -:0413140081A104D0DF -:04131500D5DFF0EF41 -:0413160000C4458347 -:0413170004C00513F6 -:04131800D51FF0EFFE -:0413190000E4558314 -:04131A0004F00513C3 -:04131B00F0EF81A1CD -:04131C004583D43FF2 -:04131D00051300E4D0 -:04131E00F0EF04E008 -:04131F005583D37FA0 -:04132000051300446D -:0413210081A1051091 -:04132200D29FF0EF77 -:0413230000444583BA -:0413240005000513A8 -:04132500D1DFF0EF35 -:041326000064558387 -:041327000530051375 -:04132800F0EF81A1C0 -:041329004583D0FF29 -:04132A000513006443 -:04132B00F0EF0520BA -:04132C005583D03FD6 -:04132D000513008420 -:04132E0081A1055044 -:04132F00CF5FF0EFAD -:04133000008445836D -:04133100054005135B -:04133200CE9FF0EF6B -:0413330001045583D9 -:041334000570051328 -:04133500F0EF81A1B3 -:041336004583CDBF5F -:041337000513010495 -:04133800F0EF05606D -:041339005583CCFF0D -:04133A000513012472 -:04133B0081A10590F7 -:04133C00CC1FF0EFE3 -:04133D0001244583BF -:04133E00058005130E -:04133F00CB5FF0EFA1 -:04134000014455838C -:0413410005B00513DB -:04134200F0EF81A1A6 -:041343004583CA7F95 -:04134400441201440A -:04134500051340A2AA -:04134600013105A0CC -:04134700C95FF06F1B -:04134800C22211515B -:041349000513842ADA -:04134A00C40603F0E2 -:04134B00C3BFF0EF3D -:04134C000F05759381 -:04134D0044128DC1F8 -:04134E00051340A2A1 -:04134F00013103F075 -:04135000C71FF06F54 -:04135100C222115152 -:041352004569842A3B -:04135300F0EFC406ED -:041354001593C19F8D -:0413550044120064DA -:0413560003F5751313 -:041357008DC940A25A -:041358000FF5F59305 -:0413590001314569B0 -:04135A00C49FF06FCD -:04135B0065E1113106 -:04135C008593461D12 -:04135D00850AA9C58F -:04135E0000EFC806CE -:04135F00F0EF355026 -:041360004501C75F1D -:04136100E77FF0EF43 -:0413620005134585A5 -:04136300F0EF035054 -:04136400655DC23FC2 -:041365005DC505134A -:04136600E91FF0EF9C -:04136700F0EF45015D -:041368004519FA7FAA -:04136900D01FF0EFB2 -:04136A000440051323 -:04136B00D03FF0EF90 -:04136C00450545816D -:04136D00CD3FF0EF91 -:04136E00F0EF850A0D -:04136F0040C2CFDFCA -:041370008082015125 -:04137100C422114140 -:041372004511842A73 -:04137300C02EC606BC -:04137400B97FF0EF5E -:041375000433458276 -:04137600759302B4B5 -:0413770045110075A7 -:0413780001F4779372 -:041379008DDD078E71 -:04137A00BC9FF0EF35 -:04137B004054551372 -:04137C00442240B215 -:04137D000FF57513E0 -:04137E008082014127 -:04137F00C222115124 -:041380004541842A35 -:04138100F0EFC406BF -:041382001593B61FEA -:0413830044120034DC -:0413840040A2891DDD -:04138500F5938DC986 -:0413860045410FF5D9 -:04138700F06F0131D1 -:04138800C139B93F6F -:04138900C026115118 -:04138A0002600513E5 -:04138B00059384AE94 -:04138C00C222080071 -:04138D008432C406DC -:04138E00B79FF0EF26 -:04138F0005934785F6 -:0413900089630094D9 -:0413910047A100F47C -:0413920005A4059316 -:0413930000F484637B -:041394000184059338 -:0413950040A244121C -:04139600F593448205 -:0413970005130FF536 -:04139800013103100C -:04139900B4DFF06F5E -:04139A000513458171 -:04139B00BFDD026050 -:04139C00C822112131 -:04139D00CA06C62690 -:04139E00C232C02E69 -:04139F004785C43684 -:0413A00084BA842A5D -:0413A10000F50E63E2 -:0413A2000D6347A1EF -:0413A300059304F5B5 -:0413A400F593006756 -:0413A50045150FF5E6 -:0413A600B19FF0EF14 -:0413A700A80945C18B -:0413A8000027059382 -:0413A9000FF5F593B4 -:0413AA00F0EF451506 -:0413AB004599B07F31 -:0413AC00F0EF451900 -:0413AD0085A2AFFF67 -:0413AE004505862645 -:0413AF00F67FF0EFE6 -:0413B00045B147C13B -:0413B10000F40363DE -:0413B200051345A139 -:0413B300F0EF022035 -:0413B4004442AE3FC2 -:0413B50045924622F5 -:0413B60040D24502DA -:0413B700016144B2DA -:0413B800C3FFF06F10 -:0413B900032705936E -:0413BA000FF5F593A3 -:0413BB00F0EF4515F5 -:0413BC000593AC3FAA -:0413BD00BF6D0200FE -:0413BE00C2221151E5 -:0413BF00C406C0267A -:0413C000478984AE27 -:0413C10045818432AC -:0413C2000097E66347 -:0413C300006495939A -:0413C40085E105E2D8 -:0413C50000251713D5 -:0413C6000045179334 -:0413C7008FC98FD962 -:0413C800F5938DDD2F -:0413C90045650FF572 -:0413CA00A89FF0EFF9 -:0413CB00F0EF4541B9 -:0413CC00478DA39F07 -:0413CD000F85759380 -:0413CE0000F41463B0 -:0413CF000055E5934D -:0413D000F0EF4541B4 -:0413D1004789A6FFA3 -:0413D20005B00593CA -:0413D3000097F96323 -:0413D40005934791A5 -:0413D500F463052098 -:0413D60005930097E4 -:0413D700453905305F -:0413D800A51FF0EF6E -:0413D9003E8005133A -:0413DA00996FB0EF68 -:0413DB00F0EF455199 -:0413DC00478D9F9FFB -:0413DD00036345C1A0 -:0413DE00458100F451 -:0413DF00F0EF456185 -:0413E0004412A33FD1 -:0413E100448240A260 -:0413E2000513458129 -:0413E3000131036071 -:0413E400A21FF06FE5 -:0413E500C2221151BE -:0413E6004551842ABF -:0413E700F0EFC40659 -:0413E80047119C9F6E -:0413E90000877C639A -:0413EA0008857513EA -:0413EB00F78505136A -:0413EC0000153513A0 -:0413ED00441240A2C4 -:0413EE0080820131C7 -:0413EF0077634709D0 -:0413F00075130087EA -:0413F10005130905D2 -:0413F200B7DDF70567 -:0413F3000FF57793E8 -:0413F4000017D513F6 -:0413F500BFF98905AE -:0413F600F9410113A5 -:0413F7004791D2A2A6 -:0413F800D4866461D2 -:0413F900DA3ED0A662 -:0413FA006D84079364 -:0413FB00C683CC36A3 -:0413FC00472103275B -:0413FD001733C82AB0 -:0413FE00C68300D7CB -:0413FF006713033736 -:04140000DC3A00874B -:0414010020000713AD -:0414020000D71733C5 -:041403000347C68352 -:041404006741DE3A24 -:0414050000D71733C2 -:041406000357C6833F -:041407000737C0BA29 -:041408001733002076 -:04140900C2BA00D78C -:04140A000331071390 -:04140B006761C4BA97 -:04140C0070F707135B -:04140D006761C6BA93 -:04140E007107071348 -:04140F006761C8BA8F -:041410007117071336 -:041411006761CABA8B -:041412007127071324 -:041413006761CCBA87 -:041414007137071312 -:04141500C703CEBA81 -:04141600C78304374D -:0414170066E504473B -:04141800C43EC03AD4 -:0414190080868793AF -:04141A0067DDC23E8A -:04141B0060C787938C -:04141C0009A3CA2E28 -:04141D004701020180 -:04141E006D840413C2 -:04141F0080868693AA -:04142000D03E42F187 -:041421000187179395 -:04142200C63E87E15A -:0414230002500793D9 -:0414240000F7156355 -:04142500C63E57FD6B -:0414260007B3A691D1 -:04142700461502570D -:041428004BDC97B64C -:041429000187D31351 -:04142A00749383F53F -:04142B00666301F300 -:04142C00558206F6E9 -:04142D0000279613EB -:04142E00962ECE32F6 -:04142F0086024210DF -:04143000025703B3A9 -:04143100100006138E -:041432006621DE321F -:041433000613C0B22A -:04143400C2B2200020 -:041435001C100593EF -:041436000076863383 -:0414370082154A10C0 -:041438007FF67613B2 -:0414390006B61B6375 -:04143A0067E5D43E50 -:04143B00808787938C -:04143C0077B793BE2D -:04143D008593000192 -:04143E00851E62475E -:04143F00D63A461D36 -:0414400000EFD21EC9 -:0414410066E511301B -:0414420057A25392C8 -:041443008693573203 -:0414440042F180866B -:041445004622E90151 -:041446004792E229BE -:0414470007F107059D -:04144800B78DC23E5C -:0414490077B7D23E61 -:04144A008593000185 -:04144B00461D62C711 -:04144C00D43A851EEB -:04144D000E1000EF8E -:04144E00579266E566 -:04144F008693572207 -:0414500042F180865F -:0414510045A2E919AE -:041452009863460550 -:04145300B7F100C528 -:04145400200006135B -:041455006641DE32DC -:041456004662C0B278 -:0414570000C4F333A7 -:04145800FA030DE3A3 -:041459004572461280 -:04145A0010904E0C94 -:04145B0000A604B330 -:04145C00FE84A60361 -:04145D0046031088AA -:04145E00060A000674 -:04145F002603962AA0 -:041460008E6DFD464A -:041461004552DA59BD -:04146200FFF5C5933A -:0414630096E38985FE -:0414640005B3F8A52F -:0414650045420257A3 -:04146600498C95B662 -:04146700F5938195E3 -:0414680005F97FF50E -:04146900F6A5EBE316 -:04146A000923458588 -:04146B00172300B48F -:04146C0008A30004CD -:04146D000A2300044A -:04146E00CC1000049A -:04146F000763658921 -:04147000E46326B655 -:04147100059314C506 -:041472000B63080000 -:04147300E26322B658 -:0414740045C10EC59B -:0414750022B6016337 -:041476000AC5EF6351 -:041477000663459132 -:0414780045A11CB6B8 -:04147900F2B61BE3C9 -:04147A0006234605FA -:04147B00460300C460 -:04147C001F630504E1 -:04147D0046711E0690 -:04147E0002C7063368 -:04147F0055839636C5 -:04148000061300E669 -:0414810065635770D8 -:0414820096131EB6E9 -:04148300516301D7D9 -:04148400460D1EC033 -:0414850000C406A3F6 -:0414860009234609E7 -:04148700861300C404 -:041488008A1500675A -:041489004615CE1125 -:04148A000AC79F638B -:04148B00073347F1EB -:04148C00079302F7C9 -:04148D0096BA4AF0D1 -:04148E0000E6D7039A -:04148F000AE7E56320 -:041490000464478326 -:0414910006A3CFD10E -:041492004789000482 -:041493000633AA7DF5 -:041494000593025763 -:04149500963620D097 -:0414960082154A1061 -:041497007FF6761353 -:04149800EEB61DE3AC -:04149900FFF7061340 -:04149A0002560633BD -:04149B009636458DAF -:04149C0082754A50BB -:04149D0000B61A6318 -:04149E00E60146021B -:04149F000EF4F493C0 -:0414A0004609BDE953 -:0414A100B5D14582FA -:0414A200E601460217 -:0414A3000FB4F493FB -:0414A4004605B5E95B -:0414A5000793BFC525 -:0414A60006630200D7 -:0414A700079300F6B1 -:0414A8001CE304003D -:0414A9000793E6F6C9 -:0414AA0016233010C5 -:0414AB00479100F471 -:0414AC000593AAA951 -:0414AD000363400095 -:0414AE00EB6316B620 -:0414AF00059302C5DA -:0414B00007631000BE -:0414B100059314B6D5 -:0414B20018E320001B -:0414B3004709E4B64B -:0414B40000E4162317 -:0414B5009863470DE4 -:0414B600478300E781 -:0414B700F7B504641D -:0414B800072347853A -:0414B900478300F471 -:0414BA0008A305740A -:0414BB00A0FD00F49C -:0414BC00800607930C -:0414BD001207896326 -:0414BE0010E367854B -:0414BF000793E2F6B7 -:0414C00016232020AF -:0414C100478D00F45F -:0414C20005B7A209BF -:0414C3000A630008B0 -:0414C400E36312B616 -:0414C50065C104C534 -:0414C60012B60063F7 -:0414C70002C5E36314 -:0414C80008636791BD -:0414C90067A110F611 -:0414CA00DEF619E34E -:0414CB002020079343 -:0414CC0000F41623EF -:0414CD000923479D0B -:0414CE0047B500F42A -:0414CF0000F40A23F8 -:0414D00007B7B75D46 -:0414D1000B630002A7 -:0414D20007B70EF654 -:0414D30016E3000418 -:0414D4000793DCF6A8 -:0414D500B775203097 -:0414D600004007B714 -:0414D7000EF60963A1 -:0414D80002C7E06304 -:0414D900001007B741 -:0414DA000EF60063A7 -:0414DB00002007B72F -:0414DC00DAF615E344 -:0414DD0016234791FA -:0414DE00079300F47C -:0414DF00BF7D078046 -:0414E000010007B749 -:0414E1000CF60F6393 -:0414E200020007B746 -:0414E3000EF604639A -:0414E400008007B7C6 -:0414E500D8F613E33F -:0414E6002040079308 -:0414E70000F41623D4 -:0414E80009234791FC -:0414E90047F900F4CB -:0414EA004671BF5137 -:0414EB0002C70733FA -:0414EC0000041623BF -:0414ED0096BA8B958B -:0414EE0000A6D7037A -:0414EF008B7D468526 -:0414F00000E408A369 -:0414F10000D79B6322 -:0414F20004644683C5 -:0414F3004789CE99BE -:0414F40000F40923D4 -:0414F50007A347857D -:0414F600D71100F416 -:0414F700541650A691 -:0414F800548645329F -:0414F90006C1011314 -:0414FA0007238082C2 -:0414FB00B7DD00F465 -:0414FC00000406A33F -:0414FD000793B52D6F -:0414FE001623201081 -:0414FF00B5B100F48F -:04150000301007930D -:0415010000F41623B9 -:0415020009234799D9 -:04150300BDE100F452 -:041504002010079319 +:040EDD00106F8A0503 +:040EDE0086AA71501F +:040EDF000001763761 +:040EE000061365652B +:040EE10045C56DC6D0 +:040EE2008A05051365 +:040EE3006FF0106F2D +:040EE400763786AA2D +:040EE500656500013E +:040EE600C7460613E2 +:040EE700051345C5E5 +:040EE800106F8A05F8 +:040EE90046B76E900A +:040EEA008693000FDC +:040EEB0005332406A1 +:040EEC00678902D53B +:040EED00964787930A +:040EEE003E80071328 +:040EEF00006336B7AF +:040EF000EA068693F5 +:040EF100000176374F +:040EF200C886061395 +:040EF30057B345C5E7 +:040EF400F7B302F559 +:040EF500472902E7A0 +:040EF60002D556B318 +:040EF7000513656515 +:040EF800D7338A055D +:040EF900106F02E78D +:040EFA0006936A50A1 +:040EFB0006B3467084 +:040EFC00079302D581 +:040EFD0076370640FE +:040EFE006565000125 +:040EFF006D46061323 +:040F0000051345C5CB +:040F0100C6B38A05E4 +:040F0200106F02F674 +:040F030006936810D9 +:040F040005052710A8 +:040F050002D50533D9 +:040F06000640069308 +:040F07000001763738 +:040F0800CD86061379 +:040F090046B345C5E1 +:040F0A00656502D542 +:040F0B008A0505133B +:040F0C0065B0106F4D +:040F0D00763786AA03 +:040F0E006565000114 +:040F0F00C686061379 +:040F1000051345C5BB +:040F1100106F8A05CE +:040F1200169364507E +:040F130086E10185ED +:040F1400000176372B +:040F150016D1656527 +:040F1600C8060613F0 +:040F1700051345C5B4 +:040F1800106F8A05C7 +:040F190045F16290AC +:040F1A0002B50533E4 +:040F1B00859365E570 +:040F1C0046459EC5E3 +:040F1D00656595AAC7 +:040F1E008A05051328 +:040F1F006A90106F55 +:040F200000251793FE +:040F21000513655DF2 +:040F2200953ECA85A9 +:040F23006565410CB3 +:040F24000513464526 +:040F2500106F8A05BA +:040F260047A968F07F +:040F270000F50F635F +:040F280000251793F6 +:040F290005136561E6 +:040F2A00953ED5C556 +:040F2B006565410CAB +:040F2C00051346451E +:040F2D00106F8A05B2 +:040F2E0075B766F03D +:040F2F0085930001A5 +:040F3000B7F5C94503 +:040F310045851141A0 +:040F3200C02AC60605 +:040F3300676529B510 +:040F34009DC747838B +:040F350007134682D6 +:040F3600E7899DC7E3 +:040F37000001773707 +:040F3800CC070713C8 +:040F3900763740B215 +:040F3A0065650001E8 +:040F3B00CC86061347 +:040F3C00051345C58F +:040F3D0001418A05DF +:040F3E005930106FA7 +:040F3F00A70367E5B8 +:040F400067E59BC7FF +:040F410097C7879334 +:040F4200464546AD2D +:040F43000167D583EA +:040F440006E59263C9 +:040F45000FF6F6931A +:040F46000463E11946 +:040F470011312A0733 +:040F480064E5C42672 +:040F490082D4C28309 +:040F4A006461C622F6 +:040F4B0061040793A3 +:040F4C000032959347 +:040F4D00C80697AE8D +:040F4E000007A303F2 +:040F4F000047C7830D +:040F5000851346516E +:040F51008733FF568D +:040F5200260302C7A9 +:040F530075130043CF +:040F540043950FF5BD +:040F550082D484932B +:040F5600610404131B +:040F57004703963A7C +:040F5800E863004604 +:040F590063DD02A3AF +:040F5A008393050A6E +:040F5B00951EC2839A +:040F5C0085024108C1 +:040F5D000789068575 +:040F5E00F8C69AE354 +:040F5F00BF6946819F +:040F60004783E39947 +:040F610017FD000375 +:040F62000FF7F793FB +:040F6300822395A2AE +:040F6400470100F54C +:040F6500A891468188 +:040F6600000347033A +:040F670095A20785C3 +:040F680002E7E7B302 +:040F69008763B7EDF6 +:040F6A008793000267 +:040F6B008023FFF2EE +:040F6C00B7C500F411 +:040F6D0085A367E50C +:040F6E0067E19C0794 +:040F6F007587A703D8 +:040F700000074783AC +:040F710000239BEDD1 +:040F7200443200F70E +:040F730044A240C292 +:040F7400D06F0151E8 +:040F7500478DC4CF11 +:040F760004F70E630B +:040F77001AE34791A1 +:040F7800461CFAF722 +:040F7900872A9782AA +:040F7A00C78346855E +:040F7B0065650004A4 +:040F7C00078E464551 +:040F7D004783943ED4 +:040F7E0040040044E7 +:040F7F008433445122 +:040F800040DC0287C8 +:040F81008685051349 +:040F8200C036C23A79 +:040F8300438C97A262 +:040F8400515010EFC9 +:040F8500459140DC76 +:040F8600C60397A265 +:040F8700E3630047D9 +:040F880065DD14C54A +:040F89008593060A3C +:040F8A00962EC405D6 +:040F8B004682421048 +:040F8C008602471280 +:040F8D00C3914A1CA6 +:040F8E00C7839782FC +:040F8F0043510004C6 +:040F9000001785932E +:040F91000733078E8D +:040F9200431400F410 +:040F930000474703C9 +:040F9400073342D00D +:040F950096930267C6 +:040F960005330035EA +:040F9700230300D45C +:040F98009732000587 +:040F9900046347188E +:040F9A00022300E34B +:040F9B0097A2000514 +:040F9C000047C783C0 +:040F9D0096A2475180 +:040F9E0002E787B32C +:040F9F0000B48023F7 +:040FA000479C97B221 +:040FA100B731C29C06 +:040FA200E4E34791AC +:040FA30067DDF0E72F +:040FA400C547879323 +:040FA500973E070A62 +:040FA6008782431CDF +:040FA700433D460C74 +:040FA80000C6450337 +:040FA90000D6470324 +:040FAA000005C783F4 +:040FAB0000E6460313 +:040FAC0000669D63DB +:040FAD0000F778636E +:040FAE00F61317FD22 +:040FAF0080230FF795 +:040FB000BDC100C5FA +:040FB100863AFD6D12 +:040FB200F863BFDD44 +:040FB300078500C7E7 +:040FB4000FF7F71329 +:040FB50000E58023B0 +:040FB600FD6DBD6DA3 +:040FB700BFDD8732E1 +:040FB800558346080F +:040FB900570300C614 +:040FBA00463D00E6CA +:040FBB000005578353 +:040FBC0000C69E636A +:040FBD0000F5F763E1 +:040FBE00971317FD71 +:040FBF008341010762 +:040FC000C191A01922 +:040FC1001023872E44 +:040FC200B56100E530 +:040FC30000E7F463EC +:040FC400B7E5078501 +:040FC5004701F9EDFA +:040FC6004650B7FDDD +:040FC700421845BDCA +:040FC8000007478354 +:040FC90000B6996372 +:040FCA0017FDC781C7 +:040FCB000FF7F79392 +:040FCC0000F7002307 +:040FCD004683BDB9E1 +:040FCE00F563004681 +:040FCF00078500D7BB +:040FD0000FF7F6938E +:040FD10000D7002322 +:040FD2004798B5A9DE +:040FD30065654B9C69 +:040FD40000074703C8 +:040FD5000513464575 +:040FD600070A8A0577 +:040FD700438C97BAF6 +:040FD8003C5010EF8A +:040FD90040C244329C +:040FDA00015144A2DB +:040FDB00A6CFD06F5E +:040FDC004B9C47984B +:040FDD0000074503C1 +:040FDE00B7ED978252 +:040FDF0047884B985C +:040FE000B7CD9702F0 +:040FE100802367E51D +:040FE200BFE98A07D2 +:040FE300C305C695E7 +:040FE400000176B7DB +:040FE5008C868693DD +:040FE6000001763759 +:040FE7000613656523 +:040FE80045C5CCC669 +:040FE9008A0505135D +:040FEA002E3010EFA6 +:040FEB0076B7BF65B1 +:040FEC0086930001E7 +:040FED00B7CD8C06EA +:040FEE00D7E947DC1C +:040FEF00479C439840 +:040FF0008082BF55E7 +:040FF100EF6347BDA6 +:040FF20057B716A730 +:040FF3000113445250 +:040FF4008793EF41AF +:040FF500C03E35576E +:040FF600004157B7A8 +:040FF7004417879381 +:040FF8003793C23E2B +:040FF900222300F5BA +:040FFA00052310813A +:040FFB00041300F1EA +:040FFC0024230105A4 +:040FFD00202310118C +:040FFE0047B910914E +:040FFF00F363042272 +:0410000067950CA73D +:04100100500787937A +:04100200142365E569 +:04100300462900F189 +:0410040004A00793AA +:041005008945859301 +:0410060000D10513FD +:0410070000F105A34C +:0410080000010623BA +:0410090018F010EFDC +:04100A00C78367E54C +:04100B0065E58657BA +:04100C000360061364 +:04100D0000F10C23BF +:04100E00C78367E548 +:04100F00859385D769 +:04101000051397C568 +:041011000CA3021119 +:0410120067E500F19D +:041013008B27C783DD +:0410140000F10BA339 +:04101500C78367E541 +:041016000D2389E736 +:0410170067E500F198 +:041018009307C783F0 +:0410190000F10DA332 +:04101A00C78367E53C +:04101B000E238927F0 +:04101C0067E100F197 +:04101D007577C78399 +:04101E0000F10EA32C +:04101F00C78367E537 +:041020000F238647CD +:0410210067E100F192 +:0410220075C7C78344 +:0410230000F10FA326 +:04102400C78367E136 +:04102500002375D758 +:0410260010EF02F1D4 +:04102700862211907C +:0410280005700593B7 +:04102900C0EF850A85 +:04102A003533F97FE2 +:04102B00053300A0E9 +:04102C00208340A03D +:04102D002403108107 +:04102E0024831041C6 +:04102F000113100198 +:04103000808210C1E9 +:0410310087936795A5 +:04103200142360071C +:0410330007B700F10A +:041034008793040C8E +:0410350064E5046703 +:04103600C783CC3E62 +:04103700EB919DC4D8 +:041038000001763706 +:0410390074860613A0 +:04103A00851345B520 +:04103B0010EF9DC451 +:04103C00463519D04C +:04103D009DC4859336 +:04103E0000B10513E5 +:04103F00229010EFFC +:04104000061365E549 +:04104100859304602F +:041042000868934562 +:041043000A7010EF30 +:04104400061365E545 +:04104500859309E0A6 +:0410460005139EC52B +:0410470010EF06217F +:0410480086220950A3 +:0410490010000593FB +:04104A00C0EF850A64 +:04104B006565F13FA7 +:04104C000014061373 +:04104D0036E00593F1 +:04104E00A8A5051339 +:04104F00F55FC0EF9A +:04105000BF85450112 +:041051008082557DC7 +:041052008E2367E59D +:0410530047BD9C07F2 +:0410540000A7F56399 +:04105500853E57FD80 +:041056000113808280 +:041057000793EE818C +:04105800C22E01059E +:0410590001079513E3 +:04105A00059300708A +:04105B00C03E100083 +:04105C0010112A2322 +:04105D0010812823B3 +:04105E0010912623A4 +:04105F00EA3FC0EFB5 +:04106000E10D57FD4A +:04106100000175B75E +:0410620074058593F9 +:04106300C0EF006872 +:0410640087AAD10F77 +:041065001C051D63E6 +:0410660001614703DA +:041067004685CF11DA +:041068000ED70E632E +:04106900114120838E +:04106A001101240349 +:04106B0010C1248309 +:04106C000113853EA9 +:04106D0080821181EB +:04106E0001414703F2 +:04106F001A071B63DE +:041070000151468361 +:04107100055007130C +:041072001AE6956382 +:0410730001814703AD +:04107400017146833D +:041075008F5507226A +:0410760004A0069339 +:04107700FCD714E3AB +:04107800F3694712BF +:04107900007866E5B0 +:04107A00453947812C +:04107B008946869389 +:04107C00460345A939 +:04107D00666300D7CF +:04107E00833300C5F3 +:04107F00002300D773 +:04108000078500C31D +:0410810096E30705E6 +:041082004783FEB7EB +:04108300676502514A +:0410840084F70EA33C +:041085006663472532 +:04108600676500F7A3 +:0410870086F702A343 +:041088004783A029D1 +:041089007AE30241C3 +:04108A004703FEF723 +:04108B0067E50271A2 +:04108C0088236565EB +:04108D00470392E79C +:04108E0067E502818F +:04108F0003600613E1 +:0410900088E7892341 +:04109100029147037E +:04109200059367E17A +:041093008BA302D158 +:04109400470374E7B3 +:0410950067E502A168 +:0410960097C50513E2 +:0410970086E7822343 +:0410980002B1470357 +:041099008E2367E15A +:04109A00470374E7AD +:04109B0067E102C146 +:04109C0074E78EA3C4 +:04109D0002314703D2 +:04109E00892367E556 +:04109F0067E58AE790 +:0410A0008947C703B2 +:0410A10088A367E5D4 +:0410A20047038AE78F +:0410A30067E502619A +:0410A40088E78F2327 +:0410A50071E010EFF7 +:0410A600B72947819E +:0410A700014144833C +:0410A8004683E8E9AA +:0410A90007130151D7 +:0410AA0094630560E6 +:0410AB0056830CE676 +:0410AC0007130241E3 +:0410AD0097E3046061 +:0410AE005403EEE613 +:0410AF0007130261C0 +:0410B00011E340C048 +:0410B10067E5EEE41D +:0410B2004635C42AD1 +:0410B3009DC785133D +:0410B400017105932E +:0410B500051010EF23 +:0410B60047A24712F4 +:0410B700EC0714E34B +:0410B8000613656551 +:0410B900102C046093 +:0410BA009345051342 +:0410BB006C6010EF66 +:0410BC0066E547821C +:0410BD0007A247013E +:0410BE008693C03E17 +:0410BF0007939EC62F +:0410C00006130620ED +:0410C10005331000E3 +:0410C200C43A00D755 +:0410C30000788E1D06 +:0410C40005B3C23E70 +:0410C500486300F785 +:0410C60010EF04C45F +:0410C7004792698063 +:0410C8000485472232 +:0410C9008F1D943EA5 +:0410CA00071347823F +:0410CB00F493100783 +:0410CC0085330FF465 +:0410CD00074200F4E2 +:0410CE000413834143 +:0410CF000070F004B9 +:0410D0001000059374 +:0410D100C23A0522F8 +:0410D200C0EF044225 +:0410D30066E5CD5FA2 +:0410D400478180418F +:0410D50086934712A5 +:0410D600F4459EC679 +:0410D700470567E57D +:0410D80092E78723F1 +:0410D9008622BF1597 +:0410DA0064A010EF0F +:0410DB004785BFC5C1 +:0410DC004789BD156E +:0410DD000113BD0539 +:0410DE000868DD8140 +:0410DF002211222395 +:0410E0002281202326 +:0410E10020912E2309 +:0410E200DB1FC0EF61 +:0410E30010EFC02A20 +:0410E400478257C028 +:0410E50016079A63ED +:0410E60075B7646511 +:0410E7004645000179 +:0410E80071858593F6 +:0410E90086840513E1 +:0410EA0010EF64E5BA +:0410EB00802360807E +:0410EC00C0EF8A04C3 +:0410ED00C626E27FB2 +:0410EE00C42264C1F3 +:0410EF0017B714FD1E +:0410F000A7830002D0 +:0410F10067650D071B +:0410F20097C75683C3 +:0410F3008E638FE594 +:0410F400071300F6E8 +:0410F500570397C73F +:0410F6000763002765 +:0410F700650912F77E +:0410F8007105051366 +:0410F900D1BFB0EFC4 +:0410FA0047A2BFD971 +:0410FB00000175B7C4 +:0410FC00859346454D +:0410FD00851372C520 +:0410FE0010EF8687E2 +:0410FF0047B25B8019 +:04110000000175B7BE +:041101008593464547 +:041102008513BC0590 +:0411030010EF8A0758 +:04110400C0EF716067 +:041105004481DC7FC6 +:041106001713440176 +:0411070007130104C5 +:0411080057932007D2 +:04110900C23E40970B +:04110A0067E5863ED1 +:04110B004681472DA5 +:04110C008513080C33 +:04110D0010EF850753 +:04110E00E5793E60E1 +:04110F00000175B7AF +:04111000740585934A +:04111100C0EF08081B +:04111200ED1DA58F9B +:04111300018147030C +:041114004683EF051A +:04111500071301912A +:041116009763056076 +:04111700468302E623 +:04111800470501A1E5 +:0411190002D7626334 +:04111A0015936605BE +:04111B0095B2008405 +:04111C0046E0061390 +:04111D0000E6846301 +:04111E00057006133F +:04111F0008744512F9 +:04112000CE7FC0EFCF +:041121000485E1510F +:041122004741040538 +:04112300F8E417E3F2 +:0411240047A010EFE1 +:04112500453D45817E +:04112600CB1FF0EFFC +:04112700C78367E52E +:04112800676586571A +:0411290089470713D8 +:04112A00C50397BAA8 +:04112B0045810007F3 +:04112C0088A367E548 +:04112D00F0EF8AA7AE +:04112E0047A2C93FCC +:04112F00000176370E +:04113000061386A676 +:0411310045C570063A +:041132008687851314 +:041133005BE010EF7E +:0411340075B747B292 +:04113500464500012A +:0411360070C5859368 +:041137008A0785138B +:04113800644010EF10 +:04113900CF5FC0EFD5 +:04113A00000F453726 +:04113B00240505136F +:04113C00C0FFB0EF51 +:04113D0022412083A8 +:04113E002201240363 +:04113F0024834502BE +:04114000011321C1B5 +:041141008082228105 +:04114200402010EF4A +:04114300C03E57FD56 +:041144005713B7D5B1 +:041145001793018576 +:041146008FD90185B7 +:0411470000FF06B7E8 +:0411480000851713F4 +:041149008FD98F7536 +:04114A0007136741DF +:04114B008121F00707 +:04114C008D5D8D79AF +:04114D00C6098082CD +:04114E00000217B7CD +:04114F000007A023D2 +:04115000F6934701CA +:041151001637FFC589 +:0411520007B30002DD +:04115300646300E5EC +:04115400898D02D7A8 +:041155009463470D4B +:04115600D68302E555 +:04115700173700073F +:04115800122300025C +:04115900C78300D771 +:04115A000223002745 +:04115B0017B700F7CB +:04115C004B880002BA +:04115D00439C8082AD +:04115E00C25C071157 +:04115F004709B7F98C +:0411600000E59963AA +:041161000007D703A9 +:04116200000217B7B9 +:0411630000E79223EC +:041164004705BFF983 +:04116500FCE59DE325 +:041166000007C703B4 +:04116700000217B7B4 +:0411680000E78223F7 +:041169001151B7E980 +:04116A001437C22252 +:04116B00C026000298 +:04116C0084AA46010A +:04116D0004A0059342 +:04116E00040405135D +:04116F00B0EFC40613 +:0411700085A6FDFF54 +:04117100051346011B +:04117200C0EF0404C2 +:04117300059380CF91 +:04117400051304A0BB +:041175004605040423 +:04117600FC5FB0EF7B +:041177000404051354 +:0411780040A244123B +:0411790045854482E2 +:04117A00B06F013120 +:04117B001141FD3FE2 +:04117C001437C4223E +:04117D00C226000284 +:04117E0084AEC02A51 +:04117F00059346018D +:04118000051304A0AF +:04118100C606040496 +:04118200F95FB0EF72 +:041183004601478258 +:041184000404051347 +:04118500B0EF85BE84 +:041186000513FC1F32 +:0411870044220404F6 +:0411880085A640B246 +:041189004605449241 +:04118A00B06F014100 +:04118B001151FADF25 +:04118C004405C22232 +:04118D0000A4143373 +:04118E000FF47413D3 +:04118F00451985A2D7 +:04119000F0EFC406B2 +:0411910085A2FADF5A +:0411920040A2441221 +:041193000131451DC4 +:04119400F9FFF06F00 +:0411950005061151E9 +:041196007413C222EA +:0411970085A20FF529 +:04119800C40645053F +:04119900F8BFF0EFBC +:04119A00441285A2D4 +:04119B00450940A220 +:04119C00F06F0131BE +:04119D001151F7DF16 +:04119E00C406451529 +:04119F00F2BFF0EFBC +:0411A00008600713C9 +:0411A100136347810C +:0411A200059304E5C8 +:0411A3000513090027 +:0411A400F0EF020066 +:0411A5004581F5DFAC +:0411A600021005131B +:0411A700F53FF0EF31 +:0411A8000513458165 +:0411A900F0EF022041 +:0411AA00458DF49FDC +:0411AB0002300513F6 +:0411AC00F3FFF0EF6E +:0411AD000513458160 +:0411AE00F0EF0280DC +:0411AF000593F35F52 +:0411B0000513030020 +:0411B100F0EF071044 +:0411B2004785F29FDC +:0411B300853E40A293 +:0411B4008082013103 +:0411B50001A3114140 +:0411B600153700A148 +:0411B700C42200024C +:0411B800842E460536 +:0411B9000405051311 +:0411BA000031059368 +:0411BB00B0EFC606C5 +:0411BC008522F33F56 +:0411BD00A0BFB0EF30 +:0411BE00442240B2D5 +:0411BF0080820141E8 +:0411C000C2221151E5 +:0411C1005783646587 +:0411C200C4068904D2 +:0411C300F793C026B8 +:0411C40007C2F9F76E +:0411C500182383C1A7 +:0411C60014B788F4DE +:0411C700A02300025F +:0411C80045510EF48B +:0411C9009DBFB0EF27 +:0411CA00051345D1F3 +:0411CB00F0EF0380BE +:0411CC0045D1FA7F90 +:0411CD000390051373 +:0411CE00F9DFF0EF66 +:0411CF00455145D170 +:0411D000F95FF0EFE4 +:0411D100051345D1EC +:0411D200F0EF071023 +:0411D30045D1F8BF4B +:0411D40005E005131A +:0411D500F81FF0EF20 +:0411D600051345D1E7 +:0411D700F0EF06D05F +:0411D80045D1F77F87 +:0411D900F0EF4531BD +:0411DA000593F6FF84 +:0411DB004505320094 +:0411DC00F65FF0EFDB +:0411DD00451945D19A +:0411DE00F5DFF0EF5A +:0411DF003200059342 +:0411E000F0EF4509DE +:0411E1005783F53FFC +:0411E20040A289049A +:0411E3000407E79383 +:0411E40088F4182350 +:0411E500A0234412ED +:0411E60044820EF43D +:0411E70080820131D0 +:0411E800C8221121E7 +:0411E900578364655F +:0411EA00CA068904A4 +:0411EB00F793C6268A +:0411EC0007C2F9F746 +:0411ED00C02E83C1CC +:0411EE0088F4182346 +:0411EF0000021737AC +:0411F0000EF72023B3 +:0411F100059384AA34 +:0411F200450532007D +:0411F300F09FF0EF8A +:0411F4008904578390 +:0411F50000021737A6 +:0411F600E79345C175 +:0411F70018230207B0 +:0411F800202388F434 +:0411F90085260EF742 +:0411FA00360010EFBC +:0411FB000FF5771362 +:0411FC0085B7EB11B7 +:0411FD004645000162 +:0411FE00BD85859393 +:0411FF0010EF852642 +:04120000470532600C +:0412010086B34781E8 +:04120200C50300F42C +:0412030045D10006CB +:04120400C23EC43AE8 +:04120500EC1FF0EFFB +:0412060047224792A2 +:04120700F6930785CE +:04120800E2E30FF717 +:041209005783FEE623 +:04120A0014B7890488 +:04120B0045D10002C7 +:04120C00FDF7F79360 +:04120D0083C107C2D0 +:04120E0088F4182325 +:04120F000EF4A02316 +:041210000C000513B6 +:04121100E91FF0EFF2 +:041212008904578371 +:0412130045C145028A +:041214000207E79353 +:0412150088F418231E +:041216000EF4A0230F +:041217002EC010EFE6 +:041218000FF57793C4 +:041219004502EB910E +:04121A00000185B793 +:04121B00859346452C +:04121C0010EFBD858D +:04121D0047852B20B6 +:04121E00C23E448107 +:04121F0045D14782EC +:041220000097873379 +:04122100000745037A +:04122200F0EF048560 +:041223004792E4BF4B +:041224000FF4F713B9 +:04122500FEF763E38A +:04122600890457835D +:04122700173740D263 +:04122800E793000246 +:04122900182304077B +:04122A00444288F4BE +:04122B000EF7202377 +:04122C00016144B266 +:04122D001151808259 +:04122E001437C2228D +:04122F00C0260002D3 +:0412300084AA460145 +:0412310002C005935F +:041232000404051398 +:04123300B0EFC4064E +:0412340085A6CCFFC0 +:041235000513460552 +:04123600B0EF04040D +:041237000593CFDF6D +:04123800051302C0D8 +:04123900460504045E +:04123A00CB5FB0EFE7 +:04123B00040405138F +:04123C0040A2441276 +:04123D00458544821D +:04123E00B06F01315B +:04123F001141CC3F4E +:041240001437C42279 +:04124100C2260002BF +:0412420084AEC02A8C +:0412430005934601C8 +:04124400051302C0CC +:04124500C6060404D1 +:04124600C85FB0EFDE +:041247004601478293 +:041248000404051382 +:04124900B0EF85BEBF +:04124A000513CB1F9E +:04124B004422040431 +:04124C0085A640B281 +:04124D00460544927C +:04124E00B06F01413B +:04124F001537C9DFA7 +:041250001151000236 +:04125100458146018C +:041252000405051377 +:04125300B0EFC4062E +:041254004529C89FC1 +:04125500FAAFB0EF4D +:04125600450545E124 +:04125700FA3FF0EF7B +:04125800450945E11E +:04125900F9BFF0EFFA +:04125A00450D45E118 +:04125B00F93FF0EF78 +:04125C00F0EF450565 +:04125D0040A2F45F58 +:04125E00351315210E +:04125F000131001544 +:041260001151808226 +:04126100842AC222F7 +:04126200C406450574 +:04126300F2BFF0EFF7 +:04126400040E991DBE +:0412650074138C4929 +:0412660085A20FF45A +:04126700F0EF45055A +:0412680085A2F61F46 +:04126900F0EF450954 +:04126A0085A2F59FC5 +:04126B0040A2441247 +:04126C000131450DFA +:04126D00F4BFF06F6B +:04126E00C222115136 +:04126F004505842A83 +:04127000F0EFC406D1 +:041271004789EF5F5B +:041272000D8575135E +:0412730002F413630B +:0412740000156413EA +:04127500450585A204 +:04127600F27FF0EF24 +:04127700450985A2FE +:04127800F1FFF0EFA3 +:04127900441285A2F4 +:04127A00450D40A23C +:04127B00F06F0131DE +:04127C000416F11F44 +:04127D0074138C4911 +:04127E0064130FF4F2 +:04127F00BFD900448F +:04128000C222115124 +:04128100000214371C +:041282004601C0263B +:04128300059384AAA1 +:04128400051305C089 +:04128500C406040493 +:04128600B85FB0EFAE +:041287000FF4F593D8 +:0412880005134605FF +:04128900B0EF0404BA +:04128A000593BB1FEE +:04128B00051305C082 +:04128C00460504040B +:04128D00B69FB0EF69 +:04128E00040405133C +:04128F0040A2441223 +:0412900045854482CA +:04129100B06F013108 +:041292001141B77FD0 +:041293001437C42226 +:04129400C22600026C +:0412950084AEC02A39 +:041296000593460175 +:04129700051305C076 +:04129800C60604047E +:04129900B39FB0EF60 +:04129A004601478240 +:04129B00040405132F +:04129C000FF7F593C0 +:04129D00B63FB0EFB9 +:04129E00040405132C +:04129F0040B24422F3 +:0412A000449285A649 +:0412A10001414605BC +:0412A200B4FFB06F76 +:0412A30005131151CD +:0412A400C40602205A +:0412A500C026C2227B +:0412A600F69FF0EFD0 +:0412A7000FF57413B8 +:0412A800455945CD92 +:0412A900FA7FF0EFE9 +:0412AA0085136489BB +:0412AB00B0EF71042B +:0412AC00458DE50F78 +:0412AD00F0EF455DBC +:0412AE008513F95F4C +:0412AF00B0EF710427 +:0412B0006593E40F4F +:0412B1000513080415 +:0412B200F0EF022037 +:0412B3000513F81F08 +:0412B400B0EF3E80D9 +:0412B5007593E2CF7C +:0412B600441207F4E3 +:0412B700448240A28B +:0412B80002200513F8 +:0412B900F06F0131A0 +:0412BA001151F65F79 +:0412BB006409C222DE +:0412BC0071040513A1 +:0412BD00B0EFC406C4 +:0412BE0045C5E08FB3 +:0412BF00F0EF4559AE +:0412C0000513F4DF3F +:0412C100B0EF710415 +:0412C200455DDF8F18 +:0412C300F0EF45897A +:0412C4000513F3DF3C +:0412C500441271045A +:0412C600013140A210 +:0412C700DE2FB06FF7 +:0412C800C2221151DC +:0412C90085AA842E40 +:0412CA00C4064549C8 +:0412CB00F1FFF0EF50 +:0412CC00441285A2A1 +:0412CD00454D40A2A9 +:0412CE00F06F01318B +:0412CF0085AAF11FDC +:0412D00003D005132F +:0412D100F07FF06F4B +:0412D200454585AA5F +:0412D300EFFFF06FCA +:0412D400C4061151EA +:0412D5004783C22267 +:0412D600842A006501 +:0412D7009593456D39 +:0412D8008DDD004761 +:0412D9000FF5F59385 +:0412DA00EE3FF0EF04 +:0412DB0000644583E3 +:0412DC00F0EF457179 +:0412DD004583ED9FB9 +:0412DE00452900346A +:0412DF00ECFFF0EF41 +:0412E00000444583FE +:0412E100F0EF4525C0 +:0412E2004583EC5FF5 +:0412E300452100544D +:0412E400EBBFF0EF7D +:0412E5000004458339 +:0412E600F0EF4535AB +:0412E7004583EB1F31 +:0412E8004531001478 +:0412E900EA7FF0EFB9 +:0412EA000024458314 +:0412EB0040A24412C7 +:0412EC000131452D5A +:0412ED00E97FF06F36 +:0412EE00C4221141C4 +:0412EF004511842AF7 +:0412F000C032C22620 +:0412F100C60684AEFB +:0412F200E39FF0EF97 +:0412F30075934602A7 +:0412F400CE4D0F8547 +:0412F5007FF00793EC +:0412F6000A87EA6316 +:0412F700E593451125 +:0412F800F0EF0015FE +:0412F9001513E69F44 +:0412FA0014130014B5 +:0412FB008041010528 +:0412FC0000445593C2 +:0412FD000FF5F59361 +:0412FE00F0EF4505C3 +:0412FF001593E51F3F +:04130000F59300441D +:0413010045090F0586 +:04130200E43FF0EFE5 +:04130300019C07370B +:04130400CC070713F8 +:0413050002975733C1 +:04130600022556B7AF +:041307000FF68693C4 +:0413080007334781DF +:04130900F063028704 +:04130A0026B702E61A +:04130B008693042C95 +:04130C004785D7F644 +:04130D0000E6F9639A +:04130E00080BF7B71A +:04130F00FBF78793CE +:0413100000E7B7B388 +:04131100676507897C +:0413120081470713F5 +:041313004503973EB9 +:0413140007130007B4 +:04131500053302801A +:04131600571302E582 +:04131700953A0014EF +:0413180002854533D2 +:041319007513471DE4 +:04131A0075930FF5C3 +:04131B0073630FF5F4 +:04131C00459D00A744 +:04131D0040B2442274 +:04131E00058E449262 +:04131F008DDD079ABF +:041320000F85F593AD +:041321000141450D34 +:04132200DC3FF06F4D +:04132300F0EF451191 +:04132400BFB9DBDF93 +:04132500C42211418C +:041326004569842A67 +:04132700C02EC60608 +:04132800D61FF0EFED +:041329000F557513D4 +:04132A0000256793A0 +:04132B004582E419FA +:04132C0000A567931E +:04132D006793C19968 +:04132E0044220085D0 +:04132F0085BE40B285 +:0413300001414569C9 +:04133100D87FF06F02 +:0413320000A555833A +:04133300C222115170 +:04133400842A81A1E5 +:0413350004B00513E8 +:04133600F0EFC4060A +:041337004583D71FF4 +:04133800051300A4F5 +:04133900F0EF04A02D +:04133A005583D65FA2 +:04133B00051300C4D2 +:04133C0081A104D0B7 +:04133D00D57FF0EF79 +:04133E0000C445831F +:04133F0004C00513CE +:04134000D4BFF0EF37 +:0413410000E45583EC +:0413420004F005139B +:04134300F0EF81A1A5 +:041344004583D3DF2B +:04134500051300E4A8 +:04134600F0EF04E0E0 +:041347005583D31FD8 +:041348000513004445 +:0413490081A1051069 +:04134A00D23FF0EFAF +:04134B000044458392 +:04134C000500051380 +:04134D00D17FF0EF6D +:04134E00006455835F +:04134F00053005134D +:04135000F0EF81A198 +:041351004583D09F61 +:04135200051300641B +:04135300F0EF052092 +:041354005583CFDF0F +:0413550005130084F8 +:0413560081A105501C +:04135700CEFFF0EFE6 +:041358000084458345 +:041359000540051333 +:04135A00CE3FF0EFA3 +:04135B0001045583B1 +:04135C000570051300 +:04135D00F0EF81A18B +:04135E004583CD5F97 +:04135F00051301046D +:04136000F0EF056045 +:041361005583CC9F45 +:04136200051301244A +:0413630081A10590CF +:04136400CBBFF0EF1C +:041365000124458397 +:0413660005800513E6 +:04136700CAFFF0EFDA +:041368000144558364 +:0413690005B00513B3 +:04136A00F0EF81A17E +:04136B004583CA1FCD +:04136C0044120144E2 +:04136D00051340A282 +:04136E00013105A0A4 +:04136F00C8FFF06F54 +:04137000C222115133 +:041371000513842AB2 +:04137200C40603F0BA +:04137300C35FF0EF75 +:041374000F05759359 +:0413750044128DC1D0 +:04137600051340A279 +:04137700013103F04D +:04137800C6BFF06F8D +:04137900C22211512A +:04137A004569842A13 +:04137B00F0EFC406C5 +:04137C001593C13FC5 +:04137D0044120064B2 +:04137E0003F57513EB +:04137F008DC940A232 +:041380000FF5F593DD +:041381000131456988 +:04138200C43FF06F05 +:0413830065E11131DE +:041384008593461DEA +:04138500850AC1050F +:0413860000EFC806A6 +:04138700F0EF3990BA +:041388004581C6FFD6 +:04138900F0EF45013B +:04138A004585E6FFB0 +:04138B0003500513F3 +:04138C00C1BFF0EFFE +:04138D000513655D82 +:04138E00F0EF750502 +:04138F004501E8FF2D +:04139000FA5FF0EF21 +:04139100F0EF45191B +:041392000513CF9FD1 +:04139300F0EF044033 +:041394004581CFBF01 +:04139500F0EF45052B +:04139600850ACCBF39 +:04139700CF5FF0EF45 +:04139800015140C2FD +:0413990011518082EC +:04139A00842AC222BD +:04139B00C4064569D6 +:04139C00B91FF0EF96 +:04139D000044159360 +:04139E00751344126D +:04139F0040A2FCF577 +:0413A000F5938DC96B +:0413A10045690FF596 +:0413A200F06F0131B6 +:0413A3001141BC1F19 +:0413A400842AC422B1 +:0413A500C606451122 +:0413A600F0EFC02E76 +:0413A7004582B67F46 +:0413A80002B4043354 +:0413A90000757593C3 +:0413AA0077934511DF +:0413AB00078E01F4B4 +:0413AC00F0EF8DDDF4 +:0413AD005513B99F7C +:0413AE0040B24054B5 +:0413AF00751344224C +:0413B00001410FF5F3 +:0413B10011518082D4 +:0413B200842AC222A5 +:0413B300C4064541E6 +:0413B400B31FF0EF84 +:0413B5000034159358 +:0413B600891D441237 +:0413B7008DC940A2FA +:0413B8000FF5F593A5 +:0413B9000131454178 +:0413BA00B63FF06FDB +:0413BB001151C139D2 +:0413BC000513C0262F +:0413BD0084AE026098 +:0413BE00080005938B +:0413BF00C406C2227C +:0413C000F0EF843294 +:0413C1004785B49F09 +:0413C20000940593FB +:0413C30000F4896346 +:0413C400059347A1A5 +:0413C500846305A494 +:0413C600059300F497 +:0413C7004412018447 +:0413C800448240A279 +:0413C9000FF5F59394 +:0413CA0003100513F4 +:0413CB00F06F01318D +:0413CC004581B1DFC7 +:0413CD0002600513A2 +:0413CE00050EBFDD6C +:0413CF00F5938DC93C +:0413D00005130FF5FD +:0413D100F06F028037 +:0413D2001121B05FD6 +:0413D300C626C82240 +:0413D400CA0697BAF4 +:0413D500C232C02E32 +:0413D600842AC4366B +:0413D7000FF7F49385 +:0413D8000007D363D4 +:0413D900478544817F +:0413DA0000F40E63AA +:0413DB000D6347A1B6 +:0413DC00859304F4FD +:0413DD00F593006420 +:0413DE0045150FF5AD +:0413DF00ACFFF0EF80 +:0413E000A80945C152 +:0413E10000248593CC +:0413E2000FF5F5937B +:0413E300F0EF4515CD +:0413E4004599ABDF9D +:0413E500F0EF4519C7 +:0413E60085A2AB5FD2 +:0413E700450586260C +:0413E800F4DFF0EF4F +:0413E90045B147C102 +:0413EA0000F40363A5 +:0413EB00051345A100 +:0413EC00F0EF0220FC +:0413ED004442A99F2E +:0413EE0045924622BC +:0413EF0040D24502A1 +:0413F000016144B2A1 +:0413F100BF5FF06F7B +:0413F20003248593B8 +:0413F3000FF5F5936A +:0413F400F0EF4515BC +:0413F5000593A79F16 +:0413F600BF6D0200C5 +:0413F700C2221151AC +:0413F800C406C02641 +:0413F900478984AEEE +:0413FA004581843273 +:0413FB000097E6630E +:0413FC000064959361 +:0413FD0085E105E29F +:0413FE00002517139C +:0413FF0000451793FB +:041400008FC98FD928 +:04140100F5938DDDF5 +:0414020045650FF538 +:04140300A3FFF0EF64 +:04140400F0EF45417F +:04140500478D9EFF72 +:041406000F85759346 +:0414070000F4146376 +:041408000055E59313 +:04140900F0EF45417A +:04140A004789A25F0D +:04140B0005B0059390 +:04140C000097F963E9 +:04140D00059347916B +:04140E00F46305205E +:04140F0005930097AA +:041410004539053025 +:04141100A07FF0EFD9 +:041412003E80051300 +:041413008B2FB0EF7C +:04141400F0EF45515F +:04141500478D9AFF66 +:04141600036345C166 +:04141700458100F417 +:04141800F0EF45614B +:0414190044129E9F3C +:04141A00448240A226 +:04141B0005134581EF +:04141C000131036037 +:04141D009D7FF06F50 +:04141E00C222115184 +:04141F004551842A85 +:04142000F0EFC4061F +:04142100471197FFD9 +:0414220000877C6360 +:0414230008857513B0 +:04142400F785051330 +:041425000015351366 +:04142600441240A28A +:04142700808201318D +:041428007763470996 +:0414290075130087B0 +:04142A000513090598 +:04142B00B7DDF7052D +:04142C000FF57793AE +:04142D000017D513BC +:04142E00BFF9890574 +:04142F00F94101136B +:041430004791D2A26C +:04143100D486646594 +:04143200DA3ED0A628 +:041433008B4407934C +:04143400C683CC3669 +:041435004721032721 +:041436001733C82A76 +:04143700C68300D791 +:0414380067130337FC +:04143900DC3A008712 +:04143A002000071374 +:04143B0000D717338C +:04143C000347C68319 +:04143D006741DE3AEB +:04143E0000D7173389 +:04143F000357C68306 +:041440000737C0BAF0 +:04144100173300203D +:04144200C2BA00D753 +:041443000331071357 +:041444006765C4BA5A +:041445008EB7071344 +:041446006765C6BA56 +:041447008EC7071332 +:041448006765C8BA52 +:041449008ED7071320 +:04144A006765CABA4E +:04144B008EE707130E +:04144C006765CCBA4A +:04144D008EF70713FC +:04144E00C703CEBA48 +:04144F00C783043714 +:0414500066E5044702 +:04145100C43EC03A9B +:041452009EC6879318 +:0414530067DDC23E51 +:0414540078078793FB +:0414550009A3CA2EEF +:041456004701020147 +:041457008B440413AB +:041458009EC6869313 +:04145900D03E42F14E +:04145A00018717935C +:04145B00C63E87E121 +:04145C0002500793A0 +:04145D0000F715631C +:04145E00C63E57FD32 +:04145F0007B3A69198 +:0414600046150257D4 +:041461004BDC97B613 +:041462000187D31318 +:04146300749383F506 +:04146400666301F3C7 +:04146500558206F6B0 +:0414660000279613B2 +:04146700962ECE32BD +:0414680086024210A6 +:04146900025703B370 +:04146A001000061355 +:04146B006621DE32E6 +:04146C000613C0B2F1 +:04146D00C2B22000E7 +:04146E001C100593B6 +:04146F00007686334A +:0414700082154A1087 +:041471007FF6761379 +:0414720006B61B633C +:0414730067E5D43E17 +:041474009EC78793F5 +:0414750077B793BEF4 +:041476008593000159 +:04147700851E7987CE +:04147800D63A461DFD +:0414790000EFD21E90 +:04147A0066E51130E2 +:04147B0057A253928F +:04147C0086935732CA +:04147D0042F19EC6D4 +:04147E004622E90118 +:04147F004792E22985 +:0414800007F1070564 +:04148100B78DC23E23 +:0414820077B7D23E28 +:04148300859300014C +:04148400461D7A0780 +:04148500D43A851EB2 +:041486000E1000EF55 +:04148700579266E52D +:0414880086935722CE +:0414890042F19EC6C8 +:04148A0045A2E91975 +:04148B009863460517 +:04148C00B7F100C5EF +:04148D002000061322 +:04148E006641DE32A3 +:04148F004662C0B23F +:0414900000C4F3336E +:04149100FA030DE36A +:041492004572461247 +:0414930010904E0C5B +:0414940000A604B3F7 +:04149500FE84A60328 +:041496004603108871 +:04149700060A00063B +:041498002603962A67 +:041499008E6DFD4611 +:04149A004552DA5984 +:04149B00FFF5C59301 +:04149C0096E38985C5 +:04149D0005B3F8A5F6 +:04149E00454202576A +:04149F00498C95B629 +:0414A000F5938195AA +:0414A10005F97FF5D5 +:0414A200F6A5EBE3DD +:0414A300092345854F +:0414A400172300B456 +:0414A50008A3000494 +:0414A6000A23000411 +:0414A700CC10000461 +:0414A80007636589E8 +:0414A900E46326B61C +:0414AA00059314C5CD +:0414AB000B630800C7 +:0414AC00E26322B61F +:0414AD0045C10EC562 +:0414AE0022B60163FE +:0414AF000AC5EF6318 +:0414B00006634591F9 +:0414B10045A11CB67F +:0414B200F2B61BE390 +:0414B30006234605C1 +:0414B400460300C427 +:0414B5001F63051498 +:0414B60046711E0657 +:0414B70002C706332F +:0414B800558396368C +:0414B900061300E630 +:0414BA00656357709F +:0414BB0096131EB6B0 +:0414BC00516301D7A0 +:0414BD00460D1EC0FA +:0414BE0000C406A3BD +:0414BF0009234609AE +:0414C000861300C4CB +:0414C1008A15006721 +:0414C2004615CE11EC +:0414C3000AC79F6352 +:0414C400073347F1B2 +:0414C500079302F790 +:0414C60096BA4AF098 +:0414C70000E6D70361 +:0414C8000AE7E563E7 +:0414C90004644783ED +:0414CA0006A3CFD1D5 +:0414CB004789000449 +:0414CC000633AA7DBC +:0414CD00059302572A +:0414CE00963620D05E +:0414CF0082154A1028 +:0414D0007FF676131A +:0414D100EEB61DE373 +:0414D200FFF7061307 +:0414D3000256063384 +:0414D4009636458D76 +:0414D50082754A5082 +:0414D60000B61A63DF +:0414D700E6014602E2 +:0414D8000EF4F49387 +:0414D9004609BDE91A +:0414DA00B5D14582C1 +:0414DB00E6014602DE +:0414DC000FB4F493C2 +:0414DD004605B5E922 +:0414DE000793BFC5EC +:0414DF00066302009E +:0414E000079300F678 +:0414E1001CE3040004 +:0414E2000793E6F690 +:0414E300162330108C +:0414E400479100F438 +:0414E5000593AAA918 +:0414E600036340005C +:0414E700EB6316B6E7 +:0414E800059302C5A1 +:0414E9000763100085 +:0414EA00059314B69C +:0414EB0018E32000E2 +:0414EC004709E4B612 +:0414ED0000E41623DE +:0414EE009863470DAB +:0414EF00478300E748 +:0414F000F7B50464E4 +:0414F1000723478501 +:0414F200478300F438 +:0414F30008A30584C1 +:0414F400A0FD00F463 +:0414F50080060793D3 +:0414F60012078963ED +:0414F70010E3678512 +:0414F8000793E2F67E +:0414F9001623202076 +:0414FA00478D00F426 +:0414FB0005B7A20986 +:0414FC000A63000877 +:0414FD00E36312B6DD +:0414FE0065C104C5FB +:0414FF0012B60063BE +:0415000002C5E363DA +:041501000863679183 +:0415020067A110F6D7 +:04150300DEF619E314 +:041504002020079309 :0415050000F41623B5 -:04150600BFC5479581 -:041507001020079316 -:0415080000F41623B2 -:041509000793B5C9C6 -:04150A00BFC120201D -:04150B002020079302 -:04150C000793BDADD7 -:04150D00BFF92020E2 -:04150E00BD59470D6F -:04150F0020300793EE -:041510000793BF6D11 -:04151100B59520303C -:0415120020300793EB -:041513000793B7E1A2 -:04151400162320403A -:04151500478D00F40A -:0415160000F40923B1 -:0415170002800793B4 -:041518000793BDF187 -:041519001623204035 -:04151A00479500F4FD -:04151B0000F40923AC -:04151C00B5E947E105 -:04151D0020400793D0 -:04151E0000F416239C -:04151F0009234799BC -:0415200047D100F4BB -:041521001111BD6582 -:041522000185179395 -:04152300C826CA22EA -:0415240087E1CC0689 -:0415250084AE842AE2 -:041526000007DD637A -:0415270005134581E2 -:0415280037D507703C -:0415290000A101A379 -:04152A00EE634785A0 -:04152B00741306A788 -:04152C002E9507F4FD -:04152D00450145919E -:04152E002E81268163 -:04152F000184D793C9 -:0415300000F102A321 -:041531000104D79347 -:0415320000F103239E -:041533000084D793C6 -:0415340000F103A31C -:04153500008102230C -:0415360000910423F9 -:041537000400071392 -:0415380009500793BC -:0415390000E409635E -:04153A00048007130F -:04153B001463478569 -:04153C00079300E42D -:04153D004599087054 -:04153E0004A30048BA -:04153F0024ED00F1A6 -:041540002EB145156E -:041541004585842A2E -:04154200003105135C -:04154300078324F501 -:04154400D663003139 -:041545002E8D0007E0 -:0415460006E34785EC -:04154700E011FEF5BC -:0415480045032641F0 -:0415490040E200314B -:04154A0044C2445201 -:04154B008082017128 -:04154C00FD81011309 -:04154D004581C02AEA -:04154E0004900513ED -:04154F00D022D206CE -:04155000F0EFCE26C4 -:04155100C901F45F79 -:04155200509245016D -:0415530044F2540208 -:0415540002810113FC -:04155500842A8082E2 -:041556002611451500 -:04155700458584AA98 -:041558000071051306 -:0415590047032C51C7 -:04155A000793007182 -:04155B0016630FF014 -:04155C002E1900F74D -:04155D0004E34785D7 -:04155E00E091FEF525 -:04155F004703261503 -:04156000079300717C -:0415610011E30FE0A3 -:0415620045C9FCF784 -:0415630024AD00288B -:04156400C783478270 -:04156500F713004731 -:04156600CF15002776 -:0415670000E1450357 -:0415680000F14783C4 -:041569000121470312 -:04156A000522890DC0 -:04156B0047838D5DC8 -:04156C00050A01016A -:04156D0000D1440362 -:04156E008D5D839973 -:04156F00011147839C -:04157000883D831D12 -:041571008B990786C5 -:04157200943E8FD93B -:0415730014650505F1 -:0415740000851533A6 -:041575008B91BF9DFA -:041576004503CB89D5 -:0415770047830101A4 -:041578000522011136 -:0415790047C58D5D78 -:04157A004781B7CD21 -:04157B00BFF1450176 -:04157C00FDC1011399 -:04157D00CC2665D93A -:04157E0084AA4629CC -:04157F004FC585933C -:04158000D006006829 -:0415810024E1CE2271 -:04158200C03E478D93 -:041583002C2122C134 -:0415840045A924A9A8 -:041585002AC9006807 -:04158600A0234581D8 -:041587000513000444 -:04158800F0EF04007C -:041589004785E65F4D -:04158A001B63842A31 -:04158B0005930EF5C1 -:04158C0005131AA089 -:04158D00F0EF0480F7 -:04158E00C22AE51F69 -:04158F000885176351 -:041590000028459159 -:0415910047032A558D -:04159200479200A1DB -:041593000CF71A63D4 -:0415940000B1470358 -:041595000AA007930E -:041596000CF71463D7 -:041597003E8005137A -:04159800241D2AFDE7 -:041599001963478506 -:04159A0005B700F59C -:04159B0005134000F4 -:04159C00F0EF0E90CE -:04159D00F575E15FA0 -:04159E0047852C0150 -:04159F0002F50663E8 -:0415A0002C3944019D -:0415A10017FD478269 -:0415A2000FF7F793B5 -:0415A300CBD9C03EA2 -:0415A4004785DC3566 -:0415A5000084822319 -:0415A6008526C09C3A -:0415A700E95FF0EF19 -:0415A800C488157D61 -:0415A900A0412A69CA -:0415AA00051345815F -:0415AB00F0EF07A0B6 -:0415AC00F579DD9F51 -:0415AD00002845913C -:0415AE0047832281CC -:0415AF004431008142 -:0415B0000407F793A2 -:0415B1004411FFDD05 -:0415B2004581BF6D43 -:0415B3000E9005137E -:0415B400DB7FF0EFFA -:0415B5000410079384 -:0415B60000A46563C5 -:0415B7000793440949 -:0415B80005130E9079 -:0415B900C23E0FA07F -:0415BA002A792A9DC3 -:0415BB0017634785E6 -:0415BC00451200F5DF -:0415BD00F0EF458185 -:0415BE00F965D91FD3 -:0415BF00E1112271A3 -:0415C0002A7944013F -:0415C1000513458148 -:0415C200F0EF07B08F -:0415C300C111D7DF9C -:0415C4000593440146 -:0415C50005132000EA -:0415C600F0EF05003D -:0415C700D13DD6DF5D -:0415C800B78D440196 -:0415C90020CDF43D00 -:0415CA0000143513C1 -:0415CB004472508294 -:0415CC00011344E2E1 -:0415CD0080820241D5 -:0415CE001121451C86 -:0415CF00CA06C8225E -:0415D000C22EC6263B -:0415D100440DC03ACB -:0415D20002C7E16308 -:0415D3004783CF1962 -:0415D40084B6004594 -:0415D500E3918BA172 -:0415D60085B20626AE -:0415D70005100513E3 -:0415D800D27FF0EFDF -:0415D9004409C909EF -:0415DA008522204501 -:0415DB00444240D274 -:0415DC00016144B2B3 -:0415DD0005138082F0 -:0415DE0020D50640CE -:0415DF000513458526 -:0415E000289D00B191 -:0415E10000B147030B -:0415E2000FF007936C -:0415E30000F7166394 -:0415E400478528E52A -:0415E500FEF504E328 -:0415E6004703222174 -:0415E700079300B1B5 -:0415E80012E30FE01B -:0415E9004782FCF742 -:0415EA0020200413A6 -:0415EB008C1D8C05C2 -:0415EC0080410442F4 -:0415ED0085A6C4818A -:0415EE00283D45014E -:0415EF0045124582DA -:0415F00085A2282583 -:0415F100280D45017B -:0415F200BF79440178 -:0415F3000006263791 -:0415F400019C05B79A -:0415F50000021537A4 -:0415F600A80606132A -:0415F700CC05859307 -:0415F80002050513D0 -:0415F900D8FFA06F08 -:0415FA0085AA862E0A -:0415FB00000215379E -:0415FC0002050513CC -:0415FD00E2DFA06F1A -:0415FE0085AA862E06 -:0415FF00000215379A -:0416000002050513C7 -:04160100DF1FA06FD8 -:04160200676180821A -:041603006B47578357 -:04160400F7F7F7936A -:0416050083C107C2D4 -:041606006AF71A2342 -:04160700000217378F -:041608000EF7202396 -:041609006761808213 -:04160A006B47578350 -:04160B000807E79352 -:04160C006AF71A233C -:04160D000002173789 -:04160E000EF7202390 -:04160F003637808268 -:0416100005B70029F1 -:041611001537019CEC -:0416120006130002B9 -:0416130085932E0687 -:041614000513CC05E9 -:04161500A06F0205BB -:04161600F06FD1DFC1 -:041617006765F73FCD -:04161800C14727831C -:04161900679DE38561 -:04161A009787879394 -:04161B0002F505339C -:04161C00C40611519E -:04161D00C0A72A2315 -:04161E008ABFA0EFF0 -:04161F00450140A29F -:041620008082013192 -:041621008082450579 -:04162200C406115198 -:041623008BBFA0EFEA -:04162400A78367E54C -:0416250040A2C147D7 -:0416260000F5353363 -:04162700808201318B -:04162800AA2367E5A5 -:041629008082C007F4 -:04162A0014634701FD -:04162B00450100E68F -:04162C0007B38082FE -:04162D00070500E5C8 -:04162E0000E586B39A -:04162F000007C78366 -:04163000FFF6C68378 -:04163100FED783E37A -:0416320040D78533E5 -:04163300C7B3808237 -:041634008B8D00A5F5 -:0416350000C50733B2 -:04163600478DE78174 -:0416370002C7E9639A -:04163800716387AAA9 -:04163900C6830CE573 -:04163A00078500051B -:04163B008FA30585EF -:04163C00EAE3FED708 -:04163D008082FEE7C2 -:04163E000005C6835A -:04163F000585078591 -:04164000FED78FA39F -:04164100FEE7EAE3F3 -:04164200011144024C -:041643007693808298 -:0416440087AA00353C -:04164500C683CA91FD -:04164600078500050F -:041647008FA30585E3 -:04164800F693FED740 -:04164900B7FD0037B2 -:04164A00FFC77693CD -:04164B00FE068613FE -:04164C0006C7F56375 -:04164D00C022117135 -:04164E00A30349C0E9 -:04164F00A38300056C -:04165000CBC0008586 -:04165100A0234D8005 -:04165200A303006787 -:04165300CF800045FF -:04165400A2234DC0C0 -:04165500A283006705 -:04165600A30300C525 -:041657008593010571 -:04165800CFC00245B8 -:04165900FFC5A40322 -:04165A000077A4234E -:04165B000057A6236B -:04165C000067A82358 -:04165D000247879326 -:04165E00FE87AE2332 -:04165F00FAC7EEE3F5 -:04166000F8D7F2E3E2 -:04166100079141901C -:04166200AE2305911D -:04166300BFCDFEC732 -:041664000791419019 -:04166500AE2305911A -:04166600EBE3FEC7ED -:04166700E4E3FED7E3 -:041668008082F4E7A1 -:041669000113808267 -:04166A00D6A6F7C148 -:04166B00DA86C13E1C -:04166C00D8A267E1B8 -:04166D00DEBADCB64F -:04166E005D47A483AD -:04166F000005DC6333 -:0416700008B0079324 -:04167100557DC09C47 -:04167200544650D6B4 -:04167300011354B655 -:041674008082084127 -:041675002080079337 -:0416760000F1182344 -:04167700CA2AC22A8F -:04167800C19947814C -:04167900FFF587935F -:04167A00CC3EC63E5E -:04167B0057FD18B44B -:04167C008526842E0D -:04167D001923004CE1 -:04167E00C03600F181 -:04167F0057FD2601EC -:0416800000F55563B9 -:0416810008B0079313 -:04168200DC5DC09CCF -:0416830080234792E7 -:04168400BF5D00073F -:04168500167DC60503 -:0416860007B347015E -:0416870086B300E541 -:04168800C78300E52F -:04168900C68300070D -:04168A00966300065D -:04168B00046300D71D -:04168C00070500C787 -:04168D008533F3FDB1 -:04168E00808240D73F -:04168F00808245010F -:04169000CA0987AA52 -:04169100C703058501 -:041692000785FFF5D4 -:041693008FA3167D8E -:04169400FB65FEE70D -:041695009363963E87 -:04169600808200C787 -:041697008FA3078591 -:04169800BFD5FE07B5 -:0416990087AA95AADD -:04169A0000B78563AD -:04169B000007C7037A -:04169C008533E701AA -:04169D00808240A760 -:04169E00B7FD078508 -:04169F00A783C5D583 -:0416A0001151FFC520 -:0416A100C406C22297 -:0416A2008413C026C7 -:0416A300D363FFC549 -:0416A400943E000769 -:0416A50000EF84AA24 -:0416A600676115F073 -:0416A70065472783E9 -:0416A800EF81863A0E -:0416A90000042223F4 -:0416AA0064872A2304 -:0416AB0040A2441203 -:0416AC0044828526C9 -:0416AD00006F013198 -:0416AE007063141041 -:0416AF00401402F4ED -:0416B00000D4073328 -:0416B10000E7966355 -:0416B20043DC43983A -:0416B300C01897368E -:0416B4002A23C05CC9 -:0416B500BFD96486AF -:0416B60043D887BAD4 -:0416B7007DE3C319F3 -:0416B8004394FEE475 -:0416B90000D786339D -:0416BA0000861F6324 -:0416BB0096B2401093 -:0416BC008633C3941A -:0416BD001BE300D754 -:0416BE004310FAC714 -:0416BF0096B2435844 -:0416C000C3D8C39434 -:0416C1007563B76531 -:0416C20047B100C468 -:0416C300BF79C09C8F -:0416C40006B3401019 -:0416C500166300C4E4 -:0416C600431400D7F2 -:0416C70096B243583C -:0416C800C058C01432 -:0416C900B759C3C08A -:0416CA0011418082C8 -:0416CB008493C2261C -:0416CC0098F100355C -:0416CD00C422C60667 -:0416CE0047B104A17B -:0416CF0004F4FB63C1 -:0416D000EA6344B1D4 -:0416D100C02A04B473 -:0416D2000AD000EF4B -:0416D30026836761A2 -:0416D400478265479D -:0416D500654706134C -:0416D600E431843641 -:0416D7000413646133 -:0416D80040186584CD -:0416D900853EEB015E -:0416DA00C03E458148 -:0416DB007F8000EF1D -:0416DC00C008478279 -:0416DD0085A6853E1B -:0416DE0000EFC03E1B -:0416DF00577D7EA015 -:0416E00015634782C5 -:0416E100473106E5A2 -:0416E200853EC398E6 -:0416E30006B000EF5E -:0416E400D8E3A0297E -:0416E50047B1FA040B -:0416E6004501C11CDD -:0416E700442240B2A7 -:0416E80001414492E6 -:0416E90040188082A3 -:0416EA004E638F05B7 -:0416EB0045AD020700 -:0416EC0000E5F663BC -:0416ED00943AC01853 -:0416EE00A029C0046B -:0416EF00926340586A -:0416F000C218028694 -:0416F10000EF853E43 -:0416F20005130310C9 -:0416F300071300B425 -:0416F40099610044B4 -:0416F50040E507B312 -:0416F600943ED3F15A -:0416F700C0188F097F -:0416F800C2D8BF7520 -:0416F90086A2B7C549 -:0416FA00BF85404028 -:0416FB00003504139F -:0416FC0003E39871FB -:0416FD0005B3FC85B0 -:0416FE00853E40A441 -:0416FF00279DC03E25 -:041700004782577D48 -:04170100FAE51AE308 -:04170200A303BFBDC1 -:04170300111100853B -:04170400CC06C82621 -:04170500C232CA2200 -:04170600E36384AE67 -:04170700D603086697 -:04170800771300C58E -:04170900CB3D480686 -:04170A0048C882AA9F -:04170B004080470DC6 -:04170C0002A70733F6 -:04170D004509498CB5 -:04170E0040B407B329 -:04170F004433C03E61 -:04171000851302A794 -:04171100953E0016EB -:0417120000A4736359 -:041713007613842A9B -:04171400C63640068F -:041715008516C63D32 -:04171600C41685A2CE -:04171700ECFFF0EF04 -:0417180046B242A2F1 -:04171900CD2D47315A -:04171A00488C4602AF -:04171B00C42AC636E0 -:04171C00C5FFF0EF26 -:04171D0000C4D6032B -:04171E0046B243226A -:04171F00B7F6761390 -:04172000080666133E -:0417210000C4962347 -:04172200A82347822F -:04172300C8C00064D6 -:041724008C1D933E47 -:041725000064A02399 -:041726008336C480C2 -:041727000066F36302 -:04172800459283362D -:04172900861A408854 -:04172A002701C01AB9 -:04172B004302449899 -:04172C000733450139 -:04172D00C4984067B5 -:04172E00933A409812 -:04172F000064A0238F -:041730008516A80D65 -:04173100C416862232 -:04173200832A2F05D2 -:0417330046B242A2D6 -:04173400488CFD459B -:04173500C01685163F -:04173600DA5FF0EF97 -:041737004731428272 -:0417380000E2A02308 -:0417390000C4D7030E -:04173A006713557D5F -:04173B0096230407E6 -:04173C0040E200E4A3 -:04173D0044C244520C -:04173E008082017133 -:04173F0000C5D70307 -:04174000F781011319 -:04174100DEA6C1223D -:0417420001F1079317 -:04174300C22AC306ED -:041744007713C02E29 -:0417450084B208075B -:04174600FF87F41312 -:041747004998CB1DD5 -:041748000593EB0D0D -:04174900C63604009C -:04174A00E03FF0EF9D -:04174B0046B24782D9 -:04174C00CB88C388FB -:04174D004712E9193D -:04174E00557D47B1CD -:04174F00409AC31CDD -:0417500054F6440AFD -:0417510008810113F7 -:0417520047828082C8 -:041753000400071374 -:041754000713CBD8D4 -:041755000CA30200DF -:04175600071300E491 -:041757002A2303003E -:041758000D23000459 -:04175900CA3600E4A8 -:04175A0006138726C5 -:04175B00468302506F -:04175C00C299000727 -:04175D000AC69863BD -:04175E00409703337A -:04175F00020302631C -:041760004512458267 -:041761008626869AB8 -:04176200C61AC83AA1 -:04176300E7FFF0EFBD -:04176400026356FDC9 -:0417650048541CD5F3 -:041766004742433281 -:04176700C854969A32 -:0417680000074683AD -:041769001A06896370 -:04176A0000170493CD -:04176B002023577D63 -:04176C00262300042C -:04176D00C05800045C -:04176E00000424232C -:04176F00040401A3CA -:0417700004042C231E -:041771000004C58328 -:04177200000187B734 -:04177300851346157F -:041774002B7DA6071C -:0417750000148713C2 -:04177600E5394014FD -:041777000106F6135E -:041778000613C60985 -:0417790001A30200C6 -:04177A00F61304C49A -:04177B00C609008615 -:04177C0002B006139E -:04177D0004C401A3FC -:04177E000004C5831B -:04177F0002A00613AB -:0417800004C58163B8 -:04178100872644541F -:041782004525458133 -:04178300460342A92E -:041784000313000744 -:041785000613001730 -:041786007963FD0680 -:04178700C99506C535 -:04178800A805C45498 -:04178900B7A10705F8 -:04178A00000187B71C -:04178B00A607861314 -:04178C0040C506331B -:04178D0015334505C6 -:04178E008EC900C53B -:04178F0084BAC01444 -:041790004652B751B5 -:041791000046059376 -:04179200CA2E421009 -:04179300020649639E -:041794004603C450F4 -:0417950006930007B0 -:04179600116302E0F9 -:04179700460306D629 -:04179800069300179D -:041799001B6302A02C -:04179A0046D202D65B -:04179B0086130709A1 -:04179C00429400462D -:04179D00C163CA3228 -:04179E00C05402062B -:04179F000633A081EC -:0417A000E69340C0CC -:0417A100C45000260A -:0417A200B7E1C014D7 -:0417A300025686B3B1 -:0417A400871A4585D6 -:0417A500BFA596B294 -:0417A600B7C556FD70 -:0417A70022230705ED -:0417A8004581000473 -:0417A900452546810B -:0417AA00460342A907 -:0417AB00031300071D -:0417AC000613001709 -:0417AD007763FD065B -:0417AE00F1E106C59A -:0417AF000007458367 -:0417B000000184B7F9 -:0417B1008513460D49 -:0417B200C63AA68409 -:0417B300473221D1C7 -:0417B4008493CD014C -:0417B5008D05A68474 -:0417B6000400069392 -:0417B70000A696B33F -:0417B80007054008D9 -:0417B900C0088D5582 -:0417BA00000745835C -:0417BB00000185376D -:0417BC0005134619B2 -:0417BD000493A6C526 -:0417BE000C230017E1 -:0417BF00294900B400 -:0417C0000737C52DF5 -:0417C100071300000A -:0417C200E70D000728 -:0417C3004752401435 -:0417C4001006F69382 -:0417C5000711CE91A9 -:0417C6004858CA3A7B -:0417C700973E47A260 -:0417C800B599C858AF -:0417C900025686B38B -:0417CA00871A4585B0 -:0417CB00BFB596B25E -:0417CC00B7DD07215D -:0417CD004512460279 -:0417CE00000166B7F9 -:0417CF00869308589D -:0417D00085A2C0A688 -:0417D100000000977D -:0417D200000000E72C -:0417D30047A2C42A3B -:0417D40094E3577DC6 -:0417D5004782FCE764 -:0417D600D703557D63 -:0417D700771300C7BD -:0417D8001EE3040701 -:0417D9004848DC0799 -:0417DA004602BBD92F -:0417DB0066B7451296 -:0417DC0008580001A8 -:0417DD00C0A6869389 -:0417DE002A0585A2B1 -:0417DF001101BFC174 -:0417E0008332CA2660 -:0417E100459084B6F5 -:0417E200CC22499438 -:0417E300842ACE0680 -:0417E400D36387AE96 -:0417E50086B200C602 -:0417E60000D32023E9 -:0417E7000437C603FA -:0417E8000685C601AB -:0417E90000D32023E6 -:0417EA00F69343949B -:0417EB00C69102069B -:0417EC00000326834D -:0417ED002023068926 -:0417EE00A28300D3FF -:0417EF0083930007D9 -:0417F000F2930197D8 -:0417F10083630062AC -:0417F2004390060218 -:0417F3000437C6836E -:0417F4000206761360 -:0417F50000D036B337 -:0417F6008613EA412B -:0417F70085A6043788 -:0417F800C41A852268 -:0417F900C03AC23EF2 -:0417FA0056FD9702FF -:0417FB0006D50863A4 -:0417FC0043224792AB -:0417FD0043944511BB -:0417FE0000032603BB -:0417FF008A9947CCB0 -:041800004702430157 -:0418010000A6976343 -:0418020040C5833327 -:041803000003536328 -:0418040047944301C1 -:0418050054634B904D -:041806008E9100D6E9 -:041807004281933651 -:04180800126307E977 -:04180900450106533C -:04180A000285A81D8E -:04180B00260347D495 -:04180C008E910003B6 -:04180D00F8D2DBE34F -:04180E00861E468567 -:04180F00852285A603 -:04181000C63EC81AEE -:04181100C21EC41619 -:041812009702C03A3F -:04181300470256FD35 -:0418140042A2439217 -:04181500434247B251 -:04181600FCD519E301 -:0418170040F2557DC9 -:0418180044D2446210 -:041819008082610563 -:04181A0000D785B3BB -:04181B0003000513AE -:04181C0004A581A3FB -:04181D000457C58324 -:04181E000016861317 -:04181F000689963E62 -:0418200004B601A366 -:041821004685BF99A0 -:0418220085A6863ED3 -:04182300C61685223E -:04182400C23EC41AE2 -:041825009702C03A2C -:0418260001E356FD87 -:0418270042B2FCD5F8 -:04182800479243227E -:0418290047020285EB -:04182A001101BFAD3C -:04182B00842ECC2219 -:04182C004603C2327B -:04182D00CA26018442 -:04182E0084B6CE06A8 -:04182F000693C02A32 -:04183000859306E0B6 -:04183100086304350F -:04183200E4631ED677 -:04183300069306C64C -:041834000463063013 -:04183500ED630AD67F -:04183600046300C681 -:0418370006932006EE -:041838000C630580B8 -:04183900031316D6A9 -:04183A00012304245E -:04183B00A87904C4C0 -:04183C0006400693C9 -:04183D0000D6066368 -:04183E000690069377 -:04183F00FED615E3D9 -:04184000230340142A -:04184100F613000793 -:04184200051308067C -:04184300C249004353 -:0418440000032683F4 -:041845008637C30817 -:04184600D863000162 -:04184700071300067D -:0418480006B302D011 -:0418490001A340D0E7 -:04184A00061304E499 -:04184B004729A7463C -:04184C000693A07DE2 -:04184D000A630730F3 -:04184E00EF631AD654 -:04184F00069300C636 -:04185000006306F03B -:04185100069306D61E -:041852001EE307008A -:041853004014F8D66F -:041854000206E6930F -:04185500A809C0140A -:04185600075006939E -:0418570004D603634D -:04185800078006936C -:04185900F8D611E3C9 -:04185A00078006936A -:04185B0000018637CB -:04185C0004D402A30B -:04185D00A886061340 -:04185E004314A0FD92 -:04185F000424031347 -:0418600000468613A5 -:041861004298C310D6 -:0418620004E4012376 -:04186300AABD4705CE -:041864000406F6136D -:0418650000032683D3 -:04186600DE35C308A0 -:0418670086C106C26E -:041868004008BF9DD8 -:04186900729343141F -:04186A0083130805D7 -:04186B00866300464A -:04186C002023000233 -:04186D00429400673A -:04186E007513A80145 -:04186F002023040529 -:04187000D9750067BF -:041871000006D68314 -:0418720006F0051364 -:0418730000018737B2 -:041874000CA60D634E -:04187500A747061368 -:0418760001A347295A -:0418770040480404DD -:041878004863C408F5 -:041879002303000540 -:04187A0073130004E0 -:04187B002023FFB374 -:04187C00E299006489 -:04187D00CD11832ED8 -:04187E00F533832E8D -:04187F00137D02E6ED -:041880004503953255 -:04188100002300053B -:04188200D53300A3B7 -:04188300F26302E624 -:0418840046A10AE689 -:0418850000D71E6307 -:041886008B05401876 -:041887004054CB11ED -:041888004763481852 -:04188900071300D76A -:04188A000FA30300A5 -:04188B00137DFEE3E8 -:04188C00406585B37B -:04188D004692C80CAB -:04188E008726450262 -:04188F0085A2081016 -:04189000F0EFC41A97 -:04189100577DD3DFCD -:041892001563432275 -:04189300557D0CE58E -:04189400446240F278 -:04189500610544D2D3 -:0418960002A38082A7 -:04189700863704C4C8 -:041898000613000132 -:041899004008A74616 -:04189A00000723031D -:04189B000805729337 -:04189C00000326839C -:04189D00816303114F -:04189E0020230202FF -:04189F007713006754 -:0418A000C701001567 -:0418A10002056513C4 -:0418A2004741C008F2 -:0418A3004008F6B94A -:0418A400FDF57513C6 -:0418A500B791C0082F -:0418A6000405729330 -:0418A7000067202393 -:0418A800FC028FE3CC -:0418A90082C106C230 -:0418AA000613BFD989 -:0418AB004721A747E3 -:0418AC0086AAB72D24 -:0418AD004010B79997 -:0418AE00484843144F -:0418AF000806729322 -:0418B0000046831358 -:0418B1000002876347 -:0418B2000067202388 -:0418B300C30842988C -:0418B4002023A8093C -:0418B500761300673F -:0418B600429804064A -:0418B7001023DA6DB3 -:0418B800282300A73A -:0418B900832E000476 -:0418BA004314B7B963 -:0418BB0086134581CA -:0418BC00C31000460F -:0418BD000006A3037B -:0418BE00851A4050F7 -:0418BF002849C41AD6 -:0418C000C5014322F9 -:0418C1004065053346 -:0418C2004058C04882 -:0418C30001A3C8189D -:0418C400B71504044C -:0418C50045924814EC -:0418C600861A450237 -:0418C700577D948233 -:0418C800F2E507E35B -:0418C9008B0940182F -:0418CA004742EB1591 -:0418CB0051E3444859 -:0418CC00853AF2E582 -:0418CD004592BF3150 -:0418CE004685450204 -:0418CF00C63A861A75 -:0418D0009482C41A20 -:0418D10004E356FDD9 -:0418D2004732F0D5D4 -:0418D30007054322A0 -:0418D40046424454F0 -:0418D50040E38E91CD -:0418D600BFC1FED7B9 -:0418D70003134701AF -:0418D800B7FD0194C3 -:0418D900C2221151C5 -:0418DA006465C0265B -:0418DB00852E84AA28 -:0418DC002C23C406EF -:0418DD0020FDC00426 -:0418DE00166357FD39 -:0418DF00278300F566 -:0418E000C391C1846B -:0418E10040A2C09CC5 -:0418E20044824412E6 -:0418E30080820131CD -:0418E4000FF5F59374 -:0418E5001463962AC8 -:0418E600450100C5F3 -:0418E7004783808231 -:0418E8008DE3000587 -:0418E9000505FEB73C -:0418EA00F363B7FDF0 -:0418EB0086B304A517 -:0418EC007F6300C551 -:0418ED00459302D548 -:0418EE004781FFF639 -:0418EF00936317FDEB -:0418F000808200F5FD -:0418F10000F6873343 -:0418F20000074303A5 -:0418F30000C7873370 -:0418F4000023972A0C -:0418F500B7DD0067F4 -:0418F60000F587333F -:0418F700000746831D -:0418F80000F50733BD -:0418F900002307853C -:0418FA0017E300D719 -:0418FB008082FEF6F3 -:0418FC00BFE547817C -:0418FD0080828082E3 -:0418FE0085B2E58149 -:0418FF00F2EFF06FA5 -:04190000C8061131D3 -:04190100C426C62210 -:04190200F0EFEA1107 -:041903004781E72F02 -:04190400443240C267 -:04190500853E44A235 -:041906008082015189 -:04190700C032842E38 -:04190800203D84AA50 -:0419090087A2460269 -:04190A00FEC574E3BF -:04190B00852685B2F6 -:04190C00F0EFC23204 -:04190D0087AAEF8F27 -:04190E004612DD613F -:04190F00C02A85A2C3 -:04191000C8EFF0EF3D -:04191100852685A200 -:04191200E34FF0EFC0 -:04191300B7C9478287 -:04191400FFC5A783E1 -:04191500FFC7851370 -:041916000007D5638E -:04191700419C95AAB0 -:041918008082953EF6 -:04191900C422114192 -:04191A00278364615A -:04191B00C60665C4D3 -:04191C00832AC22632 -:04191D0065C4041386 -:04191E004501EB850F -:04191F0046014581B7 -:0419200047014681B4 -:041921000D600293C0 -:04192200000000734E -:041923005C6384AAD3 -:041924002881000511 -:04192500409004B337 -:04192600557DC10426 -:04192700442240B264 -:0419280001414492A3 -:04192900C0088082F0 -:04192A0045814008AB -:04192B00951A4601C2 -:04192C0047014681A8 -:04192D000293478159 -:04192E0000730D60D5 -:04192F0084AA000086 -:0419300000055963F2 -:041931002831C01A7F -:0419320004B34302B5 -:04193300C10440901B -:04193400401C54FD02 -:04193500933E557D0B -:04193600FC6492E3D8 -:04193700853EC00425 -:0419380067E1BF752F -:041939005D47A5035E -:04193A0000008082A7 -:04193B0000000000A8 -:04193C0000000000A7 -:04193D0000000000A6 -:04193E0000000000A5 -:04193F00FFFFFFFFA8 -:04194000FFFFFFFFA7 -:041941000000FFFFA4 -:041942000001052C6F -:041943000001053268 -:041944000001053861 -:041945000001053E5A -:04194600000104E0B8 -:041947000001050096 -:041948000001050095 -:041949000001050094 -:04194A000001050093 -:04194B000001050092 -:04194C000001050091 -:04194D00000105048C -:04194E000001050A85 -:04194F00000129BEAC -:0419500000012ABAAE -:0419510000012ABAAD -:0419520000012ACE98 -:0419530000012ACE97 -:0419540000012ADA8A -:0419550000012ADE85 -:0419560000012AEC76 -:0419570000012AEC75 -:0419580000012B045B -:0419590000012CCC91 -:04195A0000012CD28A -:04195B0000012CD289 -:04195C0000012CCC8E -:04195D0000012CD683 -:04195E0000012B0455 -:04195F0000012CCC8B -:0419600000012CD284 -:041961000000000181 -:041962000205030077 -:04196300000000027E -:04196400000166A078 -:04196500000166B067 -:04196600000166BC5A -:04196700000166C84D -:04196800000166D440 -:04196900000166E033 -:04196A00000166EC26 -:04196B00000166F819 -:04196C00000167040B -:04196D0000016710FE -:04196E000001671CF1 -:04196F0074204B4F46 -:041970006E69206F0D -:041971000000746995 -:04197200736C6146EB -:041973007274206505 -:0419740065676769D3 -:0419750000000072FC -:04197600656D6954DE -:041977000074756F14 -:04197800252E75257E -:041979006D75322E28 -:04197A0000000073F6 -:04197B00252E75257B -:04197C006D75322E25 -:04197D0075252F732A -:04197E00322E252EB2 -:04197F0000736D750F -:041980004353534F2B -:041981007766202045 -:041982007525202E79 -:04198300322E252EAD -:041984000000617589 -:0419850074696E49CA -:0419860072726520F4 -:041987002020726F3B -:0419880000006425D2 -:0419890020202020DA -:04198A0053204F4E49 -:04198B0000434E596E -:04198C003431303290 -:04198D003130322D96 -:04198E006D2020396F -:04198F00737172619D -:041990000000000053 -:041991000D80600065 -:0419920000402010E1 -:041993000804022022 -:0419940000004022ED -:04199500000000004E -:041996000DC0600020 -:0419970000783C1187 -:04199800070180E0E3 -:041999000000180E24 -:04199A000000000049 -:04199B000D8060005B -:04199C0000301804FB -:04199D0002014060A3 -:04199E00000014062B -:04199F000000000044 -:0419A0002520732566 -:0419A1000063257545 -:0419A200252E752554 -:0419A3006B75322E00 -:0419A40025207A4838 -:0419A5002E252E7548 -:0419A6007A487532D4 -:0419A700000000003C -:0419A800747365549B -:0419A90074617020D5 -:0419AA006E72657480 -:0419AB000000000038 -:0419AC003A31564135 -:0419AD00424752203B -:0419AE0000000053E2 -:0419AF003A31564132 -:0419B0007347522007 -:0419B10000000042F0 -:0419B2003A3156412F -:0419B3006250592005 -:0419B400000072506D -:0419B5003A3256412B -:0419B6006250592002 -:0419B700000072506A -:0419B8003A32564128 -:0419B90073475220FE -:0419BA0000000042E7 -:0419BB003A33564124 -:0419BC00424752202C -:0419BD000000564888 -:0419BE003A33564121 -:0419BF004247522029 -:0419C00000000053D0 -:0419C1003A3356411E -:0419C20073475220F5 -:0419C30000000042DE -:0419C4003A3356411B -:0419C50062505920F3 -:0419C600000072505B -:0419C7007473614C88 -:0419C80065737520AE -:0419C90000000064B6 -:0419CA000000000019 -:0419CB000000000117 -:0419CC000000000017 -:0419CD000000000016 +:041506000923479DD1 +:0415070047B500F4F0 +:0415080000F40A23BE +:0415090007B7B75D0C +:04150A000B6300026D +:04150B0007B70EF61A +:04150C0016E30004DE +:04150D000793DCF66E +:04150E00B77520305D +:04150F00004007B7DA +:041510000EF6096367 +:0415110002C7E063CA +:04151200001007B707 +:041513000EF600636D +:04151400002007B7F5 +:04151500DAF615E30A +:0415160016234791C0 +:04151700079300F442 +:04151800BF7D07800C +:04151900010007B70F +:04151A000CF60F6359 +:04151B00020007B70C +:04151C000EF6046360 +:04151D00008007B78C +:04151E00D8F613E305 +:04151F0020400793CE +:0415200000F416239A +:0415210009234791C2 +:0415220047F900F491 +:041523004671BF51FD +:0415240002C70733C0 +:041525000004162385 +:0415260096BA8B9551 +:0415270000A6D70340 +:041528008B7D4685EC +:0415290000E408A32F +:04152A0000D79B63E8 +:04152B00046446838B +:04152C004789CE9984 +:04152D0000F409239A +:04152E0007A3478543 +:04152F00D71100F4DC +:04153000541650A657 +:041531005486453265 +:0415320006C10113DA +:041533000723808288 +:04153400B7DD00F42B +:04153500000406A305 +:041536000793B52D35 +:041537001623201047 +:04153800B5B100F455 +:0415390030100793D4 +:04153A0000F4162380 +:04153B0009234799A0 +:04153C00BDE100F419 +:04153D0020100793E0 +:04153E0000F416237C +:04153F00BFC5479548 +:0415400010200793DD +:0415410000F4162379 +:041542000793B5C98D +:04154300BFC12020E4 +:0415440020200793C9 +:041545000793BDAD9E +:04154600BFF92020A9 +:04154700BD59470D36 +:0415480020300793B5 +:041549000793BF6DD8 +:04154A00B595203003 +:04154B0020300793B2 +:04154C000793B7E169 +:04154D001623204001 +:04154E00478D00F4D1 +:04154F0000F4092378 +:04155000028007937B +:041551000793BDF14E +:0415520016232040FC +:04155300479500F4C4 +:0415540000F4092373 +:04155500B5E947E1CC +:041556002040079397 +:0415570000F4162363 +:041558000923479983 +:0415590047D100F482 +:04155A001111BD6549 +:04155B00018517935C +:04155C00C826CA22B1 +:04155D0087E1CC0650 +:04155E0084AE842AA9 +:04155F000007DD6341 +:0415600005134581A9 +:0415610037D5077003 +:0415620000A101A340 +:04156300EE63478567 +:04156400741306A74F +:041565002E9507F4C4 +:041566004501459165 +:041567002E8126812A +:041568000184D79390 +:0415690000F102A3E8 +:04156A000104D7930E +:04156B0000F1032365 +:04156C000084D7938D +:04156D0000F103A3E3 +:04156E0000810223D3 +:04156F0000910423C0 +:041570000400071359 +:041571000950079383 +:0415720000E4096325 +:0415730004800713D6 +:041574001463478530 +:04157500079300E4F4 +:04157600459908701B +:0415770004A3004881 +:0415780024ED00F16D +:041579002EB1451535 +:04157A004585842AF5 +:04157B000031051323 +:04157C00078324F5C8 +:04157D00D663003100 +:04157E002E8D0007A7 +:04157F0006E34785B3 +:04158000E011FEF583 +:0415810045032641B7 +:0415820040E2003112 +:0415830044C24452C8 +:0415840080820171EF +:04158500FD810113D0 +:041586004581C02AB1 +:0415870004900513B4 +:04158800D022D20695 +:04158900F0EFCE268B +:04158A00C901F45F40 +:04158B005092450134 +:04158C0044F25402CF +:04158D0002810113C3 +:04158E00842A8082A9 +:04158F0026114515C7 +:04159000458584AA5F +:0415910000710513CD +:0415920047032C518E +:041593000793007149 +:0415940016630FF0DB +:041595002E1900F714 +:0415960004E347859E +:04159700E091FEF5EC +:0415980047032615CA +:041599000793007143 +:04159A0011E30FE06A +:04159B0045C9FCF74B +:04159C0024AD002852 +:04159D00C783478237 +:04159E00F7130047F8 +:04159F00CF1500273D +:0415A00000E145031E +:0415A10000F147838B +:0415A20001214703D9 +:0415A3000522890D87 +:0415A40047838D5D8F +:0415A500050A010131 +:0415A60000D1440329 +:0415A7008D5D83993A +:0415A8000111478363 +:0415A900883D831DD9 +:0415AA008B9907868C +:0415AB00943E8FD902 +:0415AC0014650505B8 +:0415AD00008515336D +:0415AE008B91BF9DC1 +:0415AF004503CB899C +:0415B000478301016B +:0415B10005220111FD +:0415B20047C58D5D3F +:0415B3004781B7CDE8 +:0415B400BFF145013D +:0415B500FDC1011360 +:0415B600CC2665D901 +:0415B70084AA462993 +:0415B8005E058593B4 +:0415B900D0060068F0 +:0415BA0024E1CE2238 +:0415BB00C03E478D5A +:0415BC002C2122C1FB +:0415BD0045A924A96F +:0415BE002AC90068CE +:0415BF00A02345819F +:0415C000051300040B +:0415C100F0EF040043 +:0415C2004785E65F14 +:0415C3001B63842AF8 +:0415C40005930EF588 +:0415C50005131AA050 +:0415C600F0EF0480BE +:0415C700C22AE51F30 +:0415C8000885176318 +:0415C9000028459120 +:0415CA0047032A5554 +:0415CB00479200A1A2 +:0415CC000CF71A639B +:0415CD0000B147031F +:0415CE000AA00793D5 +:0415CF000CF714639E +:0415D0003E80051341 +:0415D100241D2AFDAE +:0415D20019634785CD +:0415D30005B700F563 +:0415D40005134000BB +:0415D500F0EF0E9095 +:0415D600F575E15F67 +:0415D70047852C0117 +:0415D80002F50663AF +:0415D9002C39440164 +:0415DA0017FD478230 +:0415DB000FF7F7937C +:0415DC00CBD9C03E69 +:0415DD004785DC352D +:0415DE0000848223E0 +:0415DF008526C09C01 +:0415E000E95FF0EFE0 +:0415E100C488157D28 +:0415E200A0412A6991 +:0415E3000513458126 +:0415E400F0EF07A07D +:0415E500F579DD9F18 +:0415E6000028459103 +:0415E7004783228193 +:0415E8004431008109 +:0415E9000407F79369 +:0415EA004411FFDDCC +:0415EB004581BF6D0A +:0415EC000E90051345 +:0415ED00DB7FF0EFC1 +:0415EE00041007934B +:0415EF0000A465638C +:0415F0000793440910 +:0415F10005130E9040 +:0415F200C23E0FA046 +:0415F3002A792A9D8A +:0415F40017634785AD +:0415F500451200F5A6 +:0415F600F0EF45814C +:0415F700F965D91F9A +:0415F800E11122716A +:0415F9002A79440106 +:0415FA00051345810F +:0415FB00F0EF07B056 +:0415FC00C111D7DF63 +:0415FD00059344010D +:0415FE0005132000B1 +:0415FF00F0EF050004 +:04160000D13DD6DF23 +:04160100B78D44015C +:0416020020CDF43DC6 +:041603000014351387 +:04160400447250825A +:04160500011344E2A7 +:04160600808202419B +:041607001121451C4C +:04160800CA06C82224 +:04160900C22EC62601 +:04160A00440DC03A91 +:04160B0002C7E163CE +:04160C004783CF1928 +:04160D0084B600455A +:04160E00E3918BA138 +:04160F0085B2062674 +:0416100005100513A9 +:04161100D27FF0EFA5 +:041612004409C909B5 +:0416130085222045C7 +:04161400444240D23A +:04161500016144B279 +:0416160005138082B6 +:0416170020D5064094 +:0416180005134585EC +:04161900289D00B157 +:04161A0000B14703D1 +:04161B000FF0079332 +:04161C0000F716635A +:04161D00478528E5F0 +:04161E00FEF504E3EE +:04161F00470322213A +:04162000079300B17B +:0416210012E30FE0E1 +:041622004782FCF708 +:04162300202004136C +:041624008C1D8C0588 +:0416250080410442BA +:0416260085A6C48150 +:04162700283D450114 +:0416280045124582A0 +:0416290085A2282549 +:04162A00280D450141 +:04162B00BF7944013E +:04162C000006263757 +:04162D00019C05B760 +:04162E00000215376A +:04162F00A8060613F0 +:04163000CC058593CD +:041631000205051396 +:04163200CABFA06F1C +:0416330085AA862ED0 +:041634000002153764 +:041635000205051392 +:04163600D49FA06F2E +:0416370085AA862ECC +:041638000002153760 +:04163900020505138E +:04163A00D0DFA06FEE +:04163B0067658082DD +:04163C008907578340 +:04163D00F7F7F79331 +:04163E0083C107C29B +:04163F0088F71823ED +:041640000002173756 +:041641000EF720235D +:0416420067658082D6 +:041643008907578339 +:041644000807E79319 +:0416450088F71823E7 +:041646000002173750 +:041647000EF7202357 +:04164800363780822F +:0416490005B70029B8 +:04164A001537019CB3 +:04164B000613000280 +:04164C0085932E064E +:04164D000513CC05B0 +:04164E00A06F020582 +:04164F00F06FC39FD6 +:041650006765F73F94 +:04165100DF87278385 +:04165200679DE38528 +:04165300978787935B +:0416540002F5053363 +:04165500C406115165 +:04165600DEA72C23BC +:04165700FC6FA0EF95 +:04165800450140A266 +:041659008082013159 +:04165A008082450540 +:04165B00C40611515F +:04165C00FD6FA0EF8F +:04165D00A78367E513 +:04165E0040A2DF8740 +:04165F0000F535332A +:041660008082013152 +:04166100AC2367E56A +:041662008082DE079D +:0416630014634701C4 +:04166400450100E656 +:0416650007B38082C5 +:04166600070500E58F +:0416670000E586B361 +:041668000007C7832D +:04166900FFF6C6833F +:04166A00FED783E341 +:04166B0040D78533AC +:04166C00C7B38082FE +:04166D008B8D00A5BC +:04166E0000C5073379 +:04166F00478DE7813B +:0416700002C7E96361 +:04167100716387AA70 +:04167200C6830CE53A +:0416730007850005E2 +:041674008FA30585B6 +:04167500EAE3FED7CF +:041676008082FEE789 +:041677000005C68321 +:041678000585078558 +:04167900FED78FA366 +:04167A00FEE7EAE3BA +:04167B000111440213 +:04167C00769380825F +:04167D0087AA003503 +:04167E00C683CA91C4 +:04167F0007850005D6 +:041680008FA30585AA +:04168100F693FED707 +:04168200B7FD003779 +:04168300FFC7769394 +:04168400FE068613C5 +:0416850006C7F5633C +:04168600C0221171FC +:04168700A30349C0B0 +:04168800A383000533 +:04168900CBC000854D +:04168A00A0234D80CC +:04168B00A30300674E +:04168C00CF800045C6 +:04168D00A2234DC087 +:04168E00A2830067CC +:04168F00A30300C5EC +:041690008593010538 +:04169100CFC002457F +:04169200FFC5A403E9 +:041693000077A42315 +:041694000057A62332 +:041695000067A8231F +:0416960002478793ED +:04169700FE87AE23F9 +:04169800FAC7EEE3BC +:04169900F8D7F2E3A9 +:04169A0007914190E3 +:04169B00AE230591E4 +:04169C00BFCDFEC7F9 +:04169D0007914190E0 +:04169E00AE230591E1 +:04169F00EBE3FEC7B4 +:0416A000E4E3FED7AA +:0416A1008082F4E768 +:0416A200011380822E +:0416A300D6A6F7C10F +:0416A400DA86C13EE3 +:0416A500D8A267E17F +:0416A600DEBADCB616 +:0416A7007B07A48396 +:0416A8000005DC63FA +:0416A90008B00793EB +:0416AA00557DC09C0E +:0416AB00544650D67B +:0416AC00011354B61C +:0416AD0080820841EE +:0416AE0020800793FE +:0416AF0000F118230B +:0416B000CA2AC22A56 +:0416B100C199478113 +:0416B200FFF5879326 +:0416B300CC3EC63E25 +:0416B40057FD18B412 +:0416B5008526842ED4 +:0416B6001923004CA8 +:0416B700C03600F148 +:0416B80057FD2601B3 +:0416B90000F5556380 +:0416BA0008B00793DA +:0416BB00DC5DC09C96 +:0416BC0080234792AE +:0416BD00BF5D000706 +:0416BE00167DC605CA +:0416BF0007B3470125 +:0416C00086B300E508 +:0416C100C78300E5F6 +:0416C200C6830007D4 +:0416C3009663000624 +:0416C400046300D7E4 +:0416C500070500C74E +:0416C6008533F3FD78 +:0416C700808240D706 +:0416C80080824501D6 +:0416C900CA0987AA19 +:0416CA00C7030585C8 +:0416CB000785FFF59B +:0416CC008FA3167D55 +:0416CD00FB65FEE7D4 +:0416CE009363963E4E +:0416CF00808200C74E +:0416D0008FA3078558 +:0416D100BFD5FE077C +:0416D20087AA95AAA4 +:0416D30000B7856374 +:0416D4000007C70341 +:0416D5008533E70171 +:0416D600808240A727 +:0416D700B7FD0785CF +:0416D800A783C5D54A +:0416D9001151FFC5E7 +:0416DA00C406C2225E +:0416DB008413C0268E +:0416DC00D363FFC510 +:0416DD00943E000730 +:0416DE0000EF84AAEB +:0416DF00676515F036 +:0416E00083072783D2 +:0416E100EF81863AD5 +:0416E20000042223BB +:0416E30082872823AF +:0416E40040A24412CA +:0416E5004482852690 +:0416E600006F01315F +:0416E7007063141008 +:0416E800401402F4B4 +:0416E90000D40733EF +:0416EA0000E796631C +:0416EB0043DC439801 +:0416EC00C018973655 +:0416ED002823C05C92 +:0416EE00BFD9828658 +:0416EF0043D887BA9B +:0416F0007DE3C319BA +:0416F1004394FEE43C +:0416F20000D7863364 +:0416F30000861F63EB +:0416F40096B240105A +:0416F5008633C394E1 +:0416F6001BE300D71B +:0416F7004310FAC7DB +:0416F80096B243580B +:0416F900C3D8C394FB +:0416FA007563B765F8 +:0416FB0047B100C42F +:0416FC00BF79C09C56 +:0416FD0006B34010E0 +:0416FE00166300C4AB +:0416FF00431400D7B9 +:0417000096B2435802 +:04170100C058C014F8 +:04170200B759C3C050 +:04170300114180828E +:041704008493C226E2 +:0417050098F1003522 +:04170600C422C6062D +:0417070047B104A141 +:0417080004F4FB6387 +:04170900EA6344B19A +:04170A00C02A04B439 +:04170B000AD000EF11 +:04170C002683676564 +:04170D004782830785 +:04170E008307061334 +:04170F00E431843607 +:0417100004136465F5 +:0417110040188344B5 +:04171200853EEB0124 +:04171300C03E45810E +:041714007F8000EFE3 +:04171500C00847823F +:0417160085A6853EE1 +:0417170000EFC03EE1 +:04171800577D7EA0DB +:04171900156347828B +:04171A00473106E568 +:04171B00853EC398AC +:04171C0006B000EF24 +:04171D00D8E3A02944 +:04171E0047B1FA04D1 +:04171F004501C11CA3 +:04172000442240B26D +:0417210001414492AC +:041722004018808269 +:041723004E638F057D +:0417240045AD0207C6 +:0417250000E5F66382 +:04172600943AC01819 +:04172700A029C00431 +:041728009263405830 +:04172900C21802865A +:04172A0000EF853E09 +:04172B00051303108F +:04172C00071300B4EB +:04172D00996100447A +:04172E0040E507B3D8 +:04172F00943ED3F120 +:04173000C0188F0945 +:04173100C2D8BF75E6 +:0417320086A2B7C50F +:04173300BF854040EE +:041734000035041365 +:0417350003E39871C1 +:0417360005B3FC8576 +:04173700853E40A407 +:04173800279DC03EEB +:041739004782577D0F +:04173A00FAE51AE3CF +:04173B00A303BFBD88 +:04173C001111008502 +:04173D00CC06C826E8 +:04173E00C232CA22C7 +:04173F00E36384AE2E +:04174000D60308665E +:04174100771300C555 +:04174200CB3D48064D +:0417430048C882AA66 +:041744004080470D8D +:0417450002A70733BD +:041746004509498C7C +:0417470040B407B3F0 +:041748004433C03E28 +:04174900851302A75B +:04174A00953E0016B2 +:04174B0000A4736320 +:04174C007613842A62 +:04174D00C636400656 +:04174E008516C63DF9 +:04174F00C41685A295 +:04175000ECFFF0EFCB +:0417510046B242A2B8 +:04175200CD2D473121 +:04175300488C460276 +:04175400C42AC636A7 +:04175500C5FFF0EFED +:0417560000C4D603F2 +:0417570046B2432231 +:04175800B7F6761357 +:041759000806661305 +:04175A0000C496230E +:04175B00A8234782F6 +:04175C00C8C000649D +:04175D008C1D933E0E +:04175E000064A02360 +:04175F008336C48089 +:041760000066F363C9 +:0417610045928336F4 +:04176200861A40881B +:041763002701C01A80 +:041764004302449860 +:041765000733450100 +:04176600C49840677C +:04176700933A4098D9 +:041768000064A02356 +:041769008516A80D2C +:04176A00C4168622F9 +:04176B00832A2F0599 +:04176C0046B242A29D +:04176D00488CFD4562 +:04176E00C016851606 +:04176F00DA5FF0EF5E +:041770004731428239 +:0417710000E2A023CF +:0417720000C4D703D5 +:041773006713557D26 +:0417740096230407AD +:0417750040E200E46A +:0417760044C24452D3 +:0417770080820171FA +:0417780000C5D703CE +:04177900F7810113E0 +:04177A00DEA6C12204 +:04177B0001F10793DE +:04177C00C22AC306B4 +:04177D007713C02EF0 +:04177E0084B2080722 +:04177F00FF87F413D9 +:041780004998CB1D9C +:041781000593EB0DD4 +:04178200C636040063 +:04178300E03FF0EF64 +:0417840046B24782A0 +:04178500CB88C388C2 +:041786004712E91904 +:04178700557D47B194 +:04178800409AC31CA4 +:0417890054F6440AC4 +:04178A0008810113BE +:04178B00478280828F +:04178C00040007133B +:04178D000713CBD89B +:04178E000CA30200A6 +:04178F00071300E458 +:041790002A23030005 +:041791000D23000420 +:04179200CA3600E46F +:04179300061387268C +:041794004683025036 +:04179500C2990007EE +:041796000AC6986384 +:041797004097033341 +:0417980002030263E3 +:04179900451245822E +:04179A008626869A7F +:04179B00C61AC83A68 +:04179C00E7FFF0EF84 +:04179D00026356FD90 +:04179E0048541CD5BA +:04179F004742433248 +:0417A000C854969AF9 +:0417A1000007468374 +:0417A2001A06896337 +:0417A3000017049394 +:0417A4002023577D2A +:0417A50026230004F3 +:0417A600C058000423 +:0417A70000042423F3 +:0417A800040401A391 +:0417A90004042C23E5 +:0417AA000004C583EF +:0417AB00000187B7FB +:0417AC008513461546 +:0417AD002B7DBD478C +:0417AE000014871389 +:0417AF00E5394014C4 +:0417B0000106F61325 +:0417B1000613C6094C +:0417B20001A302008D +:0417B300F61304C461 +:0417B400C6090086DC +:0417B50002B0061365 +:0417B60004C401A3C3 +:0417B7000004C583E2 +:0417B80002A0061372 +:0417B90004C581637F +:0417BA0087264454E6 +:0417BB0045254581FA +:0417BC00460342A9F5 +:0417BD00031300070B +:0417BE0006130017F7 +:0417BF007963FD0647 +:0417C000C99506C5FC +:0417C100A805C4545F +:0417C200B7A10705BF +:0417C300000187B7E3 +:0417C400BD47861384 +:0417C50040C50633E2 +:0417C600153345058D +:0417C7008EC900C502 +:0417C80084BAC0140B +:0417C9004652B7517C +:0417CA00004605933D +:0417CB00CA2E4210D0 +:0417CC000206496365 +:0417CD004603C450BB +:0417CE000693000777 +:0417CF00116302E0C0 +:0417D000460306D6F0 +:0417D1000693001764 +:0417D2001B6302A0F3 +:0417D30046D202D622 +:0417D4008613070968 +:0417D50042940046F4 +:0417D600C163CA32EF +:0417D700C0540206F2 +:0417D8000633A081B3 +:0417D900E69340C093 +:0417DA00C4500026D1 +:0417DB00B7E1C0149E +:0417DC00025686B378 +:0417DD00871A45859D +:0417DE00BFA596B25B +:0417DF00B7C556FD37 +:0417E00022230705B4 +:0417E100458100043A +:0417E20045254681D2 +:0417E300460342A9CE +:0417E40003130007E4 +:0417E50006130017D0 +:0417E6007763FD0622 +:0417E700F1E106C561 +:0417E800000745832E +:0417E900000184B7C0 +:0417EA008513460D10 +:0417EB00C63ABDC479 +:0417EC00473221D18E +:0417ED008493CD0113 +:0417EE008D05BDC4E4 +:0417EF000400069359 +:0417F00000A696B306 +:0417F10007054008A0 +:0417F200C0088D5549 +:0417F3000007458323 +:0417F4000001853734 +:0417F5000513461979 +:0417F6000493BE0595 +:0417F7000C230017A8 +:0417F800294900B4C7 +:0417F9000737C52DBC +:0417FA0007130000D1 +:0417FB00E70D0007EF +:0417FC0047524014FC +:0417FD001006F69349 +:0417FE000711CE9170 +:0417FF004858CA3A42 +:04180000973E47A226 +:04180100B599C85875 +:04180200025686B351 +:04180300871A458576 +:04180400BFB596B224 +:04180500B7DD072123 +:04180600451246023F +:04180700000166B7BF +:041808008693085863 +:0418090085A2CEE600 +:04180A000000009743 +:04180B00000000E7F2 +:04180C0047A2C42A01 +:04180D0094E3577D8C +:04180E004782FCE72A +:04180F00D703557D29 +:04181000771300C783 +:041811001EE30407C7 +:041812004848DC075F +:041813004602BBD9F5 +:0418140066B745125C +:04181500085800016E +:04181600CEE6869301 +:041817002A0585A277 +:041818001101BFC13A +:041819008332CA2626 +:04181A00459084B6BB +:04181B00CC224994FE +:04181C00842ACE0646 +:04181D00D36387AE5C +:04181E0086B200C6C8 +:04181F0000D32023AF +:041820000437C603C0 +:041821000685C60171 +:0418220000D32023AC +:04182300F693439461 +:04182400C691020661 +:041825000003268313 +:0418260020230689EC +:04182700A28300D3C5 +:04182800839300079F +:04182900F29301979E +:04182A008363006272 +:04182B0043900602DE +:04182C000437C68334 +:04182D000206761326 +:04182E0000D036B3FD +:04182F008613EA41F1 +:0418300085A604374E +:04183100C41A85222E +:04183200C03AC23EB8 +:0418330056FD9702C5 +:0418340006D508636A +:041835004322479271 +:041836004394451181 +:041837000003260381 +:041838008A9947CC76 +:04183900470243011E +:04183A0000A697630A +:04183B0040C58333EE +:04183C0000035363EF +:04183D004794430188 +:04183E0054634B9014 +:04183F008E9100D6B0 +:041840004281933618 +:04184100126307E93E +:041842004501065303 +:041843000285A81D55 +:04184400260347D45C +:041845008E9100037D +:04184600F8D2DBE316 +:04184700861E46852E +:04184800852285A6CA +:04184900C63EC81AB5 +:04184A00C21EC416E0 +:04184B009702C03A06 +:04184C00470256FDFC +:04184D0042A24392DE +:04184E00434247B218 +:04184F00FCD519E3C8 +:0418500040F2557D90 +:0418510044D24462D7 +:04185200808261052A +:0418530000D785B382 +:041854000300051375 +:0418550004A581A3C2 +:041856000457C583EB +:0418570000168613DE +:041858000689963E29 +:0418590004B601A32D +:04185A004685BF9967 +:04185B0085A6863E9A +:04185C00C616852205 +:04185D00C23EC41AA9 +:04185E009702C03AF3 +:04185F0001E356FD4E +:0418600042B2FCD5BF +:041861004792432245 +:0418620047020285B2 +:041863001101BFAD03 +:04186400842ECC22E0 +:041865004603C23242 +:04186600CA26018409 +:0418670084B6CE066F +:041868000693C02AF9 +:04186900859306E07D +:04186A0008630435D6 +:04186B00E4631ED63E +:04186C00069306C613 +:04186D0004630630DA +:04186E00ED630AD646 +:04186F00046300C648 +:0418700006932006B5 +:041871000C6305807F +:04187200031316D670 +:041873000123042425 +:04187400A87904C487 +:041875000640069390 +:0418760000D606632F +:04187700069006933E +:04187800FED615E3A0 +:0418790023034014F1 +:04187A00F61300075A +:04187B000513080643 +:04187C00C24900431A +:04187D0000032683BB +:04187E008637C308DE +:04187F00D863000129 +:041880000713000644 +:0418810006B302D0D8 +:0418820001A340D0AE +:04188300061304E460 +:041884004729BE86AC +:041885000693A07DA9 +:041886000A630730BA +:04188700EF631AD61B +:04188800069300C6FD +:04188900006306F002 +:04188A00069306D6E5 +:04188B001EE3070051 +:04188C004014F8D636 +:04188D000206E693D6 +:04188E00A809C014D1 +:04188F000750069365 +:0418900004D6036314 +:041891000780069333 +:04189200F8D611E390 +:041893000780069331 +:041894000001863792 +:0418950004D402A3D2 +:04189600BFC60613B0 +:041897004314A0FD59 +:04189800042403130E +:04189900004686136C +:04189A004298C3109D +:04189B0004E401233D +:04189C00AABD470595 +:04189D000406F61334 +:04189E00000326839A +:04189F00DE35C30867 +:0418A00086C106C235 +:0418A1004008BF9D9F +:0418A20072934314E6 +:0418A300831308059E +:0418A4008663004611 +:0418A50020230002FA +:0418A6004294006701 +:0418A7007513A8010C +:0418A80020230405F0 +:0418A900D975006786 +:0418AA000006D683DB +:0418AB0006F005132B +:0418AC000001873779 +:0418AD000CA60D6315 +:0418AE00BE870613D8 +:0418AF0001A3472921 +:0418B00040480404A4 +:0418B1004863C408BC +:0418B2002303000507 +:0418B30073130004A7 +:0418B4002023FFB33B +:0418B500E299006450 +:0418B600CD11832E9F +:0418B700F533832E54 +:0418B800137D02E6B4 +:0418B900450395321C +:0418BA000023000502 +:0418BB00D53300A37E +:0418BC00F26302E6EB +:0418BD0046A10AE650 +:0418BE0000D71E63CE +:0418BF008B0540183D +:0418C0004054CB11B4 +:0418C1004763481819 +:0418C200071300D731 +:0418C3000FA303006C +:0418C400137DFEE3AF +:0418C500406585B342 +:0418C6004692C80C72 +:0418C7008726450229 +:0418C80085A20810DD +:0418C900F0EFC41A5E +:0418CA00577DD3DF94 +:0418CB00156343223C +:0418CC00557D0CE555 +:0418CD00446240F23F +:0418CE00610544D29A +:0418CF0002A380826E +:0418D000863704C48F +:0418D10006130001F9 +:0418D2004008BE8686 +:0418D30000072303E4 +:0418D40008057293FE +:0418D5000003268363 +:0418D6008163031116 +:0418D70020230202C6 +:0418D800771300671B +:0418D900C70100152E +:0418DA00020565138B +:0418DB004741C008B9 +:0418DC004008F6B911 +:0418DD00FDF575138D +:0418DE00B791C008F6 +:0418DF0004057293F7 +:0418E000006720235A +:0418E100FC028FE393 +:0418E20082C106C2F7 +:0418E3000613BFD950 +:0418E4004721BE8753 +:0418E50086AAB72DEB +:0418E6004010B7995E +:0418E7004848431416 +:0418E80008067293E9 +:0418E900004683131F +:0418EA00000287630E +:0418EB00006720234F +:0418EC00C308429853 +:0418ED002023A80903 +:0418EE007613006706 +:0418EF004298040611 +:0418F0001023DA6D7A +:0418F100282300A701 +:0418F200832E00043D +:0418F3004314B7B92A +:0418F4008613458191 +:0418F500C3100046D6 +:0418F6000006A30342 +:0418F700851A4050BE +:0418F8002849C41A9D +:0418F900C5014322C0 +:0418FA00406505330D +:0418FB004058C04849 +:0418FC0001A3C81864 +:0418FD00B715040413 +:0418FE0045924814B3 +:0418FF00861A4502FE +:04190000577D9482F9 +:04190100F2E507E321 +:041902008B094018F5 +:041903004742EB1557 +:0419040051E344481F +:04190500853AF2E548 +:041906004592BF3116 +:0419070046854502CA +:04190800C63A861A3B +:041909009482C41AE6 +:04190A0004E356FD9F +:04190B004732F0D59A +:04190C000705432266 +:04190D0046424454B6 +:04190E0040E38E9193 +:04190F00BFC1FED77F +:041910000313470175 +:04191100B7FD019489 +:04191200C22211518B +:041913006465C02621 +:04191400852E84AAEE +:041915002E23C406B3 +:0419160020FDDE04CE +:04191700166357FDFF +:04191800278300F52C +:04191900C391DFC4D3 +:04191A0040A2C09C8B +:04191B0044824412AC +:04191C008082013193 +:04191D000FF5F5933A +:04191E001463962A8E +:04191F00450100C5B9 +:0419200047838082F7 +:041921008DE300054D +:041922000505FEB702 +:04192300F363B7FDB6 +:0419240086B304A5DD +:041925007F6300C517 +:04192600459302D50E +:041927004781FFF6FF +:04192800936317FDB1 +:04192900808200F5C3 +:04192A0000F6873309 +:04192B00000743036B +:04192C0000C7873336 +:04192D000023972AD2 +:04192E00B7DD0067BA +:04192F0000F5873305 +:0419300000074683E3 +:0419310000F5073383 +:041932000023078502 +:0419330017E300D7DF +:041934008082FEF6B9 +:04193500BFE5478142 +:0419360080828082A9 +:0419370085B2E5810F +:04193800F2EFF06F6B +:04193900C80611319A +:04193A00C426C622D7 +:04193B00F0EFEA11CE +:04193C004781E72FC9 +:04193D00443240C22E +:04193E00853E44A2FC +:04193F008082015150 +:04194000C032842EFF +:04194100203D84AA17 +:0419420087A2460230 +:04194300FEC574E386 +:04194400852685B2BD +:04194500F0EFC232CB +:0419460087AAEF8FEE +:041947004612DD6106 +:04194800C02A85A28A +:04194900C8EFF0EF04 +:04194A00852685A2C7 +:04194B00E34FF0EF87 +:04194C00B7C947824E +:04194D00FFC5A783A8 +:04194E00FFC7851337 +:04194F000007D56355 +:04195000419C95AA77 +:041951008082953EBD +:04195200C422114159 +:04195300278364651D +:04195400C6068384BC +:04195500832AC226F9 +:04195600838404136F +:041957004501EB85D6 +:04195800460145817E +:04195900470146817B +:04195A000D60029387 +:04195B000000007315 +:04195C005C6384AA9A +:04195D0028810005D8 +:04195E00409004B3FE +:04195F00557DC104ED +:04196000442240B22B +:04196100014144926A +:04196200C0088082B7 +:041963004581400872 +:04196400951A460189 +:04196500470146816F +:041966000293478120 +:0419670000730D609C +:0419680084AA00004D +:0419690000055963B9 +:04196A002831C01A46 +:04196B0004B343027C +:04196C00C1044090E2 +:04196D00401C54FDC9 +:04196E00933E557DD2 +:04196F00FC6492E39F +:04197000853EC004EC +:0419710067E1BF75F6 +:041972007B07A50347 +:04197300000080826E +:04197400000000006F +:04197500000000006E +:04197600000000006D +:04197700000000006C +:04197800FFFFFFFF6F +:04197900FFFFFFFF6E +:04197A000000FFFF6B +:04197B000001052C36 +:04197C00000105322F +:04197D000001053828 +:04197E000001053E21 +:04197F00000104E07F +:04198000000105005D +:04198100000105005C +:04198200000105005B +:04198300000105005A +:041984000001050059 +:041985000001050058 +:041986000001050453 +:041987000001050A4C +:04198800000129E44D +:0419890000012AF43B +:04198A0000012AF43A +:04198B0000012B0824 +:04198C0000012B0823 +:04198D0000012B1416 +:04198E0000012B1811 +:04198F0000012B2602 +:0419900000012B2601 +:0419910000012B42E4 +:0419920000012D0A19 +:0419930000012D1012 +:0419940000012D1011 +:0419950000012D0A16 +:0419960000012D140B +:0419970000012B42DE +:0419980000012D0A13 +:0419990000012D100C +:04199A000000000148 +:04199B00020503003E +:04199C000000000245 +:04199D00000167845A +:04199E000001679449 +:04199F00000167A03C +:0419A000000167AC2F +:0419A100000167B822 +:0419A200000167C415 +:0419A300000167D008 +:0419A400000167DCFB +:0419A500000167E8EE +:0419A600000167F4E1 +:0419A70000016800D3 +:0419A80074204B4F0D +:0419A9006E69206FD4 +:0419AA00000074695C +:0419AB00736C6146B2 +:0419AC0072742065CC +:0419AD00656767699A +:0419AE0000000072C3 +:0419AF00656D6954A5 +:0419B0000074756FDB +:0419B100252E752545 +:0419B2006D75322EEF +:0419B30000000073BD +:0419B400252E752542 +:0419B5006D75322EEC +:0419B60075252F73F1 +:0419B700322E252E79 +:0419B80000736D75D6 +:0419B9004353534FF2 +:0419BA00776620200C +:0419BB007525202E40 +:0419BC00322E252E74 +:0419BD000000617550 +:0419BE0074696E4991 +:0419BF0072726520BB +:0419C0002020726F02 +:0419C1000000642599 +:0419C20020202020A1 +:0419C30053204F4E10 +:0419C40000434E5935 +:0419C5003431303257 +:0419C6003230322D5C +:0419C7006D2020303F +:0419C8007371726164 +:0419C900000000001A +:0419CA000D8060002C +:0419CB0000402010A8 +:0419CC0008040220E9 +:0419CD0000004022B4 :0419CE000000000015 -:0419CF000000010013 -:0419D0000101010010 -:0419D1000000010011 -:0419D2000000000011 -:0419D300010000000F -:0419D400060B0000FE -:0419D50001000044C9 -:0419D600000000000D -:0419D700000100000B -:0419D8008080000CFF -:0419D9001A1A1A803C -:0419DA0000000A08F7 -:0419DB0000012FA434 -:0419DC000001303A9C -:0419DD000001304293 -:0419DE0000013032A2 -:0419DF000001303E95 -:0419E000000130468C -:0419E100000130369B -:0419E2000001308C44 -:0419E3000001304A85 -:0419E4000001304E80 -:0419E500000130527B -:0419E6000001308C40 -:0419E7000001308C3F -:0419E8000001308C3E -:0419E9000001308C3D -:0419EA000001308C3C -:0419EB00000134A61D -:0419EC000001309630 -:0419ED000001318242 -:0419EE000001319231 -:0419EF00000131AC16 -:0419F000000131EAD7 -:0419F100000131CEF2 -:0419F20000013200BE -:0419F3000001330CB0 -:0419F4000001335E5D -:0419F500000133803A -:0419F600656E6F4467 -:0419F70000000000EC -:0419F8006C6961466F -:0419F9000000646521 -:0419FA00666F725052 -:0419FB002075252E00 -:0419FC000073392516 -:0419FD00756C3425AC -:0419FE0063256325D5 -:0419FF006C25202013 -:041A0000000000756D -:041A0100656E694C59 -:041A0200746C756D1E -:041A0300646F6D207F -:041A040000003A653F -:041A05007365727023 -:041A06002D312073EB -:041A070000000035A6 -:041A080020787525A8 -:041A090075736E750E -:041A0A00726F707017 -:041A0B00006465749A -:041A0C00666F72503F -:041A0D0020656C697B -:041A0E0064616F6C34 -:041A0F000000003A99 -:041A10007365727018 -:041A110075252073A4 -:041A12000075252D09 -:041A13000001691055 -:041A14000001691450 -:041A1500000169184B -:041A16000001691C46 -:041A17000001681C46 -:041A18000001692040 -:041A1900000169243B -:041A1A000001692836 -:041A1B000001692C31 -:041A1C000001743C15 -:041A1D00000169302B -:041A1E000001693822 -:041A1F000001693C1D -:041A20000001694414 -:041A2100000169480F -:041A22000001695006 -:041A230000016958FD -:041A240000016960F4 -:041A250000016968EB -:041A260000016978DA -:041A270000016988C9 -:041A280000016998B8 -:041A2900000169A8A7 -:041A2A00000169B896 -:041A2B00000169C885 -:041A2C00000169D07C -:041A2D00000169D873 -:041A2E003EA93E2966 -:041A2F003EE93E69E5 -:041A30003E993E1984 -:041A31003ED93E5903 -:041A32003EC93E3932 -:041A33003E1D3E4DC9 -:041A34003E2D3EED18 -:041A35003EAD3ECDB7 -:041A36003E653E6D5E -:041A37001C483E0108 -:041A38001C501C180A -:041A39001CC81CD0D9 -:041A3A005ED85E58BC -:041A3B0000003EB9B0 -:041A3C00736572500C -:041A3D000000007332 -:041A3E00666E6F431E -:041A3F00006D72695B -:041A40006D73694D0C -:041A41006863746101 -:041A42006572202C7D -:041A43000079727440 -:041A4400000000316D -:041A4500000000326B -:041A46000000003369 -:041A47000000003467 -:041A48000000003664 -:041A49000000003762 -:041A4A000000003860 -:041A4B00000000395E -:041A4C00554E454D61 -:041A4D000000000095 -:041A4E0000004B4FFA -:041A4F004B43414282 -:041A50000000000092 -:041A510000005055EC -:041A52004E574F4458 -:041A5300000000008F -:041A54005446454C63 -:041A5500000000008D -:041A56004847495262 -:041A57000000005437 -:041A58004F464E495E -:041A59000000000089 -:041A5A005F44434C56 -:041A5B004B43414276 -:041A5C004847494C62 -:041A5D000000005431 -:041A5E004E4143535F -:041A5F00454E494C5B -:041A6000444F4D5F43 -:041A6100000000453C -:041A62004E4143535B -:041A6300454E494C57 -:041A64005059545F22 -:041A65000000004538 -:041A66004E41435357 -:041A6700454E494C53 -:041A6800544E495F30 -:041A69000000002B4E -:041A6A004E41435353 -:041A6B00454E494C4F -:041A6C00544E495F2C -:041A6D000000002D48 -:041A6E00454E494C4C -:041A6F00544C554D31 -:041A7000444F4D5F33 -:041A7100000000452C -:041A72005341485044 -:041A730000002B45FF -:041A74005341485042 -:041A750000002D45FB -:041A7600464F525035 -:041A77005F454C4932 -:041A78004B544F4834 -:041A790000005945CB -:041A7A006F727245D0 -:041A7B0000000072F5 -:041A7C0053206F4E36 -:041A7D00616320443D -:041A7E00642064720A -:041A7F00002E74655C -:041A800061766E49D4 -:041A81002064696C08 -:041A820064616568CE -:041A83000000726588 -:041A840061766E49D0 -:041A85002064696C04 -:041A860020726468FE -:041A87000043524383 -:041A880061766E49CC -:041A89002064696C00 -:041A8A0061746164BE -:041A8B00435243205F -:041A8C000000000056 -:041A8D0061647055CB -:041A8E0063206574F8 -:041A8F0065636E61BC -:041A900064656C6CB1 -:041A91000000000051 -:041A920073616C46CA -:041A930065722068F0 -:041A94006520646104 -:041A95000000727269 -:041A960073616C46C6 -:041A970072652068EC -:041A980020657361F1 -:041A99000072726500 -:041A9A0073616C46C2 -:041A9B0072772068D6 -:041A9C0020657469E4 -:041A9D0000727265FC -:041A9E0073616C46BE -:041A9F0065762068E0 -:041AA00020666972E1 -:041AA1006C696166A5 -:041AA2000000000040 -:041AA30061766E49B1 -:041AA4002064696CE5 -:041AA50067616D699F -:041AA60000000065D7 -:041AA7004353534F03 -:041AA800000000003A -:041AA900696C6156AD -:041AAA006974616496 -:041AAB006420676EDE -:041AAC000061746100 -:041AAD006220752519 -:041AAE00736574796F -:041AAF000000000033 -:041AB000252E752545 -:041AB1002575322E37 -:041AB2000073257325 -:041AB30061647055A5 -:041AB400676E69747C -:041AB5000057462070 -:041AB60061656C708A -:041AB70077206573BC -:041AB8002E746961BE -:041AB90000002E2ECD -:041ABA006972655692 -:041ABB006E69796671 -:041ABC006C662067CD -:041ABD0000687361E9 -:041ABE0061656C7082 -:041ABF0072206573B9 -:041AC0006174736575 -:041AC100000074723B -:041AC2007274655283 -:041AC300676E697968 -:041AC40064707520B5 -:041AC50000657461E3 -:041AC6006164705592 -:041AC700203F6574E3 -:041AC8002C593D3127 -:041AC9004E3D32203C -:041ACA000000000018 -:041ACB006D72694689 -:041ACC006572617767 -:041ACD0064707520AC -:041ACE006465746176 -:041ACF000000000013 -:041AD00000013D468E -:041AD10000013D16BD -:041AD20000013CF0E3 -:041AD30000013D08C9 -:041AD40000013DF8D8 -:041AD50000013DF8D7 -:041AD60000013EBA13 -:041AD70000013EE0EC -:041AD80000013EECDF -:041AD90000013F28A1 -:041ADA0000013EFCCD -:041ADB0000013E0CBC -:041ADC0000013E0CBB -:041ADD0000013E5076 -:041ADE0000013E8A3B -:041ADF0000013E8A3A -:041AE00064206425F5 -:041AE10000000042BF -:041AE200252E752513 -:041AE3002075322E0A -:041AE4000000737516 -:041AE5006C207525D7 -:041AE60073656E694D -:041AE70000000000FB -:041AE8006C206F4EB1 -:041AE900006B6E69B7 -:041AEA0000018680F1 -:041AEB0000000002F5 -:041AEC0000013BF0CA -:041AED00000172C8BA -:041AEE00000172D4AD -:041AEF00000172DCA4 -:041AF00070207525C8 -:041AF1006C6578693F -:041AF200000000737D -:041AF30000018682E6 -:041AF4000000000EE0 -:041AF50000013C347C -:041AF600706D653C6E -:041AF700003E7974C0 -:041AF800203A7525F6 -:041AF9000000732551 -:041AFA002525752504 -:041AFB0000000000E7 -:041AFC00656469565E -:041AFD006E69206F7F -:041AFE006F72702073 -:041AFF003E20206302 -:041B000000000000E1 -:041B0100706D61534F -:041B0200676E696C35 -:041B030074706F206B -:041B04003E20202E31 -:041B050000000000DC -:041B0600636E79533E -:041B070074706F2067 -:041B08002020202E4B -:041B09003E2020203A -:041B0A0000000000D7 -:041B0B007074754F2E -:041B0C006F2074755D -:041B0D00202E7470A2 -:041B0E003E20202035 -:041B0F0000000000D2 -:041B10006E6163534C -:041B1100656E696C28 -:041B120074706F205C -:041B13003E20202E22 -:041B140000000000CD -:041B150074736F5026 -:041B16006F72702D4D -:041B170020202E63F9 -:041B18003E2020202B -:041B190000000000C8 -:041B1A00706D6F4338 -:041B1B006269746126 -:041B1C0074696C6913 -:041B1D003E202079CD -:041B1E0000000000C3 -:041B1F00696475413F -:041B2000706F206F53 -:041B21006E6F697406 -:041B22003E202073CE -:041B230000000000BE -:041B2400747465531D -:041B250073676E690B -:041B260074706F2048 -:041B27003E2020201C -:041B280000000000B9 -:041B2900616F4C3C60 -:041B2A007270206451 -:041B2B006C69666F0C -:041B2C00003E2065F2 -:041B2D007661533C4E -:041B2E00727020654C -:041B2F006C69666F08 -:041B3000003E2065EE -:041B31007365523C4A -:041B32007320746543 -:041B330069747465F8 -:041B34003E73676E27 -:041B350000000000AC -:041B36006B6E694C1D -:041B37006F72702039 -:041B3800693E2D666F -:041B39007475706EE1 -:041B3A0000000000A7 -:041B3B006B6E694C18 -:041B3C00706E69203E -:041B3D003E2D747550 -:041B3E00666F7270EC -:041B3F0000000000A2 -:041B400074696E490D -:041B4100206C61694A -:041B420075706E69E3 -:041B4300000000742A -:041B44006F74754104 -:041B450065746564FA -:041B4600692074633B -:041B47007475706ED3 -:041B48000000000099 -:041B49006F747541FF -:041B4A0031564120AF -:041B4B00472F5920A7 -:041B4C000000007322 -:041B4D006F747541FB -:041B4E0032564120AA -:041B4F00472F5920A3 -:041B5000000000731E -:041B51006F747541F7 -:041B520033564120A5 -:041B5300472F59209F -:041B5400000000731A -:041B55002044434C99 -:041B560074204C4269 -:041B57006F656D69E0 -:041B580000007475A0 -:041B59002044534F82 -:041B5A0062616E65F1 -:041B5B000000656CB5 -:041B5C002044534F7F -:041B5D0074617473C8 -:041B5E006420737517 -:041B5F002E70736908 -:041B60000000000081 -:041B6100706D493C1E -:041B62002074726F0A -:041B630074746573BE -:041B64003E20202ED1 -:041B6500000000007C -:041B66002E77463C54 -:041B67006470752011 -:041B6800206574611F -:041B69003E202020DA -:041B6A000000000077 -:041B6B006E776F44DE -:041B6C006D61732D07 -:041B6D006E696C70C1 -:041B6E00000000670C -:041B6F0070617753D7 -:041B700066656C201A -:041B710069722F74F2 -:041B7200007468672C -:041B73002D6572501A -:041B74002043444185 -:041B75006E696167CD +:0419CF000DC06000E7 +:0419D00000783C114E +:0419D100070180E0AA +:0419D2000000180EEB +:0419D3000000000010 +:0419D4000D80600022 +:0419D50000301804C2 +:0419D600020140606A +:0419D70000001406F2 +:0419D800000000000B +:0419D900252073252D +:0419DA00006325750C +:0419DB00252E75251B +:0419DC006B75322EC7 +:0419DD0025207A48FF +:0419DE002E252E750F +:0419DF007A4875329B +:0419E0000000000003 +:0419E1007473655462 +:0419E200746170209C +:0419E3006E72657447 +:0419E40000000000FF +:0419E5003A315641FC +:0419E6004247522002 +:0419E70000000053A9 +:0419E8003A315641F9 +:0419E90073475220CE +:0419EA0000000042B7 +:0419EB003A315641F6 +:0419EC0062505920CC +:0419ED000000725034 +:0419EE003A325641F2 +:0419EF0062505920C9 +:0419F0000000725031 +:0419F1003A325641EF +:0419F20073475220C5 +:0419F30000000042AE +:0419F4003A335641EB +:0419F50042475220F3 +:0419F600000056484F +:0419F7003A335641E8 +:0419F80042475220F0 +:0419F9000000005397 +:0419FA003A335641E5 +:0419FB0073475220BC +:0419FC0000000042A5 +:0419FD003A335641E2 +:0419FE0062505920BA +:0419FF000000725022 +:041A00007473614C4E +:041A01006573752074 +:041A0200000000647C +:041A030000000000DF +:041A040000000001DD +:041A050000000000DD +:041A060000000000DC +:041A070000000000DB +:041A080000000100D9 +:041A090001010100D6 +:041A0A0000000100D7 +:041A0B0000000000D7 +:041A0C0001000000D5 +:041A0D00060B0000C4 +:041A0E000000004490 +:041A0F0000000001D2 +:041A100001000000D1 +:041A110080000C0045 +:041A120080800A03C3 +:041A13001A1A1A8001 +:041A140000000A08BC +:041A150000012FE2BB +:041A16000001307823 +:041A1700000130801A +:041A18000001307029 +:041A19000001307C1C +:041A1A000001308413 +:041A1B000001307422 +:041A1C00000130CACB +:041A1D00000130880C +:041A1E000001308C07 +:041A1F000001309002 +:041A2000000130CAC7 +:041A2100000130CAC6 +:041A2200000130CAC5 +:041A2300000130CAC4 +:041A2400000130CAC3 +:041A2500000134E4A4 +:041A2600000130D4B7 +:041A2700000131C0C9 +:041A2800000131D0B8 +:041A2900000131EA9D +:041A2A00000132285D +:041A2B000001320C78 +:041A2C000001323E45 +:041A2D000001334A37 +:041A2E000001339CE4 +:041A2F00000133BEC1 +:041A3000656E6F442C +:041A310000000000B1 +:041A32006C69614634 +:041A330000006465E6 +:041A3400666F725017 +:041A35002075252EC5 +:041A360000733925DB +:041A3700756C342571 +:041A3800632563259A +:041A39006C252020D8 +:041A3A000000007533 +:041A3B00656E694C1F +:041A3C00746C756DE4 +:041A3D00646F6D2045 +:041A3E0000003A6505 +:041A3F0073657270E9 +:041A40002D312073B1 +:041A4100000000356C +:041A4200207875256E +:041A430075736E75D4 +:041A4400726F7070DD +:041A45000064657460 +:041A4600666F725005 +:041A470020656C6941 +:041A480064616F6CFA +:041A49000000003A5F +:041A4A0073657270DE +:041A4B00752520736A +:041A4C000075252DCF +:041A4D00000169F833 +:041A4E00000169FC2E +:041A4F0000016A0028 +:041A500000016A0423 +:041A51000001690423 +:041A520000016A081D +:041A530000016A0C18 +:041A540000016A1013 +:041A550000016A140E +:041A56000001757C9A +:041A570000016A1808 +:041A580000016A20FF +:041A590000016A24FA +:041A5A0000016A2CF1 +:041A5B0000016A30EC +:041A5C0000016A38E3 +:041A5D0000016A40DA +:041A5E0000016A48D1 +:041A5F0000016A50C8 +:041A600000016A60B7 +:041A610000016A70A6 +:041A620000016A8095 +:041A630000016A9084 +:041A640000016AA073 +:041A650000016AB062 +:041A660000016AB859 +:041A670000016AC050 +:041A68003EA93E292C +:041A69003EE93E69AB +:041A6A003E993E194A +:041A6B003ED93E59C9 +:041A6C003EC93E39F8 +:041A6D003E1D3E4D8F +:041A6E003E2D3EEDDE +:041A6F003EAD3ECD7D +:041A70003E653E6D24 +:041A71001C483E01CE +:041A72001C501C18D0 +:041A73001CC81CD09F +:041A74005ED85E5882 +:041A750000003EB976 +:041A760073657250D2 +:041A770000000073F8 +:041A7800666E6F43E4 +:041A7900006D726921 +:041A7A006D73694DD2 +:041A7B0068637461C7 +:041A7C006572202C43 +:041A7D000079727406 +:041A7E000000003133 +:041A7F000000003231 +:041A8000000000332F +:041A8100000000342D +:041A8200000000362A +:041A83000000003728 +:041A84000000003826 +:041A85000000003924 +:041A8600554E454D27 +:041A8700000000005B +:041A880000004B4FC0 +:041A89004B43414248 +:041A8A000000000058 +:041A8B0000005055B2 +:041A8C004E574F441E +:041A8D000000000055 +:041A8E005446454C29 +:041A8F000000000053 +:041A90004847495228 +:041A910000000054FD +:041A92004F464E4924 +:041A9300000000004F +:041A94005F44434C1C +:041A95004B4341423C +:041A96004847494C28 +:041A970000000054F7 +:041A98004E41435325 +:041A9900454E494C21 +:041A9A00444F4D5F09 +:041A9B000000004502 +:041A9C004E41435321 +:041A9D00454E494C1D +:041A9E005059545FE8 +:041A9F0000000045FE +:041AA0004E4143531D +:041AA100454E494C19 +:041AA200544E495FF6 +:041AA3000000002B14 +:041AA4004E41435319 +:041AA500454E494C15 +:041AA600544E495FF2 +:041AA7000000002D0E +:041AA800454E494C12 +:041AA900544C554DF7 +:041AAA00444F4D5FF9 +:041AAB0000000045F2 +:041AAC00534148500A +:041AAD0000002B45C5 +:041AAE005341485008 +:041AAF0000002D45C1 +:041AB000464F5250FB +:041AB1005F454C49F8 +:041AB2004B544F48FA +:041AB3000000594591 +:041AB4006F72724596 +:041AB50000000072BB +:041AB60053206F4EFC +:041AB7006163204403 +:041AB80064206472D0 +:041AB900002E746522 +:041ABA0061766E499A +:041ABB002064696CCE +:041ABC006461656894 +:041ABD00000072654E +:041ABE0061766E4996 +:041ABF002064696CCA +:041AC00020726468C4 +:041AC1000043524349 +:041AC20061766E4992 +:041AC3002064696CC6 +:041AC4006174616484 +:041AC5004352432025 +:041AC600000000001C +:041AC7006164705591 +:041AC80063206574BE +:041AC90065636E6182 +:041ACA0064656C6C77 +:041ACB000000000017 +:041ACC0073616C4690 +:041ACD0065722068B6 +:041ACE0065206461CA +:041ACF00000072722F +:041AD00073616C468C +:041AD10072652068B2 +:041AD20020657361B7 +:041AD30000727265C6 +:041AD40073616C4688 +:041AD500727720689C +:041AD60020657469AA +:041AD70000727265C2 +:041AD80073616C4684 +:041AD90065762068A6 +:041ADA0020666972A7 +:041ADB006C6961666B +:041ADC000000000006 +:041ADD0061766E4977 +:041ADE002064696CAB +:041ADF0067616D6965 +:041AE000000000659D +:041AE1004353534FC9 +:041AE2000000000000 +:041AE300696C615673 +:041AE400697461645C +:041AE5006420676EA4 +:041AE60000617461C6 +:041AE70062207525DF +:041AE8007365747935 +:041AE90000000000F9 +:041AEA00252E75250B +:041AEB002575322EFD +:041AEC0000732573EB +:041AED00616470556B +:041AEE00676E697442 +:041AEF000057462036 +:041AF00061656C7050 +:041AF1007720657382 +:041AF2002E74696184 +:041AF30000002E2E93 +:041AF4006972655658 +:041AF5006E69796637 +:041AF6006C66206793 +:041AF70000687361AF +:041AF80061656C7048 +:041AF900722065737F +:041AFA00617473653B +:041AFB000000747201 +:041AFC007274655249 +:041AFD00676E69792E +:041AFE00647075207B +:041AFF0000657461A9 +:041B00006164705557 +:041B0100203F6574A8 +:041B02002C593D31EC +:041B03004E3D322001 +:041B040000000000DD +:041B05006D7269464E +:041B0600657261772C +:041B07006470752071 +:041B0800646574613B +:041B090000000000D8 +:041B0A0000013DD6C3 +:041B0B0000013DA6F2 +:041B0C0000013D8017 +:041B0D0000013D98FE +:041B0E0000013E880C +:041B0F0000013E880B +:041B100000013F4A47 +:041B110000013F7020 +:041B120000013F7C13 +:041B130000013FB8D6 +:041B140000013F8C01 +:041B150000013E9CF1 +:041B160000013E9CF0 +:041B170000013EE0AB +:041B180000013F1A6F +:041B190000013F1A6E +:041B1A00702075259D +:041B1B006C65786914 +:041B1C000000007352 +:041B1D006C2075259E +:041B1E0073656E6914 +:041B1F0000000000C2 +:041B200064206425B4 +:041B2100000000427E +:041B2200252E7525D2 +:041B23002075322EC9 +:041B240000007375D5 +:041B25006C206F4E73 +:041B2600006B6E6979 +:041B27000001885CD5 +:041B280000000002B7 +:041B290000013C80FB +:041B2A00000174083A +:041B2B00000174142D +:041B2C000001741C24 +:041B2D000001885ECD +:041B2E000000000EA5 +:041B2F0000013CC4B1 +:041B3000706D653C33 +:041B3100003E797485 +:041B3200203A7525BB +:041B33000000732516 +:041B3400202020202D +:041B35000000642523 +:041B360025257525C7 +:041B370000000000AA +:041B38006564695621 +:041B39006E69206F42 +:041B3A006F72702036 +:041B3B003E202063C5 +:041B3C0000000000A5 +:041B3D00706D615313 +:041B3E00676E696CF9 +:041B3F0074706F202F +:041B40003E20202EF5 +:041B410000000000A0 +:041B4200636E795302 +:041B430074706F202B +:041B44002020202E0F +:041B45003E202020FE +:041B4600000000009B +:041B47007074754FF2 +:041B48006F20747521 +:041B4900202E747066 +:041B4A003E202020F9 +:041B4B000000000096 +:041B4C006E61635310 +:041B4D00656E696CEC +:041B4E0074706F2020 +:041B4F003E20202EE6 +:041B50000000000091 +:041B510074736F50EA +:041B52006F72702D11 +:041B530020202E63BD +:041B54003E202020EF +:041B5500000000008C +:041B5600706D6F43FC +:041B570062697461EA +:041B580074696C69D7 +:041B59003E20207991 +:041B5A000000000087 +:041B5B006964754103 +:041B5C00706F206F17 +:041B5D006E6F6974CA +:041B5E003E20207392 +:041B5F000000000082 +:041B600074746553E1 +:041B610073676E69CF +:041B620074706F200C +:041B63003E202020E0 +:041B6400000000007D +:041B6500616F4C3C24 +:041B66007270206415 +:041B67006C69666FD0 +:041B6800003E2065B6 +:041B69007661533C12 +:041B6A007270206510 +:041B6B006C69666FCC +:041B6C00003E2065B2 +:041B6D007365523C0E +:041B6E007320746507 +:041B6F0069747465BC +:041B70003E73676EEB +:041B71000000000070 +:041B72006B6E694CE1 +:041B73006F727020FD +:041B7400693E2D6633 +:041B75007475706EA5 :041B7600000000006B -:041B77006C6C7546D7 -:041B7800205854207D -:041B790075746573A7 -:041B7A0000000070F7 -:041B7B00203356417C -:041B7C0065746E69B5 -:041B7D0063616C72C2 -:041B7E0078696665B7 -:041B7F000000000062 -:041B80002033564177 -:041B810020657375F3 -:041B82002031564177 -:041B83000042475283 -:041B840061666544ED -:041B850020746C75E7 -:041B8600494D444839 -:041B87004349562058 -:041B88000000000059 -:041B8900616E6150D8 -:041B8A00696E6F739E -:041B8B00616820630A -:041B8C0000006B6387 -:041B8D0069726F48C2 -:041B8E00746E6F7A88 -:041B8F006D206C61F8 -:041B9000006B736112 -:041B910074726556AF -:041B92006C616369B6 -:041B930073616D20ED -:041B94000000006BE2 -:041B95006B73614DC0 -:041B96006C6F6320ED -:041B97000000726F69 -:041B98006B73614DBD -:041B990069726220EB -:041B9A006E74686796 -:041B9B0000737365FB -:041B9C0065766552B3 -:041B9D0020657372DA -:041B9E000046504C61 -:041B9F005949443C20 -:041BA00074616C20E0 -:041BA1006574202E19 -:041BA200003E74731A -:041BA3006E616353B9 -:041BA400656E696C95 -:041BA50000000073C9 -:041BA600202E6C532E -:041BA700657274737C -:041BA8006874676E88 -:041BA9000000000038 -:041BAA00202E6C532A -:041BAB007262796881 -:041BAC0073206469D5 -:041BAD00002E727420 -:041BAE00202E6C5326 -:041BAF006874656D84 -:041BB0000000646F5E -:041BB100202E6C5323 -:041BB20065746C6189 -:041BB30074616E7279 -:041BB40000676E69EF -:041BB500202E6C531F -:041BB60067696C618E -:041BB7006E656D6E7C -:041BB80000000074B5 -:041BB900202E6C531B -:041BBA0020746C61C6 -:041BBB0065746E6976 -:041BBC006C61767270 -:041BBD000000000024 -:041BBE00202E6C5316 -:041BBF006570797460 +:041B77006B6E694CDC +:041B7800706E692002 +:041B79003E2D747514 +:041B7A00666F7270B0 +:041B7B000000000066 +:041B7C0074696E49D1 +:041B7D00206C61690E +:041B7E0075706E69A7 +:041B7F0000000074EE +:041B80006F747541C8 +:041B810065746564BE +:041B820069207463FF +:041B83007475706E97 +:041B8400000000005D +:041B85006F747541C3 +:041B86003156412073 +:041B8700472F59206B +:041B880000000073E6 +:041B89006F747541BF +:041B8A00325641206E +:041B8B00472F592067 +:041B8C0000000073E2 +:041B8D006F747541BB +:041B8E003356412069 +:041B8F00472F592063 +:041B900000000073DE +:041B91002044434C5D +:041B920074204C422D +:041B93006F656D69A4 +:041B94000000747564 +:041B95002044534F46 +:041B960062616E65B5 +:041B97000000656C79 +:041B98002044534F43 +:041B9900746174738C +:041B9A0064207375DB +:041B9B002E707369CC +:041B9C000000000045 +:041B9D00706D493CE2 +:041B9E002074726FCE +:041B9F007474657382 +:041BA0003E20202E95 +:041BA1000000000040 +:041BA2002E77463C18 +:041BA30064707520D5 +:041BA40020657461E3 +:041BA5003E2020209E +:041BA600000000003B +:041BA7006E776F44A2 +:041BA8006D61732DCB +:041BA9006E696C7085 +:041BAA0000000067D0 +:041BAB00706177539B +:041BAC0066656C20DE +:041BAD0069722F74B6 +:041BAE0000746867F0 +:041BAF002D657250DE +:041BB0002043444149 +:041BB1006E69616791 +:041BB200000000002F +:041BB3006C6C75469B +:041BB4002058542041 +:041BB500757465736B +:041BB60000000070BB +:041BB7002033564140 +:041BB80065746E6979 +:041BB90063616C7286 +:041BBA00786966657B +:041BBB000000000026 +:041BBC00203356413B +:041BBD0020657375B7 +:041BBE002E746C61B4 +:041BBF004247522027 :041BC0000000000021 -:041BC1004320203C61 -:041BC2006F74737554 -:041BC3006C53206DD2 -:041BC4003E20202E71 +:041BC10061666544B0 +:041BC20020746C75AA +:041BC300494D4448FC +:041BC400434956201B :041BC500000000001C -:041BC6007030343215 -:041BC7003838322F49 -:041BC80072702070A7 -:041BC9000000636F46 -:041BCA007034383308 -:041BCB003030342F53 -:041BCC0072702070A3 -:041BCD000000636F42 -:041BCE00693038340E -:041BCF003637352F41 -:041BD00072702069A6 -:041BD1000000636F3E -:041BD2007030383403 -:041BD3003637352F3D -:041BD400727020709B -:041BD5000000636F3A -:041BD6006930363903 -:041BD7003830312F42 -:041BD80070206930E0 -:041BD90000636F72C4 -:041BDA00656E694C7F -:041BDB006D207832CF -:041BDC000065646FCD -:041BDD00656E694C7C -:041BDE006D207833CB -:041BDF000065646FCA -:041BE000656E694C79 -:041BE1006D207834C7 -:041BE2000065646FC7 -:041BE300656E694C76 -:041BE4006D207835C3 -:041BE5000065646FC4 -:041BE600656E694C73 -:041BE70066207835C7 -:041BE800616D726F4A -:041BE9000000007484 -:041BEA0078363532E2 -:041BEB002030343240 -:041BEC00657073614C -:041BED00000074631D -:041BEE006D205854BA -:041BEF000065646FBA -:041BF000494D4448CF -:041BF10043544920F0 -:041BF20000000000EF -:041BF3006C616E4172 -:041BF4007320676F84 -:041BF50020636E7982 -:041BF6000046504C09 -:041BF7006C616E416E -:041BF8007320676F80 -:041BF90020636E797E -:041BFA0000687456B5 -:041BFB006E79734844 -:041BFC006F7420637F -:041BFD006172656C40 -:041BFE000065636EAD -:041BFF006E79735632 -:041C00006874206381 -:041C0100687365722D -:041C020000646C6F9F -:041C03004C502D48CC -:041C04007250204CAE -:041C05006F432D6597 -:041C06000074736192 -:041C07004C502D48C8 -:041C08006F50204CAD -:041C0900432D747380 -:041C0A007473616F1F -:041C0B0000000000D5 -:041C0C0070303834C8 -:041C0D00206E6920BC -:041C0E00706D617321 -:041C0F000072656C8E -:041C100070303034CC -:041C1100206E6920B8 -:041C1200706D61731D -:041C13000072656C8A -:041C14006F6C6C4144 -:041C1500565420778A -:041C160050482050C2 -:041C170078324C4C87 -:041C180000000000C8 -:041C19006F6C6C413F -:041C1A00707520774A -:041C1B00706D617314 -:041C1C007832656C49 -:041C1D0000000000C3 -:041C1E007664413C6B -:041C1F006974202E96 -:041C2000676E696D15 -:041C21003E20202021 -:041C220000000000BE -:041C23006564695635 -:041C2400504C206F91 -:041C25000000004675 -:041C2600506250595F -:041C27006E69207250 -:041C28006C6F43207A -:041C29000061705393 -:041C2A0072502F5273 -:041C2B0066666F205A -:041C2C000074657368 -:041C2D0020592F47C4 -:041C2E007366666F04 -:041C2F0000007465D8 -:041C300062502F428D -:041C310066666F2054 -:041C32000074657362 -:041C330072502F526A -:041C3400696167205B -:041C35000000006E3D -:041C360020592F47BB -:041C37006E6961670A -:041C380000000000A8 -:041C390062502F4284 -:041C3A006961672055 -:041C3B000000006E37 -:041C3C002D65725050 -:041C3D0020434441BB -:041C3E006E69614723 -:041C3F0000000000A1 -:041C40002D62755349 -:041C4100656E696CF7 -:041C420073203120BA -:041C430000007274B7 -:041C44002D62755345 -:041C4500656E696CF3 -:041C460073203220B5 -:041C470000007274B3 -:041C48002D62755341 -:041C4900656E696CEF -:041C4A0073203320B0 -:041C4B0000007274AF -:041C4C002D6275533D -:041C4D00656E696CEB -:041C4E0073203420AB -:041C4F0000007274AB -:041C50002D62755339 -:041C5100656E696CE7 -:041C520073203520A6 -:041C530000007274A7 -:041C54002D62755335 -:041C5500756C6F63D8 -:041C560031206E6D5E -:041C57007274732010 -:041C58000000000088 -:041C59002D62755330 -:041C5A00756C6F63D3 -:041C5B0032206E6D58 -:041C5C00727473200B -:041C5D000000000083 -:041C5E002D6275532B -:041C5F00756C6F63CE -:041C600033206E6D52 -:041C61007274732006 -:041C6200000000007E -:041C63002D62755326 -:041C6400756C6F63C9 -:041C650034206E6D4C -:041C66007274732001 -:041C67000000000079 -:041C68002D62755321 -:041C6900756C6F63C4 -:041C6A0035206E6D46 -:041C6B0072747320FC -:041C6C000000000074 -:041C6D002D6275531C -:041C6E00756C6F63BF -:041C6F0036206E6D40 -:041C700072747320F7 -:041C7100000000006F -:041C720073202E4865 -:041C73006C706D61C3 -:041C740074617265C0 -:041C75000000006506 -:041C760073202E4861 -:041C77007461722EF4 -:041C7800646120651E -:041C79000000006AFD -:041C7A0073202E485D -:041C7B006C636E79AF -:041C7C0000006E6591 -:041C7D0062202E486B -:041C7E00706B6361C3 -:041C7F006863726FB5 +:041BC600616E61509B +:041BC700696E6F7361 +:041BC80061682063CD +:041BC90000006B634A +:041BCA0069726F4885 +:041BCB00746E6F7A4B +:041BCC006D206C61BB +:041BCD00006B7361D5 +:041BCE007472655672 +:041BCF006C61636979 +:041BD00073616D20B0 +:041BD1000000006BA5 +:041BD2006B73614D83 +:041BD3006C6F6320B0 +:041BD4000000726F2C +:041BD5006B73614D80 +:041BD60069726220AE +:041BD7006E74686759 +:041BD80000737365BE +:041BD9006576655276 +:041BDA00206573729D +:041BDB000046504C24 +:041BDC005949443CE3 +:041BDD0074616C20A3 +:041BDE006574202EDC +:041BDF00003E7473DD +:041BE0006E6163537C +:041BE100656E696C58 +:041BE200000000738C +:041BE300202E6C53F1 +:041BE400657274733F +:041BE5006874676E4B +:041BE60000000000FB +:041BE700202E6C53ED +:041BE8007262796844 +:041BE9007320646998 +:041BEA00002E7274E3 +:041BEB00202E6C53E9 +:041BEC006874656D47 +:041BED000000646F21 +:041BEE00202E6C53E6 +:041BEF0065746C614C +:041BF00074616E723C +:041BF10000676E69B2 +:041BF200202E6C53E2 +:041BF30067696C6151 +:041BF4006E656D6E3F +:041BF5000000007478 +:041BF600202E6C53DE +:041BF70020746C6189 +:041BF80065746E6939 +:041BF9006C61767233 +:041BFA0000000000E7 +:041BFB00202E6C53D9 +:041BFC006570797423 +:041BFD0000000000E4 +:041BFE004320203C24 +:041BFF006F74737517 +:041C00006C53206D94 +:041C01003E20202E33 +:041C020000000000DE +:041C030070303432D7 +:041C04003838322F0B +:041C05007270207069 +:041C06000000636F08 +:041C070070343833CA +:041C08003030342F15 +:041C09007270207065 +:041C0A000000636F04 +:041C0B0069303834D0 +:041C0C003637352F03 +:041C0D007270206968 +:041C0E000000636F00 +:041C0F0070303834C5 +:041C10003637352FFF +:041C1100727020705D +:041C12000000636FFC +:041C130069303639C5 +:041C14003830312F04 +:041C150070206930A2 +:041C160000636F7286 +:041C1700656E694C41 +:041C18006D20783291 +:041C19000065646F8F +:041C1A00656E694C3E +:041C1B006D2078338D +:041C1C000065646F8C +:041C1D00656E694C3B +:041C1E006D20783489 +:041C1F000065646F89 +:041C2000656E694C38 +:041C21006D20783585 +:041C22000065646F86 +:041C2300656E694C35 +:041C24006620783589 +:041C2500616D726F0C +:041C26000000007446 +:041C270078363532A4 +:041C28002030343202 +:041C2900657073610E +:041C2A0000007463DF +:041C2B006D2058547C +:041C2C000065646F7C +:041C2D00494D444891 +:041C2E0043544920B2 +:041C2F0000000000B1 +:041C30006C616E4134 +:041C31007320676F46 +:041C320020636E7944 +:041C33000046504CCB +:041C34006C616E4130 +:041C35005320676F62 +:041C36004C204354A7 +:041C37000000465013 +:041C38006C616E412C +:041C39007320676F3E +:041C3A0020636E793C +:041C3B000068745673 +:041C3C006E79734802 +:041C3D006F7420633D +:041C3E006172656CFE +:041C3F000065636E6B +:041C40006E797356F0 +:041C41006874206340 +:041C420068736572EC +:041C430000646C6F5E +:041C44004C502D488B +:041C45007250204C6D +:041C46006F432D6556 +:041C47000074736151 +:041C48004C502D4887 +:041C49006F50204C6C +:041C4A00432D74733F +:041C4B007473616FDE +:041C4C000000000094 +:041C4D007030383487 +:041C4E00206E69207B +:041C4F00706D6173E0 +:041C50000072656C4D +:041C5100703030348B +:041C5200206E692077 +:041C5300706D6173DC +:041C54000072656C49 +:041C55006F6C6C4103 +:041C56005654207749 +:041C57005048205081 +:041C580078324C4C46 +:041C59000000000087 +:041C5A006F6C6C41FE +:041C5B007075207709 +:041C5C00706D6173D3 +:041C5D007832656C08 +:041C5E000000000082 +:041C5F007664413C2A +:041C60006974202E55 +:041C6100676E696DD4 +:041C62003E202020E0 +:041C6300000000007D +:041C640065646956F4 +:041C6500504C206F50 +:041C66000000004634 +:041C6700506250591E +:041C68006E6920720F +:041C69006C6F432039 +:041C6A000061705352 +:041C6B0072502F5232 +:041C6C0066666F2019 +:041C6D000074657327 +:041C6E0020592F4783 +:041C6F007366666FC3 +:041C70000000746597 +:041C710062502F424C +:041C720066666F2013 +:041C73000074657321 +:041C740072502F5229 +:041C7500696167201A +:041C76000000006EFC +:041C770020592F477A +:041C78006E696167C9 +:041C79000000000067 +:041C7A0062502F4243 +:041C7B006961672014 +:041C7C000000006EF6 +:041C7D002D6572500F +:041C7E00204344417A +:041C7F006E696147E2 :041C80000000000060 -:041C810061202E4868 -:041C820076697463A8 -:041C830000000065F8 -:041C840073202E5645 -:041C85006C636E79A5 -:041C860000006E6587 -:041C870062202E5653 -:041C8800706B6361B9 -:041C89006863726FAB -:041C8A000000000056 -:041C8B0061202E5650 -:041C8C00766974639E -:041C8D0000000065EE -:041C8E00706D6153C1 -:041C8F00676E696CA7 -:041C900061687020F7 -:041C91000000657377 -:041C920063616C42DC -:041C93000000006BE2 -:041C940065756C42C4 -:041C9500000000004B -:041C960065657247C7 -:041C97000000006EDB -:041C98006E617943BD -:041C99000000000047 -:041C9A00006465522B -:041C9B006567614DCB -:041C9C000061746E01 -:041C9D006C6C6559AD -:041C9E000000776F5C -:041C9F0074696857A5 -:041CA00000000065DB -:041CA1000066664F24 -:041CA20072727543A2 -:041CA30020746E65D6 -:041CA40075706E6980 -:041CA50000000074C7 -:041CA600206C6C4101 -:041CA70075706E697D -:041CA8000000737451 -:041CA90042734752E9 -:041CAA000000000036 -:041CAB0050625059DA -:041CAC0000000072C2 -:041CAD00000073328E -:041CAE00000073358A -:041CAF00007330315D -:041CB000000073338A -:041CB1000073303359 -:041CB2002D706F54CE -:041CB3007466656C82 -:041CB400000000002C -:041CB500746E6543A1 -:041CB6000000726553 -:041CB70074746F4290 -:041CB800722D6D6FAD -:041CB900746867697B +:041C81006D616C43E2 +:041C82004C412F7032 +:041C8300666F204325 +:041C840074657366AA +:041C8500000000005B +:041C860020434C416A +:041C87006966205614 +:041C88007265746CA1 +:041C89000000000057 +:041C8A0020434C4166 +:041C8B00696620481E +:041C8C007265746C9D +:041C8D000000000053 +:041C8E002D627553FB +:041C8F00656E696CA9 +:041C9000732031206C +:041C91000000727469 +:041C92002D627553F7 +:041C9300656E696CA5 +:041C94007320322067 +:041C95000000727465 +:041C96002D627553F3 +:041C9700656E696CA1 +:041C98007320332062 +:041C99000000727461 +:041C9A002D627553EF +:041C9B00656E696C9D +:041C9C00732034205D +:041C9D00000072745D +:041C9E002D627553EB +:041C9F00656E696C99 +:041CA0007320352058 +:041CA1000000727459 +:041CA2002D627553E7 +:041CA300756C6F638A +:041CA40031206E6D10 +:041CA50072747320C2 +:041CA600000000003A +:041CA7002D627553E2 +:041CA800756C6F6385 +:041CA90032206E6D0A +:041CAA0072747320BD +:041CAB000000000035 +:041CAC002D627553DD +:041CAD00756C6F6380 +:041CAE0033206E6D04 +:041CAF0072747320B8 +:041CB0000000000030 +:041CB1002D627553D8 +:041CB200756C6F637B +:041CB30034206E6DFE +:041CB40072747320B3 +:041CB500000000002B +:041CB6002D627553D3 +:041CB700756C6F6376 +:041CB80035206E6DF8 +:041CB90072747320AE :041CBA000000000026 -:041CBB002066664FEA -:041CBC002073662803 -:041CBD003639203D57 -:041CBE00297A486BCC +:041CBB002D627553CE +:041CBC00756C6F6371 +:041CBD0036206E6DF2 +:041CBE0072747320A9 :041CBF000000000021 -:041CC0002020783236 -:041CC10020736628FE -:041CC2003834203D55 -:041CC300297A486BC7 -:041CC400000000001C -:041CC50000706F54E8 -:041CC60074746F4281 -:041CC70000006D6F3D -:041CC80069726F4886 -:041CC900746E6F7A4C -:041CCA0000006C6149 -:041CCB007472655674 -:041CCC006C6163697B -:041CCD000000000013 -:041CCE0069726F4880 -:041CCF002B202E7A1E -:041CD00072655620C3 -:041CD10000002E746D -:041CD200747375436F -:041CD30000006D6F31 -:041CD400746C754D6A -:041CD500696C70695D -:041CD6006974616369 -:041CD70000006E6F2C -:041CD800746275536A -:041CD900746361725D -:041CDA00006E6F69C0 -:041CDB006F7475416C -:041CDC000000000004 -:041CDD0000006E4F46 -:041CDE00494D4448E0 -:041CDF004752282020 -:041CE0000000294295 -:041CE100494D4448DD -:041CE200435928201A -:041CE30034724362B2 -:041CE400002934346B -:041CE5000049564418 -:041CE60000373A3851 -:041CE7007373615062 -:041CE8007572687435 -:041CE90000000000F7 -:041CEA00656E694C6E -:041CEB002820783203 -:041CEC0029626F6298 -:041CED0000000000F3 -:041CEE00656E694C6A -:041CEF000000783247 -:041CF000656E694C68 -:041CF10032207832F3 -:041CF20033783034DF -:041CF3000000303687 -:041CF400656E694C64 -:041CF50032207833EE -:041CF60033783034DB -:041CF7000000303683 -:041CF800656E694C60 -:041CF90047207833D5 -:041CFA0072656E653C -:041CFB000000636919 -:041CFC00656E694C5C -:041CFD0028207833F0 -:041CFE006563616C4D -:041CFF000000296454 -:041D0000656E694C57 -:041D010028207834EA -:041D020029626F6281 -:041D030000000000DC -:041D0400656E694C53 -:041D0500000078332F -:041D0600656E694C51 -:041D0700000078342C -:041D0800656E694C4F -:041D09000000783529 -:041D0A003032393109 -:041D0B0038303178C3 -:041D0C0000000030A3 -:041D0D00303036310B -:041D0E0030323178C6 -:041D0F0000000030A0 -:041D10003032393103 -:041D110030323178C3 -:041D1200000000309D -:041D1300656E65474D -:041D1400206369726D -:041D150000333A3429 -:041D160078323135B9 -:041D17002030343212 -:041D18006974706F0B -:041D190000002E6D2B -:041D1A0078343833AE -:041D1B00203034320E -:041D1C006974706F07 -:041D1D0000002E6D27 -:041D1E0078303233B4 -:041D1F00203034320A -:041D20006974706F03 -:041D210000002E6D23 -:041D220078363532A8 -:041D23002030343206 -:041D24006974706FFF -:041D250000002E6D1F -:041D2600656E65473A -:041D2700206369725A -:041D2800393A3631DD -:041D290000000000B6 -:041D2A004D352E32D3 -:041D2B0028207A48AA -:041D2C002978616D44 -:041D2D0000000000B2 -:041D2E00484D3031BB -:041D2F006D28207A81 -:041D300000296465BD -:041D3100484D3333B3 -:041D32006D28207A7E -:041D330000296E69AC -:041D340020414756AD -:041D35007830343698 -:041D360040303034D5 -:041D37000000303741 -:041D380020414756A9 -:041D39007830323795 -:041D3A0040303034D1 -:041D3B00000030373D -:041D3C002056544495 -:041D3D007030383496 -:041D3E0000000000A1 -:041D3F004153455671 -:041D400030343620E5 -:041D4100303834788A -:041D420000303640F7 -:041D43002E63655254 -:041D440031303620E4 -:041D4500000000009A -:041D46002E63655251 -:041D470039303720D8 -:041D48000000000097 -:041D4900484D353993 -:041D4A004828207A8B -:041D4B002056544486 -:041D4C0000294949D8 -:041D4D00484D353395 -:041D4E004828207A87 -:041D4F002056544482 -:041D5000000029491D -:041D5100484D363192 -:041D52004528207A86 -:041D53002956544475 -:041D5400000000008B -:041D55007A484D3942 -:041D560044532820AA -:041D570000295654B5 -:041D58006D20642571 -:041D59000000005630 -:041D5A002020202005 -:041D5B0000007525EA -:041D5C00000187E615 -:041D5D00000000245E -:041D5E0000013BD66F -:041D5F006420642573 -:041D600000006765B3 -:041D6100252E752591 -:041D62000075322EA8 -:041D6300652064256E -:041D64006972746EBE -:041D650000007365A2 -:041D66006F706D69C4 -:041D670064657472C9 -:041D68000000000077 -:041D69006F706D49E1 -:041D6A00203F747230 -:041D6B002C593D3181 -:041D6C004E3D322096 -:041D6D000000000072 -:041D6E0064616F4CF1 -:041D6F0020676E6912 -:041D700074746573AF -:041D710073676E69BD -:041D7200000000006D -:041D7300445253552E -:041D74000041544195 -:041D75006573753CE1 -:041D760000003E64C7 -:041D77000001750CE6 -:041D78000000200047 -:041D790020002CE535 -:041D7A00E926F4FD65 -:041D7B0038BC200050 -:041D7C000000000063 -:041D7D0000017518D4 -:041D7E000000200041 -:041D7F002000323ED0 -:041D8000F113FA045D -:041D81003B612000A2 -:041D8200000000005D -:041D83000001515AB0 -:041D84000001515AAF -:041D8500000150C049 -:041D860000015150B7 -:041D87000001524EB7 -:041D88000001515AAB -:041D89007830323745 -:041D8A0000303034C1 -:041D8B007830343642 -:041D8C0000303034BF -:041D8D00303036318B -:041D8E003034327843 -:041D8F00C800000088 -:041D900007FE00F05A -:041D91000FCA20C095 -:041D92003210039672 -:041D9300002000022A -:041D94003038323180 -:041D9500303432783C -:041D9600A0000000A9 -:041D9700061800F03A -:041D98000FAA20C0AE -:041D990032100348B9 -:041D9A000001020240 -:041D9B00783036392D -:041D9C0000303432AD -:041D9D0078000000CA -:041D9E00049200F0BB -:041D9F000F8020C0D1 -:041DA00032100336C4 -:041DA1000000040238 -:041DA200783231352D -:041DA30000303432A6 -:041DA40040000000FB -:041DA50002AA00F09E -:041DA6000E4D20C0FE -:041DA70032100332C1 -:041DA80000420810DD -:041DA900783438331F -:041DAA00003034329F -:041DAB003000000004 -:041DAC00020000F041 -:041DAD000E3B20C009 -:041DAE0032100325C7 -:041DAF00008410207C -:041DB0007830323322 -:041DB1000030343298 -:041DB2002800000005 -:041DB30001AA00F091 -:041DB4000E3120C00C -:041DB5003210031FC6 -:041DB60001082040C0 -:041DB7007836353213 -:041DB8000030343291 -:041DB9002000000006 -:041DBA00015500F0DF -:041DBB000E2720C00F -:041DBC0032100319C5 -:041DBD000210408050 -:041DBE00703034321B -:041DBF000000000020 -:041DC0005A080000BD -:041DC100035A00F0D1 -:041DC2000F3920C0F5 -:041DC3003210033E99 -:041DC4000000000E0D -:041DC5003030363153 -:041DC600303432780B -:041DC700C800004C04 -:041DC80007FE00F022 -:041DC90029CA2700FC -:041DCA00321003963A -:041DCB0000200002F2 -:041DCC003038323148 -:041DCD0038383278F8 -:041DCE00A000000071 -:041DCF0006180120D1 -:041DD0000FAA27002F -:041DD1003210034881 -:041DD2000001020208 -:041DD30078303639F5 -:041DD4000038383269 -:041DD5007800000092 -:041DD6000492012052 -:041DD7000F80270052 -:041DD800321003368C -:041DD9000000040200 -:041DDA0078323135F5 -:041DDB004C30343222 -:041DDC004000004281 -:041DDD0002AA00F066 -:041DDE00294D270064 -:041DDF003210033289 -:041DE00000420810A5 -:041DE10078343833E7 -:041DE2004C3034321B -:041DE300300000428A -:041DE400020000F009 -:041DE500293B27006F -:041DE600321003258F -:041DE7000084102044 -:041DE80078303233EA -:041DE9004C30343214 -:041DEA00280000428B -:041DEB0001AA00F059 -:041DEC002931270072 -:041DED003210031F8E -:041DEE000108204088 -:041DEF0078363532DB -:041DF0004C3034320D -:041DF100200000428C -:041DF200015500F0A7 -:041DF3002927270075 -:041DF400321003198D -:041DF5000210408018 -:041DF60070383832D7 -:041DF70000000000E8 -:041DF8005A17000076 -:041DF9000360012062 -:041DFA001345270066 -:041DFB003210033F60 -:041DFC000000000ED5 -:041DFD0078303834CE -:041DFE000030363348 -:041DFF003C000000A4 -:041E0000025801681B -:041E01000A3F2EE086 -:041E0200441003265F -:041E03000000000ECD -:041E040078303432CC -:041E05000030363340 -:041E060020000000B8 -:041E0700012C016841 -:041E08000A182EE0A6 -:041E0900441003126C -:041E0A000000810053 -:041E0B0070343833C4 -:041E0C0000000000D2 -:041E0D003E00000093 -:041E0E0002800180CD -:041E0F001D3234E06C -:041E10004410033E39 -:041E11000000000EBF -:041E12003030363105 -:041E130030303478BF -:041E1400C800000002 -:041E150007D0019061 -:041E160022783820D6 -:041E1700501002F075 -:041E180000000200C4 -:041E190078303237B4 -:041E1A000030303430 -:041E1B005A00000069 -:041E1C0003840190AA -:041E1D002240382007 -:041E1E0050100260FE -:041E1F000000000CB3 -:041E200078303436AC -:041E21000030303429 -:041E2200500000006C -:041E23000320019007 -:041E24002230382010 -:041E250050100260F7 -:041E26000000000CAC -:041E270078303436A5 -:041E28000034383317 -:041E29005000000065 -:041E2A000320018010 -:041E2B003F303D8087 -:041E2C0050100260F0 -:041E2D000000000EA3 -:041E2E0069303834AB -:041E2F0000000000AF -:041E30005A0600004E -:041E3100035A00F060 -:041E32000F3941A083 -:041E33007210033EE8 -:041E34000001020F98 -:041E3500703038349D -:041E360000000000A8 -:041E37005A0200004B -:041E3800035A01E068 -:041E39001E3C41A06A -:041E3A009410063EBC -:041E3B000000000C97 -:041E3C007830343690 -:041E3D000030383405 -:041E3E00500100004F -:041E3F00032001E09B -:041E4000213041A06C -:041E41009410026097 -:041E42000000000C90 -:041E43007830343689 -:041E44000032313502 -:041E45005000000049 -:041E46000320020073 -:041E47001C30470004 -:041E48009410026090 -:041E49000000000C89 -:041E4A006936373589 -:041E4B000000000093 -:041E4C005A15000023 -:041E4D00036001200D -:041E4E0013454E20CA -:041E4F007210033FCB -:041E50000001020F7C -:041E5100703637357B -:041E5200000000008C -:041E53005A11000020 -:041E540003600240E5 -:041E550027444E20B0 -:041E560084100540AF -:041E57000000000C7B -:041E58007830303876 -:041E590000303036EF -:041E5A006400000020 -:041E5B000420025805 -:041E5C0017584E8045 -:041E5D0010100480DD -:041E5E00000000047C -:041E5F007030323776 -:041E6000000000007E -:041E6100A0040000D9 -:041E6200067202D032 -:041E630014DC5DC06E -:041E64001810052825 -:041E65000000000475 -:041E660034323031B1 -:041E6700383637785A -:041E680080000000F6 -:041E6900054003002D -:041E6A001DA064C093 -:041E6B0010100688C5 -:041E6C00000000046E -:041E6D0030383231A6 -:041E6E003230317865 -:041E6F00A00000349B -:041E700006980400CC -:041E710026F885408A -:041E720010100370D9 -:041E73000000000467 -:041E74007830343658 -:041E75006930363961 -:041E76005000000018 -:041E7700032001E063 -:041E78002130834052 -:041E7900B41002603F -:041E7A000000000D57 -:041E7B00303830319A -:041E7C0000000069F9 -:041E7D00F00500006C -:041E7E000898021CA2 -:041E7F0010948CA08F -:041E8000B810052C65 -:041E81000000000D50 -:041E82003038303193 -:041E830000000070EB -:041E8400F01000005A -:041E8500089804387D -:041E860024948CA074 -:041E87001810052CFE -:041E88000000000452 -:041E8900303036318E -:041E8A003032317849 -:041E8B00C80000305B -:041E8C00087004B026 -:041E8D002EFF9C4048 -:041E8E00101003F13C -:041E8F00000000044B -:041E90007665642FE0 -:041E91006370652FE6 -:041E92006F635F71AA -:041E93006F72746E88 -:041E940072656C6C9B -:041E9500615F305FFA -:041E96006D5F6C769A -:041E970000006D6575 -:041E98002B302D239B -:041E99000000002025 -:041E9A00004C6C6824 -:041E9B0045676665CC -:041E9C0000004746B5 -:041E9D00333231307B -:041E9E00373635346A -:041E9F00424139384B -:041EA000464544432C -:041EA100000000003D -:041EA2003332313076 -:041EA3003736353465 -:041EA4006261393806 -:041EA50066656463A7 -:041EA6000000000038 -:041EA7001A8080809D -:041EA80000081A1AFA -:041EA9000000000035 -:041EAA000000000034 -:041EAB0000017A4078 -:041EAC000000000032 -:041EAD000000000031 -:041EAE000001035CD0 -:041EAF000001041C0E -:041EB000000101CE5E -:041EB100000101EA41 -:041EB20000010236F3 -:041EB30000800000AB -:041EB40000800000AA -:041EB5000000000029 -:041EB6000000000028 -:041EB7000000000027 -:041EB8000000000026 -:041EB9000000000025 -:041EBA000000000024 -:041EBB000000000023 -:041EBC000000000022 +:041CC00073202E4817 +:041CC1006C706D6175 +:041CC2007461726572 +:041CC30000000065B8 +:041CC40073202E4813 +:041CC5007461722EA6 +:041CC60064612065D0 +:041CC7000000006AAF +:041CC80073202E480F +:041CC9006C636E7961 +:041CCA0000006E6543 +:041CCB0062202E481D +:041CCC00706B636175 +:041CCD006863726F67 +:041CCE000000000012 +:041CCF0061202E481A +:041CD000766974635A +:041CD10000000065AA +:041CD20073202E56F7 +:041CD3006C636E7957 +:041CD40000006E6539 +:041CD50062202E5605 +:041CD600706B63616B +:041CD7006863726F5D +:041CD8000000000008 +:041CD90061202E5602 +:041CDA007669746350 +:041CDB0000000065A0 +:041CDC00706D615373 +:041CDD00676E696C59 +:041CDE0061687020A9 +:041CDF000000657329 +:041CE0000066664FE5 +:041CE1000031564137 +:041CE2000032564135 +:041CE30063616C428B +:041CE4000000006B91 +:041CE50065756C4273 +:041CE60000000000FA +:041CE7006565724776 +:041CE8000000006E8A +:041CE9006E6179436C +:041CEA0000000000F6 +:041CEB0000646552DA +:041CEC006567614D7A +:041CED000061746EB0 +:041CEE006C6C65595C +:041CEF000000776F0B +:041CF0007469685754 +:041CF100000000658A +:041CF2007272754352 +:041CF30020746E6586 +:041CF40075706E6930 +:041CF5000000007477 +:041CF600206C6C41B1 +:041CF70075706E692D +:041CF8000000737401 +:041CF9004273475299 +:041CFA0000000000E6 +:041CFB00506250598A +:041CFC000000007272 +:041CFD00000073323E +:041CFE00000073353A +:041CFF00007330310D +:041D00000000733339 +:041D01000073303308 +:041D02002D706F547D +:041D03007466656C31 +:041D040000000000DB +:041D0500746E654350 +:041D06000000726502 +:041D070074746F423F +:041D0800722D6D6F5C +:041D0900746867692A +:041D0A0000000000D5 +:041D0B002066664F99 +:041D0C0020736628B2 +:041D0D003639203D06 +:041D0E00297A486B7B +:041D0F0000000000D0 +:041D100020207832E5 +:041D110020736628AD +:041D12003834203D04 +:041D1300297A486B76 +:041D140000000000CB +:041D150000706F5497 +:041D160074746F4230 +:041D170000006D6FEC +:041D180069726F4835 +:041D1900746E6F7AFB +:041D1A0000006C61F8 +:041D1B007472655623 +:041D1C006C6163692A +:041D1D0000000000C2 +:041D1E0069726F482F +:041D1F002B202E7ACD +:041D20007265562072 +:041D210000002E741C +:041D2200747375431E +:041D230000006D6FE0 +:041D2400746C754D19 +:041D2500696C70690C +:041D26006974616318 +:041D270000006E6FDB +:041D28007462755319 +:041D2900746361720C +:041D2A00006E6F696F +:041D2B006F7475411B +:041D2C0000000000B3 +:041D2D0000006E4FF5 +:041D2E00494D44488F +:041D2F0047522820CF +:041D30000000294244 +:041D3100494D44488C +:041D320043592820C9 +:041D33003472436261 +:041D3400002934341A +:041D350000495644C7 +:041D360000373A3800 +:041D37007373615011 +:041D380075726874E4 +:041D390000000000A6 +:041D3A00656E694C1D +:041D3B0028207832B2 +:041D3C0029626F6247 +:041D3D0000000000A2 +:041D3E00656E694C19 +:041D3F0000007832F6 +:041D4000656E694C17 +:041D410032207832A2 +:041D4200337830348E +:041D43000000303636 +:041D4400656E694C13 +:041D4500322078339D +:041D4600337830348A +:041D47000000303632 +:041D4800656E694C0F +:041D49004720783384 +:041D4A0072656E65EB +:041D4B0000006369C8 +:041D4C00656E694C0B +:041D4D00282078339F +:041D4E006563616CFC +:041D4F000000296403 +:041D5000656E694C07 +:041D5100282078349A +:041D520029626F6231 +:041D5300000000008C +:041D5400656E694C03 +:041D550000007833DF +:041D5600656E694C01 +:041D570000007834DC +:041D5800656E694CFF +:041D590000007835D9 +:041D5A0030323931B9 +:041D5B003830317873 +:041D5C000000003053 +:041D5D0030303631BB +:041D5E003032317876 +:041D5F000000003050 +:041D600030323931B3 +:041D61003032317873 +:041D6200000000304D +:041D6300656E6547FD +:041D6400206369721D +:041D650000333A34D9 +:041D66007832313569 +:041D670020303432C2 +:041D68006974706FBB +:041D690000002E6DDB +:041D6A00783438335E +:041D6B0020303432BE +:041D6C006974706FB7 +:041D6D0000002E6DD7 +:041D6E007830323364 +:041D6F0020303432BA +:041D70006974706FB3 +:041D710000002E6DD3 +:041D72007836353258 +:041D730020303432B6 +:041D74006974706FAF +:041D750000002E6DCF +:041D7600656E6547EA +:041D7700206369720A +:041D7800393A36318D +:041D79000000000066 +:041D7A004D382E347E +:041D7B0028207A485A +:041D7C00565444482D +:041D7D002943502F77 +:041D7E000000000061 +:041D7F004D352E3080 +:041D800028207A4855 +:041D8100565444531D +:041D82000000002934 +:041D83004D372E3179 +:041D840028207A4851 +:041D85005654444527 +:041D86000000002930 +:041D87004D352E3276 +:041D880028207A484D +:041D89002978616DE7 +:041D8A000000000055 +:041D8B00484D30315E +:041D8C006D28207A24 +:041D8D000029646560 +:041D8E00484D333356 +:041D8F006D28207A21 +:041D900000296E694F +:041D91002041475650 +:041D9200783034363B +:041D93004030303478 +:041D940000003037E4 +:041D9500204147564C +:041D96007830323738 +:041D97004030303474 +:041D980000003037E0 +:041D99002056544438 +:041D9A007030383439 +:041D9B000000000044 +:041D9C004153455614 +:041D9D003034362088 +:041D9E00303834782D +:041D9F00003036409A +:041DA0002E636552F7 +:041DA1003130362087 +:041DA200000000003D +:041DA3002E636552F4 +:041DA400393037207B +:041DA500000000003A +:041DA600484D353936 +:041DA7004828207A2E +:041DA8002056544429 +:041DA900002949497B +:041DAA00484D353338 +:041DAB004828207A2A +:041DAC002056544425 +:041DAD0000002949C0 +:041DAE00484D363135 +:041DAF004528207A29 +:041DB0002956544418 +:041DB100000000002E +:041DB2007A484D39E5 +:041DB300445328204D +:041DB4000029565458 +:041DB5006D20642514 +:041DB60000000056D3 +:041DB70020202020A8 +:041DB800000075258D +:041DB900000189CAD2 +:041DBA000000002401 +:041DBB0000013C6681 +:041DBC006420642516 +:041DBD000000676556 +:041DBE00252E752534 +:041DBF000075322E4B +:041DC0006520642511 +:041DC1006972746E61 +:041DC2000000736545 +:041DC3006F706D6967 +:041DC400646574726C +:041DC500000000001A +:041DC6006F706D4984 +:041DC700203F7472D3 +:041DC8002C593D3124 +:041DC9004E3D322039 +:041DCA000000000015 +:041DCB0064616F4C94 +:041DCC0020676E69B5 +:041DCD007474657352 +:041DCE0073676E6960 +:041DCF000000000010 +:041DD00044525355D1 +:041DD1000041544138 +:041DD2006573753C84 +:041DD30000003E646A +:041DD4000001768014 +:041DD50000002000EA +:041DD60020002CE5D8 +:041DD700E926F4FD08 +:041DD80038BC2000F3 +:041DD9000000000006 +:041DDA000001768C02 +:041DDB0000002000E4 +:041DDC002000323E73 +:041DDD00F113FA0400 +:041DDE003B61200045 +:041DDF000000000000 +:041DE0000001523E6E +:041DE1000001523E6D +:041DE200000151A407 +:041DE3000001523475 +:041DE4000001533275 +:041DE5000001523E69 +:041DE60078303237E8 +:041DE7000030303464 +:041DE80078303436E5 +:041DE9000030303462 +:041DEA00303036312E +:041DEB0030343278E6 +:041DEC00C80000002B +:041DED0007FE00F0FD +:041DEE000FCA20C038 +:041DEF003210039615 +:041DF00000200002CD +:041DF1003038323123 +:041DF20030343278DF +:041DF300A00000004C +:041DF400061800F0DD +:041DF5000FAA20C051 +:041DF600321003485C +:041DF70000010202E3 +:041DF80078303639D0 +:041DF9000030343250 +:041DFA00780000006D +:041DFB00049200F05E +:041DFC000F8020C074 +:041DFD003210033667 +:041DFE0000000402DB +:041DFF0078323135D0 +:041E00000030343248 +:041E0100400000009D +:041E020002AA00F040 +:041E03000E4D20C0A0 +:041E04003210033263 +:041E0500004208107F +:041E060078343833C1 +:041E07000030343241 +:041E080030000000A6 +:041E0900020000F0E3 +:041E0A000E3B20C0AB +:041E0B003210032569 +:041E0C00008410201E +:041E0D0078303233C4 +:041E0E00003034323A +:041E0F0028000000A7 +:041E100001AA00F033 +:041E11000E3120C0AE +:041E12003210031F68 +:041E13000108204062 +:041E140078363532B5 +:041E15000030343233 +:041E160020000000A8 +:041E1700015500F081 +:041E18000E2720C0B1 +:041E19003210031967 +:041E1A0002104080F2 +:041E1B0070303432BD +:041E1C0000000000C2 +:041E1D005A0800005F +:041E1E00035A00F073 +:041E1F000F3920C097 +:041E20003210033E3B +:041E21000000000EAF +:041E220030303631F5 +:041E230030343278AD +:041E2400C800004CA6 +:041E250007FE00F0C4 +:041E260029CA27009E +:041E270032100396DC +:041E28000020000294 +:041E290030383231EA +:041E2A00383832789A +:041E2B00A000000013 +:041E2C000618012073 +:041E2D000FAA2700D1 +:041E2E003210034823 +:041E2F0000010202AA +:041E30007830363997 +:041E3100003838320B +:041E32007800000034 +:041E330004920120F4 +:041E34000F802700F4 +:041E3500321003362E +:041E360000000402A2 +:041E37007832313597 +:041E38004C303432C4 +:041E39004000004223 +:041E3A0002AA00F008 +:041E3B00294D270006 +:041E3C00321003322B +:041E3D000042081047 +:041E3E007834383389 +:041E3F004C303432BD +:041E4000300000422C +:041E4100020000F0AB +:041E4200293B270011 +:041E43003210032531 +:041E440000841020E6 +:041E4500783032338C +:041E46004C303432B6 +:041E4700280000422D +:041E480001AA00F0FB +:041E49002931270014 +:041E4A003210031F30 +:041E4B00010820402A +:041E4C00783635327D +:041E4D004C303432AF +:041E4E00200000422E +:041E4F00015500F049 +:041E50002927270017 +:041E5100321003192F +:041E520002104080BA +:041E53007038383279 +:041E5400000000008A +:041E55005A17000018 +:041E56000360012004 +:041E57001345270008 +:041E58003210033F02 +:041E59000000000E77 +:041E5A007830383470 +:041E5B0000303633EA +:041E5C003C00000046 +:041E5D0002580168BE +:041E5E000A3F2EE029 +:041E5F004410032602 +:041E60000000000E70 +:041E6100783034326F +:041E620000303633E3 +:041E6300200000005B +:041E6400012C0168E4 +:041E65000A182EE049 +:041E6600441003120F +:041E670000008100F6 +:041E68007034383367 +:041E69000000000075 +:041E6A003E00000036 +:041E6B000280018070 +:041E6C001D3234E00F +:041E6D004410033EDC +:041E6E000000000E62 +:041E6F0030303631A8 +:041E70003030347862 +:041E7100C8000000A5 +:041E720007D0019004 +:041E73002278382079 +:041E7400501002F018 +:041E75000000020067 +:041E76007830323757 +:041E770000303034D3 +:041E78005A0000000C +:041E7900038401904D +:041E7A0022403820AA +:041E7B0050100260A1 +:041E7C000000000C56 +:041E7D00783034364F +:041E7E0000303034CC +:041E7F00500000000F +:041E800003200190AA +:041E810022303820B3 +:041E8200501002609A +:041E83000000000C4F +:041E84007830343648 +:041E850000343833BA +:041E86005000000008 +:041E870003200180B3 +:041E88003F303D802A +:041E89005010026093 +:041E8A000000000E46 +:041E8B00693038344E +:041E8C000000000052 +:041E8D005A060000F1 +:041E8E00035A00F003 +:041E8F000F3941A026 +:041E90007210033E8B +:041E91000001020F3B +:041E92007030383440 +:041E9300000000004B +:041E94005A020000EE +:041E9500035A01E00B +:041E96001E3C41A00D +:041E97009410063E5F +:041E98000000000C3A +:041E99007830343633 +:041E9A0000303834A8 +:041E9B0050010000F2 +:041E9C00032001E03E +:041E9D00213041A00F +:041E9E00941002603A +:041E9F000000000C33 +:041EA000783034362C +:041EA10000323135A5 +:041EA20050000000EC +:041EA3000320020016 +:041EA4001C304700A7 +:041EA5009410026033 +:041EA6000000000C2C +:041EA700693637352C +:041EA8000000000036 +:041EA9005A150000C6 +:041EAA0003600120B0 +:041EAB0013454E206D +:041EAC007210033F6E +:041EAD000001020F1F +:041EAE00703637351E +:041EAF00000000002F +:041EB0005A110000C3 +:041EB1000360024088 +:041EB20027444E2053 +:041EB3008410054052 +:041EB4000000000C1E +:041EB5007830303819 +:041EB6000030303692 +:041EB70064000000C3 +:041EB80004200258A8 +:041EB90017584E80E8 +:041EBA001010048080 +:041EBB00000000041F +:041EBC007030323719 :041EBD000000000021 -:041EBE000000000020 -:041EBF00000000001F -:041EC000000000001E -:041EC100000000001D -:041EC200000000001C -:041EC300000000001B -:041EC400000000001A -:041EC5000000000019 -:041EC6000000000018 -:041EC7000000000017 -:041EC8000000000016 -:041EC9000000000015 -:041ECA000000000014 -:041ECB000000000013 -:041ECC000000000012 -:041ECD000000000011 -:041ECE000000000010 -:041ECF00000000000F -:041ED000000000000E -:041ED100000000000D -:041ED200000000000C -:041ED300000000000B -:041ED400000000000A -:041ED5000000000009 -:041ED6000001017492 -:041ED7000080000087 -:041ED8000100000005 -:041ED9000002010002 -:041EDA000080000084 -:041EDB000000000102 -:041EDC000000008082 -:041EDD000001000000 -:041EDE0000000100FF -:041EDF0000000000FF -:041EE000020E0409E1 -:041EE1003D0E00C8EA -:041EE2003F6E0383C9 -:041EE3003ED03DAC04 -:041EE4000000038374 -:041EE500026404B2DD -:041EE6003C9300E940 -:041EE7003F56041648 -:041EE8003E9F3D4993 -:041EE90000000416DB -:041EEA00017804E592 -:041EEB003CCE008168 -:041EEC003FAE03837F -:041EED003F333D49F9 -:041EEE00000003836A -:041EEF0001B405B87D -:041EF0003C490093D6 -:041EF1003F9F0416F5 -:041EF2003F103CD988 -:041EF30000000416D1 -:041EF40000017284F3 -:041EF50000017288EE -:041EF60000017298DD -:041EF700000166A0E0 -:041EF800000166B0CF -:041EF900000166BCC2 -:041EFA00000166C8B5 -:041EFB00000166D4A8 -:041EFC00000166E09B -:041EFD00000166EC8E -:041EFE00000166F881 -:041EFF000001670473 -:041F00000001671065 -:041F01000001671C58 -:041F02000001744C1A -:041F0300000174580D -:041F040000017468FC -:041F050000017478EB -:041F060000017488DA -:041F070000017498C9 -:041F08000001744C14 -:041F09000001745807 -:041F0A0000017468F6 -:041F0B0000017478E5 -:041F0C0000017488D4 -:041F0D000001742833 -:041F0E000001743426 -:041F0F000001744019 -:041F100000017284D6 -:041F1100000172C099 -:041F1200000172BC9C -:041F1300000172C493 -:041F1400000172480E -:041F15000001725005 -:041F160000017258FC -:041F170000017260F3 -:041F180000017268EA -:041F19000001726CE5 -:041F1A0000017274DC -:041F1B000001727CD3 -:041F1C00000171C887 -:041F1D0000000002BE -:041F1E00000187EE49 -:041F1F000AF0012C97 -:041F2000000139146F -:041F2100000171D872 -:041F220000000002B9 -:041F2300000187F43E -:041F240000130000A6 -:041F2500000139146A -:041F2600000171E85D -:041F270000000002B4 -:041F2800000187F637 -:041F290000FF000AAB -:041F2A000001391465 -:041F2B00000171F44C -:041F2C0000000002AF -:041F2D00000187E246 -:041F2E0000FF0001AF -:041F2F000001391460 -:041F30000001720436 -:041F310000000002AA -:041F3200000187EC37 -:041F3300078000C85B -:041F3400000139145B -:041F35000001721025 -:041F360000000002A5 -:041F3700000187E836 -:041F3800000700019D -:041F39000001391456 -:041F3A000001721C14 -:041F3B0000000002A0 -:041F3C00000187F227 -:041F3D00003F000160 -:041F3E000001391451 -:041F3F000001722CFF -:041F4000000000029B -:041F4100000187EA2A -:041F420004B000A047 -:041F4300000139144C -:041F440000017238EE -:041F45000000000296 -:041F4600000187E42B -:041F4700001F000077 -:041F48000001391447 -:041F490000016DAC7A -:041F4A000000000093 -:041F4B000001878A80 -:041F4C00000100018F -:041F4D000001859476 -:041F4E0000016DBC65 -:041F4F00000000008E -:041F50000001878B7A -:041F5100000100018A -:041F52000001859C69 -:041F530000016DCC50 -:041F54000000000188 -:041F55000001878C74 -:041F5600001800006F -:041F570000013BBA90 -:041F580000016DDC3B -:041F59000000000084 -:041F5A000001878576 -:041F5B000001000180 -:041F5C000001859C5F -:041F5D0000016DEC26 -:041F5E00000000007F -:041F5F000001878670 -:041F6000000100017B -:041F61000001859C5A -:041F620000016E000C -:041F6300000000007A -:041F6400000187876A -:041F65000001000176 -:041F66000001859C55 -:041F670000016E10F7 -:041F68000000000174 -:041F69000001878D5F -:041F6A00001F000054 -:041F6B0000013AEA4D -:041F6C0000016E24DE -:041F6D000000000070 -:041F6E00000187885F -:041F6F00000100016C -:041F70000001859C4B -:041F710000017100FA -:041F7200000000016A -:041F73000001875C86 -:041F74000010000059 -:041F750000013AC26B -:041F760000017110E5 -:041F77000000000165 -:041F78000001875D80 -:041F79000010000054 -:041F7A0000013AC266 -:041F7B0000017120D0 -:041F7C000000000160 -:041F7D000001875E7A -:041F7E00001000004F -:041F7F0000013AC261 -:041F800000017130BB -:041F8100000000015B -:041F82000001875F74 -:041F8300001000004A -:041F840000013AC25C -:041F850000017140A6 -:041F86000000000156 -:041F8700000187606E -:041F88000010000045 -:041F890000013AC257 -:041F8A000001715091 -:041F8B000000000151 -:041F8C000001876168 -:041F8D000010000040 -:041F8E0000013AC252 -:041F8F000001716478 -:041F9000000000014C -:041F91000001876262 -:041F9200001000003B -:041F930000013AC24D -:041F9400000171785F -:041F95000000000147 -:041F9600000187635C -:041F97000010000036 -:041F980000013AC248 -:041F99000001718C46 -:041F9A000000000142 -:041F9B000001876456 -:041F9C000010000031 -:041F9D0000013AC243 -:041F9E00000171A02D -:041F9F00000000013D -:041FA0000001876550 -:041FA100001000002C -:041FA20000013AC23E -:041FA300000171B414 -:041FA4000000000138 -:041FA500000187664A -:041FA6000010000027 -:041FA70000013AC239 -:041FA80000016BF0D9 -:041FA9000000000331 -:041FAA00000186307C -:041FAB000000000032 -:041FAC000000000031 -:041FAD0000016C04BF -:041FAE00000000032C -:041FAF000001861097 -:041FB000000000002D -:041FB100000000002C -:041FB20000016C18A6 -:041FB3000000000327 -:041FB400000186287A -:041FB5000000000028 -:041FB6000000000027 -:041FB70000016C2C8D -:041FB8000000000322 -:041FB900000186009D -:041FBA000000000023 -:041FBB000000000022 -:041FBC0000016C4074 -:041FBD00000000031D -:041FBE000001861880 -:041FBF00000000001E -:041FC000000000001D -:041FC10000016C545B -:041FC2000000000318 -:041FC300000186088B -:041FC4000000000019 -:041FC5000000000018 -:041FC60000016C6842 -:041FC7000000000313 -:041FC800000185E8A7 -:041FC9000000000014 -:041FCA000000000013 -:041FCB0000016C7C29 -:041FCC00000000030E -:041FCD00000185E0AA -:041FCE00000000000F -:041FCF00000000000E -:041FD00000016C9010 -:041FD1000000000309 -:041FD2000001862064 -:041FD300000000000A -:041FD4000000000009 -:041FD50000016F1880 -:041FD6000000000007 -:041FD7000001876D11 -:041FD8000004000100 -:041FD9000001846817 -:041FDA0000016F286B -:041FDB000000000002 -:041FDC000001876E0B -:041FDD0000040001FB -:041FDE000001847CFE -:041FDF0000016F3856 -:041FE00000000000FD -:041FE1000001876F05 -:041FE20000030001F7 -:041FE30000018490E5 -:041FE40000016F4841 -:041FE50000000000F8 -:041FE60000018770FF -:041FE70000010001F4 -:041FE800000185ACC3 -:041FE90000016F582C -:041FEA0000000000F3 -:041FEB0000018771F9 -:041FEC0000010001EF -:041FED00000185A4C6 -:041FEE0000016F6817 -:041FEF0000000000EE -:041FF00000018768FD -:041FF10000040001E7 -:041FF20000017C0866 -:041FF30000016F7406 -:041FF40000000000E9 -:041FF50000018769F7 -:041FF60000050001E1 -:041FF70000017C1C4D -:041FF80000016F80F5 -:041FF90000000000E4 -:041FFA000001876AF1 -:041FFB0000040001DD -:041FFC0000017C085C -:041FFD0000016F8CE4 -:041FFE0000000000DF -:041FFF000001876BEB -:0420000000040001D7 -:0420010000017C0856 -:0420020000016F98D2 -:0420030000000000D9 -:042004000001876CE4 -:0420050000020001D4 -:0420060000017C3425 -:0420070000016FA8BD -:0420080000000000D4 -:0420090000018772D9 -:04200A0000010001D0 -:04200B000001858CBF -:04200C0000016FB8A8 -:04200D0000000000CF -:04200E0000018777CF -:04200F0000020001CA -:04201000000184D86F -:0420110000016FC09B -:0420120000000000CA -:0420130000018778C9 -:0420140000010001C6 -:042015000001859CA5 -:0420160000016E3423 -:0420170000000001C4 -:0420180000018773C9 -:0420190000FF0000C4 -:04201A0000013BA4E2 -:04201B0000016E440E -:04201C0000000001BF -:04201D0000018774C3 -:04201E00003F00007F -:04201F0000013BA4DD -:0420200000016E54F9 -:0420210000000000BB -:0420220000018776BC -:0420230000070000B2 -:0420240000017C50EB -:0420250000016E60E8 -:0420260000000001B5 -:0420270000018775B8 -:04202800000F0000A5 -:0420290000013AEA8E -:04202A0000016E70D3 -:04202B0000000001B0 -:04202C00000187899F -:04202D00001F000090 -:04202E0000013AEA89 -:04202F0000016E7CC2 -:0420300000000004A8 -:042031000001263E46 -:0420320000016BA896 +:041EBE00A00400007C +:041EBF00067202D0D5 +:041EC00014DC5DC011 +:041EC10018100528C8 +:041EC2000000000418 +:041EC3003432303154 +:041EC40038363778FD +:041EC5008000000099 +:041EC60005400300D0 +:041EC7001DA064C036 +:041EC8001010068868 +:041EC9000000000411 +:041ECA003038323149 +:041ECB003230317808 +:041ECC00A00000343E +:041ECD00069804006F +:041ECE0026F885402D +:041ECF00101003707C +:041ED000000000040A +:041ED10078303436FB +:041ED2006930363904 +:041ED30050000000BB +:041ED400032001E006 +:041ED50021308340F5 +:041ED600B4100260E2 +:041ED7000000000DFA +:041ED800303830313D +:041ED900000000699C +:041EDA00F00500000F +:041EDB000898021C45 +:041EDC0010948CA032 +:041EDD00B810052C08 +:041EDE000000000DF3 +:041EDF003038303136 +:041EE000000000708E +:041EE100F0100000FD +:041EE2000898043820 +:041EE30024948CA017 +:041EE4001810052CA1 +:041EE50000000004F5 +:041EE6003030363131 +:041EE70030323178EC +:041EE800C8000030FE +:041EE900087004B0C9 +:041EEA002EFF9C40EB +:041EEB00101003F1DF +:041EEC0000000004EE +:041EED007665642F83 +:041EEE006370652F89 +:041EEF006F635F714D +:041EF0006F72746E2B +:041EF10072656C6C3E +:041EF200615F305F9D +:041EF3006D5F6C763D +:041EF40000006D6518 +:041EF5002B302D233E +:041EF60000000020C8 +:041EF700004C6C68C7 +:041EF800456766656F +:041EF9000000474658 +:041EFA00333231301E +:041EFB00373635340D +:041EFC0042413938EE +:041EFD0046454443CF +:041EFE0000000000E0 +:041EFF003332313019 +:041F00003736353407 +:041F010062613938A8 +:041F02006665646349 +:041F030000000000DA +:041F04001A8080803F +:041F050000081A1A9C +:041F060000000000D7 +:041F070000000000D6 +:041F080000017BB4A5 +:041F090000000000D4 +:041F0A0000000000D3 +:041F0B000001035C72 +:041F0C000001041CB0 +:041F0D00000101CE00 +:041F0E00000101EAE3 +:041F0F000001023695 +:041F1000008000004D +:041F1100008000004C +:041F120000000000CB +:041F130000000000CA +:041F140000000000C9 +:041F150000000000C8 +:041F160000000000C7 +:041F170000000000C6 +:041F180000000000C5 +:041F190000000000C4 +:041F1A0000000000C3 +:041F1B0000000000C2 +:041F1C0000000000C1 +:041F1D0000000000C0 +:041F1E0000000000BF +:041F1F0000000000BE +:041F200000000000BD +:041F210000000000BC +:041F220000000000BB +:041F230000000000BA +:041F240000000000B9 +:041F250000000000B8 +:041F260000000000B7 +:041F270000000000B6 +:041F280000000000B5 +:041F290000000000B4 +:041F2A0000000000B3 +:041F2B0000000000B2 +:041F2C0000000000B1 +:041F2D0000000000B0 +:041F2E0000000000AF +:041F2F0000000000AE +:041F300000000000AD +:041F310000000000AC +:041F320000000000AB +:041F33000001017434 +:041F34000080000029 +:041F350001000000A7 +:041F360000020100A4 +:041F37000080000026 +:041F380000000001A4 +:041F39000000008024 +:041F3A0000010000A2 +:041F3B0000000100A1 +:041F3C0000000000A1 +:041F3D00020E040983 +:041F3E003D0E00C88C +:041F3F003F6E03836B +:041F40003ED03DACA6 +:041F41000000038316 +:041F4200026404B27F +:041F43003C9300E9E2 +:041F44003F560416EA +:041F45003E9F3D4935 +:041F4600000004167D +:041F4700017804E534 +:041F48003CCE00810A +:041F49003FAE038321 +:041F4A003F333D499B +:041F4B00000003830C +:041F4C0001B405B81F +:041F4D003C49009378 +:041F4E003F9F041697 +:041F4F003F103CD92A +:041F50000000041673 +:041F51000001738098 +:041F5200000173C84F +:041F5300000173D83E +:041F54000001738095 +:041F55000001738490 +:041F5600000173888B +:041F5700000167849A +:041F58000001679489 +:041F5900000167A07C +:041F5A00000167AC6F +:041F5B00000167B862 +:041F5C00000167C455 +:041F5D00000167D048 +:041F5E00000167DC3B +:041F5F00000167E82E +:041F6000000167F421 +:041F61000001680013 +:041F62000001758C79 +:041F6300000175986C +:041F6400000175A85B +:041F6500000175B84A +:041F6600000175C839 +:041F6700000175D828 +:041F68000001758C73 +:041F69000001759866 +:041F6A00000175A855 +:041F6B00000175B844 +:041F6C00000175C833 +:041F6D000001756892 +:041F6E000001757485 +:041F6F000001758078 +:041F70000001738079 +:041F710000017400F7 +:041F7200000173FCFB +:041F730000017404F1 +:041F74000001738C69 +:041F75000001739460 +:041F76000001739C57 +:041F7700000173A44E +:041F7800000173AC45 +:041F7900000173B040 +:041F7A00000173B837 +:041F7B00000173C02E +:041F7C0000017300ED +:041F7D00000000025E +:041F7E00000189D203 +:041F7F000AF0012C37 +:041F800000013952D1 +:041F810000017310D8 +:041F82000000000259 +:041F8300000189D8F8 +:041F84000013000046 +:041F850000013952CC +:041F860000017320C3 +:041F87000000000254 +:041F8800000189DAF1 +:041F890000FF000A4B +:041F8A0000013952C7 +:041F8B000001732CB2 +:041F8C00000000024F +:041F8D00000189C600 +:041F8E0000FF00014F +:041F8F0000013952C2 +:041F90000001733C9D +:041F9100000000024A +:041F9200000189D0F1 +:041F9300078000C8FB +:041F940000013952BD +:041F9500000173488C +:041F96000000000245 +:041F9700000189CCF0 +:041F9800000700013D +:041F990000013952B8 +:041F9A00000173547B +:041F9B000000000240 +:041F9C00000189D6E1 +:041F9D00003F000100 +:041F9E0000013952B3 +:041F9F000001736466 +:041FA000000000023B +:041FA100000189CEE4 +:041FA20004B000A0E7 +:041FA30000013952AE +:041FA4000001737055 +:041FA5000000000236 +:041FA600000189C8E5 +:041FA700001F000017 +:041FA80000013952A9 +:041FA90000016E9C29 +:041FAA000000000033 +:041FAB000001896B3D +:041FAC00000100012F +:041FAD000001877038 +:041FAE0000016EAC14 +:041FAF00000000002E +:041FB0000001896C37 +:041FB100000100012A +:041FB200000187782B +:041FB30000016EBCFF +:041FB4000000000128 +:041FB5000001896D31 +:041FB600001800000F +:041FB70000013C4A9F +:041FB80000016ECCEA +:041FB9000000000024 +:041FBA000001896633 +:041FBB000001000120 +:041FBC000001877821 +:041FBD0000016EDCD5 +:041FBE00000000001F +:041FBF00000189672D +:041FC000000100011B +:041FC100000187781C +:041FC20000016EF0BC +:041FC300000000001A +:041FC4000001896827 +:041FC5000002000115 +:041FC60000017D5049 +:041FC70000016F04A2 +:041FC8000000000114 +:041FC9000001896E1C +:041FCA00001F0000F4 +:041FCB0000013B7A5C +:041FCC0000016F1889 +:041FCD000000000010 +:041FCE00000189691C +:041FCF00000100010C +:041FD000000187780D +:041FD1000001723861 +:041FD200000000010A +:041FD3000001893C44 +:041FD40000100000F9 +:041FD50000013B00CC +:041FD600000172484C +:041FD7000000000105 +:041FD8000001893D3E +:041FD90000100000F4 +:041FDA0000013B00C7 +:041FDB000001725837 +:041FDC000000000100 +:041FDD000001893E38 +:041FDE0000100000EF +:041FDF0000013B00C2 +:041FE0000001726822 +:041FE10000000001FB +:041FE2000001893F32 +:041FE30000100000EA +:041FE40000013B00BD +:041FE500000172780D +:041FE60000000001F6 +:041FE700000189402C +:041FE80000100000E5 +:041FE90000013B00B8 +:041FEA0000017288F8 +:041FEB0000000001F1 +:041FEC000001894126 +:041FED0000100000E0 +:041FEE0000013B00B3 +:041FEF000001729CDF +:041FF00000000001EC +:041FF1000001894220 +:041FF20000100000DB +:041FF30000013B00AE +:041FF400000172B0C6 +:041FF50000000001E7 +:041FF600000189431A +:041FF70000100000D6 +:041FF80000013B00A9 +:041FF900000172C4AD +:041FFA0000000001E2 +:041FFB000001894414 +:041FFC0000100000D1 +:041FFD0000013B00A4 +:041FFE00000172D894 +:041FFF0000000001DD +:04200000000189450D +:0420010000100000CB +:0420020000013B009E +:04200300000172EC7A +:0420040000000001D7 +:042005000001894607 +:0420060000100000C6 +:0420070000013B0099 +:0420080000016CE087 +:0420090000000003D0 +:04200A000001880C3D +:04200B0000000000D1 +:04200C0000000000D0 +:04200D0000016CF46E +:04200E0000000003CB +:04200F00000187EC59 +:0420100000000000CC +:0420110000000000CB +:0420120000016D0854 +:0420130000000003C6 +:04201400000188043B +:0420150000000000C7 +:0420160000000000C6 +:0420170000016D1C3B +:0420180000000003C1 +:04201900000187DC5F +:04201A0000000000C2 +:04201B0000000000C1 +:04201C0000016D3022 +:04201D0000000003BC +:04201E00000187F442 +:04201F0000000000BD +:0420200000000000BC +:0420210000016D4409 +:0420220000000003B7 +:04202300000187E44D +:0420240000000000B8 +:0420250000000000B7 +:0420260000016D58F0 +:0420270000000003B2 +:04202800000187C468 +:0420290000000000B3 +:04202A0000000000B2 +:04202B0000016D6CD7 +:04202C0000000003AD +:04202D00000187BC6B +:04202E0000000000AE +:04202F0000000000AD +:0420300000016D80BE +:0420310000000003A8 +:04203200000187FC26 :0420330000000000A9 -:042034000001703007 -:0420350000000000A7 -:0420360000018779A5 -:0420370000020001A2 -:04203800000184A07F -:0420390000017040F2 -:04203A0000000000A2 -:04203B000001877A9F -:04203C00000100019E -:04203D00000185BC5D -:04203E0000017050DD -:04203F00000000009D -:042040000001877B99 -:042041000001000199 -:042042000001859C78 -:0420430000017064C4 -:042044000000000098 -:042045000001877C93 -:042046000001000194 -:042047000001859C73 -:0420480000017078AB -:042049000000000390 -:04204A00000185D834 -:04204B0000017570AB -:04204C000001389EB9 -:04204D0000016E8C94 -:04204E00000000008E -:04204F0000018754B1 -:042050000002000189 -:04205100000184AC5A -:0420520000016E9883 -:042053000000000188 -:042054000001875AA6 -:04205500000F000078 -:0420560000013B7ECC -:0420570000016EA86E -:042058000000000183 -:0420590000018756A5 -:04205A00001C000066 -:04205B0000013AE660 -:04205C0000016EB859 -:04205D00000000007F -:04205E00000187579F -:04205F00000100017B -:04206000000185CC2A -:0420610000016EC448 -:04206200000000007A -:042063000001875899 -:042064000001000176 -:042065000001859C55 -:0420660000016ED433 -:042067000000000075 -:042068000001875B91 -:042069000001000171 -:04206A00000185C428 -:04206B0000016EE41E -:04206C000000000070 -:04206D00000187598E -:04206E00000100016C -:04206F000001859C4B -:0420700000016EF805 -:04207100000000006B -:04207200000187558D -:042073000003000165 -:04207400000184B82B -:0420750000016F04F3 -:042076000000000363 -:04207700000185F0EF -:042078000000000064 -:042079000000000063 -:04207A0000016CA451 -:04207B00000000045D -:04207C00000123A498 -:04207D0000016BCC27 -:04207E00000000005E -:04207F0000016CB43C -:042080000000000458 -:042081000001240630 -:0420820000016BCC22 -:042083000000000059 -:0420840000016CC427 -:042085000000000453 -:0420860000012D80A8 -:042087000000000055 -:042088000000000054 -:0420890000016CD80E -:04208A000000000151 -:04208B000001879534 -:04208C00000A010144 -:04208D0000013C0A08 -:04208E0000016CECF5 -:04208F00000000004D -:04209000000186D6EF -:042091000001000149 -:042092000001859C28 -:0420930000016D00DB -:042094000000000048 -:04209500000186813F -:04209600000A00013B -:0420970000017BDCED -:0420980000016D10C6 -:042099000000000043 -:04209A00000187506A -:04209B00000200013E -:04209C0000017BD0F4 -:04209D0000016D24AD -:04209E00000000003E -:04209F00000186B600 -:0420A000000100013A -:0420A100000185B401 -:0420A20000016D3498 -:0420A3000000000039 -:0420A4000001857B37 -:0420A5000001000135 -:0420A600000185B4FC -:0420A70000016D4483 -:0420A8000000000034 -:0420A9000001868824 -:0420AA000001000130 -:0420AB00000185B4F7 -:0420AC0000016D546E -:0420AD00000000002F -:0420AE00000186C2E5 -:0420AF000003000129 -:0420B00000017C406F -:0420B10000016D6459 -:0420B200000000002A -:0420B3000001858023 -:0420B4000001000126 -:0420B5000001859C05 -:0420B60000016D7048 -:0420B7000000000025 -:0420B800000185811D -:0420B900000300011F -:0420BA000001845845 -:0420BB0000016D842F -:0420BC00000000041C -:0420BD00000142DC00 -:0420BE00000000001E -:0420BF00000000001D -:0420C00000016D9816 -:0420C1000000000417 -:0420C200000134B82D -:0420C3000000000019 -:0420C4000000000018 -:0420C50000016FCCDB -:0420C6000000000016 -:0420C700000187810C -:0420C8000003000110 -:0420C900000184C8C6 -:0420CA0000016FDCC6 -:0420CB000000000110 -:0420CC000001877E0A -:0420CD00001F0000F0 -:0420CE0000013B5A78 -:0420CF0000016FECB1 -:0420D000000000010B -:0420D1000001877F04 -:0420D20000FF00000B -:0420D30000013B16B7 -:0420D40000016FFC9C -:0420D5000000000106 -:0420D60000018780FE -:0420D70000C80A0033 -:0420D80000013B16B2 -:0420D9000001700C86 -:0420DA000000000101 -:0420DB0000018783F6 -:0420DC0000050000FB -:0420DD0000013B00C3 -:0420DE000001701C71 -:0420DF0000000001FC -:0420E00000018784F0 -:0420E10000050000F6 -:0420E20000013B00BE -:0420E3000001708CFC -:0420E40000000000F8 -:0420E50000018782ED -:0420E60000050001F0 -:0420E700000184E48C -:0420E80000017098EB -:0420E90000000000F3 -:0420EA000001877DED -:0420EB0000020001EE -:0420EC00000184FC6F -:0420ED00000170A8D6 -:0420EE0000000001ED -:0420EF000001878ED7 -:0420F00000FF0000ED -:0420F10000013AEAC6 -:0420F200000170B4C5 -:0420F30000000001E8 -:0420F4000001878FD1 -:0420F50000FF0000E8 -:0420F60000013AEAC1 -:0420F700000170C0B4 -:0420F80000000001E3 -:0420F90000018790CB -:0420FA0000FF0000E3 -:0420FB0000013AEABC -:0420FC00000170CCA3 -:0420FD0000000001DE -:0420FE0000018791C5 -:0420FF0000FF0000DE -:0421000000013AEAB6 -:04210100000170D891 -:0421020000000001D8 -:0421030000018792BE -:0421040000FF0000D8 -:0421050000013AEAB1 -:04210600000170E480 -:0421070000000001D3 -:0421080000018793B8 -:0421090000FF0000D3 -:04210A0000013AEAAC -:04210B00000170F06F -:04210C0000000001CE -:04210D0000018794B2 -:04210E00000F0000BE -:04210F0000013AEAA7 -:04211000000185F84D -:0421110000000000CA +:0420340000000000A8 +:042035000001700C2A +:0420360000000000A6 +:042037000001894DCE +:04203800000400019F +:0420390000018638E4 +:04203A000001701C15 +:04203B0000000000A1 +:04203C000001894EC8 +:04203D00000400019A +:04203E000001864CCB +:04203F000001702C00 +:04204000000000009C +:042041000001894FC2 +:042042000003000196 +:0420430000018660B2 +:042044000001703CEB +:042045000000000097 +:0420460000018950BC +:042047000001000193 +:042048000001878884 +:042049000001704CD6 +:04204A000000000092 +:04204B0000018951B6 +:04204C00000100018E +:04204D000001878087 +:04204E000001705CC1 +:04204F00000000008D +:0420500000018948BA +:042051000004000186 +:0420520000017D8884 +:0420530000017068B0 +:042054000000000088 +:0420550000018949B4 +:042056000005000180 +:0420570000017D9C6B +:04205800000170749F +:042059000000000083 +:04205A000001894AAE +:04205B00000400017C +:04205C0000017D887A +:04205D00000170808E +:04205E00000000007E +:04205F000001894BA8 +:042060000004000177 +:0420610000017D8875 +:042062000001708C7D +:042063000000000079 +:042064000001894CA2 +:042065000002000174 +:0420660000017DB444 +:042067000001709C68 +:042068000000000074 +:042069000001895297 +:04206A000001000170 +:04206B000001876881 +:04206C00000170AC53 +:04206D00000000006F +:04206E00000189578D +:04206F00000200016A +:04207000000186B431 +:04207100000170B446 +:04207200000000006A +:042073000001895887 +:042074000001000166 +:042075000001877867 +:0420760000016F28CE +:042077000000000164 +:042078000001895387 +:0420790000FF000064 +:04207A0000013C34F1 +:04207B0000016F38B9 +:04207C00000000015F +:04207D000001895481 +:04207E00003F00001F +:04207F0000013C34EC +:0420800000016F48A4 +:04208100000000005B +:04208200000189567A +:042083000007000052 +:0420840000017DD00A +:0420850000016F5493 +:042086000000000155 +:042087000001895576 +:04208800000F000045 +:0420890000013B7A9D +:04208A0000016F647E +:04208B000000000150 +:04208C000001896A5C +:04208D00001F000030 +:04208E0000013B7A98 +:04208F0000016F706D +:042090000000000448 +:0420910000012664C0 +:0420920000016C9C41 +:042093000000000049 +:0420940000017134A2 +:042095000000000047 +:042096000001895963 +:042097000002000142 +:04209800000186704D +:04209900000171448D +:04209A000000000042 +:04209B000001895A5D +:04209C00000100013E +:04209D00000187981F +:04209E000001715478 +:04209F00000000003D +:0420A0000001895B57 +:0420A1000001000139 +:0420A200000187783A +:0420A300000171685F +:0420A4000000000038 +:0420A5000001895C51 +:0420A6000001000134 +:0420A7000001877835 +:0420A8000001717C46 +:0420A9000000000330 +:0420AA00000187B4F6 +:0420AB00000176E4D6 +:0420AC00000138DC1B +:0420AD0000016F803F +:0420AE00000000002E +:0420AF00000189346F +:0420B0000002000129 +:0420B1000001867C28 +:0420B20000016F8C2E +:0420B3000000000128 +:0420B4000001893A64 +:0420B500000F000018 +:0420B60000013C0EDB +:0420B70000016F9C19 +:0420B8000000000123 +:0420B9000001893663 +:0420BA00001C000006 +:0420BB0000013B24C1 +:0420BC0000016FAC04 +:0420BD00000000001F +:0420BE00000189375D +:0420BF00000100011B +:0420C000000187A8EC +:0420C10000016FB8F3 +:0420C200000000001A +:0420C3000001893857 +:0420C4000001000116 +:0420C5000001877817 +:0420C60000016FC8DE +:0420C7000000000015 +:0420C8000001893B4F +:0420C9000001000111 +:0420CA00000187A0EA +:0420CB0000016FD8C9 +:0420CC000000000010 +:0420CD00000189394C +:0420CE00000100010C +:0420CF00000187780D +:0420D00000016FECB0 +:0420D100000000000B +:0420D200000189354B +:0420D3000003000105 +:0420D40000018688F9 +:0420D50000016FF89F +:0420D6000000000303 +:0420D700000187CCB1 +:0420D8000000000004 +:0420D9000000000003 +:0420DA0000016D9400 +:0420DB0000000004FD +:0420DC00000123CA12 +:0420DD0000016CB4DE +:0420DE0000000000FE +:0420DF0000016DA4EB +:0420E00000000004F8 +:0420E1000001242CAA +:0420E20000016CB4D9 +:0420E30000000000F9 +:0420E40000016DB4D6 +:0420E50000000004F3 +:0420E60000012DBE0A +:0420E70000000000F5 +:0420E80000000000F4 +:0420E90000016DC8BD +:0420EA0000000001F1 +:0420EB0000018979EE +:0420EC00000A0101E4 +:0420ED0000013C9A18 +:0420EE0000016DDCA4 +:0420EF0000000000ED +:0420F000000188B2B1 +:0420F10000010001E9 +:0420F20000018778EA +:0420F30000016DF08B +:0420F40000000000E8 +:0420F5000001885D01 +:0420F600000A0001DB +:0420F70000017D5C0B +:0420F80000016E0075 +:0420F90000000000E3 +:0420FA000001893028 +:0420FB0000020001DE +:0420FC0000017D441E +:0420FD0000016E145C +:0420FE0000000000DE +:0420FF0000018892C2 +:0421000000010001D9 +:0421010000018790C2 +:0421020000016E2446 +:0421030000000000D8 +:0421040000018757F8 +:0421050000010001D4 +:0421060000018790BD +:0421070000016E3431 +:0421080000000000D3 +:0421090000018864E5 +:04210A0000010001CF +:04210B0000018790B8 +:04210C0000016E441C +:04210D0000000000CE +:04210E000001889EA6 +:04210F0000030001C8 +:0421100000017DC08D +:0421110000016E5407 :0421120000000000C9 -:0421130000000000C8 -:0421140000000000C7 -:0421150000000000C6 -:04211600000172B49E -:04211700000172B899 -:04211800000172BC94 -:0421190000017284CB -:04211A000001739CB1 -:04211B00000173B894 -:04211C00000174103A -:04211D000001741831 -:04211E000001742028 -:04211F000001739CAC -:04212000000173B88F -:04212100000173C086 -:04212200000173D075 -:04212300000173E064 -:042124000001739CA7 -:04212500000173A89A -:04212600000173F051 -:04212700000174003F -:042128000001736CD3 -:04212900000174F04D -:04212A00000174FC40 -:04212B0000017284B9 -:04212C000001736CCF -:04212D0000017374C6 -:04212E000001732019 -:04212F000001732C0C -:0421300000017338FF -:0421310000017348EE -:04213200000174A88C -:04213300000174B87B -:04213400000174C46E -:0421350000017284AF -:0421360000017378B9 -:0421370000017384AC -:04213800000173949B -:042139000001736CC2 -:04213A0000017284AA -:04213B000001752406 -:04213C0000017534F5 -:04213D0000017544E4 -:04213E0000017554D3 -:04213F000001750C1A -:04214000000175180D -:042141000001736CBA -:042142000000000099 -:042143000000000098 -:042144000000000097 -:042145000000000096 -:042146000000000095 -:042147000000000094 -:042148000000000093 +:042113000001875CE4 +:0421140000010001C5 +:0421150000018778C6 +:0421160000016E60F6 +:0421170000000000C4 +:042118000001875DDE +:0421190000030001BE +:04211A000001862812 +:04211B0000016E74DD +:04211C0000000004BB +:04211D000001437604 +:04211E0000000000BD +:04211F0000000000BC +:0421200000016E88C4 +:0421210000000004B6 +:04212200000134F68E +:0421230000000000B8 +:0421240000000000B7 +:04212500000170C085 +:0421260000000000B5 +:0421270000018961C9 +:0421280000030001AF +:04212900000186A487 +:04212A00000170D070 +:04212B0000000000B0 +:04212C0000018962C3 +:04212D0000020001AB +:04212E00000186988E +:04212F00000170E05B +:0421300000000001AA +:042131000001895EC2 +:04213200001F00008A +:0421330000013BEA82 +:04213400000170F046 +:0421350000000001A5 +:042136000001895FBC +:0421370000FF0000A5 +:0421380000013BA6C1 +:042139000001710030 +:04213A0000000001A0 +:04213B0000018960B6 +:04213C0000C80A00CD +:04213D0000013BA6BC +:04213E00000171101B +:04213F00000000019B +:0421400000018964AD +:042141000005000095 +:0421420000013B90CD +:042143000001712006 +:042144000000000196 +:0421450000018965A7 +:042146000005000090 +:0421470000013B90C8 +:042148000001719091 :042149000000000092 -:04214A000000000091 -:04214B000000000090 -:04214C00000000008F -:04214D00000000008E +:04214A0000018963A4 +:04214B00000500018A +:04214C00000186C048 +:04214D000001719C80 :04214E00000000008D -:04214F00000000008C -:04215000000000008B -:04215100000000008A -:042152000000000089 -:042153000000000088 -:042154000000000087 -:042155000000000086 -:042156000000000085 -:042157000000000084 -:042158000000000083 -:042159000000000082 -:04215A00000164E23A -:04215B000001856C8E -:04215C000001856C8D -:04215D0000108010DE -:04215E0001008000FC -:04215F00000240003A -:042160000000010179 -:0421610000028000F8 -:042162000002200057 -:0421630000017454AF -:04216400000173986B -:04216500000172EC17 -:042166000001730001 -:04216700000172847D -:04216800000173748B -:042169000001739C62 -:04216A00000173A855 -:04216B000001739C60 -:04216C00000173B843 -:04216D00000172A457 -:04216E00000172AC4E -:04216F00000174D027 -:04217000000174E016 -:0421710000017314E2 -:0421720000017318DD -:0421730000017350A4 -:042174000001736093 -:0421750000018508D8 -:04217600000000095C -:0421770000017C7077 -:042178000000000360 -:0421790000017D24C0 -:04217A00000000055C -:04217B0000017D6082 -:04217C000000000B54 -:04217D0000017DC41C -:04217E000000000954 -:04217F0000017EA03D -:042180000000000D4E -:0421810000017F5486 -:042182000000000653 -:04218300000180587F -:042184000000000552 -:04218500000180D005 -:04218600000000094C -:04218700000181349E -:042188000000000F44 -:04218900000181E8E8 -:04218A00000000064B -:04218B0000018314B8 -:04218C000000000946 -:04218D000001838C3E -:04218E00C896554B4F +:04214F000001895DA5 +:042150000002000188 +:04215100000186D82B +:04215200000171AC6B +:042153000000000187 +:04215400000189728B +:0421550000FF000087 +:0421560000013B7ACF +:04215700000171B85A +:042158000000000182 +:042159000001897385 +:04215A0000FF000082 +:04215B0000013B7ACA +:04215C00000171C449 +:04215D00000000017D +:04215E00000189747F +:04215F0000FF00007D +:0421600000013B7AC5 +:04216100000171D038 +:042162000000000178 +:042163000001897579 +:0421640000FF000078 +:0421650000013B7AC0 +:04216600000171DC27 +:042167000000000173 +:042168000001897673 +:0421690000FF000073 +:04216A0000013B7ABB +:04216B00000171E816 +:04216C00000000016E +:04216D00000189776D +:04216E0000FF00006E +:04216F0000013B7AB6 +:04217000000171F405 +:042171000000000169 +:042172000001897867 +:04217300000F000059 +:0421740000013B7AB1 +:0421750000017204EF +:042176000000000164 +:042177000001896F6B +:0421780000E41C0063 +:0421790000013B5EC8 +:04217A0000017218D6 +:04217B00000000015F +:04217C000001897164 +:04217D00000A000054 +:04217E0000013B44DD +:04217F0000017228C1 +:04218000000000015A +:042181000001897060 +:042182000007000052 +:0421830000013B28F4 +:04218400000187D4FB +:042185000000000056 +:042186000000000055 +:042187000000000054 +:042188000000000053 +:042189000000000052 +:04218A00000173F4E9 +:04218B00000173F8E4 +:04218C00000173FCDF +:04218D00000173805A +:04218E00000174DCFC +:04218F00000174F8DF +:042190000001755085 +:04219100000175587C +:042192000001756073 +:04219300000174DCF7 +:04219400000174F8DA +:0421950000017500D0 +:0421960000017510BF +:0421970000017520AE +:04219800000174DCF2 +:04219900000174E8E5 +:04219A00000175309B +:04219B00000175408A +:04219C00000174AC1E +:04219D000001766463 +:04219E000001767056 +:04219F000001738048 +:0421A000000174AC1A +:0421A100000174B411 +:0421A2000001746064 +:0421A3000001746C57 +:0421A400000174784A +:0421A5000001748839 +:0421A600000175E8D7 +:0421A700000175FCC2 +:0421A8000001760CB0 +:0421A9000001761C9F +:0421AA000001762C8E +:0421AB000001763881 +:0421AC00000173803B +:0421AD00000174B801 +:0421AE00000174C4F4 +:0421AF00000174D4E3 +:0421B000000174AC0A +:0421B1000001738036 +:0421B200000176981A +:0421B300000176A809 +:0421B400000176B8F8 +:0421B500000176C8E7 +:0421B600000176802E +:0421B7000001768C21 +:0421B800000174AC02 +:0421B9000000000022 +:0421BA000000000021 +:0421BB000000000020 +:0421BC00000000001F +:0421BD00000000001E +:0421BE00000000001D +:0421BF00000000001C +:0421C000000000001B +:0421C100000000001A +:0421C2000000000019 +:0421C3000000000018 +:0421C4000000000017 +:0421C5000000000016 +:0421C6000000000015 +:0421C7000000000014 +:0421C8000000000013 +:0421C9000000000012 +:0421CA000000000011 +:0421CB000000000010 +:0421CC00000000000F +:0421CD00000000000E +:0421CE00000000000D +:0421CF00000000000C +:0421D000000000000B +:0421D100000165C6DE +:0421D2000001874839 +:0421D3000001874838 +:0421D4000010801067 +:0421D5000100800085 +:0421D60000024000C3 +:0421D7000000010102 +:0421D8000002800081 +:0421D90000022000E0 +:0421DA0000017594F7 +:0421DB00000174D8B3 +:0421DC000001742C5E +:0421DD000001744049 +:0421DE000001738009 +:0421DF00000174B4D3 +:0421E000000174DCAA +:0421E100000174E89D +:0421E200000174DCA8 +:0421E300000174F88B +:0421E400000173E49F +:0421E500000173EC96 +:0421E600000176443A +:0421E7000001765429 +:0421E800000174542A +:0421E9000001745825 +:0421EA0000017490EC +:0421EB00000174A0DB +:0421EC00000186E484 +:0421ED0000000009E5 +:0421EE0000017DF07F +:0421EF0000000003E9 +:0421F00000017EA4C8 +:0421F10000000005E5 +:0421F20000017EE08A +:0421F3000000000BDD +:0421F40000017F4423 +:0421F50000000009DD +:0421F6000001802044 +:0421F7000000000DD7 +:0421F800000180D48E +:0421F90000000006DC +:0421FA00000181D887 +:0421FB0000000005DB +:0421FC00000182500C +:0421FD0000000009D5 +:0421FE00000182B4A6 +:0421FF000000000FCD +:0422000000018368EE +:0422010000000007D2 +:0422020000018494BF +:042203000000000CCB +:042204000001852030 +:04220500C896554BD7 :00000001FF diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index a0dcc89..07110af 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -964,7 +964,7 @@ int main() printf("### DIY VIDEO DIGITIZER / SCANCONVERTER INIT OK ###\n\n"); sniprintf(row1, LCD_ROW_LEN+1, "OSSC fw. %u.%.2u" FW_SUFFIX1 FW_SUFFIX2, FW_VER_MAJOR, FW_VER_MINOR); #ifndef DEBUG - strncpy(row2, "2014-2019 marqs", LCD_ROW_LEN+1); + strncpy(row2, "2014-2020 marqs", LCD_ROW_LEN+1); #else strncpy(row2, "** DEBUG BUILD *", LCD_ROW_LEN+1); #endif diff --git a/sys.qsys b/sys.qsys index 55ab093..dd70d7e 100644 --- a/sys.qsys +++ b/sys.qsys @@ -387,7 +387,7 @@ internal="sc_config_0.sc_if" type="conduit" dir="end" /> - + @@ -404,7 +404,7 @@ - ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 + ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 @@ -458,7 +458,7 @@ $${FILENAME}_onchip_memory2_0 @@ -467,7 +467,7 @@ - ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 + ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 @@ -490,7 +490,7 @@ - + @@ -504,7 +504,7 @@ - + @@ -532,7 +532,7 @@ - + @@ -546,7 +546,7 @@ @@ -555,7 +555,7 @@ @@ -564,7 +564,7 @@ @@ -573,7 +573,7 @@ @@ -582,7 +582,7 @@ @@ -591,7 +591,7 @@ @@ -600,7 +600,7 @@ @@ -609,7 +609,7 @@ @@ -618,7 +618,7 @@ @@ -627,7 +627,7 @@ @@ -636,7 +636,7 @@ @@ -645,7 +645,7 @@ @@ -654,7 +654,7 @@ @@ -663,7 +663,7 @@ @@ -672,7 +672,7 @@ @@ -681,166 +681,166 @@ - - - - - + + + + + diff --git a/sys.sopcinfo b/sys.sopcinfo index f8b1464..93a34d5 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - - + + java.lang.Integer - 1570902617 + 1581272712 false true false @@ -95,7 +95,7 @@ true true - + @@ -148,7 +148,7 @@ the requested settings for a module instance. --> true true - + @@ -213,7 +213,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -262,7 +262,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -415,7 +415,7 @@ parameters are a RESULT of the module parameters. --> pll_reconfig_0.clock_sink - + @@ -603,7 +603,7 @@ the requested settings for a module instance. --> java.lang.String - ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 + ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 false true false @@ -668,7 +668,7 @@ the requested settings for a module instance. --> true true - + @@ -729,7 +729,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -774,7 +774,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -1151,7 +1151,7 @@ parameters are a RESULT of the module parameters. --> readdatavalid - + @@ -1540,7 +1540,7 @@ parameters are a RESULT of the module parameters. --> byteenable - + @@ -1637,7 +1637,7 @@ the requested settings for a module instance. --> true true - + @@ -1682,7 +1682,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -1727,7 +1727,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -2136,7 +2136,7 @@ the requested settings for a module instance. --> true true - + @@ -2181,7 +2181,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -2226,7 +2226,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -2283,7 +2283,7 @@ parameters are a RESULT of the module parameters. --> export - + @@ -2654,7 +2654,7 @@ parameters are a RESULT of the module parameters. --> waitrequest_n - + @@ -2763,7 +2763,7 @@ the requested settings for a module instance. --> true true - + @@ -2808,7 +2808,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -2853,7 +2853,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -2910,7 +2910,7 @@ parameters are a RESULT of the module parameters. --> export - + @@ -3281,7 +3281,7 @@ parameters are a RESULT of the module parameters. --> waitrequest_n - + @@ -3362,7 +3362,7 @@ parameters are a RESULT of the module parameters. --> @@ -3545,7 +3545,7 @@ the requested settings for a module instance. --> true true - + @@ -3606,7 +3606,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -3651,7 +3651,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -4028,7 +4028,7 @@ parameters are a RESULT of the module parameters. --> waitrequest - + @@ -4211,7 +4211,7 @@ the requested settings for a module instance. --> true true - + @@ -4256,7 +4256,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -4301,7 +4301,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -4636,7 +4636,7 @@ parameters are a RESULT of the module parameters. --> 40960 - + @@ -4701,7 +4701,7 @@ parameters are a RESULT of the module parameters. --> @@ -5021,7 +5021,7 @@ the requested settings for a module instance. --> java.lang.String - ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 + ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 false true false @@ -5092,7 +5092,7 @@ the requested settings for a module instance. --> true true - + @@ -5469,7 +5469,7 @@ parameters are a RESULT of the module parameters. --> byteenable - + @@ -5846,7 +5846,7 @@ parameters are a RESULT of the module parameters. --> byteenable - + @@ -5891,7 +5891,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -5974,7 +5974,7 @@ the requested settings for a module instance. --> true true - + @@ -6019,7 +6019,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -6064,7 +6064,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -6447,7 +6447,7 @@ parameters are a RESULT of the module parameters. --> waitrequest_n - + @@ -6517,7 +6517,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -6774,7 +6774,7 @@ the requested settings for a module instance. --> true true - + @@ -6835,7 +6835,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -6880,7 +6880,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -7245,7 +7245,7 @@ parameters are a RESULT of the module parameters. --> readdata - + @@ -7291,7 +7291,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -7548,7 +7548,7 @@ the requested settings for a module instance. --> true true - + @@ -7609,7 +7609,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -7654,7 +7654,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -8001,7 +8001,7 @@ parameters are a RESULT of the module parameters. --> readdata - + @@ -8070,7 +8070,7 @@ the requested settings for a module instance. --> true true - + @@ -8115,7 +8115,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -8160,7 +8160,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -8543,7 +8543,7 @@ parameters are a RESULT of the module parameters. --> waitrequest_n - + @@ -8720,7 +8720,7 @@ the requested settings for a module instance. --> true true - + @@ -8765,7 +8765,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -8828,7 +8828,7 @@ parameters are a RESULT of the module parameters. --> boot_addr_i - + @@ -8873,7 +8873,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -8977,7 +8977,7 @@ parameters are a RESULT of the module parameters. --> 1 - + @@ -9278,7 +9278,7 @@ parameters are a RESULT of the module parameters. --> 40960 - + @@ -9705,7 +9705,7 @@ parameters are a RESULT of the module parameters. --> 40960 - + @@ -10102,7 +10102,7 @@ the requested settings for a module instance. --> true true - + @@ -10147,7 +10147,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -10192,7 +10192,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -10575,7 +10575,7 @@ parameters are a RESULT of the module parameters. --> waitrequest_n - + @@ -10672,7 +10672,7 @@ parameters are a RESULT of the module parameters. --> @@ -10898,7 +10898,7 @@ the requested settings for a module instance. --> true true - + @@ -10959,7 +10959,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -11004,7 +11004,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -11373,7 +11373,7 @@ parameters are a RESULT of the module parameters. --> write_n - + @@ -11454,7 +11454,7 @@ parameters are a RESULT of the module parameters. --> @@ -11505,7 +11505,7 @@ parameters are a RESULT of the module parameters. --> @@ -11556,7 +11556,7 @@ parameters are a RESULT of the module parameters. --> @@ -11607,7 +11607,7 @@ parameters are a RESULT of the module parameters. --> @@ -11658,7 +11658,7 @@ parameters are a RESULT of the module parameters. --> @@ -11709,7 +11709,7 @@ parameters are a RESULT of the module parameters. --> @@ -11760,7 +11760,7 @@ parameters are a RESULT of the module parameters. --> @@ -11811,7 +11811,7 @@ parameters are a RESULT of the module parameters. --> @@ -11862,7 +11862,7 @@ parameters are a RESULT of the module parameters. --> @@ -11913,7 +11913,7 @@ parameters are a RESULT of the module parameters. --> @@ -11964,7 +11964,7 @@ parameters are a RESULT of the module parameters. --> @@ -12015,7 +12015,7 @@ parameters are a RESULT of the module parameters. --> @@ -12066,7 +12066,7 @@ parameters are a RESULT of the module parameters. --> @@ -12117,7 +12117,7 @@ parameters are a RESULT of the module parameters. --> @@ -12168,7 +12168,7 @@ parameters are a RESULT of the module parameters. --> @@ -12219,7 +12219,7 @@ parameters are a RESULT of the module parameters. --> @@ -12270,7 +12270,7 @@ parameters are a RESULT of the module parameters. --> @@ -12297,7 +12297,7 @@ parameters are a RESULT of the module parameters. --> @@ -12324,7 +12324,7 @@ parameters are a RESULT of the module parameters. --> @@ -12351,7 +12351,7 @@ parameters are a RESULT of the module parameters. --> @@ -12378,7 +12378,7 @@ parameters are a RESULT of the module parameters. --> @@ -12405,7 +12405,7 @@ parameters are a RESULT of the module parameters. --> @@ -12432,7 +12432,7 @@ parameters are a RESULT of the module parameters. --> @@ -12459,7 +12459,7 @@ parameters are a RESULT of the module parameters. --> @@ -12486,7 +12486,7 @@ parameters are a RESULT of the module parameters. --> @@ -12513,7 +12513,7 @@ parameters are a RESULT of the module parameters. --> @@ -12540,7 +12540,7 @@ parameters are a RESULT of the module parameters. --> @@ -12567,7 +12567,7 @@ parameters are a RESULT of the module parameters. --> @@ -12594,7 +12594,7 @@ parameters are a RESULT of the module parameters. --> @@ -12621,7 +12621,7 @@ parameters are a RESULT of the module parameters. --> @@ -12648,7 +12648,7 @@ parameters are a RESULT of the module parameters. --> @@ -12683,7 +12683,7 @@ parameters are a RESULT of the module parameters. --> @@ -12718,7 +12718,7 @@ parameters are a RESULT of the module parameters. --> @@ -12753,7 +12753,7 @@ parameters are a RESULT of the module parameters. --> @@ -12788,7 +12788,7 @@ parameters are a RESULT of the module parameters. --> @@ -12823,7 +12823,7 @@ parameters are a RESULT of the module parameters. --> @@ -12850,7 +12850,7 @@ parameters are a RESULT of the module parameters. --> @@ -12877,7 +12877,7 @@ parameters are a RESULT of the module parameters. --> @@ -12904,7 +12904,7 @@ parameters are a RESULT of the module parameters. --> @@ -12931,7 +12931,7 @@ parameters are a RESULT of the module parameters. --> @@ -12958,7 +12958,7 @@ parameters are a RESULT of the module parameters. --> @@ -12985,7 +12985,7 @@ parameters are a RESULT of the module parameters. --> @@ -13012,7 +13012,7 @@ parameters are a RESULT of the module parameters. --> @@ -13039,7 +13039,7 @@ parameters are a RESULT of the module parameters. --> @@ -13066,7 +13066,7 @@ parameters are a RESULT of the module parameters. --> @@ -13093,7 +13093,7 @@ parameters are a RESULT of the module parameters. --> @@ -13120,7 +13120,7 @@ parameters are a RESULT of the module parameters. --> @@ -13147,7 +13147,7 @@ parameters are a RESULT of the module parameters. --> @@ -13174,7 +13174,7 @@ parameters are a RESULT of the module parameters. --> @@ -13204,7 +13204,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Clock Source - 17.1 + 19.1 1 @@ -13212,7 +13212,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Input - 17.1 + 19.1 1 @@ -13220,7 +13220,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Input - 17.1 + 19.1 1 @@ -13228,7 +13228,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Output - 17.1 + 19.1 1 @@ -13236,7 +13236,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Output - 17.1 + 19.1 1 @@ -13252,7 +13252,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Input - 17.1 + 19.1 14 @@ -13260,7 +13260,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Input - 17.1 + 19.1 15 @@ -13268,7 +13268,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Slave - 17.1 + 19.1 5 @@ -13276,7 +13276,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Interrupt Sender - 17.1 + 19.1 1 @@ -13300,15 +13300,15 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Conduit - 17.1 + 19.1 1 altera_avalon_jtag_uart com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - JTAG UART - 17.1 + JTAG UART Intel FPGA IP + 19.1 1 @@ -13324,7 +13324,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Master - 17.1 + 19.1 1 @@ -13332,15 +13332,15 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Output - 17.1 + 19.1 1 altera_avalon_onchip_memory2 com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - On-Chip Memory (RAM or ROM) - 17.1 + On-Chip Memory (RAM or ROM) Intel FPGA IP + 19.1 1 @@ -13355,8 +13355,8 @@ parameters are a RESULT of the module parameters. --> altera_avalon_pio com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - PIO (Parallel I/O) - 17.1 + PIO (Parallel I/O) Intel FPGA IP + 19.1 1 @@ -13380,7 +13380,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Interrupt Receiver - 17.1 + 19.1 1 @@ -13395,8 +13395,8 @@ parameters are a RESULT of the module parameters. --> altera_avalon_timer com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - Interval Timer - 17.1 + Interval Timer Intel FPGA IP + 19.1 16 @@ -13404,7 +13404,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Avalon Memory Mapped Connection - 17.1 + 19.1 14 @@ -13412,7 +13412,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Clock Connection - 17.1 + 19.1 5 @@ -13420,7 +13420,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Interrupt Connection - 17.1 + 19.1 14 @@ -13428,8 +13428,8 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Reset Connection - 17.1 + 19.1 - 17.1 590 + 19.1 670