diff --git a/ossc.qsf b/ossc.qsf index 1244f14..89f0098 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -238,7 +238,7 @@ set_global_assignment -name SIGNALTAP_FILE output_files/ossc_la.stp set_global_assignment -name FITTER_EFFORT "AUTO FIT" -set_global_assignment -name SEED 6 +set_global_assignment -name SEED 2 diff --git a/ossc.sdc b/ossc.sdc index 43ae6fb..fab8589 100644 --- a/ossc.sdc +++ b/ossc.sdc @@ -77,6 +77,9 @@ set_false_path -from [get_registers {scanconverter_inst|H_* scanconverter_inst|V # Ignore paths from registers which are updated only at leading edge of hsync set_false_path -from [get_registers {scanconverter:scanconverter_inst|line_idx scanconverter:scanconverter_inst|line_out_idx* scanconverter:scanconverter_inst|hmax*}] +# Ignore paths to latency tester sync regs +set_false_path -to [get_registers {lat_tester:lt0|mode_synced* lat_tester:lt0|VSYNC_in_* lat_tester:lt0|trigger_*}] + ### JTAG Signal Constraints ### diff --git a/rtl/lat_tester.v b/rtl/lat_tester.v index 49193cd..33bad4b 100644 --- a/rtl/lat_tester.v +++ b/rtl/lat_tester.v @@ -17,8 +17,14 @@ // along with this program. If not, see . // +`define LT_STATE_IDLE 2'h0 +`define LT_STATE_LAT_MEAS 2'h1 +`define LT_STATE_STB_MEAS 2'h2 +`define LT_STATE_FINISHED 2'h3 + module lat_tester ( input clk27, + input pclk, input active, input armed, input sensor, @@ -26,47 +32,76 @@ module lat_tester ( input VSYNC_in, input [1:0] mode_in, output reg [2:0] mode_synced, - output reg [15:0] result + output reg [15:0] lat_result, + output reg [11:0] stb_result, + output reg finished ); -reg VSYNC_in_L, VSYNC_in_LL, VSYNC_in_LLL; -reg running; +reg VSYNC_in_L, VSYNC_in_LL, trigger_L, trigger_LL; reg [8:0] clk27_ctr; +reg [1:0] state; -always @(posedge clk27) begin +always @(posedge pclk) begin VSYNC_in_L <= VSYNC_in; VSYNC_in_LL <= VSYNC_in_L; - VSYNC_in_LLL <= VSYNC_in_LL; end -always @(posedge clk27) begin - if (VSYNC_in_LLL && !VSYNC_in_LL) +always @(posedge pclk) begin + if (VSYNC_in_LL && !VSYNC_in_L) mode_synced <= mode_in; end always @(posedge clk27) begin - if (!active) begin - running <= 0; - end else begin - if ((result==0) && (clk27_ctr==0) && armed && trigger) begin - running <= 1; - end else if (running && ((sensor==0) || (result==16'hffff))) begin - running <= 0; - end - end + trigger_L <= trigger; + trigger_LL <= trigger_L; end always @(posedge clk27) begin - if (!active || !armed) begin - result <= 0; - clk27_ctr <= 0; - end else if (running) begin - if (clk27_ctr == 270-1) begin - clk27_ctr <= 0; - result <= result + 1'b1; - end else begin - clk27_ctr <= clk27_ctr + 1'b1; - end + if (!active) begin + state <= `LT_STATE_IDLE; + end else begin + case (state) + default: begin //STATE_IDLE + finished <= 1'b0; + lat_result <= 0; + stb_result <= 0; + clk27_ctr <= 0; + if (armed && trigger_LL) + state <= `LT_STATE_LAT_MEAS; + end + `LT_STATE_LAT_MEAS: begin + if (sensor==0) begin + state <= `LT_STATE_STB_MEAS; + clk27_ctr <= 0; + end else if (lat_result==16'hffff) begin + state <= `LT_STATE_FINISHED; + end else begin + if (clk27_ctr == 270-1) begin + clk27_ctr <= 0; + lat_result <= lat_result + 1'b1; + end else begin + clk27_ctr <= clk27_ctr + 1'b1; + end + end + end + `LT_STATE_STB_MEAS: begin + if (((sensor==1) && (stb_result >= 12'd100)) || (stb_result == 12'hfff)) begin + state <= `LT_STATE_FINISHED; + end else begin + if (clk27_ctr == 270-1) begin + clk27_ctr <= 0; + stb_result <= stb_result + 1'b1; + end else begin + clk27_ctr <= clk27_ctr + 1'b1; + end + end + end + `LT_STATE_FINISHED: begin + finished <= 1'b1; + if (!armed) + state <= `LT_STATE_IDLE; + end + endcase end end diff --git a/rtl/lat_tester_includes.v b/rtl/lat_tester_includes.v new file mode 100644 index 0000000..f614331 --- /dev/null +++ b/rtl/lat_tester_includes.v @@ -0,0 +1,26 @@ +// +// Copyright (C) 2017 Markus Hiienkari +// +// This file is part of Open Source Scan Converter project. +// +// This program is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with this program. If not, see . +// + +`define LT_POS_NONE 2'b00 +`define LT_POS_TOPLEFT 2'b01 +`define LT_POS_CENTER 2'b10 +`define LT_POS_BOTTOMRIGHT 2'b11 + +`define LT_WIDTH_DIV 8 +`define LT_HEIGHT_DIV 8 diff --git a/rtl/ossc.v b/rtl/ossc.v index 11866ed..6031f1f 100644 --- a/rtl/ossc.v +++ b/rtl/ossc.v @@ -54,7 +54,7 @@ module ossc ( inout [3:0] SD_DAT ); -wire [7:0] sys_ctrl; +wire [15:0] sys_ctrl; wire h_unstable; wire [1:0] pclk_lock; wire [1:0] pll_lock_lost; @@ -87,11 +87,13 @@ reg HSYNC_in_L, VSYNC_in_L, FID_in_L; reg [1:0] btn_L, btn_LL; reg ir_rx_L, ir_rx_LL, HDMI_TX_INT_N_L, HDMI_TX_INT_N_LL, HDMI_TX_MODE_L, HDMI_TX_MODE_LL; -wire lt_active = extra_info[31]; -wire lt_armed = extra_info[30]; -wire [1:0] lt_mode = extra_info[29:28]; +wire lt_active = sys_ctrl[15]; +wire lt_armed = sys_ctrl[14]; +wire [1:0] lt_mode = sys_ctrl[13:12]; wire [1:0] lt_mode_synced; -wire [15:0] lt_result; +wire [15:0] lt_lat_result; +wire [11:0] lt_stb_result; +wire lt_finished; // Latch inputs from TVP7002 (synchronized to PCLK_in) always @(posedge PCLK_in or negedge reset_n) @@ -200,7 +202,7 @@ sys sys_inst( .pio_4_h_info2_out_export (h_info2), .pio_5_v_info_out_export (v_info), .pio_6_extra_info_out_export (extra_info), - .pio_7_lt_results_in_export ({16'h0000, lt_result}) + .pio_7_lt_results_in_export ({lt_finished, 3'h0, lt_stb_result, lt_lat_result}) ); scanconverter scanconverter_inst ( @@ -229,7 +231,9 @@ scanconverter scanconverter_inst ( .pclk_lock (pclk_lock), .pll_lock_lost (pll_lock_lost), .vmax (vmax), - .vmax_tvp (vmax_tvp) + .vmax_tvp (vmax_tvp), + .lt_active (lt_active), + .lt_mode (lt_mode_synced) ); ir_rcv ir0 ( @@ -243,14 +247,17 @@ ir_rcv ir0 ( lat_tester lt0 ( .clk27 (clk27), + .pclk (HDMI_TX_PCLK), .active (lt_active), .armed (lt_armed), .sensor (btn_LL[1]), - .trigger (HDMI_TX_DE & HDMI_TX_RD[0]), + .trigger (HDMI_TX_DE & HDMI_TX_GD[0]), .VSYNC_in (HDMI_TX_VS), - .mode_in (extra_info[29:28]), + .mode_in (lt_mode), .mode_synced (lt_mode_synced), - .result (lt_result) + .lat_result (lt_lat_result), + .stb_result (lt_stb_result), + .finished (lt_finished) ); `ifdef VIDEOGEN diff --git a/rtl/scanconverter.v b/rtl/scanconverter.v index e88880f..a1b0d1e 100644 --- a/rtl/scanconverter.v +++ b/rtl/scanconverter.v @@ -17,6 +17,8 @@ // along with this program. If not, see . // +`include "lat_tester_includes.v" + `define TRUE 1'b1 `define FALSE 1'b0 `define HI 1'b1 @@ -80,7 +82,9 @@ module scanconverter ( output [1:0] pclk_lock, output [1:0] pll_lock_lost, output reg [10:0] vmax, - output reg [10:0] vmax_tvp + output reg [10:0] vmax_tvp, + input lt_active, + input [1:0] lt_mode ); //clock-related signals @@ -124,7 +128,8 @@ reg line_idx; reg [1:0] line_out_idx_2x, line_out_idx_3x, line_out_idx_4x; reg [2:0] line_out_idx_5x; reg [23:0] warn_h_unstable, warn_pll_lock_lost, warn_pll_lock_lost_3x; -reg mask_enable_pp1, mask_enable_pp2, mask_enable_pp3, mask_enable_pp4, mask_enable_pp5, mask_enable_pp6; +reg border_enable_pp1, border_enable_pp2, border_enable_pp3, border_enable_pp4, border_enable_pp5, border_enable_pp6; +reg lt_box_enable_pp1, lt_box_enable_pp2, lt_box_enable_pp3, lt_box_enable_pp4, lt_box_enable_pp5, lt_box_enable_pp6; wire rlpf_trigger_act; reg rlpf_trigger_pp1; @@ -191,19 +196,51 @@ function [7:0] apply_scanlines; end endfunction -//Border masking +//LT box / border generation function [7:0] apply_mask; - input enable; + input lt_active; + input lt_box_enable; + input border_enable; input [7:0] data; input [3:0] brightness; begin - if (enable) + if (lt_active) + apply_mask = lt_box_enable ? 8'hff : 8'h00; + else if (border_enable) apply_mask = {brightness, 4'h0}; else apply_mask = data; end endfunction +//LT position select + function [7:0] apply_lt_box; + input [1:0] mode; + input [11:0] h_cnt; + input [10:0] v_cnt; + input [9:0] h_start; + input [6:0] v_start; + input [10:0] h_active; + input [10:0] v_active; + begin + case (mode) + default: begin + apply_lt_box = 0; + end + `LT_POS_TOPLEFT: begin + apply_lt_box = ((h_cnt < (h_start+(h_active/`LT_WIDTH_DIV))) && (v_cnt < (v_start+(v_active/`LT_HEIGHT_DIV)))) ? 1 : 0; + end + `LT_POS_CENTER: begin + apply_lt_box = ((h_cnt >= (h_start+(h_active/2)-(h_active/(`LT_WIDTH_DIV*2)))) && (h_cnt < (h_start+(h_active/2)+(h_active/(`LT_WIDTH_DIV*2)))) && (v_cnt >= (v_start+(v_active/2)-(v_active/(`LT_HEIGHT_DIV*2)))) && (v_cnt < (v_start+(v_active/2)+(v_active/(`LT_HEIGHT_DIV*2))))) ? 1 : 0; + end + `LT_POS_BOTTOMRIGHT: begin + apply_lt_box = ((h_cnt >= (h_start+h_active-(h_active/`LT_WIDTH_DIV))) && (v_cnt >= (v_start+v_active-(v_active/`LT_HEIGHT_DIV)))) ? 1 : 0; + end + endcase + end + endfunction + +//Reverse LPF function [7:0] apply_reverse_lpf; input enable; input [7:0] data; @@ -402,15 +439,17 @@ always @(posedge pclk_act) begin line_id_pp1 <= line_id_act; col_id_pp1 <= col_id_act; - mask_enable_pp1 <= ((hcnt_act < H_AVIDSTART+H_MASK) | (hcnt_act >= H_AVIDSTART+H_ACTIVE-H_MASK) | (vcnt_act < V_AVIDSTART+V_MASK) | (vcnt_act >= V_AVIDSTART+V_ACTIVE-V_MASK)); + border_enable_pp1 <= ((hcnt_act < H_AVIDSTART+H_MASK) | (hcnt_act >= H_AVIDSTART+H_ACTIVE-H_MASK) | (vcnt_act < V_AVIDSTART+V_MASK) | (vcnt_act >= V_AVIDSTART+V_ACTIVE-V_MASK)); rlpf_trigger_pp1 <= rlpf_trigger_act; + lt_box_enable_pp1 <= apply_lt_box(lt_mode, hcnt_act, vcnt_act, H_AVIDSTART, V_AVIDSTART, H_ACTIVE, V_ACTIVE); HSYNC_pp2 <= HSYNC_act; VSYNC_pp2 <= VSYNC_act; DE_pp2 <= DE_act; line_id_pp2 <= line_id_pp1; col_id_pp2 <= col_id_pp1; - mask_enable_pp2 <= mask_enable_pp1; + border_enable_pp2 <= border_enable_pp1; + lt_box_enable_pp2 <= lt_box_enable_pp1; // Optimized modes repeat pixels. Save previous pixel only when linebuffer offset changes. if (rlpf_trigger_pp1) begin R_prev_pp2 <= R_act; @@ -418,7 +457,6 @@ begin B_prev_pp2 <= B_act; end - R_pp3 <= R_act; G_pp3 <= G_act; B_pp3 <= B_act; @@ -427,7 +465,8 @@ begin DE_pp3 <= DE_pp2; line_id_pp3 <= line_id_pp2; col_id_pp3 <= col_id_pp2; - mask_enable_pp3 <= mask_enable_pp2; + border_enable_pp3 <= border_enable_pp2; + lt_box_enable_pp3 <= lt_box_enable_pp2; R_prev_pp3 <= R_prev_pp2; G_prev_pp3 <= G_prev_pp2; B_prev_pp3 <= B_prev_pp2; @@ -444,7 +483,8 @@ begin DE_pp4 <= DE_pp3; line_id_pp4 <= line_id_pp3; col_id_pp4 <= col_id_pp3; - mask_enable_pp4 <= mask_enable_pp3; + border_enable_pp4 <= border_enable_pp3; + lt_box_enable_pp4 <= lt_box_enable_pp3; R_prev_pp4 <= R_prev_pp3; G_prev_pp4 <= G_prev_pp3; B_prev_pp4 <= B_prev_pp3; @@ -461,7 +501,8 @@ begin DE_pp5 <= DE_pp4; line_id_pp5 <= line_id_pp4; col_id_pp5 <= col_id_pp4; - mask_enable_pp5 <= mask_enable_pp4; + border_enable_pp5 <= border_enable_pp4; + lt_box_enable_pp5 <= lt_box_enable_pp4; R_pp6 <= apply_scanlines(V_SCANLINEMODE, R_pp5, X_SCANLINESTR, V_SCANLINEID, line_id_pp5, col_id_pp5, FID_1x); G_pp6 <= apply_scanlines(V_SCANLINEMODE, G_pp5, X_SCANLINESTR, V_SCANLINEID, line_id_pp5, col_id_pp5, FID_1x); @@ -469,11 +510,12 @@ begin HSYNC_pp6 <= HSYNC_pp5; VSYNC_pp6 <= VSYNC_pp5; DE_pp6 <= DE_pp5; - mask_enable_pp6 <= mask_enable_pp5; + border_enable_pp6 <= border_enable_pp5; + lt_box_enable_pp6 <= lt_box_enable_pp5; - R_out <= apply_mask(mask_enable_pp6, R_pp6, X_MASK_BR); - G_out <= apply_mask(mask_enable_pp6, G_pp6, X_MASK_BR); - B_out <= apply_mask(mask_enable_pp6, B_pp6, X_MASK_BR); + R_out <= apply_mask(lt_active, lt_box_enable_pp6, border_enable_pp6, R_pp6, X_MASK_BR); + G_out <= apply_mask(lt_active, lt_box_enable_pp6, border_enable_pp6, G_pp6, X_MASK_BR); + B_out <= apply_mask(lt_active, lt_box_enable_pp6, border_enable_pp6, B_pp6, X_MASK_BR); HSYNC_out <= HSYNC_pp6; VSYNC_out <= VSYNC_pp6; DE_out <= DE_pp6; diff --git a/rtl/videogen.v b/rtl/videogen.v index 5a17850..e5e21d5 100644 --- a/rtl/videogen.v +++ b/rtl/videogen.v @@ -17,12 +17,7 @@ // along with this program. If not, see . // -`define LT_POS_NONE 2'b00 -`define LT_POS_TOPLEFT 2'b01 -`define LT_POS_CENTER 2'b10 -`define LT_POS_BOTTOMRIGHT 2'b11 -`define LT_WIDTH 100 -`define LT_HEIGHT 100 +`include "lat_tester_includes.v" module videogen ( input clk27, @@ -129,13 +124,13 @@ begin V_gen <= 8'h00; end `LT_POS_TOPLEFT: begin - V_gen <= ((h_cnt < (X_START+`LT_WIDTH)) && (v_cnt < (Y_START+`LT_HEIGHT))) ? 8'hff : 8'h00; + V_gen <= ((h_cnt < (X_START+(H_ACTIVE/`LT_WIDTH_DIV))) && (v_cnt < (Y_START+(V_ACTIVE/`LT_HEIGHT_DIV)))) ? 8'hff : 8'h00; end `LT_POS_CENTER: begin - V_gen <= ((h_cnt >= (X_START+(H_ACTIVE/2)-(`LT_WIDTH/2))) && (h_cnt < (X_START+(H_ACTIVE/2)+(`LT_WIDTH/2))) && (v_cnt >= (Y_START+(V_ACTIVE/2)-(`LT_HEIGHT/2))) && (v_cnt < (Y_START+(V_ACTIVE/2)+(`LT_HEIGHT/2)))) ? 8'hff : 8'h00; + V_gen <= ((h_cnt >= (X_START+(H_ACTIVE/2)-(H_ACTIVE/(`LT_WIDTH_DIV*2)))) && (h_cnt < (X_START+(H_ACTIVE/2)+(H_ACTIVE/(`LT_WIDTH_DIV*2)))) && (v_cnt >= (Y_START+(V_ACTIVE/2)-(V_ACTIVE/(`LT_HEIGHT_DIV*2)))) && (v_cnt < (Y_START+(V_ACTIVE/2)+(V_ACTIVE/(`LT_HEIGHT_DIV*2))))) ? 8'hff : 8'h00; end `LT_POS_BOTTOMRIGHT: begin - V_gen <= ((h_cnt >= (X_START+H_ACTIVE-`LT_WIDTH)) && (v_cnt >= (Y_START+V_ACTIVE-`LT_HEIGHT))) ? 8'hff : 8'h00; + V_gen <= ((h_cnt >= (X_START+H_ACTIVE-(H_ACTIVE/`LT_WIDTH_DIV))) && (v_cnt >= (Y_START+V_ACTIVE-(V_ACTIVE/`LT_HEIGHT_DIV)))) ? 8'hff : 8'h00; end endcase end else begin diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index a0e1c4f..1eea8cb 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex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diff --git a/software/sys_controller/memory/flash.c b/software/sys_controller/memory/flash.c index 9a15c73..455ecf7 100644 --- a/software/sys_controller/memory/flash.c +++ b/software/sys_controller/memory/flash.c @@ -19,12 +19,11 @@ #include #include +#include "system.h" #include "flash.h" -#include "lcd.h" #include "ci_crc.h" extern alt_epcq_controller_dev epcq_controller_0; -extern char menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; alt_epcq_controller_dev *epcq_controller_dev; @@ -34,7 +33,7 @@ int check_flash() epcq_controller_dev = &epcq_controller_0; if ((epcq_controller_dev == NULL) || !(epcq_controller_dev->is_epcs && (epcq_controller_dev->page_size == PAGESIZE))) - return -1; + return -FLASH_DETECT_ERROR; printf("Flash size in bytes: %lu\nSector size: %lu (%lu pages)\nPage size: %lu\n", epcq_controller_dev->size_in_bytes, epcq_controller_dev->sector_size, epcq_controller_dev->sector_size/epcq_controller_dev->page_size, epcq_controller_dev->page_size); @@ -48,7 +47,7 @@ int read_flash(alt_u32 offset, alt_u32 length, alt_u8 *dstbuf) retval = alt_epcq_controller_read(&epcq_controller_dev->dev, offset, dstbuf, length); if (retval != 0) - return -1; + return -FLASH_READ_ERROR; for (i=0; i> 24; @@ -65,11 +64,8 @@ int write_flash_page(alt_u8 *pagedata, alt_u32 length, alt_u32 pagenum) retval = alt_epcq_controller_erase_block(&epcq_controller_dev->dev, pagenum*PAGESIZE); if (retval != 0) { - strncpy(menu_row1, "Flash erase", LCD_ROW_LEN+1); - sniprintf(menu_row1, LCD_ROW_LEN+1, "error %d", retval); - menu_row2[0] = '\0'; printf("Flash erase error, sector %u\nRetval %d\n", (unsigned)(pagenum/PAGES_PER_SECTOR), retval); - return -200; + return -FLASH_ERASE_ERROR; } } @@ -80,13 +76,11 @@ int write_flash_page(alt_u8 *pagedata, alt_u32 length, alt_u32 pagenum) retval = alt_epcq_controller_write_block(&epcq_controller_dev->dev, (pagenum/PAGES_PER_SECTOR)*PAGES_PER_SECTOR*PAGESIZE, pagenum*PAGESIZE, pagedata, length); if (retval != 0) { - strncpy(menu_row1, "Flash write", LCD_ROW_LEN+1); - strncpy(menu_row2, "error", LCD_ROW_LEN+1); printf("Flash write error, page %u\nRetval %d\n", (unsigned)pagenum, retval); - return -201; + return -FLASH_WRITE_ERROR; } - return retval; + return 0; } int verify_flash(alt_u32 offset, alt_u32 length, alt_u32 golden_crc, alt_u8 *tmpbuf) @@ -99,16 +93,13 @@ int verify_flash(alt_u32 offset, alt_u32 length, alt_u32 golden_crc, alt_u8 *tmp retval = read_flash(i, bytes_to_read, tmpbuf); if (retval != 0) - return -202; + return retval; crcval = crcCI(tmpbuf, bytes_to_read, (i==0)); } - if (crcval != golden_crc) { - sniprintf(menu_row1, LCD_ROW_LEN+1, "Flash verif fail"); - menu_row2[0] = '\0'; - return -203; - } + if (crcval != golden_crc) + return -FLASH_VERIFY_ERROR; return 0; } diff --git a/software/sys_controller/memory/flash.h b/software/sys_controller/memory/flash.h index 0a4dc3e..f049726 100644 --- a/software/sys_controller/memory/flash.h +++ b/software/sys_controller/memory/flash.h @@ -32,6 +32,12 @@ #define USERDATA_OFFSET 0x100000 #define MAX_USERDATA_ENTRY 15 // 16 sectors for userdata +#define FLASH_DETECT_ERROR 200 +#define FLASH_READ_ERROR 201 +#define FLASH_ERASE_ERROR 202 +#define FLASH_WRITE_ERROR 203 +#define FLASH_VERIFY_ERROR 204 + int check_flash(); int read_flash(alt_u32 offset, alt_u32 length, alt_u8 *dstbuf); diff --git a/software/sys_controller/memory/sdcard.c b/software/sys_controller/memory/sdcard.c index c5c613e..092bbbf 100644 --- a/software/sys_controller/memory/sdcard.c +++ b/software/sys_controller/memory/sdcard.c @@ -31,11 +31,8 @@ int check_sdcard(alt_u8 *databuf) res = SD_Init(&sdcard_dev); printf("SD det status: %u\n", res); - if (res) { - sniprintf(menu_row1, LCD_ROW_LEN+1, "No SD card det."); - menu_row2[0] = '\0'; - return 1; - } + if (res != SD_OK) + return res; return SD_Read(&sdcard_dev, databuf, 0, 0, 512); } diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 56bf5e9..069eb02 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -49,7 +49,7 @@ #define SYNC_LOSS_THOLD -5 #define STATUS_TIMEOUT 10000 -alt_u8 sys_ctrl; +alt_u16 sys_ctrl; // Current mode avmode_t cm; @@ -595,7 +595,7 @@ int init_hw() // Reset hardware IOWR_ALTERA_AVALON_PIO_DATA(PIO_0_BASE, AV_RESET_N|LCD_BL); - IOWR_ALTERA_AVALON_PIO_DATA(PIO_0_BASE, 0x00); + IOWR_ALTERA_AVALON_PIO_DATA(PIO_0_BASE, 0x0000); IOWR_ALTERA_AVALON_PIO_DATA(PIO_3_BASE, 0x00000000); IOWR_ALTERA_AVALON_PIO_DATA(PIO_5_BASE, 0x00000000); usleep(10000); @@ -670,15 +670,22 @@ int init_hw() return 0; } +#ifdef DEBUG +int latency_test() +{ + sniprintf(menu_row2, LCD_ROW_LEN+1, "Unavailable"); + lcd_write_menu(); + usleep(1000000); + return -1; +} +#else int latency_test() { - alt_u32 base_val, btn_vec, btn_vec_prev=1; + alt_u32 lt_status, btn_vec, btn_vec_prev=1; + alt_u16 latency_ms_x100, stb_ms_x100; alt_u8 position = lt_sel+1; - alt_u16 latency_x100ms; - base_val = IORD_ALTERA_AVALON_PIO_DATA(PIO_6_BASE) & 0xff; - - base_val |= (1<<31); - IOWR_ALTERA_AVALON_PIO_DATA(PIO_6_BASE, base_val|(position<<28)); + sys_ctrl |= LT_ACTIVE|(position<> 16) & 0xfff; + if ((latency_ms_x100 == 0) || (latency_ms_x100 == 0xffff)) + sniprintf(menu_row2, LCD_ROW_LEN+1, "Timeout"); + else if (stb_ms_x100 == 0xfff) + sniprintf(menu_row2, LCD_ROW_LEN+1, "%u.%.2ums", latency_ms_x100/100, latency_ms_x100%100); + else + sniprintf(menu_row2, LCD_ROW_LEN+1, "%u.%.2ums/%u.%.2ums", latency_ms_x100/100, latency_ms_x100%100, stb_ms_x100/100, stb_ms_x100%100); lcd_write_menu(); } else if (btn_vec == rc_keymap[RC_BACK]) { break; } - IOWR_ALTERA_AVALON_PIO_DATA(PIO_6_BASE, base_val|(position<<28)); + sys_ctrl &= ~LT_ARMED; + IOWR_ALTERA_AVALON_PIO_DATA(PIO_0_BASE, sys_ctrl); } btn_vec_prev = btn_vec; usleep(WAITLOOP_SLEEP_US); } - base_val &= 0xff; - IOWR_ALTERA_AVALON_PIO_DATA(PIO_6_BASE, base_val); + sys_ctrl &= ~LT_CTRL_MASK; + IOWR_ALTERA_AVALON_PIO_DATA(PIO_0_BASE, sys_ctrl); return 0; } +#endif // Enable chip outputs void enable_outputs() diff --git a/software/sys_controller/ossc/av_controller.h b/software/sys_controller/ossc/av_controller.h index ba8141c..511b3a6 100644 --- a/software/sys_controller/ossc/av_controller.h +++ b/software/sys_controller/ossc/av_controller.h @@ -24,6 +24,9 @@ #include "sysconfig.h" // sys_ctrl bits +#define LT_ACTIVE (1<<15) +#define LT_ARMED (1<<14) +#define LT_MODE_OFFS 12 #define SD_SPI_SS_N (1<<7) #define LCD_CS_N (1<<6) #define LCD_RS (1<<5) @@ -31,6 +34,8 @@ #define VIDGEN_OFF (1<<1) #define AV_RESET_N (1<<0) +#define LT_CTRL_MASK 0xf000 + // HDMI_TX definitions #define HDMITX_MODE_MASK 0x00040000 diff --git a/software/sys_controller/ossc/controls.c b/software/sys_controller/ossc/controls.c index 1b1c490..7e708e1 100644 --- a/software/sys_controller/ossc/controls.c +++ b/software/sys_controller/ossc/controls.c @@ -42,7 +42,7 @@ extern avmode_t cm; extern avconfig_t tc; extern avinput_t target_mode; extern alt_u8 menu_active; -extern alt_u8 sys_ctrl; +extern alt_u16 sys_ctrl; extern alt_u8 profile_sel; alt_u32 remote_code; diff --git a/software/sys_controller/ossc/firmware.c b/software/sys_controller/ossc/firmware.c index eba1971..b886fe6 100644 --- a/software/sys_controller/ossc/firmware.c +++ b/software/sys_controller/ossc/firmware.c @@ -32,18 +32,15 @@ extern char menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; extern alt_u16 rc_keymap[REMOTE_MAX_KEYS]; extern SD_DEV sdcard_dev; -extern alt_u8 sys_ctrl; +extern alt_u16 sys_ctrl; static int check_fw_header(alt_u8 *databuf, fw_hdr *hdr) { alt_u32 crcval, tmp; strncpy(hdr->fw_key, (char*)databuf, 4); - if (strncmp(hdr->fw_key, "OSSC", 4)) { - sniprintf(menu_row1, LCD_ROW_LEN+1, "Invalid image"); - menu_row2[0] = '\0'; - return 1; - } + if (strncmp(hdr->fw_key, "OSSC", 4)) + return FW_IMAGE_ERROR; hdr->version_major = databuf[4]; hdr->version_minor = databuf[5]; @@ -60,19 +57,13 @@ static int check_fw_header(alt_u8 *databuf, fw_hdr *hdr) memcpy(&tmp, databuf+508, 4); hdr->hdr_crc = ALT_CI_NIOS_CUSTOM_INSTR_ENDIANCONVERTER_0(tmp); - if (hdr->hdr_len < 26 || hdr->hdr_len > 508) { - sniprintf(menu_row1, LCD_ROW_LEN+1, "Invalid header"); - menu_row2[0] = '\0'; - return -1; - } + if (hdr->hdr_len < 26 || hdr->hdr_len > 508) + return FW_HDR_ERROR; crcval = crcCI(databuf, hdr->hdr_len, 1); - if (crcval != hdr->hdr_crc) { - sniprintf(menu_row1, LCD_ROW_LEN+1, "Invalid hdr CRC"); - menu_row2[0] = '\0'; - return -2; - } + if (crcval != hdr->hdr_crc) + return FW_HDR_CRC_ERROR; return 0; } @@ -87,17 +78,14 @@ static int check_fw_image(alt_u32 offset, alt_u32 size, alt_u32 golden_crc, alt_ retval = SD_Read(&sdcard_dev, tmpbuf, (offset+i)/SD_BLK_SIZE, 0, bytes_to_read); //retval = read_sd_block(offset+i, bytes_to_read, tmpbuf); - if (retval != 0) - return -2; + if (retval != SD_OK) + return retval; crcval = crcCI(tmpbuf, bytes_to_read, (i==0)); } - if (crcval != golden_crc) { - sniprintf(menu_row1, LCD_ROW_LEN+1, "Invalid data CRC"); - menu_row2[0] = '\0'; - return -3; - } + if (crcval != golden_crc) + return FW_DATA_CRC_ERROR; return 0; } @@ -115,6 +103,7 @@ int fw_update() { int retval, i; int retries = FW_UPDATE_RETRIES; + char *errmsg; alt_u8 databuf[SD_BLK_SIZE]; alt_u32 btn_vec; alt_u32 bytes_to_rw; @@ -146,8 +135,7 @@ int fw_update() if (btn_vec == rc_keymap[RC_BTN1]) { break; } else if (btn_vec == rc_keymap[RC_BTN2]) { - retval = 2; - strncpy(menu_row1, "Cancelled", LCD_ROW_LEN+1); + retval = FW_UPD_CANCELLED; goto failure; } @@ -168,8 +156,10 @@ update_init: for (i=0; i 0)) { + // Critical error, retry update + if ((retval < 0) && (retries > 0)) { sniprintf(menu_row1, LCD_ROW_LEN+1, "Retrying update"); retries--; goto update_init; diff --git a/software/sys_controller/ossc/firmware.h b/software/sys_controller/ossc/firmware.h index 0467939..78204a4 100644 --- a/software/sys_controller/ossc/firmware.h +++ b/software/sys_controller/ossc/firmware.h @@ -40,6 +40,12 @@ #define FW_UPDATE_RETRIES 3 +#define FW_IMAGE_ERROR 100 +#define FW_HDR_ERROR 101 +#define FW_HDR_CRC_ERROR 102 +#define FW_DATA_CRC_ERROR 103 +#define FW_UPD_CANCELLED 104 + typedef struct { char fw_key[4]; alt_u8 version_major; diff --git a/software/sys_controller/spi_charlcd/lcd.c b/software/sys_controller/spi_charlcd/lcd.c index ddfc4ea..9049dd9 100644 --- a/software/sys_controller/spi_charlcd/lcd.c +++ b/software/sys_controller/spi_charlcd/lcd.c @@ -31,7 +31,7 @@ #define WRDELAY 20 #define CLEARDELAY 800 -extern alt_u8 sys_ctrl; +extern alt_u16 sys_ctrl; static void lcd_cmd(alt_u8 cmd, alt_u16 postdelay) { SPI_write(I2CA_BASE, &cmd, 1); diff --git a/software/sys_controller/ulibSD/spi_io.c b/software/sys_controller/ulibSD/spi_io.c index 1dffa08..c6f1afd 100644 --- a/software/sys_controller/ulibSD/spi_io.c +++ b/software/sys_controller/ulibSD/spi_io.c @@ -7,7 +7,7 @@ #include "spi_io.h" #include "av_controller.h" -extern alt_u8 sys_ctrl; +extern alt_u16 sys_ctrl; alt_u32 sd_timer_ts; diff --git a/software/sys_controller_bsp/libhal_bsp.a b/software/sys_controller_bsp/libhal_bsp.a index 65ca415..043a0ea 100644 Binary files a/software/sys_controller_bsp/libhal_bsp.a and b/software/sys_controller_bsp/libhal_bsp.a differ diff --git a/software/sys_controller_bsp/settings.bsp b/software/sys_controller_bsp/settings.bsp index e82e10b..bfa2e84 100644 --- a/software/sys_controller_bsp/settings.bsp +++ b/software/sys_controller_bsp/settings.bsp @@ -2,8 +2,8 @@ hal default - Oct 22, 2017 8:54:19 PM - 1508694859052 + Oct 28, 2017 10:37:24 AM + 1509176244401 ./ settings.bsp ../../sys.sopcinfo diff --git a/software/sys_controller_bsp/system.h b/software/sys_controller_bsp/system.h index b2c5d1a..b9b3f18 100644 --- a/software/sys_controller_bsp/system.h +++ b/software/sys_controller_bsp/system.h @@ -4,7 +4,7 @@ * Machine generated for CPU 'nios2_qsys_0' in SOPC Builder design 'sys' * SOPC Builder design path: ../../sys.sopcinfo * - * Generated: Sun Oct 22 20:42:22 EEST 2017 + * Generated: Thu Oct 26 23:11:44 EEST 2017 */ /* @@ -330,7 +330,7 @@ #define PIO_0_BIT_CLEARING_EDGE_REGISTER 0 #define PIO_0_BIT_MODIFYING_OUTPUT_REGISTER 0 #define PIO_0_CAPTURE 0 -#define PIO_0_DATA_WIDTH 8 +#define PIO_0_DATA_WIDTH 16 #define PIO_0_DO_TEST_BENCH_WIRING 0 #define PIO_0_DRIVEN_SIM_VALUE 0 #define PIO_0_EDGE_TYPE "NONE" diff --git a/sys.qsys b/sys.qsys index 320436d..57e6c53 100644 --- a/sys.qsys +++ b/sys.qsys @@ -664,7 +664,7 @@ - + diff --git a/sys.sopcinfo b/sys.sopcinfo index f7e19d9..078b6b5 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1508694647 + 1509052369 false true false @@ -8456,7 +8456,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.DATA_WIDTH - 8 + 16 embeddedsw.CMacro.DO_TEST_BENCH_WIRING @@ -8508,7 +8508,7 @@ the requested settings for a module instance. --> embeddedsw.dts.params.altr,gpio-bank-width - 8 + 16 embeddedsw.dts.params.resetvalue @@ -8600,7 +8600,7 @@ the requested settings for a module instance. --> int - 8 + 16 false true true @@ -9208,7 +9208,7 @@ parameters are a RESULT of the module parameters. --> out_port Output - 8 + 16 export