diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index fe0c2b1..30000bc 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex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diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 277bc84..e124d75 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -132,9 +132,9 @@ void set_lpf(alt_u8 lpf) inline int check_linecnt(alt_u8 progressive, alt_u32 totlines) { if (progressive) - return (totlines > MIN_LINES_PROGRESSIVE); + return (totlines >= MIN_LINES_PROGRESSIVE); else - return (totlines > MIN_LINES_INTERLACED); + return (totlines >= MIN_LINES_INTERLACED); } // Check if input video status / target configuration has changed @@ -173,8 +173,8 @@ status_t get_status(tvp_input_t input, video_format format) fpga_totlines = IORD_ALTERA_AVALON_PIO_DATA(PIO_4_BASE) & 0xffff; - //TODO: check flags instead - if (vsyncmode == 0x2) { + // NOTE: "progressive" may not have correct value if H-PLL is not locked (!cm.sync_active) + if ((vsyncmode == 0x2) || (!cm.sync_active && (totlines < MIN_LINES_INTERLACED))) { progressive = 1; } else if ((vsyncmode == 0x1) && fpga_totlines > ((totlines-1)*2)) { progressive = 0; diff --git a/software/sys_controller/ossc/avconfig.h b/software/sys_controller/ossc/avconfig.h index 9f4a0f3..12bb06d 100644 --- a/software/sys_controller/ossc/avconfig.h +++ b/software/sys_controller/ossc/avconfig.h @@ -55,7 +55,7 @@ typedef struct { alt_u8 en_alc; alt_u8 pre_coast; alt_u8 post_coast; -} avconfig_t; +} __attribute__((packed)) avconfig_t; int set_default_avconfig(); diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index b759385..6f966c8 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -20,6 +20,8 @@ #include #include "menu.h" #include "av_controller.h" +#include "firmware.h" +#include "userdata.h" #include "controls.h" #include "lcd.h" #include "tvp7002.h" @@ -188,7 +190,7 @@ void display_menu(alt_u8 forcedisp) break; case OPT_FUNC_CALL: if (code == OPT_SELECT) - sniprintf(menu_row2, LCD_ROW_LEN+1, "%s", (retval==0) ? navi[navlvl].m->items[navi[navlvl].mp].fun.text_success : "Error"); + sniprintf(menu_row2, LCD_ROW_LEN+1, "%s", (retval==0) ? navi[navlvl].m->items[navi[navlvl].mp].fun.text_success : "failed"); else menu_row2[0] = 0; break; diff --git a/software/sys_controller/ossc/menu.h b/software/sys_controller/ossc/menu.h index dcb5081..263b462 100644 --- a/software/sys_controller/ossc/menu.h +++ b/software/sys_controller/ossc/menu.h @@ -98,9 +98,4 @@ typedef struct { void display_menu(alt_u8 forcedisp); -//TODO: move all below to separate header(s) -int write_userdata(); -int fw_update(); - - #endif diff --git a/software/sys_controller/tvp7002/tvp7002.c b/software/sys_controller/tvp7002/tvp7002.c index 82cdf8b..7624d0e 100755 --- a/software/sys_controller/tvp7002/tvp7002.c +++ b/software/sys_controller/tvp7002/tvp7002.c @@ -388,6 +388,7 @@ void tvp_source_sel(tvp_input_t input, video_format fmt) else // RGBS tvp_writereg(TVP_SYNCCTRL1, 0x53); + usleep(1000); sync_status = tvp_readreg(TVP_SYNCSTAT); if (sync_status & (1<<7)) printf("%s detected, %s polarity\n", (sync_status & (1<<3)) ? "Csync" : "Hsync", (sync_status & (1<<5)) ? "pos" : "neg"); @@ -395,6 +396,7 @@ void tvp_source_sel(tvp_input_t input, video_format fmt) printf("Vsync detected, %s polarity\n", (sync_status & (1<<2)) ? "pos" : "neg"); } else { tvp_writereg(TVP_SYNCCTRL1, 0x5B); + usleep(1000); sync_status = tvp_readreg(TVP_SYNCSTAT); if (sync_status & (1<<1)) printf("SOG detected\n");