From a4884220897ba44f49c901469f324c44821b1e00 Mon Sep 17 00:00:00 2001 From: marqs Date: Sun, 14 Aug 2016 18:57:50 +0300 Subject: [PATCH] * Fix scanline rendering issues * Add initial input and SDTV sync glitch filter settings * Modify input initialization logic * Fix debug build warnings --- rtl/scanconverter.v | 42 +- software/sys_controller/it6613/it6613.c | 2 +- software/sys_controller/it6613/it6613_drv.c | 2 +- .../mem_init/sys_onchip_memory2_0.hex | 1610 ++++++++--------- software/sys_controller/ossc/av_controller.c | 50 +- software/sys_controller/ossc/av_controller.h | 2 +- software/sys_controller/ossc/avconfig.c | 1 + software/sys_controller/ossc/avconfig.h | 3 + software/sys_controller/ossc/controls.c | 4 +- software/sys_controller/ossc/firmware.h | 2 +- software/sys_controller/ossc/menu.c | 11 +- software/sys_controller/ossc/sysconfig.h | 1 + software/sys_controller/tvp7002/tvp7002.c | 37 +- software/sys_controller/tvp7002/tvp7002.h | 5 +- software/sys_controller_bsp/settings.bsp | 6 +- sys.sopcinfo | 4 +- 16 files changed, 912 insertions(+), 870 deletions(-) diff --git a/rtl/scanconverter.v b/rtl/scanconverter.v index 05daa17..d8f4ec1 100644 --- a/rtl/scanconverter.v +++ b/rtl/scanconverter.v @@ -92,7 +92,7 @@ reg VSYNC_1x, VSYNC_2x, VSYNC_pp1; reg [11:0] HSYNC_start; -reg FID_prev; +reg FID_1x, FID_prev; wire DATA_enable_act; reg DATA_enable_pp1; @@ -120,6 +120,7 @@ reg h_enable_3x, h_enable_3x_h1x, v_enable_3x, v_enable_3x_h1x; reg prev_hs, prev_vs; reg [11:0] hmax[0:1]; reg line_idx; +reg [1:0] line_out_idx_2x, line_out_idx_3x; reg [23:0] warn_h_unstable, warn_pll_lock_lost, warn_pll_lock_lost_3x, warn_pll_lock_lost_3x_lowfreq; @@ -166,7 +167,7 @@ function [8:0] apply_scanlines; apply_scanlines = (data > str) ? (data-str) : 8'h00; else if ((mode == `SCANLINES_V) & (actid == pixid)) apply_scanlines = (data > str) ? (data-str) : 8'h00; - else if ((mode == `SCANLINES_ALT) & ((actid[0]^fid) == lineid[0])) + else if ((mode == `SCANLINES_ALT) & ({actid[1], actid[0]^fid} == lineid)) apply_scanlines = (data > str) ? (data-str) : 8'h00; else apply_scanlines = data; @@ -236,7 +237,7 @@ begin linebuf_rdclock = pclk_2x; linebuf_hoffset = hcnt_2x; pclk_act = pclk_2x; - slid_act = {1'b0, vcnt_2x[0]}; + slid_act = {line_out_idx_2x[1], line_out_idx_2x[0]^FID_1x}; hcnt_act = hcnt_2x; vcnt_act = vcnt_2x>>1; end @@ -245,6 +246,7 @@ begin G_act = G_lbuf; B_act = B_lbuf; VSYNC_act = VSYNC_1x; + slid_act = line_out_idx_3x; case (H_L3MODE) `LINETRIPLE_M0: begin DATA_enable_act = (h_enable_3x & v_enable_3x); @@ -256,7 +258,6 @@ begin pclk_act = pclk_3x; hcnt_act = hcnt_3x; vcnt_act = vcnt_3x/2'h3; //divider generated - slid_act = (vcnt_3x % 2'h3); end `LINETRIPLE_M1: begin DATA_enable_act = (h_enable_3x & v_enable_3x); @@ -268,7 +269,6 @@ begin pclk_act = pclk_4x; hcnt_act = hcnt_4x; vcnt_act = vcnt_3x/2'h3; //divider generated - slid_act = (vcnt_3x % 2'h3); end `LINETRIPLE_M2: begin DATA_enable_act = (h_enable_3x_h1x & v_enable_3x_h1x); @@ -280,7 +280,6 @@ begin pclk_act = pclk_3x_h4x; hcnt_act = hcnt_3x_h4x; vcnt_act = vcnt_3x_h1x/2'h3; //divider generated - slid_act = (vcnt_3x_h1x % 2'h3); end `LINETRIPLE_M3: begin DATA_enable_act = (h_enable_3x_h1x & v_enable_3x_h1x); @@ -292,7 +291,6 @@ begin pclk_act = pclk_3x_h5x; hcnt_act = hcnt_3x_h5x; vcnt_act = vcnt_3x_h1x/2'h3; //divider generated - slid_act = (vcnt_3x_h1x % 2'h3); end endcase end @@ -377,9 +375,9 @@ begin VSYNC_pp1 <= VSYNC_act; DATA_enable_pp1 <= DATA_enable_act; - R_out <= apply_scanlines(V_SCANLINES, R_pp1, V_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_prev); - G_out <= apply_scanlines(V_SCANLINES, G_pp1, V_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_prev); - B_out <= apply_scanlines(V_SCANLINES, B_pp1, V_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_prev); + R_out <= apply_scanlines(V_SCANLINES, R_pp1, V_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_1x); + G_out <= apply_scanlines(V_SCANLINES, G_pp1, V_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_1x); + B_out <= apply_scanlines(V_SCANLINES, B_pp1, V_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_1x); HSYNC_out <= HSYNC_pp1; VSYNC_out <= VSYNC_pp1; DATA_enable <= DATA_enable_pp1; @@ -458,6 +456,7 @@ begin VSYNC_1x <= 0; h_enable_1x <= 0; v_enable_1x <= 0; + FID_1x <= 0; end else begin @@ -468,6 +467,7 @@ begin line_idx <= line_idx ^ 1'b1; vcnt_1x <= vcnt_1x + 1'b1; vcnt_1x_tvp <= vcnt_1x_tvp + 1'b1; + FID_1x <= fpga_vsyncgen[`VSYNCGEN_CHOPMID_BIT] ? 0 : (fpga_vsyncgen[`VSYNCGEN_GENMID_BIT] ? (vcnt_1x > (V_BACKPORCH + V_ACTIVE)) : FID_in); end else begin @@ -542,13 +542,20 @@ begin VSYNC_2x <= 0; h_enable_2x <= 0; v_enable_2x <= 0; + line_out_idx_2x <= 0; end else begin if ((pclk_1x == 1'b0) & `HSYNC_TRAILING_EDGE) //sync with posedge of pclk_1x - hcnt_2x <= 0; + begin + hcnt_2x <= 0; + line_out_idx_2x <= 0; + end else if (hcnt_2x == hmax[~line_idx]) //line_idx_prev? - hcnt_2x <= 0; + begin + hcnt_2x <= 0; + line_out_idx_2x <= line_out_idx_2x + 1'b1; + end else hcnt_2x <= hcnt_2x + 1'b1; @@ -599,13 +606,20 @@ begin v_enable_3x <= 0; pclk_3x_cnt <= 0; pclk_1x_prev3x <= 0; + line_out_idx_3x <= 0; end else begin if ((pclk_3x_cnt == 0) & `HSYNC_TRAILING_EDGE) //sync with posedge of pclk_1x - hcnt_3x <= 0; + begin + hcnt_3x <= 0; + line_out_idx_3x <= 0; + end else if (hcnt_3x == hmax[~line_idx]) //line_idx_prev? - hcnt_3x <= 0; + begin + hcnt_3x <= 0; + line_out_idx_3x <= line_out_idx_3x + 1'b1; + end else hcnt_3x <= hcnt_3x + 1'b1; diff --git a/software/sys_controller/it6613/it6613.c b/software/sys_controller/it6613/it6613.c index b9473bf..804b0ea 100644 --- a/software/sys_controller/it6613/it6613.c +++ b/software/sys_controller/it6613/it6613.c @@ -55,7 +55,7 @@ int init_it() { vendor_id = read_it(IT_VENDORID); device_id = read_it(IT_DEVICEID); - printf("VEN: 0x%.2X, DEV: 0x%.2X\n", vendor_id, device_id); + printf("VEN: 0x%.2lX, DEV: 0x%.2lX\n", vendor_id, device_id); if (!((vendor_id == IT6613_VENDORID) && (device_id == IT6613_DEVICEID))) return -1; diff --git a/software/sys_controller/it6613/it6613_drv.c b/software/sys_controller/it6613/it6613_drv.c index 3846b7e..482caa8 100644 --- a/software/sys_controller/it6613/it6613_drv.c +++ b/software/sys_controller/it6613/it6613_drv.c @@ -2075,7 +2075,7 @@ ReadEDID(BYTE *pData,BYTE bSegment,BYTE offset,SHORT Count) if(TimeOut == 0) { - ErrorF("ReadEDID(): DDC TimeOut. \n",ucdata) ; + ErrorF("ReadEDID(): DDC TimeOut (DDC_STATUS = %02X). \n",ucdata) ; return ER_FAIL ; } diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 96371f5..0e95d9d 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -1,7 +1,7 @@ :020000020000FC :2000000000402074084008140800683A0000000000000000000000000000000000000000FE -:2000080006C02074DEE4001406802074D6BB451400802074109D3E1400C0207418DD7D14B7 -:2000100010C00326100000151080010410FFFD3608149E000814AD80003FFF06DEFFFB04B8 +:2000080006C02074DEE4001406802074D6BBB61400802074109DC31400C0207418DE03143A +:2000100010C00326100000151080010410FFFD360814B1C00814C140003FFF06DEFFFB0411 :2000180000BFE084D880000500800084D880004500800344D8800085DFC0041529403FCCD7 :200020000080004428800426008000842880041E008014040000030600800C040000010624 :2000280000800404D88000C5D88000C331803FCC10800094D88000C5300002260080080417 @@ -10,20 +10,20 @@ :20004000D8000205D8000245D8000285D80002C5D8000305D8000345D8000385D80003C5A4 :2000480008104640DFC00417DEC00504F800283ADEFFFC04DC000015040020B48405080404 :20005000DC80021504801304DC400115900B883A2023883A000D883A8009883ADFC003151D -:20005800081548408009883A89403FCC000D883A08154E80044000448009883A900B883ADA -:20006000880D883A081548408009883A880B883ADFC00317DC800217DC400117DC0000178F -:20006800DEC0040408154BC1DEFFFC04DC000015040020B484050804DC800215DC400115C9 -:200070002025883A2823883A8009883A01401304000D883ADFC00315081548408009883A43 -:2000780091403FCC000D883A08154E808009883A89403FCC01800044DFC00317DC800217C0 -:20008000DC400117DC000017DEC0040408154E81DEFFFF0421003FCCDFC0001508101300BC +:2000580008155C008009883A89403FCC000D883A08156240044000448009883A900B883A32 +:20006000880D883A08155C008009883A880B883ADFC00317DC800217DC400117DC000017BB +:20006800DEC0040408155F81DEFFFC04DC000015040020B484050804DC800215DC400115F5 +:200070002025883A2823883A8009883A01401304000D883ADFC0031508155C008009883A6F +:2000780091403FCC000D883A081562408009883A89403FCC01800044DFC00317DC800217EC +:20008000DC400117DC000017DEC0040408156241DEFFFF0421003FCCDFC0001508101300E8 :20008800DFC00017DEC00104F800283ADEFFFF0421003FCC29403FCCDFC0001508101A80BF :200090000005883ADFC00017DEC00104F800283ADEFFFB04DCC00315DC800215DC400115A1 :20009800DC000015DFC004152827883A3023883A2025883A0021883A8440070E9C05883A53 :2000A000114000038489883A21003FCC08101A8084000044003FF8060005883ADFC00417B9 :2000A800DCC00317DC800217DC400117DC000017DEC00504F800283ADEFFFF040140FA04C6 -:2000B000DFC00015081413401009883ADFC00017DEC001040814B181DEFFFF04010003C4E3 +:2000B000DFC00015081427001009883ADFC00017DEC001040814C541DEFFFF04010003C43B :2000B800000B883ADFC00015081022C001001844000B883ADFC00017DEC00104081022C12F -:2000C000DEFFFD04DC00001504002074841D59048140008301000144DFC00215DC40011549 +:2000C000DEFFFD04DC00001504002074841DDE048140008301000144DFC00215DC400115C4 :2000C800081022C0808000838100030300FFFEC41004D1FA20C6703A044001041085883A44 :2000D0001085883A1884B03A8809883A01400F4480800305081022C00100004408102B8042 :2000D8008809883A01400744081022C00100184401400C04081022C00100024401402C844F @@ -47,7 +47,7 @@ :200168002021883A010003C4DFC00215DC400115081022C0044030448809883A0810210086 :2001700084003FCC800AC03A10803F8C8809883A114AB03A081022C001003184014000C4B4 :20017800DFC00217DC400117DC000017DEC00304081022C1DEFFF904DCC00315DC800215E7 -:20018000DC4001152025883A2823883A010001040140074404C020749CDD5904DD00041563 +:20018000DC4001152025883A2823883A010001040140074404C020749CDDDE04DD000415DE :20018800DC000015DFC006153821883ADD4005153029883A081022C098C0030381C0004C5A :2001900000BFFF441884703A39CF883A11CEB03A99C00305988003171080008C100002268D :20019800010000440810594001001C049C0000C308102100108000CC8D4000CC010000445E @@ -66,11 +66,11 @@ :200200000100010408102100010001041140054C081022C00400190401000384081021001B :200208001080040C1000321E0100004408102B8080BFFFC41021883A10BFFFCC103FF61EDC :2002100000002B06053FE8048C400C0C008008048880081E01001CC4D16000C4018000C4B4 -:20021800081025000140207401001D84295999840000090600800C0488800B1E01001CC4C2 -:20022000D16000C4018000C4081025000140207401001D84295990840180048408102500F4 +:20021800081025000140207401001D842959F6840000090600800C0488800B1E01001CC465 +:20022000D16000C4018000C4081025000140207401001D842959ED84018004840810250097 :2002280004000084003FA306008004048880081E01001CC4D1600004018000C408102500F8 -:200230000140207401001D8429598C04003FF20601001CC4D1600004018000C40810250056 -:200238000140207401001D8429599504003FEA0601000304000B883A081022C001000344CE +:200230000140207401001D842959E904003FF20601001CC4D1600004018000C408102500F9 +:200238000140207401001D842959F204003FEA0601000304000B883A081022C00100034471 :2002400001401004081022C00100038401400044081022C001000384000B883A081022C0F9 :2002480008102D8000800044DFC00617DD400517DD000417DCC00317DC800217DC400117C1 :20025000DC000017DEC00704F800283ADEFFFD04DC0000152021883A01001644DC40011539 @@ -78,757 +78,757 @@ :20026000081022C0010003C401400044081022C001001704800B883A00000A06802091BAD9 :200268000100164481400414114AB03A081022C0010003C401400044081022C001001704A0 :20027000000B883A081022C0010003C4000B883ADFC00217DC400117DC000017DEC003048E -:20027800081022C100802074109A990410C03217D0A1FA1518000426108035171080401871 -:200280000085C83AF800283A00BFFFC4F800283ADEFFFD042005883AD121FA17DC400115A7 -:200288002823883A880F883A100B883ADC000015DFC002153021883A08151DC010000B1E26 +:20027800081022C100802074109B0A0410C03217D0A20E15180004261080351710804018EA +:200280000085C83AF800283A00BFFFC4F800283ADEFFFD042005883AD1220E17DC40011592 +:200288002823883A880F883A100B883ADC000015DFC002153021883A0815318010000B1E52 :20029000800D883A8463883A34400626308000031005C2721005D63A3180004430BFFFC5ED :20029800003FF9060005883A0000010600BFFFC4DFC00217DC400117DC000017DEC0030434 :2002A000F800283ADEFFFA04DC800315DC400215DC000115DFC00515DCC0041530803FCC47 -:2002A8002023883A2825883A3021883A100003268805883A8C89883A00001706D121FA1790 -:2002B000300A923A0814EE001027883A103FF8260100207401402074211D5D042956E30449 -:2002B80001800444081428000100207401802074211D5D04014004443196E604980F883A2D -:2002C0000814184000802074109D65841000000500FFCE0400001D061100062610C00003E7 -:2002C8001807C2721807D63A1080004410FFFFC5003FF906800AD23AD121FA17800C923ABE -:2002D000280A943ADC800015880F883A0814F7400007883A10000D2601002074014020747B -:2002D800211D5D042956E90401800444081428000100207401402074211D65842956EC04EE -:2002E000018004440814280000FFCDC41805883ADFC00517DCC00417DC800317DC40021765 +:2002A8002023883A2825883A3021883A100003268805883A8C89883A00001706D1220E177B +:2002B000300A923A081501C01027883A103FF8260100207401402074211DE20429573204A0 +:2002B8000180044408143BC00100207401802074211DE2040140044431973504980F883A85 +:2002C00008142C0000802074109DEA841000000500FFCE0400001D061100062610C000038E +:2002C8001807C2721807D63A1080004410FFFFC5003FF906800AD23AD1220E17800C923AA9 +:2002D000280A943ADC800015880F883A08150B000007883A10000D260100207401402074A6 +:2002D800211DE204295738040180044408143BC00100207401402074211DEA8429573B0471 +:2002E0000180044408143BC000FFCDC41805883ADFC00517DCC00417DC800317DC40021792 :2002E800DC000117DEC00604F800283ADEFFF904DD400515DD000415DCC00315DC800215D2 :2002F000DC000015DFC00615DC4001152825883A3029883A3827883A0021883A0007883A1A :2002F8000540400484800F2E9423C83AAC40012E044040048009883A880B883A980D883AB9 -:200300000810A1001000141E800D003A9809883A880B883A081539C01007883A84004004AC -:20030800003FF0060005883A1D000C260100207401802074211D5D04014004443196EE04FF -:200310000814184000802074109D65841000000500BFCD440000010600BFCD84DFC00617F7 +:200300000810A1001000141E800D003A9809883A880B883A08154D801007883A84004004D8 +:20030800003FF0060005883A1D000C260100207401802074211DE2040140044431973D042A +:2003100008142C0000802074109DEA841000000500BFCD440000010600BFCD84DFC006179E :20031800DD400517DD000417DCC00317DC800217DC400117DC000017DEC00704F800283A3F :20032000DEFFFD04DFC00215DC400115DC00001520807FCC1000031E008080042821883ADB -:2003280011400B2E0100207401802074211D5D04014004443196F304081418400080207413 -:20033000109D65841000000500BFFFC400001C062008D27A000B883A3023883A0814CB80A1 -:2003380010803FCC0007883A10000B1E0100207401802074211D5D04014004443196F80473 -:200340000814184000802074109D65841000000500BFFF8400000A061C00082ED0A1FB1743 +:2003280011400B2E0100207401802074211DE204014004443197420408142C00008020746A +:20033000109DEA841000000500BFFFC400001C062008D27A000B883A3023883A0814DF4048 +:2003380010803FCC0007883A10000B1E0100207401802074211DE20401400444319747049E +:2003400008142C0000802074109DEA841000000500BFFF8400000A061C00082ED0A20F17D5 :2003480010800A171885883A1100003788C5883A1100001518C00104003FF7060005883A28 :20035000DFC00217DC400117DC000017DEC00304F800283ADEFFFE04DC0000152021883ADC -:20035800010020742116FC04DFC001150814B880D0A1FB1510000A260814BE4010803FCC3A +:200358000100207421174B04DFC001150814CC40D0A20F1510000A260814D20010803FCC2D :20036000100007260009883A01408004800D883ADFC00117DC000017DEC002040810C80132 -:200368000100207401802074211D5D0401400444319707040814184000802074109D6584B2 +:200368000100207401802074211DE204014004443197560408142C0000802074109DEA8485 :200370001000000500800044DFC00117DC000017DEC00204F800283A010020740140207482 -:20037800211D5D04295D65840812FE810100207401402074211D6144295D73040812FE81DB +:20037800211DE204295DEA8408130CC10100207401402074211DE644295DF90408130CC128 :20038000DEFFFE04DC0000152021883A01000044DFC0011508105940081042400009883A7A :20038800000B883A84003FCC081046408021003A01000044000B883A000D883A800F883A4E :2003900008105F408000052601000084000B883A000D883A000F883A081005C00009883A51 :20039800DFC00117DC000017DEC0020408105941DEFFFC0400802074DC800215DC400115AF -:2003A000DC000015109D6A04DFC003152021883A1100028701400704148001170814134076 -:2003A80000C0207418D8CA0410C5883A84003FCC1440000B8000321ED0A1FC4300C003C497 +:2003A000DC000015109DEF04DFC003152021883A110002870140070414800117081427001D +:2003A80000C0207418D9270410C5883A84003FCC1440000B8000321ED0A2104300C003C424 :2003B00010BFFFC410803FCC18804536100490BA00C0207418C3B90410C5883A1080001765 :2003B8001000683A00810F7000810F7000810FE000810F6000810FE000810FE000810FE0C3 :2003C00000810F5400810FE000810FE000810FE000810FE000810FE000810FE000810FE029 -:2003C80000810F2401006734213F3004900B883A081412401009883A897FFFCC0814134049 -:2003D00000C072B418F0DFC418800236010003C4000001060009883A081385C00000190693 -:2003D8000009883A081385C001000044000016060009883A081385C00009883A000012066B -:2003E00000802074109D774410C003C3044000841021883A1C4002260009883A00000106DA -:2003E800010003C4081385C0810003C320803FCC8880042E008001441109C83A21003FCC94 -:2003F00000000106010000C4DFC00317DC800217DC400117DC000017DEC0040408132A41A0 +:2003C80000810F2401006734213F3004900B883A081426001009883A897FFFCC08142700A1 +:2003D00000C072B418F0DFC418800236010003C4000001060009883A081394000000190644 +:2003D8000009883A0813940001000044000016060009883A081394000009883A00001206CD +:2003E00000802074109DFD4410C00403044000841021883A1C4002260009883A0000010613 +:2003E800010003C4081394008100040320803FCC8880042E008001441109C83A21003FCC04 +:2003F00000000106010000C4DFC00317DC800217DC400117DC000017DEC004040813388152 :2003F800DFC00317DC800217DC400117DC000017DEC00404F800283ADEFFF80400C020B423 :20040000DFC00715DD800615DD400515DD000415DCC00315DC800215DC400115DC00001532 :200408000089C40418C5140419800037050020B4A50514043000020E10BFFFC4103FFA1EEA -:2004100021003FCC29403FCC0813EB401025883AA0C0003701000DC41823D43A08133940A9 -:2004180001000E041027883A0813394010C003CC1009883A1806923A1004D1BA0400207489 -:20042000841D6A041080004C1CE6B03A80800245A14000370080008488C03FCC18800C2665 -:20042800808002C31000021E008063C414C0082E008000441880041E9884983A28FFFFCCB0 -:2004300010BFFF8410C005362100080C200004269D40322805800044000003061827883AC6 -:200438009D406428002D883A80C002C390803FCC1800081E10001326A800122600802074B1 -:20044000109D6A04148002C5D021FC050440010400000E0610000126A8000A1ED0E1FC0320 -:200448000440010418803FCC8880032ED021FC05848002C50000050618C00044D0E1FC05D9 -:2004500000000106D021FC050023883A01000E440813394001000E84048020741029883A21 -:20045800949D774408133940A800432610C003CC1806923A8080000F1D28B03A98FFFFCCCA -:2004600018E0001C18E000041887C83A1800010E00C7C83A0080004410C0071680C00117D2 -:20046800A0C0051E01002074211D6A0421000203B0C03FCC19000226D021FCC500000B060B -:20047000D0E1FCC319003FCC2080082618C00044D0E1FCC518C03FCC1880041E88C03FCC8C -:20047800008000841880012E1023883A90C00103808004831880071E00802074109D774490 -:2004800010C0014300802074109D6A04108004C31880042688C03FCC008000841880012EE2 -:200488001023883A90C00243808005C318800F2600802074109D6A04110002870140070420 -:200490000814134000C0207418D8CC0410C5883A1080008B1080600C1000042688C03FCC8E -:20049800008000841880012E1023883A84C0001585000115858002059140000381000383A9 -:2004A00000C020740080207418DD7744109D6A042900151E19400043110003C32900121EE1 -:2004A800194000831100040329000F1E194000C31100044329000C1E19400183110005032D -:2004B0002900091E194001C3110005432900061E194004C3110008432900031E18C0050373 -:2004B800108008831880032688803FCC1000011E0440004491000283808006032080012698 -:2004C00008138F8091000303808006832080012608139F8091000343808006C3208001266A -:2004C8000813588091000443808007C32080071E00802074109D774410C0048300802074D3 -:2004D000109D6A0410800803188002269140048308135540910002C3808006432080062623 -:2004D8000140060408141340010020742118BA042089883A08137440910003C380800743E3 -:2004E000208001260810E70091000383808007032080012608138A40910004038080078347 -:2004E80020800226D161FC430813A4400100207401402074211D6D84295D77440180054418 -:2004F000081415C08805883ADFC00717DD800617DD400517DD000417DCC00317DC80021719 -:2004F800DC400117DC000017DEC00804F800283ADEFFF804DC00001504002074DCC00315A3 -:20050000DFC00715DD800615DD400515DD000415DC800215DC400115841D6A048580028739 -:200508000140070404C02074B009883A081413409CD8C604108006049885883A10C0008B33 -:20051000190003CC2000092680800443048000848480030510001226808003C301000044E6 -:200518001100101E1025883A00000E061900040C2000051E81000843200006261080000362 -:200520001080010C1000032600800044808003050000010680000305848004430000010638 -:200528000025883A80800383010000841100051E00802074109D6A04144003C38C40004434 -:2005300000000A06010000441100051E1880080C1000051E1C4007CC8822C03A0000030667 -:200538000023883A00000106044000C4808008831000062618C0080C1800042600802074A6 -:20054000109D6A04100003050023883A85000303808004C3B009883AA02897BA1004973AB8 -:200548000140070405402074A0A8B03A0814134080C005039885883A10800503180695BAA1 -:20055000B009883AA0A8B03A01400704A0E8B03A081413409885883A1080028B00C020B421 -:20055800AD5D6A04100492BA18C51C04A084B03A1880003580C004038080054385800287B6 -:200560001806963A100494BAB009883A0140070418A0B03A081413401029883A9885883A79 -:2005680010C00543B009883A0140070480E0B03A081413409885883A10C0030B9024973A99 -:20057000882297BA180691FA008020B41085180480C6B03A1CA4B03A9462B03A144000357F -:200578009D27883A988006031080010C10000226A900084300000E06B009883A0140070418 -:200580000814134000C0207418D8C6041885883A1080068B1080080C10000426A94005830F -:20058800A9000883280B003A000002060009883A000B883ADFC00717DD800617DD400517A2 -:20059000DD000417DCC00317DC800217DC400117DC000017DEC00804081094C1DEFFF60413 -:20059800DC00041504002074841D6A04DC4005158440011700800044DFC00915DD0008151A -:2005A000DCC00715DC800615D0A1FCC588001526848000179000132601006734213F300403 -:2005A800880B883A081412401027883A8080020301283BF4212EC004880B883A1000032679 -:2005B000081412401009883A00000206081412401089883A900B883A081412401025883A4F -:2005B800000002060485DC0404CF550401000EC40813394001000F040813394080C00343F1 -:2005C0000080207410973F0418C7883A18C7883A10C7883A8080020319C0001780C00017F6 -:2005C8001000021E00801A440000010600801C040100207401802074D8C0001531970B0430 -:2005D000211D614401400444D8800115081418409009883A01401904081412409809883A33 -:2005D8000140FA041023883A081412409809883A0140FA041029883A081412C01009883AFB -:2005E00001400284081412409009883A01401904D8800015DC400115081412C001002074EB -:2005E80001802074D8800215211D73040140044431970D04A00F883A08141840008020745F -:2005F000109D4784108000031000011E0810DEC0808004838100001781400203D8800015A9 -:2005F800808004C3D1E1FD03880D883AD8800115808005C304802074949D6A04D8800215B7 -:200600000813F24011003FCC2100201C213FE00400FFFFC420C0011E0080010490800285F3 -:20060800848002870140070404C020749009883A0814134000C0207418D8CC0410C5883A2C -:2006100011400003D0E1FD03808002039CDD6A0428CA703AD161FC458180078329403FCCCB -:2006180099C000171000011E380ED07A808007C38C403FCC9009883AD8800115808008031E -:20062000DC400015D8800215808006C3D88003150813B1C0810007430810E700DFC00917CC -:20062800DD000817DCC00717DC800617DC400517DC000417DEC00A0408113F01DEFFFD0471 -:20063000DC000015040020B4DC400115DFC00215044000C484052404844000358000003592 -:20063800008020B410851C0410000035008020B410851804100000350109C4040814B180EB -:2006400084400035010000F4210350040814B180010020B401406734018001B421050804CE -:20064800297F30043186A004081541000812EB80081322C0100019260009883A08133940CD -:2006500000C03FC410C0172608139440010000840810210010803FCC00C004C410C0131EE9 -:200658000810300008109E401021883A1000111E0811E5800812D6C0008020B410852004D7 -:2006600010800037108000AC1000011E0811EA800009883A0810E00000000706043FFF842F -:2006680000000506043FFF4400000306043FFF0400000106043FFFC48005883ADFC0021786 -:20067000DC400117DC000017DEC00304F800283ADEFFFF04DFC00015081165C00813508087 -:2006780000802074109D774411000203DFC00017DEC001040810E001DEFFF304DFC00C15EA -:20068000DF000B15DDC00A15DD800915DD400815DD000715DCC00615DC800515DC4004158F -:20068800DC00031508118BC010001916008011C40100207401802074000F883A211D614408 -:200690000140044431971304D8800015081418400100207401402074211D730429571F043F -:2006980001800444081415C005C02074070020740810DEC00027883A0021883A0023883A2D -:2006A0000025883ABDDD4604E71D4704000046060100207401802074211D614401400444BE -:2006A80031971804100F883A081418400100207401402074211D73042957E40401800444A9 -:2006B000081428000810DEC0003FFF0610FFFF8418C03FCC010000C420C0432EA8C00003F6 -:2006B80010C04126050020740811FE80A51D4784A0800003100002260009883A081290005E -:2006C00005802074B59D6A04D121FC83B08003432080011ED021FC85D121FC830140020471 -:2006C80020BFFFC410803FCC2880332E20803FCC10006A1E01402074295D77442900020345 -:2006D000B180058300802074109D6A0421800826D8800215D94001150810E000D94001178C -:2006D800D880021729000203100001151100058598803FCC1000811E00802074109D4604C5 -:2006E00010C0001700802074109D430410C0001500802074109D47041080000301004B0437 -:2006E800A88000050814B18000C020B418C5200418800037D021FC850100207410FFFFCC33 -:2006F000211D450420C000150086303A1004D63A18C000EC05402074B8C00015E0800005CB -:2006F800AD5D4404103FB91E00802074109D450410000015003FBB06100490BA0140207408 -:20070000294704041145883A108000171000683A00811C3400811C4400811C5C00811C6C3C -:2007080000811C7C00811C9000811CA000811CB000811CC8008003C4D0A1FD050021883AFF -:2007100000000306008003C4D0A1FD0504000084044000440025883A00002006008003C4A2 -:20071800D0A1FD05040000C4003FF906008003C4D0A1FD05040000C400000306008003C476 -:20072000D0A1FD05040000840023883A003FF10600800404D0A1FD050400004400000D064D -:20072800008003C4D0A1FD050021883A0000090604000084008003C4D0A1FD058023883A5E -:200730008025883A00000506008003C4D0A1FD05040000C4044000848825883AB140074343 -:20073800B1000345B00002C528803FCC0180004489003FCC3080042E00800144114BC83A20 -:2007400029403FCC00000106014000C408133180081347C091003FCC81403FCC0813D6C012 -:20074800B08003430100207421173F041085883A1085883A2085883A1140001701002074F9 -:20075000211D614401800444B0000115081428000100207401402074211D730429571C0414 -:200758000180044408142800A08000031000011E0810DEC004C00044003F6E0691003FCC15 -:2007600081403FCC0810FF8010803FCC010000841100292601000104110003260100004411 -:20076800113F751E00002806B0C002C300802074109D6A041800072600C020B418C524041E -:2007700018800037108001141880003508119D00003F690610000115D8800215081347C00D -:20077800D880021700C0207418D73F041080034301002074211D61441085883A1085883A6E -:200780001885883A1140001701800444081428000100207401402074211D730429571C04C6 -:200788000180044408142800A0800003103F521E0810DEC0003F5006B08002C3103F4E265F -:20079000081165C0003F4C06B08002C3103F4A2608113F00003F480600C0207418DD7744DD -:2007980001402074DEFFFF041809883A2957490401800544DFC00015081415C01007883A94 -:2007A000008020B410852004108000371004D4BA1080004C188002050005883ADFC00017CB -:2007A800DEC00104F800283ADEFFF804DD400515DD000415DCC00315050020B404C0207449 -:2007B00005402074DC800215DC000015DFC00715DD800615DC4001150021883A0025883ABD -:2007B8009CD78D04A5052004AD599E040100207401402074211D5D0429574F040180044402 -:2007C000081428008405883A14C5883A1140001701002074211D65840180044408142800BE -:2007C8000810DD800023883A856D883AA080003710BFFFCCD0A2001510001826148017266C -:2007D00088000A1E0100207401402074211D5D042957510401800444B080000D0814280031 -:2007D8000810DD800440004400000C06B0C0000B10C009260100207401402074211D5D046F -:2007E0002957530401800444081428000810DD800023883A000001060440008400800084E8 -:2007E800D4A20017888003260109C4040814B180003FDE068400008400800C0480BFCB1E31 -:2007F000DFC00717DD800617DD400517DD000417DCC00317DC800217DC400117DC0000172F -:2007F800DEC00804F800283AD1220017DEFFFE0401402074DFC001150005883A29599E047F -:20080000018005C41087883A1947883A18C0000B20C003261180942610800044003FF906CA -:2008080000C005C418809036100490BA00C0207418C8100410C5883A108000171000683A53 -:20081000008120A0008120C4008120D4008120A8008120CC008120DC008120BC0081226812 -:20081800008120E4008120EC008120F40081226800812268008122680081226800812268E2 -:20082000008122680081212000812198008121B0008121DC00812228008122080081225474 -:2008280000C000440000010600C0008400802074109D418410C0000500006B0600C000C411 -:20083000003FFA0600C00104003FF80600C00144003FF60600C00184003FF40600C001C424 -:20083800003FF20600C00204003FF00600C00244003FEE0600C0207418DD47841880000386 -:200840001005003A18800005100003260100004408129000000054060810DEC0000052061C -:2008480000802074109D6A0411000287014007040814134001C0207401002074018020740D -:2008500039D8C604211D5D040140044431975704388F883A08141840008020B41085140464 -:2008580011C0003710800037010020741005D43A01802074211D6584108000CC01400444D8 -:2008600031975A0439FFFFCCD8800015081418400810DD800000340600C020B418C5240426 -:20086800188000371080009C1880003500002E0600C0207418DD7744188000030140004450 -:2008700011003FCC290002361145883A000001060005883A188000050000230600C02074EB -:2008780018DD7744188000430140004411003FCC290002361145883A000001060005883AED -:20088000188000450000180600802074109D774410C0008319003FCC2000012618FFFFC449 -:2008880010C000850000100600C0207418DD7744188000830140038411003FCC2900023681 -:200890001080004400000106008003C4188000850000050600C0207418DD7744188001035E -:200898001005003A18800105D0A1FE1710001B1ED0E201171880006C10000C260080207460 -:2008A000109D6A04108003430100024411403FCC29000226108000440000010600800044B4 -:2008A80001002074211D41842080000518C000AC18000A2600C0207418DD77441880000388 -:2008B0000140004411003FCC290002361145883A000001060005883A18800005DFC00117EC -:2008B800DEC00204F800283ADEFF6C04D9000304DC008C15DFC09315DD809215DD4091156A -:2008C000DD009015DCC08F15DC808E15DC408D150810D5401021883A1000821ED900830469 -:2008C800D9400304018001040814280001402074D90083042957A50401800104081423C043 -:2008D00010000B260100207401802074211D5D04014004443197A7040814184000802074FA -:2008D800109D6584100000050400004400006D06D8800403DC808484D9400484D880840555 -:2008E000D88004439009883A01800204D880844508142800D880068BD8008645D8808B0D96 -:2008E800D880070BD8808B8DD9408B17280BC232D880078BD9408715D8808B0DD880080B3A -:2008F000D8808B8DD8808B171005C232D8808815D880088BD8808B0DD880090BD8808B8DC9 -:2008F800D8808B171005C232D8808915D8808217D8808B151005C232D8808A1500C0788442 -:2009000028BFF98418800B2E0100207401802074211D5D04014004443197AB0408141840E5 -:2009080000802074109D658410000005043FFFC400003C06D900030401800044081539C00D -:20091000D8C08A1701002074211D5D040140044410C00726018020743197AF0408141840D0 -:2009180000802074109D65841000000500002C06018020743197B30408141840D9C088178E -:200920000100207401802074211D6584014004443197B704081418400810DD80DCC08817B6 -:20092800DD4089170021883A0005883A0580800484C00F2E9C23C83AB440012E0440800412 -:2009300085008004A009883A880B883AD98003040810C8001000121E800D003AD9000304B7 -:20093800880B883A081539C0A021883A003FF00615405D260100207401802074211D5D045B -:20094000014004443197BA040814184000802074109D658410000005043FFF4400000106C8 -:20094800043FFF8404C000C40810DD80010003F4211090040814B18000BFCE448080480E9B -:2009500004C0470E0100207401802074211D5D04014004443197CD04081418409CFFFFC431 -:200958000100207401402074211D65842957C50401800444081428000810DD800021883A40 -:200960000580800405004004DC40881784401C2E8C23C83AB440012E044080048540800477 -:20096800A809883A880B883AD98003040810C8001000291E8025D23A880B883AA440012EF7 -:2009700001404004D9000304900D883A0810A8401021883A103FD41EA4400236A821883AC8 -:20097800003FE906D9004304897FC004918000440810A8401021883A103FF826003FCA0681 -:200980000100207401402074211D5D042957C904018004440814280001002074014020748A -:20098800211D65842957C50401800444081428000810DD80D9408817D98089170009883AE1 -:20099000D9C003040810BAC01021883A103FB61E0005883A00003B0600BFCE040000390627 -:2009980000BFFFC400003706D8808487D9C08403D8C084431000032600802074109788041E -:2009A00000000206008020741097E4040100207401802074D8C00015211D5D04014004440D -:2009A8003197BF04D8800115DC800215081418400100207401402074211D65842957D10469 -:2009B00001800444081415C0044020B4040020740810DD808C45200484199E0488800037D5 -:2009B80080C0000B10BFFFCC10C0052680C0008B10C013260109C4040814B180003FF70610 -:2009C000081347C000C020B418C524041880003710800114188000350109C4040814B180FC -:2009C8000100207401402074211D5D042957C204018004440814280004C000C4003F88065E -:2009D00000800044DFC09317DD809217DD409117DD009017DCC08F17DC808E17DC408D17A8 -:2009D800DC008C17DEC09404F800283ADEFFFF0421003FCC01411944DFC000150814134022 -:2009E0001009883A01401904081407400100207401802074211D6584014004443197D6045F -:2009E800100F883ADFC00017DEC001040814184121C03FCC0180207401002074211D658483 -:2009F000014004443197D80408141841014003F4DEFFFC0421003FCC29509004DFC0031540 -:2009F800DC400215DC000115081413401009883A01465904081412401009883A0140FA0444 -:200A00001021883A081412408009883A0140FA041023883A081412C01009883A01401904CF -:200A0800081412400100207401802074D8800015211D6584014004443197DB04880F883A99 -:200A100008141840DFC00317DC400217DC000117DEC00404F800283ADEFFFF0421003FCC64 -:200A1800014119C4DFC00015081413401009883A0140190408140740010020740180207436 -:200A2000211D6584014004443197DE04100F883ADFC00017DEC001040814184121C03FCCC1 -:200A28000180207401002074211D6584014004443197E0040814184121003FCCDEFFFF0427 -:200A30002100004401409C44DFC00015081413401009883A014019040814074001002074CC -:200A380001802074211D6584014004443197E304100F883ADFC00017DEC00104081418417B -:200A400000802074109D450411400017DEFFF70401802074DC000015DFC00815DDC00715D1 -:200A4800DD800615DD400515DD000415DCC00315DC800215DC400115040002C431999E045A -:200A500000C004448405883A1185883A1080000B288005268400004480FFFA1E21003FCCE2 -:200A58002000C0260021883AD562024304C020749CD9AA04AC803FCC902290FA01400504E1 -:200A60009C45883A1500010315800017A5C03FCCB809883A08141340B0C00117817FFD44E3 -:200A680029403FCC1885883A0100014410C0010321406336280A90BA01002074210A7304D4 -:200A7000290B883A290000172000683A00812A5400812A18008129E4008129FC00812AD0FD -:200A780000812AD0B800011EB5000003A53FFFC49C63883A8D00010500005106B14000030E -:200A80008C400104B90000449C63883A08140C808880000500004A0690000226A8BFFFC4E0 -:200A880000002906D0220285DFC00817DDC00717DD800617DD400517DD000417DCC00317CC -:200A9000DC800217DC400117DC000017DEC009040810DEC118C03FCC01000084190006269B -:200A9800010000C41900361E10800217103EE83A1023883A0000330694800044902490FA2F -:200AA000108002179C87883A18C000171880042600C0207418D9AA041C87883A1800010581 -:200AA8009C63883A89000103B50001170140050408141340A085883A108002179CA5883AC7 -:200AB00090800015A8800044D0A2024500001C0618C03FCC0100004420C0193611800217B9 -:200AB80082003FCC01C003C41100030310C00343314000031080038341C0081E29C03FCC37 -:200AC0001A003FCC41C0022E28BFFFC400000B0621003FCC2000091E0000070629C03FCC91 -:200AC80012003FCC3A00022E288000440000030621003FCC200001261805883A308000058B -:200AD0000023883AD0A2024301400504100490FA9887883A190001031D00001708141340E1 -:200AD8001025883AA080011701002074211D5D041485883A114000170180044408142800CB -:200AE000A0800117010000441485883A10C0010319001226180005260100008419002326CF -:200AE800010000C4190012260000230610C00217110004170180044418C0000318C5883A57 -:200AF0001085883A2085883A1140001701002074211D6584081428000000170610C00217BA -:200AF8001080041719000003103EE83A0000120684003FCC00C002C480C00C1E8800021E68 -:200B000011C003170000020601C0207439D7E5040100207401802074211D6584014004443A -:200B08003197E704081418400000030600802074109D658410000005DFC00817DDC0071765 -:200B1000DD800617DD400517DD000417DCC00317DC800217DC400117DC000017DEC009041D -:200B18000810DD81DFC00817DDC00717DD800617DD400517DD000417DCC00317DC800217F8 -:200B2000DC400117DC000017DEC00904F800283A009114B4DEFFBF041094D544D880001566 -:200B28000080107410951044D8800115008011C4D8800245D809883A00800084014002C4A0 -:200B300001840004DFC04015D8000205D88002850810A8401000022600BFFFC4000020068A -:200B38000140207400800D04D900010429599E0401800C04D8000005D8800045D80000C58D -:200B4000D8000085081415C0D809883A01400D04018400440810A840103FEF1E0080004469 -:200B480001402074D8800005D900010400800644295D774401800544D8800045D80000C56E -:200B5000D8000085081415C0D809883A01400644018400840810A8401004C03A0085C83A6B -:200B5800DFC04017DEC04104F800283ADEFFB50401000434014002C4D80D883ADFC04A15CF -:200B6000DD804915DD404815DD004715DCC04615DC804515DC404415DC0043150810A100F8 -:200B680010000226043FFFC400003806D9004004D80B883A0180020408142800014020748F -:200B7000D90040042958B70401800204081423C01021883A10002C1ED8800203DD4002833A -:200B78000023883AD8804205D8800243DD40428505800D04D8804245A8803FCC8880230E77 -:200B80008CC400449826923A01400084D80D883A9809883A0810A100103FE21EDD00004340 -:200B88009809883AD80D883AA00B883ADC8000030810A100103FDB1E90803FCC1000032622 -:200B900000C0004410C0072600000D06A5800C1E0100207421199E04D940010401800C04C2 -:200B98000000060600800644A080051E01002074211D7744D940010401800544081415C0BD -:200BA0008C400044003FDC06040000448005883ADFC04A17DD804917DD404817DD00471701 -:200BA800DCC04617DC804517DC404417DC004317DEC04B04F800283ADEFFFA04DC8002153A -:200BB000048020B4DFC00515DD000415DCC00315DC400115DC000015948510049000003555 -:200BB800044005048809883A040020B4840508040814B1808009883A01400E040815544074 -:200BC0008809883A0814B1808009883A01400E44081554408809883A0814B180880B883AF1 -:200BC8008009883A081554408809883A0814B1808009883A01401C44081554408809883A81 -:200BD0000814B1808009883A01401784081554408809883A0814B1808009883A01401B4455 -:200BD800081554408809883A0814B1808009883A01400304081554408809883A0814B180C8 -:200BE00004C00044980B883A8009883A081554400500C804A009883A0814B1808009883AB8 -:200BE80001400184081554408809883A0814B1808009883A0140008408155440A009883AAA -:200BF0000814B18094C00035DFC00517DD000417DCC00317DC800217DC400117DC0000170A -:200BF800DEC00604F800283ADEFFFA04DC000015040020B4DCC00315DC800215DFC0051557 -:200C0000DD000415DC4001152027883A2825883A8405100480000035010020B401400044E8 -:200C080021050804081554400100C8040814B18000800084808000359809883A01400404EA -:200C100008142CC01007883A10803FCC1000061E014020749809883A2958B90401800444D5 -:200C18000814280000C000449829883A1C403FCCA1400003010020B42105080408155440EE -:200C2000010005040814B180A5000044A4C5C83A10803FCC147FF63680000035010020B425 -:200C2800014030042105080408155440010005040814B18000800084808000359009883A69 -:200C30000140040408142CC01007883A10803FCC1000061E014020749009883A2958B9043D -:200C3800018004440814280000C000449027883A1C403FCC99400003010020B421050804C8 -:200C400008155440010005040814B1809CC000449C85C83A10803FCC147FF636008000C42B -:200C480080800035DFC00517DD000417DCC00317DC800217DC400117DC000017DEC00604AA -:200C5000F800283ADEFFFB04DC000015040020B484050804DC80021504800B04DCC0031536 -:200C5800900B883A2027883A000D883A8009883ADFC00415DC4001150815484004400044E5 -:200C60008009883A99403FCC880D883A08154E808009883A900B883A880D883A081548405F -:200C68008009883A880B883ADFC00417DCC00317DC800217DC400117DC000017DEC0050419 -:200C700008154BC1DEFFFC04DC000015040020B484050804DC800215DC4001152025883A54 -:200C78002823883A8009883A01400B04000D883ADFC00315081548408009883A91403FCC62 -:200C8000000D883A08154E808009883A89403FCC01800044DFC00317DC800217DC40011754 -:200C8800DC000017DEC0040408154E81010020B4DEFFFD04000D883A000B883A2105080446 -:200C9000DFC00215DC400115DC00001508154E80010002840814B180040006040440004416 -:200C98008809883A800B883A08131C40800B883A0100008408131C40800B883A010000C4CA -:200CA00008131C408809883A081314401405003ADFC00217DC400117DC000017DEC0030424 -:200CA800F800283ADEFFFC04DC4001152023883ADC8002158C403FCC048000449009883A51 -:200CB000882290FADFC00315DC00001508131440043FF9C41404703A1460B03A84003FCC2A -:200CB8009009883A800B883A08131C40800B883A0100008408131C40010000C4800B883AA2 -:200CC000DFC00317DC800217DC400117DC000017DEC0040408131C41DEFFFD04DC40011591 -:200CC8002023883A01000044DC000015DFC00215043FF604081314401420703A89003FCCFD -:200CD000008000842080021E84000054000003062008917A2080011480A0B03A84003FCCDE -:200CD800800B883A0100004408131C40800B883A0100008408131C40010000C4800B883A98 -:200CE000DFC00217DC400117DC000017DEC0030408131C41DEFFFB04DC000015040020B453 -:200CE80084050804DC80021504801704DCC00315900B883A2027883A000D883A8009883A11 -:200CF000DFC00415DC40011508154840044000448009883A99403FCC880D883A08154E805C -:200CF8008009883A900B883A880D883A081548408009883A880B883ADFC00417DCC0031728 -:200D0000DC800217DC400117DC000017DEC0050408154BC1DEFFFC04DC000015040020B4C1 -:200D080084050804DC800215DC4001152025883A2823883A8009883A01401704000D883A07 -:200D1000DFC00315081548408009883A91403FCC000D883A08154E808009883A89403FCC67 -:200D180001800044DFC00317DC800217DC400117DC000017DEC0040408154E81DEFFFC0432 -:200D2000DC000015040008848009883ADFC00315DC800215DC40011508133940014004C4EE -:200D2800010005841023883A0489C404081341409009883A0814B180014000C4010005C4C4 -:200D3000081341409009883A0814B18000FFE00488CAB03A8009883A29403FCC08134140E5 -:200D38000100FA040814B1808009883A89401FCCDFC00317DC800217DC400117DC000017FB -:200D4000DEC0040408134141DEFFFE04DC0000150409C4048009883ADFC001150814B1805E -:200D48000140044401000584081341408009883A0814B180010005C4014000840813414019 -:200D50008009883ADFC00117DC000017DEC002040814B181DEFFFE04DC0000152821883AC1 -:200D580021403FCC01000484DFC0011508134140010004C481403FCCDFC00117DC000017F6 -:200D6000DEC002040813414121403FCC0100044408134141DEFFFA04DC0000152021883A11 -:200D680001000104DD000415DCC00315DC8002153829883ADFC00515DC4001152827883A29 -:200D70003025883A0813394000FFFE04A5003FCC10C4703AA00006261080005411403FCC7D -:200D780001000104081341408423883A0000040611403FCC010001048023883A08134140E3 -:200D80008C7FFFCC880AD13A0100004429403FCC08134140880A913A0100008429403C0CF8 -:200D880008134140993FFFCC91403FCC081413401009883A880B883A081413401009883A47 -:200D90000140FA040814124000E327D41880092E00C0007418C45BC41880082E00C000B478 -:200D980018C3D5C41885403A00C000C41885C83A000003060005883A0000010600800044F2 -:200DA00014003FCCD0A016041405883A1100000301400A04081413408808D07A880B883AAE -:200DA8001109883A08140740100B883A00C001C410803FCC1880012E180B883A29403FCCCF -:200DB000800491BA280A90FA010000C4288AB03A29403FCCDFC00517DD000417DCC003175A -:200DB800DC800217DC400117DC000017DEC0060408134141DEFFFE04DC0000152021883A67 -:200DC00001000684DFC0011508133940017FFE8484003FCC00C000441144703A80C0021E4B -:200DC80010800094000001061080029411403FCC01000684DFC00117DC000017DEC0020485 -:200DD000081341412140028BDEFFFE04DC000015280AD23A2021883A010012C4DFC00115DB -:200DD800081341408140028301001284081341408140030B01001344280AD23A08134140E5 -:200DE0008140030301001304081341408140038B010013C4280AD23A081341408140038330 -:200DE80001001384081341408140010B01001444280AD23A081341408140010301001404D9 -:200DF000081341408140018B010014C4280AD23A08134140814001830100148408134140CD -:200DF8008140020B01001544280AD23A081341408140020301001504081341408140040B8D -:200E0000010015C4280AD23A081341408140040301001584081341408140048B0100164475 -:200E0800280AD23A081341408140048301001604081341408140050B010016C4280AD23A07 -:200E1000081341408140050301001684DFC00117DC000017DEC0020408134141DEFFFD04F9 -:200E1800DC00001504000FC4DC4001152023883A8009883ADFC002150813394000FFFC0427 -:200E200010C4703A888AB03A8009883A29403FCCDFC00217DC400117DC000017DEC00304F5 -:200E280008134141DEFFFD04DC40011504400684DC0000152021883A8809883ADFC0021532 -:200E30000813394081403FCC00C000C4194BC83A280A91BA10800FCC8809883A114AB03AD8 -:200E380029403FCCDFC00217DC400117DC000017DEC0030408134141DEFFFD04DC00001536 -:200E40002021883ADC40011584003FCC044001048809883A802090FADFC0021508133940BE -:200E4800108001CC140AB03A8809883A29403FCCDFC00217DC400117DC000017DEC00304DA -:200E500008134141DEFFFD04DFC00215DC400115DC000015081347C00009883A08136F4077 -:200E5800000B883A01000D4408134140010020742118BA04081374400100040408138F8031 -:200E60000009883A081385C00009883A08138A400140018401000F440813414001001104CB -:200E680008135880010006C4014022040813414004400204880B883A010007040813414062 -:200E700004000684800B883A0100028408134140800B883A01000244081341408809883AE1 -:200E7800800B883ADFC00217DC400117DC000017DEC0030408134141DEFFFD04DC0000151D -:200E80002021883ADC40011584003FCC044004048809883A802090FADFC00215081339407B -:200E8800108001CC140AB03A8809883A29403FCCDFC00217DC400117DC000017DEC003049A -:200E90000813414121003FCC20002D26DEFFFE04DC000015010009842821883A0140200438 -:200E9800DFC0011508134140817FFFC429403FCC008003C411402536280A90BA008020742F -:200EA000108EA404288B883A288000171000683A00813AD000813ADC00813B0C00813ADC85 -:200EA80000813B0C00813B0C00813B0C00813AF400813B0C00813B0C00813B0C00813B0C03 -:200EB00000813B0C00813B0C00813B0C00813ADC01000C44014002440000020601000C4402 -:200EB80001400604DFC00117DC000017DEC002040000050601000C4401401684003FF9060C -:200EC00001000984000B883A08134141DFC00117DC000017DEC00204F800283ADEFFF6049B -:200EC800DC0000152C3FFFC4DF000815DDC00715DD800615DD400515DD000415DCC00315DD -:200ED000DC800215DC400115DFC0091580803FCC00C003C42023883A3039883A382B883AB9 -:200ED800DD000A17DCC00B17DC800C17DD800D172DC03FCC18802836100490BA00C020749E -:200EE00018CEE40410C5883A108000171000683A00813BD000813BE800813C1800813BE88B -:200EE80000813C1800813C1800813C1800813C0000813C1800813C1800813C1800813C185A -:200EF00000813C1800813C1800813C1800813BE80100014401400084081341400100018492 -:200EF800200B883A00000B060100014401400184081341400100018401400404000005065A -:200F00000100014401400C8408134140010001840140080408134140E1003FCCB80B883A3E -:200F08000813A440B1003FCC0813588084003FCC008003C414002836802090BA00802074D5 -:200F1000108F140480A1883A808000171000683A00813CC000813CC000813CD800813CC052 -:200F180000813CD800813CD800813CD800813CA800813CD800813CD800813CD800813CD841 -:200F200000813CD800813CD800813CD800813C9001000884014003040813414001000D0422 -:200F2800014000C400000B0601000884014002040813414001000D0401400384000005063E -:200F300001000884014002040813414001000D0401402204081341408C403FCC8C40201C3D -:200F38008C7FE0048809883A0140070404002074081413408418C6048087883A19C0068B6B -:200F40001900040BA1803FCC39C0100C380EC03AA97FFFCC0813594099003FCC91403FCCC1 -:200F4800081355408809883A01400704081413408085883A11400583010001C4DFC00917A6 -:200F5000DF000817DDC00717DD800617DD400517DD000417DCC00317DC800217DC400117BE -:200F5800DC000017DEC00A0408134141DEFFFC0429BFFF84DC400115DC000015DFC003151B -:200F6000DC80021531803FCC0080004424403FCC2C003FCC11800436008000848880071EDC -:200F68008805883A000008068800061E8005003A00C000841885C83A000003060005883AEE -:200F70000000010600800084880A913A8C47883A10803FCC18CD883A100491BA2986B03A2A -:200F780020CAB03A288AB03A0100064429403FCC04800084081341409400062E008000C47A -:200F80008080071E01000404014017440813414000001106010004040140160408134140D4 -:200F88008C800D1E0080004414000B36010003848080021E0140148400000106014014C458 -:200F9000081341400100FA040814B180010005040813394000000C06014016C40100038406 -:200F9800081341400100FA040814B1800100050408133940008000C48080031E0100060443 -:200FA000014004040000020601000604000B883A0813414001000D84000B883ADFC0031754 -:200FA800DC800217DC400117DC000017DEC0040408134141DEFFFD04DC4001152023883A35 -:200FB00001000504DC000015DFC002152821883A081339408C403FCC00C0008488C00A1E46 -:200FB80081403FCC00C0004428C0031E1080240C10802420000006062800031E1080220C99 -:200FC00010802220000002061004D07A1080004CDFC00217DC400117DC000017DEC0030479 -:200FC800F800283ADEFFFE04DAC0030302800044DC00001552D6983ADA400403DC0002037D -:200FD00002002074DC4001154218CC040005883A037FFEC40380008403FFFBC429403FCCC8 -:200FD800030006844180008B40C000033440200C880005264800021E78C6703A000009066B -:200FE0004B80081E000006063440400C880005264800021E68C6703A000002064A80011E50 -:200FE8000007883A19C6703A18C03FCC18000C2630C0080C1807003A28C0091E40FFFE8B36 -:200FF00018C0078419000636318003CC8000032630FFFFCC180001261AC007263000062664 -:200FF80010C000441805883A18C03FCC420007041B3FDC1E00BFFFC4DC400117DC000017B9 -:20100000DEC00204F800283A2900182E280017160080080400C0004400000206100011262F -:2010080028000516294B883A10BFFFC418C7883A293FFA3618000B260005883A21400236DB -:201010002149C83A10C4B03A1806D07A280AD07A183FFA1E3000011EF800283A2005883AB3 -:20101800F800283A0005883A003FFA0600C00044003FF106DEFFFE04DFC00115DC00001599 -:2010200020000B160021883A28000C16000D883A081400800407C83A1884F03A1405883A29 -:20102800DFC00117DC000017DEC00204F800283A0109C83A04000044283FF40E014BC83AF5 -:201030008400005C003FF106DEFFFD04DFC00215DC400115DC00001520000C160023883AAC -:201038000021883A28000D1601800044081400801404F03A8885883ADFC00217DC4001170C -:20104000DC000017DEC00304F800283A0109C83A04400044043FFFC4283FF30E014BC83A4E -:20104800003FF106000D883A0814008101800044081400810005883A2000072620C0004C44 -:201050002008D07A180001261145883A294B883A203FFA1EF800283AF800283A2005883A6F -:201058000007883A1980062628C9883A21C0000310C9883A18C0004421C00005003FF90678 -:20106000F800283ADEFFF504DFC00915DC400815DC000715D9C00A1500802074109B5C047B -:20106800144000172800040E008022C48880001500BFFFC400001C0600C08204D8C0000DB1 -:20107000D9000415D90002152800022628FFFFC4000001060007883AD8C00515D8C0031512 -:201078001100001700FFFFC4D8C0008D00C0207418D232042821883AD9C00A04D80B883A7E -:20108000D8C00115D8000615081435C000FFFFC410C0020E00C022C488C000158000022651 -:20108800D8C0041718000005DFC00917DC400817DC000717DEC00B04F800283A30000E2619 -:2010900031BFFFC4218D883A2080000728C0000710C0011E2180041E2080000328C0000347 -:2010980010C5C83AF800283A103FFB262100004429400044003FF4060005883AF800283A2B -:2010A0002005883A2007883A1809883A3000092629C0000331BFFFC418C0004421C000057D -:2010A80039C03FCC39C0201C39FFE00429400044383FF51E198D883A198003261800000555 -:2010B00018C00044003FFC06F800283A214B883A2005883A1140021E1105C83AF800283A71 -:2010B80010C00007183FFC2610800044003FF906DEFFFB04DC800315DC400215DC00011541 -:2010C000DFC004152025883A2823883AD98000053821883A04000A0E888001179009883A34 -:2010C800880B883AD80D883A01C00044103EE83A843FFFC4103FF72600BFFFC4000001061C -:2010D0000005883ADFC00417DC800317DC400217DC000117DEC00504F800283ADEFFE3041B -:2010D800D8C00804DF001B15DDC01A15DD801915DD401815DD001715DCC01615DC8015154E -:2010E000DC401415DC001315DFC01C152029883A2823883A3839883AD9800F150021883A2C -:2010E800D8000E15002F883A002B883A0027883A0025883AD8000C15D8000B15002D883A5F -:2010F000D8C00915D8C00F171900000320803FCC1080201C10BFE00410012E26014000443C -:2010F800B14014262D800216B00006260001250601400084B1401D26014000C4B1402B26A0 -:20110000000120060140094411410D2688800117D9000005880B883AA009883AD80D883A30 -:2011080001C00044103EE83A1000E81E840000440001140601400C0411410A2601400944F8 -:2011100011400A1ED880000588800117A009883A880B883AD80D883AB00F883A103EE83A71 -:201118001000DA1E840000440001050625BFF404B5803FCC00C002441D80093600BFFFC45B -:20112000908004269009883A0140028408141340000001060005883A15A5883A0000F206A2 -:2011280001400B841140F42605800084213FF40420C03FCC0100024420C00B3600BFFFC436 -:20113000988006269809883A01400284D8C0111508141340D8C01117000001060005883A76 -:2011380010E7883A0000E70601401B041140E026013FFFC499000226D8000B150000010677 -:2011400004C0004401001A441100162620800916010018C41100962601001904110011260C -:20114800010016041100D61E00C00044D8C00E150000140601001CC41100A6262080041616 -:2011500001001BC41100CE1E0540020400000E0601001D4411000C2601001E04110009263B -:201158000000C706E0800104B8000726D8800D15E7000017E000080E0739C83A020000446A -:201160000000060605400404E0C00104D8C00D15E7000017002F883A0011883A002D883A06 -:20116800DD87883AE0001A26E009883AA80B883AD8C01115DA00121508141240A809883A61 -:20117000100B883AD880101508141340E085C83A01000244D8C01117D9801017DA001217A5 -:201178002080021617000C0400000506D9400E172800022617000DC400000106170015C400 -:201180001F000005B58000443039883A003FE4061EC5C83AD8800A159885C83A1839883AD0 -:2011880000800E0E182D883A1885883A01000C04D9400917B007883AB140052EB5800044E5 -:2011900019000005B039883AB0BFF91E00000106B039883AB6EDC83ADD800A15D8800A17A4 -:201198001207883A90EDC83AD8C00B171800172640000A2600800B44D8800805888001170A -:2011A000A009883A880B883AD980080401C00044103EE83A10004D1E840000440580070EB8 -:2011A800A009883A880B883A01800C04B00F883A08142F001000451E85A1883AD9000A17B5 -:2011B000E007883A272DC83A000022060580090EA009883A880B883A01800804B00F883A8E -:2011B800DA00121508142F00DA0012171000371E85A1883A403FF12600800B44D8800805B1 -:2011C00088800117A009883A880B883AD980080401C00044103EE83A10002C1E8400004433 -:2011C800003FE60618FFFFC418800003A009883AD8C01115D880080588800117880B883A64 -:2011D000D980080401C00044103EE83AD8C0111710001E1E80C5C83A1D89883AE085883A43 -:2011D800013FF0161021883ADF000D1700004406010000442480080E95BFFFC4A009883AF0 -:2011E000880B883A01800804B00F883A08142F0010000E1E85A1883AE0800017A009883A3B -:2011E800880B883AD880000588800117D80D883A01C00044E5800104103EE83A1000031E5E -:2011F00084000044B039883A00002D0600BFFFC400003106E5800017E0C00104D8C00A15A8 -:2011F800B009883A08149C409091C83A1039883A0200090E400F883AA009883A880B883A4C -:2012000001800804DA00121508142F00DA001217103FEE1E8221883A88800117A009883AA7 -:20120800880B883AB00D883AE00F883A103EE83A103FE61E8721883ADF000A1700001006FE -:2012100005C0004404FFFFC4D8000E15054002849825883AD8000C15D8000B15B82D883A12 -:2012180000000806DD800B15058000840000050600C00044D8C00C15058000C4000001060A -:20122000002D883AD8C00F1718C00044D8C00F15003ECC068005883ADFC01C17DF001B17EF -:20122800DDC01A17DD801917DD401817DD001717DCC01617DC801517DC401417DC00131756 -:20123000DEC01D04F800283A2880000BDEFFFD04DC000015DFC00215DC40011510C0020C3D -:201238002821883A1800192628C0008F1800150E10C0800C180013262C40051789C0030EF3 -:2012400010C0200C1800032600000E063C40010E3823883A81000417300B883A880D883AA5 -:2012480008149640808005171445C83A80800515808004171463883A844004150005883A0B -:2012500000000306108010148080000D00BFFFC4DFC00217DC400117DC000017DEC00304AE -:20125800F800283A2005883A29000D2E298F883A21C00B2E3007883A017FFFC418FFFFC427 -:20126000194006261989C83A3909883A2200000310C9883A22000005003FF806F800283AC3 -:201268000007883A1980062628C9883A21C0000310C9883A18C0004421C00005003FF90666 -:20127000F800283A2005883A10C000071800022610800044003FFC061105C83AF800283A7F -:20127800DEFFFF040100207401402074DFC0001521198C04295B65042140061E0100207487 -:201280000140207421000804294008042140141E00000D0600C0207418DB650400BFFF04BF -:201288001907C83A1886703A0005883A10FFF326114F883A39C00017110D883A1080010446 -:2012900031C00015003FF90601002074014020742116E3042956E3042140121E00000D0668 -:2012980000C0207418C0080400BFFF041907C83A1886703A0005883A10FFF326114F883AC1 -:2012A00039C00017110D883A1080010431C00015003FF90608155E80DFC00017DEC0010411 -:2012A80008156E0100C0207418D98C0400BFFF041907C83A1886703A0005883A10FFF526A8 -:2012B000114F883A39C00017110D883A1080010431C00015003FF906DEFFFF040009883A88 -:2012B800DFC000150814B1C00814B3C00100207421197C04200B883A200D883A0815784046 -:2012C000D1220517D1620417D1A20317DFC00017DEC0010408119F8108155681DEFFFF04BE -:2012C800DFC000150815A1C0008000441001703ADFC00017DEC00104F800283ADEFFFE04C3 -:2012D000DC00001504002074841B5E0401002074800B883A211AD004DFC0011508155F4012 -:2012D80001002074211A99040815228001002074211A8E04800B883ADFC00117DC00001771 -:2012E000DEC0020408155F4101402074DEFFFF04295B5E04DFC00015081566C010000E26B7 -:2012E80010C00A17D0A20815D022121519008D04D122101519008904D1220F15190084042D -:2012F000D1220E1519008C04D1220D1519008B04D1220C15D0E20B15DFC00017DEC0010423 -:2012F800F800283AD0E20817008000441800041ED0E212171880071ED022121500000506F1 -:20130000D0E2101718C0002B18C0008C183FF826F800283A0005883AF800283ADEFFFD04BF -:20130800DC400115DC000015DFC002152023883A2821883A0814BE401000021E0005883ACB -:20131000000011068C09883A2006927AD0A20C1710C00035D0A20D1700C0060410C0002D26 -:20131800D0A210171080002B10BFFFCC10C0010C183FFB1E1080040C103FF01ED02207156F -:20132000D122061500800044DFC00217DC400117DC000017DEC00304F800283AD0A207176D -:2013280010000326D1220617000B883A0814C1C100800044F800283ADEFFFD04DC400115C3 -:20133000DC000015DFC002152023883A2821883A0814BE401000021E0005883A00001906B6 -:20133800D0A207171000081E8C09883A2006927AD0A20C1710C00035D0A20D1700C004440E -:2013400010C0002D00000506D1220617000B883A0814C1C0103FF41E003FEF06D0A21017DD -:201348001080002B10BFFFCC10C0010C183FFB1E1080040C103FE81ED0220715D1220615D2 -:2013500000800044DFC00217DC400117DC000017DEC00304F800283A2005883A21000017BC -:2013580011C0021721000A040814D8012005883A2100001711C0021721000A040814DDC175 -:2013600021000017298D883A2805883A3A10000C11800B2E20C000371A60000C48000326A0 -:2013680010C0000510800044003FF906114002261145C83AF800283A403FF52600000106B2 -:20137000117FFB1E39D0000C3800021E00BFFEC4F800283A00BFFD44F800283A21000017DA -:201378003005883A298D883A21C001042980072E38C0003718FFFFEC183FFC2628C0000789 -:2013800020C0003529400044003FF806F800283A20001D262804923A20C03017DEFFFD0494 -:20138800DC400115DC000015DFC002152823883A2021883A108000D41880033580C03017A1 -:20139000188000371080004C100003260100004408155680003FF90618C000371809D0FAE9 -:201398002140020C1809D0BA1807D07A210001CC2908B03A18C0040C20C6B03A1C4004266B -:2013A00000BFF4840000020600BFFA84F800283ADFC00217DC400117DC000017DEC00304D3 -:2013A800F800283A20000A26280009263000082620800C173080001520800C17100006263F -:2013B00021000D04290000150005883AF800283A00BFFA84F800283A00BFFEC4F800283A1A -:2013B8002005883A20001D262809883A28001B1610C0311728C0192E1140341728FFFFC4B2 -:2013C00020C6703A1800151EDEFFFE04DC000015DFC001151021883A081412401004923A6C -:2013C80000C0403418FFC00410C4703A80C03017108000941880033580C0301718C0040496 -:2013D000188000371080004C10000626008000441880003500BFFEC40000020600BFFA84BF -:2013D800F800283ADFC00117DC000017DEC00204F800283ADEFFF504DC000115DFC00A156D -:2013E000DF000915DDC00815DD800715DD400615DD000515DCC00415DC800315DC40021532 -:2013E800DC000B17280039163023883A300037162027883A20003526382B883A38003326A4 -:2013F000208031173080312E2880302E208034172987C83A1887883A1C002C3680002B16A3 -:2013F80010BFFFC4288A703A2800281E0029883A05BFFFC405C000C40700010480002126AB -:20140000DD800015890000CC20000526E125C83A8480012E8025883A8923C83A0000040660 -:20140800BC0002368025883A0000010604800104AD0B883AD909883A900D883A081415C06B -:2014100098802E17D8C00017A4A9883A84A1C83A8885883A10C000359880301710800404AF -:2014180010C0003718C0008C1800042600C0008410C0003500BFFEC4000005068C40010461 -:20142000003FDE060005883A0000010600BFFA84DFC00A17DF000917DDC00817DD80071788 -:20142800DD400617DD000517DCC00417DC800317DC400217DC000117DEC00B04F800283A14 -:20143000DEFFF604DC000115DFC00915DDC00815DD800715DD400615DD000515DCC00415FF -:20143800DC800315DC4002152021883A20000A263029883A3000082620802E1780C02F17B6 -:201440002823883A2885883A382B883A11C9883A10C0012E20C0023600BFFA8400002406D1 -:201448002809883A81403417002D883A08141240102F883A80803317B8801C2EA8001B2672 -:2014500084C0341704E7C83A8CE6703A9C4002268CE5C83A000001060025883A8009883A34 -:20145800980B883A0814EE001000111E80C034171CA5C83AAC80012EA825883ADC8000151D -:201460008009883A980B883A880D883AA58F883A0814F7401000061EACABC83AB4AD883A6C -:201468008CA3883ABDC00044003FE2060005883ADFC00917DDC00817DD800717DD40061794 -:20147000DD000517DCC00417DC800317DC400217DC000117DEC00A04F800283A20000726BA -:201478003000062620802E17288B883A20802F1729C7883A2880012E1880023600BFFA8422 -:20148000F800283ADEFFFF043009883A380D883ADFC00015081415C00005883ADFC00017EE -:20148800DEC00104F800283A20005026208030171000502620C03217DEFFFB04DFC0041587 -:20149000DCC00315DC800215DC400115DC00001518001E1E1480023700C0030494803FCCEB -:2014980090BFFAC418804536100490BA00C0207418D4A00410C5883A108000171000683AE2 -:2014A000008152EC008152FC00815304008152F4008152B40081537C0081537C0081537C88 -:2014A8000081537C0081537C0081537C008152BC008152C4044080040000130604410004E4 -:2014B000000011060442000400000F06148001370080058494803FCC90800A2600800604E8 -:2014B80090800426008005049080241E0440080400000506044040040000030604401004BB -:2014C000000001060440200424C034172021883A8809883A980B883A0814134080C03117BC -:2014C80010C0021E80C0331788C0022680000C150000120600C00044014020748480361539 -:2014D0008440331580C00C1580000D1580800E1584400F1584C010158009883A295B630443 -:2014D80008155F400005883A0000050600BFFA84F800283A00BFFB44F800283A00BFFB4479 -:2014E000DFC00417DCC00317DC800217DC400117DC000017DEC00504F800283A3000012688 -:2014E8000005C03200FFFF042005883A28C6703A110DC83A30C0042E11800017300DC0F293 -:2014F00010800104003FFA06294000CC00C000C428C0041E10C0000B1807C0B210800083C6 -:2014F8000000080600C0008428C0031E1080000B1005C0B20000040600C0004428C0021E41 -:20150000108000031005C0720005C132F800283ADEFFFD04DC400115DC0000152823883A91 -:201508002021883A014001443009883ADFC00215081413408809883A100B883A081412407C -:2015100010BFFFC480C0020418000035010000448100043511003FCC810000351004D23AA5 -:2015180010803FCC808001350080200418800035DFC00217DC400117DC000017DEC00304ED -:20152000F800283A3180004C294B883A298B883A2140033521000404008024042080003569 -:20152800208000371080008C103FFD1E208000371004D1FA1080004CF800283A20C0040472 -:201530002800022600801A04000001060080080418800035188000371080008C103FFD1EF8 -:2015380020800337F800283A29403FCC214003352100040430000226008014040000010632 -:201540000080040420800035208000371080008C103FFD1E208000371004D1FA1080004C3F -:20154800F800283A20C0040400800B0418800035188000371080008C103FFD1E20800337B6 -:20155000F800283A29403FCC21400335210004040080070420800035208000371080008C98 -:20155800103FFD1EF800283A014AAAF4DEFFFE04296AAA84DC000015DFC001152021883A7D -:201560000814124010000F2601600034013555740007883A297FFFC421155584297FFFC476 -:20156800283FFE1E18C000448121883A18BFFB168405883A1421883A843FFFC4803FFE1ED5 -:20157000000004068405883A1421883A843FFFC4043FFE160005883ADFC00117DC000017C1 -:20157800DEC00204F800283AF800283A3005883AF800283A20000226208002171000131E68 -:2015800000802074109B62041080001710000926DEFFFF04DFC00015103EE83A00C00584F3 -:2015880010C0001500BFFA84DFC00017DEC00104F800283A00802074109D580400C0058408 -:2015900010C0001500BFFA84F800283A2880001721400115208000152880001711000115EE -:20159800290000150005883AF800283ADEFFFB04DCC00315DC800215DC400115DC000015AE -:2015A000DFC004152027883A2823883A2C00001708149C40148000448440072681000217BF -:2015A800980B883A900D883A0815B5C01000042684000017003FF8060005883A00000106ED -:2015B0008005883ADFC00417DCC00317DC800217DC400117DC000017DEC00504F800283AC7 -:2015B800F800283ADEFFFC04DC4001152023883A2809883A300B883A01807FC4DC00001500 -:2015C000DFC00315DC80021508157FC01021883A100016161009883A01400304048020741B -:2015C80008141340949ADB041007883A9085883A108000178009883A8880001590C5883AB1 -:2015D0001080011790C7883A888001151880021788800215DFC00317DC800217DC400117EA -:2015D800DC000017DEC0040408159C01DFC00317DC800217DC400117DC000017DEC00404A5 -:2015E000F800283ADEFFFB04DC000015040020742005883A841ADE04DC400115044000440B -:2015E800DCC00315DC8002152827883A3025883A100B883A8009883A880D883ADFC004155D -:2015F00008156E40813FFD04980B883A000D883A08156E4081000304900B883A880D883A14 -:2015F800DFC00417DCC00317DC800217DC400117DC000017DEC0050408156E41DEFFF5047E -:20160000DC4002152823883A01402074295B5E04DD400615DD000515DCC00415DC80031577 -:20160800DFC00A15DF000915DDC00815DD800715DC0001152025883A3027883A081566C07F -:20161000102B883A0029883A1000051E9009883A0815A240102B883A100046260500004483 -:20161800A809883A0815AD001021883A100044161009883A01400304081413401007883AAD -:201620000210003400802074109ADB04423FFFC418B9883A8A10703A102F883A18C00204CD -:20162800A000062610C7883A1A000015A880031710001A1E8005883A0000350610C7883A69 -:201630000080207442100034109B5D041A00001510C00017B829883A002D883A8009883A01 -:2016380001400304D8C0001508141340B885883AA100001710800017D8C000172080031E60 -:20164000A08002171000010EA7001F1EB5800044A50003041DBFF12E003FE406880D883AAE -:20164800E009883A900B883A980F883A103EE83A1023883A8005883A8800150E8009883A6F -:2016500008159C0000802074109B62041080001710000226103EE83A000002060080207431 -:20165800109D58040463C83A1440001500BFFFC400000706047FFB44043FFFC4003FEF060C -:201660001023883A003FED06047FFCC4003FEB06DFC00A17DF000917DDC00817DD800717DA -:20166800DD400617DD000517DCC00417DC800317DC400217DC000117DEC00B04F800283AD2 -:2016700000C000841900140EDEFFFD04DC0000150140030404002074DFC00215DC40011544 -:20167800841ADB042023883A081413408085883A8809883A01400304100002150814134069 -:201680008085883A10000015DFC00217DC400117DC000017DEC00304F800283A000170FA15 -:20168800F800283A00802074DEFFFA04109B6004DC00001514000017DD000415DCC0031524 -:20169000DC800215DFC00515DC4001152027883A1025883A05000BC48480122684400217EF -:201698008809883A08149C408887883A18FFFFC71D00011E10BFFFC49887883A18C00007AB -:2016A0001D0001261800051E8809883A980B883A100D883A0815B5C01000042684000017AD -:2016A800003FED060005883A000001068005883ADFC00517DD000417DCC00317DC800217FD -:2016B000DC400117DC000017DEC00604F800283ADEFFFB04DCC0031504C02074DC80021596 -:2016B800DC400115DC000015DFC004152025883A0021883A9CDADB04044008048009883A5D -:2016C00001400304081413409887883A188000171000081E1C80001500C0207418DB5D0434 -:2016C800190000178005883A2400050E1C0000150000030684000044847FF01E00BFFA0484 -:2016D000DFC00417DCC00317DC800217DC400117DC000017DEC00504F800283A218D883A7D -:2016D800218008262080000328C0000310C0022610C5C83AF800283A21000044294000445A -:2016E000003FF7060005883AF800283A73616C4672652068006573616F727265642520729C -:2016E8000000000073616C4672772068006574696F7272650000007273616C466576206896 -:2016F000206669726C6961660000000061766E492064696C64616572646D63200000000006 -:2016F80072204453206461656C696166006572757665642F746C412F5F617265535F5055CB -:2017000061435F44415F64726F6C61766E495F6E667265745F6563610000003053206F4E3D -:201708006163204464206472002E74652520732500632575252E75256B75322E25207A482A -:201710002E252E757A487532000000004353534F776620207525202E322E252E00000075F5 -:2017180074696E49727265202020726F000064252020202053204F4E00434E5934313032C9 -:201720003130322D6D20203673717261000000003A31564142475220000000533A315641FD -:2017280073475220000000423A31564162505920000072503A325641625059200000725054 -:201730003A32564173475220000000423A33564142475220000056483A335641424752208C -:20173800000000533A33564173475220000000423A335641625059200000725000815E20DC -:2017400000815C9000815C9C00815CA800815CB400815CC000815CCC00815CD800815CE4D1 -:2017480000815CF00000000000000000001000000000440B000001010000000073657250B9 -:2017500000000073666E6F43006D72696D73694D686374616572202C00797274646F4D560A -:201758007325203A00000000203A4F4C56207525203A4D5300007525000000310000003283 -:2017600000000033000000340000003500000036000000370000003800000039554E454DBA -:201768000000000000004B4F4B43414200000000000050554E574F44000000005446454CAE -:201770000000000048474952000000544F464E49000000005F44434C4B4341424847494C48 -:20177800000000544E414353454E494C444F4D5F000000454E414353454E494C5059545F83 -:20178000000000454E414353454E494C544E495F0000002B4E414353454E494C544E495FAB -:201788000000002D454E494C544C554D444F4D5F0000004500815D7800815D7C00815D8018 -:2017900000815D8400815D8800815D8C00815D9000815D9400815D9800815C1800815D9C42 -:2017980000815DA400815DA800815DB000815DB400815DBC00815DC400815DCC00815DD471 -:2017A00000815DE400815DF400815E0400815E1400815E244353534F0000000061766E49F6 -:2017A8002064696C67616D690000006561766E492064696C646165680000726561766E49E7 -:2017B0002064696C2072646800435243696C6156697461646420676E0061746162207525B1 -:2017B800736574790000000061766E492064696C617461644352432000000000252E7525E6 -:2017C0002575322E0073257361647055676E69740057462061656C70772065732E7469618E -:2017C80000002E2E697265566E6979666C6620670068736172746552676E69796470752007 -:2017D0000065746161647055203F65742C593D314E3D322000000000642064250000676554 -:2017D8006C20752573656E6900000000252E752573752075000000006D2064250000005646 -:2017E000702075256C6578690000007325257525000000006C6961660000646500007325B9 -:2017E800656469566E69206F6F7270203E20206300000000706D6153676E696C74706F20F3 -:2017F0003E20202E00000000636E795374706F202020202E3E202020000000007074754F49 -:2017F8006F207475202E74703E2020200000000074736F506F72702D20202E633E202020F6 -:2018000000000000202E774661647075202065743E202020000000002D204B4F736C702006 -:201808007365722074726174000000007365523C73207465697474653E73676E000000008D -:20181000657365526F6420740000656E7661533C657320656E6974743E2073670000000035 -:2018180065766153000000646E616353656E696C000000736E616353656E696C7274732077 -:201820000000002E6E616353656E696C70797420000000656E616353656E696C2E64692023 -:201828000000000069726F48746E6F7A6D206C61006B7361747265566C61636973616D200F -:201830000000006B703034323838322F696C20703358656E00000000656E694C706972744C -:201838006D20656C0065646F703038343637352F696C20703258656E000000006930383456 -:201840003637352F6170206972747373000000006D2058540065646F6C616E417320676F3B -:2018480020636E790046504C6C616E417320676F20636E79006874566E79735668742063A4 -:201850006873657200646C6F4C502D487250204C6F432D65007473614C502D486F50204C80 -:20185800432D74737473616F00000000706D6153676E696C616870200000657370303834EA -:20186000206E6920706D61730072656C65646956504C206F00000046506250596E692072A0 -:201868006C6F4320006170536F74754176656C207463202E00006C726E657645000000006D -:201870000064644F69726F48746E6F7A00006C61747265566C6163690000000065746C4157 -:2018780074616E7200676E690066664F6F74754100000000756E614D00006C61494D444829 -:201880000000000000495644656E654720636972393A363100000000656E654720636972D1 -:2018880000333A3478303233203034326974706F00002E6D78363532203034326974706FFE -:2018900000002E6D484D33336D28207A00296E69484D30316D28207A002964654D352E327A -:2018980028207A482978616D0000000020565444703038340000000020414756783034368D -:2018A000003038342E63655231303620000000002E6365523930372000000000484D353982 -:2018A8004828207A2056544400294949484D35334828207A2056544400002949484D3631CA -:2018B0004528207A29565444000000007A484D39445328200029565400006E4F4452535505 -:2018B8000041544100000020008162840000200020002CE5E926F4FD38BC2000000000004E -:2018C00000816290000020002000323EF113FA043B61200000000000703034324D334C5FF6 -:2018C80005000030177000F001060618034810AA00410012703034324D334C5F03C00031B2 -:2018D000177000F0010604920336108000420012703034324D334C5F01400032177000F0AC -:2018D800010601AA031F103100440011703034324D334C5F01000033177000F0010601554D -:2018E0000319102700480011703034320000000002D00000177000F00106035A033C1041F9 -:2018E80000500012703838324D334C5F050000301388012001380618034810AA00410012A1 -:2018F000703838324D334C5F03C000311388012001380492033610800042001270383832ED -:2018F8004D334C5F01400032138800F0013801AA031F293100440011703838324D334C5FB5 -:2019000001000033138800F0013801550319292700480011703838320000000002D00000D0 -:201908001388012001380360033C104100500012703438330000000001F000001686018058 -:2019100001A70280033E1D3200500004783034360034383302800000157C018001EC032054 -:2019180002603F3000100010693038340000000002D00000176A00F0020D035A033C10417A -:2019200000700012703038340000000002D00000176A01E0020D035A063E1E3C0080001447 -:20192800783034360030383402800000177001E0020D0320026021300100001478303436FB -:201930000032313502800000177002000238032002601C30000000106936373500000000CE -:2019380002D000001388012002710360033C104100700012703637350000000002D0000035 -:201940001388024002710360063C2041000000047830303800303036032000001770025883 -:20194800027404200480175800000010703032370000000005000000176A02D002EE067219 -:20195000052814FF00000008303832313032377805000000177002D002EE0672052814DC70 -:2019580000000010343230313836377804000000177003000326054006881DA00000001024 -:2019600030383231323031780500003417700400042A0698037026F8000000103038303197 -:201968000000006907800000176A043804650898052C109400200008303830310000007073 -:2019700007800000176A043804650898052C24BC000000083032393138303178078000305D -:201978001770043804650898052C2494000000107665642F61746A2F61755F67305F74729D -:20198000000000007665642F6370652F6F635F716F72746E72656C6C615F305F6D5F6C7661 -:2019880000006D657665642F6C756E2F0000006C0000000000000000000000000000000015 -:20199000000000000000000000000000000000000000000000000000000000000000000037 -:2019980000000000000000000000000000000000000000000000000000000000000000002F -:2019A000000000000000000000000000000000000000000000000000000000000000000027 -:2019A80000000000000000000000000000000000000000000000000000000000000000001F -:2019B000000000000000000000000000000000000000000000000000000000000000000017 -:2019B80000000000000000000000000000000000000000000000000000000000000000000F -:2019C000000000000000000000000000000000000000000000000000000000000000000007 -:2019C8000000000000000000000000000000000000000000000000000000000000000000FF -:2019D0000000000000000000000000000000000000000000000000000000000000000000F7 -:2019D8000000000000000000000000000000000000000000000000000000000000000000EF -:2019E0000000000000000000000000000000000000000000000000000000000000000000E7 -:2019E8000000000000000000000000000000000000000000000000000000000000000000DF +:2004100021003FCC29403FCC040020740813FF00841DEF041025883A808002C310000326F1 +:20041800A44000378822D43A000001060023883A01000DC40813478001000E041027883A45 +:2004200008134780110003CC1007883A2008923A1004D1BA24E6B03A1080004C8080024577 +:20042800A0800037010000848C403FCC89000E2601002074211DEF04210002C32000021E58 +:20043000010063C424C0082E010000448900041E9908983A10BFFFCC213FFF8420800536AF +:2004380018C0080C180004269D40322805800044000003061027883A9D406428002D883A1C +:2004400080C002C390803FCC1800101E10001E26A8001D26D0A210030100008410C03FCC12 +:2004480018C0201C18FFE00420C0060E0080207400C00044109DEF04D022100510C002C53B +:2004500000000C061080004400000D0610000126A8000D1ED0A2100300FFFF0411003FCCE6 +:200458002100201C213FE00420C0040ED0221005800002C5044001040000050610BFFFC4BD +:20046000D0A2100500000106D02210050023883A01000E440813478001000E840480207422 +:200468001029883A949DFD4408134780A8003D2610C003CC1806923A808000171D28B03A4B +:2004700000C0207418DDEF049880051E18800117A080031E18C00203B0803FCC10C00226F4 +:20047800D02210C500000C06D0E210C30080004419003FCC208008261887883AD0E210C568 +:2004800018C03FCC1880041E88C03FCC008000841880012E1023883A90C0010380800483D1 +:200488001880071E00802074109DFD4410C0014300802074109DEF04108004C318800426B4 +:2004900088C03FCC008000841880012E1023883A90C00243808005C318800F2600802074FB +:20049800109DEF0411000287014007040814270000C0207418D9290410C5883A1080008B57 +:2004A0001080600C1000042688C03FCC008000841880012E1023883A84C0001585000115FF +:2004A80085800205914000038100038300C020740080207418DDFD44109DEF042900151EB3 +:2004B00019400043110003C32900121E194000831100040329000F1E194000C311000443A2 +:2004B80029000C1E19400183110005032900091E194001C3110005432900061E1940050367 +:2004C000110008832900031E18C00543108008C31880032688803FCC1000011E0440004430 +:2004C80091000283808006032080012608139DC09100030380800683208001260813ADC047 +:2004D00091000343808006C320800126081366C0914003838080070328800226D1221043ED +:2004D8000813C00091000483808008032080071E00802074109DFD4410C004C30080207494 +:2004E000109DEF041080084318800226914004C308136380910002C38080064320800626C0 +:2004E800014006040814270001002074211917042089883A081382809100040380800783D2 +:2004F000208001260810E700910003C380800743208001260813988091000443808007C3E9 +:2004F80020800226D16210430813B2800100207401402074211DF284295DFD44018005C41A +:20050000081429808805883ADFC00717DD800617DD400517DD000417DCC00317DC80021734 +:20050800DC400117DC000017DEC00804F800283ADEFFF804DC00001504002074DCC0031592 +:20051000DFC00715DD800615DD400515DD000415DC800215DC400115841DEF0485800287A4 +:200518000140070404C02074B009883A081427009CD92304108006049885883A10C0008BF1 +:20052000190003CC2000092680800443048000848480030510001226808003C301000044D6 +:200528001100101E1025883A00000E061900040C2000051E81000883200006261080000312 +:200530001080010C1000032600800044808003050000010680000305848004430000010628 +:200538000025883A80800383010000841100051E00802074109DEF04144003C38C4000449F +:2005400000000A06010000441100051E1880080C1000051E1C4007CC8822C03A0000030657 +:200548000023883A00000106044000C4808008C31000062618C0080C180004260080207456 +:20055000109DEF04100003050023883A85000303808004C3B009883AA02897BA1004973A23 +:200558000140070405402074A0A8B03A0814270080C005039885883A10800503180695BABD +:20056000B009883AA0A8B03A01400704A0E8B03A081427009885883A1080028B00C020B43D +:20056800AD5DEF04100492BA18C51C04A084B03A1880003580C00403808005438580028721 +:200570001806963A100494BAB009883A0140070418A0B03A081427001029883A9885883A95 +:2005780010C00543B009883A0140070480E0B03A081427009885883A10C0030B9024973AB5 +:20058000882297BA180691FA008020B41085180480C6B03A1CA4B03A9462B03A144000356F +:200588009D27883A988006031080010C10000226A900088300000E06B009883A01400704C8 +:200590000814270000C0207418D923041885883A1080068B1080080C10000426A9400583CD +:20059800A90008C3280B003A000002060009883A000B883ADFC00717DD800617DD40051752 +:2005A000DD000417DCC00317DC800217DC400117DC000017DEC00804081094C1DEFFF50404 +:2005A800DC00051504002074841DEF04DC4006158440011700800044DFC00A15DD00091581 +:2005B000DCC00815DC800715D0A210C588001526848000179000132601006734213F3004DC +:2005B800880B883A081426001027883A8080020301283BF4212EC004900B883A100003268D +:2005C000081426001009883A00000206081426001089883A880B883A081426001025883ACB +:2005C800000002060485DC0404CF550401000EC40813478001000F040813478080C0034345 +:2005D000008020741097920418C7883A18C7883A10C7883A8080020319C0001780C0001793 +:2005D8001000021E00801A440000010600801C040100207401802074D8C0001531975A04D1 +:2005E000211DE64401400444D880011508142C009009883A01401904081426009809883AF6 +:2005E8000140FA041023883A081426009809883A0140FA041029883A081426801009883A43 +:2005F00001400284081426009009883A01401904D8800015DC400115081426800100207433 +:2005F80001802074D8800215211DF9040140044431975C04A00F883A08142C0000802074A6 +:20060000109DCC84108000031000011E0810DEC0808004838100001781400203D880001513 +:20060800808004C3D1E21103880D883AD8800115808005C304802074949DEF04D88002150C +:200610000814060011003FCC2100201C213FE00400FFFFC420C0011E00800104908002850E +:20061800848002870140070404C020749009883A0814270000C0207418D9290410C5883AEA +:2006200011400003D0E21103808002039CDDEF0428CA703AD1621045818007C329403FCCCC +:2006280099C000171000011E380ED07A808008038C403FCC9009883AD8800115808008438D +:20063000DC400015D8800215808006C3D880031580800703D88004150813CF408100078321 +:200638000810E700DFC00A17DD000917DCC00817DC800717DC400617DC000517DEC00B04D3 +:2006400008114301DEFFFD04DC000015040020B4DC400115DFC00215044000C484052404F5 +:200648008440003580000035008020B410851C0410000035008020B410851804100000354C +:200650000109C4040814C54084400035010000F4210350040814C540010020B4014067345F +:20065800018001B421050804297F30043186A004081554C00812F9C0081331001000192644 +:200660000009883A0813478000C03FC410C017260813A280010000840810210010803FCC67 +:2006680000C004C410C0131E0810300008109E401021883A1000111E0811EB000812E50076 +:20067000008020B41085200410800037108000AC1000011E0811F0000009883A0810E0005F +:2006780000000706043FFF8400000506043FFF4400000306043FFF0400000106043FFFC4A2 +:200680008005883ADFC00217DC400117DC000017DEC00304F800283ADEFFFF04DFC00015A1 +:20068800081169C008135EC000802074109DFD4411000203DFC00017DEC001040810E0016D +:20069000DEFFF404DFC00B15DF000A15DDC00915DD800815DD400715DD000615DCC005159C +:20069800DC800415DC400315DC0002150811904010001D160080120401002074018020743A +:2006A000000F883A211DE6440140044431976204D880001508142C0001002074014020742B +:2006A800211DF90429576E0401800444081429800810DEC000802074109DFD4410C0058367 +:2006B00005C0207407002074D0E210850027883A0021883A0023883A0025883ABDDDCB04EE +:2006B800E71DCC04000047060100207401802074211DE6440140044431976704100F883A52 +:2006C00008142C000100207401402074211DF904295837040180044408143BC00810DEC0DB +:2006C800003FFF0610FFFF8418C03FCC010000C420C0432EA8C0000310C041260500207408 +:2006D00008120400A51DCC84A0800003100002260009883A08129E4005802074B59DEF045E +:2006D800D1221083B08003432080011ED0221085D12210830140020420BFFFC410803FCCB6 +:2006E0002880332E20803FCC10006A1E00C0207418DDFD4419000203B14005830080207479 +:2006E800109DEF0421400826D88001150810E00001002074211DFD44D880011721000203B3 +:2006F000100001151100058598803FCC1000811E00802074109DCB0410C0001700802074CC +:2006F800109DC80410C0001500802074109DCC041080000301004B04D0221085A88000055C +:200700000814C54000C020B418C52004188000370100207410FFFFCC211DCA0420C00015E4 +:200708000086303A1004D63A18C000EC05402074B8C00015E0800005AD5DC904103FB91E31 +:2007100000802074109DCA0410000015003FBB06100490BA0140207429471A041145883A3C +:20071800108000171000683A00811C8C00811C9C00811CB400811CC400811CD400811CE85E +:2007200000811CF800811D0800811D20008003C4D0A211050021883A00000306008003C4BE +:20072800D0A2110504000084044000440025883A00002006008003C4D0A21105040000C475 +:20073000003FF906008003C4D0A21105040000C400000306008003C4D0A211050400008474 +:200738000023883A003FF10600800404D0A211050400004400000D06008003C4D0A211054C +:200740000021883A0000090604000084008003C4D0A211058023883A8025883A0000050679 +:20074800008003C4D0A21105040000C4044000848825883AB1400783B1000345B00002C5D8 +:2007500028803FCC0180004489003FCC3080042E00800144114BC83A29403FCC00000106FD +:20075800014000C408133FC00813560091003FCC81403FCC0813EA80B080034301002074F9 +:20076000211792041085883A1085883A2085883A1140001701002074211DE6440180044468 +:20076800B000011508143BC00100207401402074211DF90429576B040180044408143BC020 +:20077000A08000031000011E0810DEC004C00044003F6E0691003FCC81403FCC0810FF80A7 +:2007780010803FCC0100008411002926010001041100032601000044113F751E000028064B +:20078000B0C002C300802074109DEF041800072600C020B418C5240418800037108001141E +:20078800188000350811A180003F690610000115D880011508135600D880011700C02074D3 +:2007900018D792041080034301002074211DE6441085883A1085883A1885883A114000177C +:200798000180044408143BC00100207401402074211DF90429576B040180044408143BC0ED +:2007A000A0800003103F521E0810DEC0003F5006B08002C3103F4E26081169C0003F4C0681 +:2007A800B08002C3103F4A2608114300003F480600C0207418DDFD4401402074DEFFFF0455 +:2007B0001809883A29579C04018005C4DFC00015081429801007883A008020B4108520047D +:2007B800108000371004D4BA1080004C188002050005883ADFC00017DEC00104F800283AC3 +:2007C000DEFFF804DD400515DD000415DCC00315050020B404C0207405402074DC800215E2 +:2007C800DC000015DFC00715DD800615DC4001150021883A0025883A9CD7E004A5052004CC +:2007D000AD59FB040100207401402074211DE2042957A2040180044408143BC08405883A25 +:2007D80014C5883A1140001701002074211DEA840180044408143BC00810DD800023883A83 +:2007E000856D883AA080003710BFFFCCD0A21415100018261480172688000A1E0100207455 +:2007E80001402074211DE2042957A40401800444B080000D08143BC00810DD8004400044B6 +:2007F00000000C06B0C0000B10C009260100207401402074211DE2042957A60401800444DC +:2007F80008143BC00810DD800023883A000001060440008400800084D4A2141788800326CB +:200800000109C4040814C540003FDE068400008400800C0480BFCB1EDFC00717DD800617CB +:20080800DD400517DD000417DCC00317DC800217DC400117DC000017DEC00804F800283A49 +:20081000D1221417DEFFFE0401402074DFC001150005883A2959FB04018005C41087883A56 +:200818001947883A18C0000B20C003261180942610800044003FF90600C005C4188090366E +:20082000100490BA00C0207418C8260410C5883A108000171000683A008120F80081211CB5 +:200828000081212C00812100008121240081213400812114008122C00081213C00812144C7 +:200830000081214C008122C0008122C0008122C0008122C0008122C0008122C0008121784E +:20083800008121F000812208008122340081228000812260008122AC00C00044000001060C +:2008400000C0008400802074109DC68410C0000500006B0600C000C4003FFA0600C001047B +:20084800003FF80600C00144003FF60600C00184003FF40600C001C4003FF20600C0020413 +:20085000003FF00600C00244003FEE0600C0207418DDCC84188000031005003A18800005FA +:20085800100003260100004408129E40000054060810DEC00000520600802074109DEF04EE +:2008600011000287014007040814270001C02074010020740180207439D92304211DE204F3 +:20086800014004443197AA04388F883A08142C00008020B41085140411C0003710800037D0 +:20087000010020741005D43A01802074211DEA84108000CC014004443197AD0439FFFFCC8E +:20087800D880001508142C000810DD800000340600C020B418C52404188000371080009C68 +:200880001880003500002E0600C0207418DDFD44188000030140004411003FCC2900023630 +:200888001145883A000001060005883A188000050000230600C0207418DDFD44188000433F +:200890000140004411003FCC290002361145883A000001060005883A188000450000180665 +:2008980000802074109DFD4410C0008319003FCC2000012618FFFFC410C00085000010063B +:2008A00000C0207418DDFD44188000830140038411003FCC29000236108000440000010673 +:2008A800008003C4188000850000050600C0207418DDFD44188001031005003A18800105AE +:2008B000D0A2121710001B1ED0E215171880006C10000C2600802074109DEF041080034396 +:2008B8000100024411403FCC2900022610800044000001060080004401002074211DC68470 +:2008C0002080000518C000AC18000A2600C0207418DDFD44188000030140004411003FCCE1 +:2008C800290002361145883A000001060005883A18800005DFC00117DEC00204F800283A77 +:2008D000DEFF6C04D9000304DC008C15DFC09315DD809215DD409115DD009015DCC08F158E +:2008D800DC808E15DC408D150810D5401021883A1000821ED9008304D9400304018001046D +:2008E00008143BC001402074D90083042957F804018001040814378010000B260100207401 +:2008E80001802074211DE204014004443197FA0408142C0000802074109DEA8410000005DC +:2008F0000400004400006D06D8800403DC808484D9400484D8808405D88004439009883AEE +:2008F80001800204D880844508143BC0D880068BD8008645D8808B0DD880070BD8808B8DCB +:20090000D9408B17280BC232D880078BD9408715D8808B0DD880080BD8808B8DD8808B1791 +:200908001005C232D8808815D880088BD8808B0DD880090BD8808B8DD8808B171005C23217 +:20091000D8808915D8808217D8808B151005C232D8808A1500C0788428BFF98418800B2EF7 +:200918000100207401802074211DE204014004443197FE0408142C0000802074109DEA8427 +:2009200010000005043FFFC400003C06D90003040180004408154D80D8C08A1701002074FD +:20092800211DE2040140044410C00726018020743198020408142C0000802074109DEA84AA +:200930001000000500002C06018020743198060408142C00D9C0881701002074018020744E +:20093800211DEA840140044431980A0408142C000810DD80DCC08817DD4089170021883AFB +:200940000005883A0580800484C00F2E9C23C83AB440012E0440800485008004A009883A26 +:20094800880B883AD98003040810C8001000121E800D003AD9000304880B883A08154D80D4 +:20095000A021883A003FF00615405D260100207401802074211DE2040140044431980D04C6 +:2009580008142C0000802074109DEA8410000005043FFF4400000106043FFF8404C000C418 +:200960000810DD80010003F4211090040814C54000BFCE448080480E04C0470E010020744F +:2009680001802074211DE204014004443198200408142C009CFFFFC40100207401402074B0 +:20097000211DEA84295818040180044408143BC00810DD800021883A058080040500400494 +:20097800DC40881784401C2E8C23C83AB440012E0440800485408004A809883A880B883AE9 +:20098000D98003040810C8001000291E8025D23A880B883AA440012E01404004D900030442 +:20098800900D883A0810A8401021883A103FD41EA4400236A821883A003FE906D9004304C7 +:20099000897FC004918000440810A8401021883A103FF826003FCA0601002074014020744D +:20099800211DE20429581C040180044408143BC00100207401402074211DEA8429581804E7 +:2009A0000180044408143BC00810DD80D9408817D98089170009883AD9C003040810BAC034 +:2009A8001021883A103FB61E0005883A00003B0600BFCE040000390600BFFFC40000370682 +:2009B000D8808487D9C08403D8C0844310000326008020741097DB04000002060080207456 +:2009B800109837040100207401802074D8C00015211DE2040140044431981204D8800115EB +:2009C000DC80021508142C000100207401402074211DEA842958240401800444081429800F +:2009C800044020B4040020740810DD808C4520048419FB048880003780C0000B10BFFFCC35 +:2009D00010C0052680C0008B10C013260109C4040814C540003FF7060813560000C020B404 +:2009D80018C524041880003710800114188000350109C4040814C54001002074014020745C +:2009E000211DE204295815040180044408143BC004C000C4003F880600800044DFC09317F7 +:2009E800DD809217DD409117DD009017DCC08F17DC808E17DC408D17DC008C17DEC09404E8 +:2009F000F800283ADEFFFF0421003FCC01411944DFC00015081427001009883A01401904B2 +:2009F80008141B000100207401802074211DEA840140044431982904100F883ADFC000173C +:200A0000DEC0010408142C0121C03FCC0180207401002074211DEA840140044431982B0427 +:200A080008142C01014003F4DEFFFC0421003FCC29509004DFC00315DC400215DC0001155B +:200A1000081427001009883A015A5E04081426001009883A0140FA041021883A081426005A +:200A18008009883A0140FA041023883A081426801009883A01400284081426000100207404 +:200A200001802074D8800015211DEA840140044431982E04880F883A08142C00DFC00317AA +:200A2800DC400217DC000117DEC00404F800283A014003F4DEFFFC0421003FCC2950900437 +:200A3000DFC00315DC400215DC000115081427001009883A01465904081426001009883AEB +:200A38000140FA041021883A081426008009883A0140FA041023883A081426801009883A0E +:200A400001400284081426000100207401802074D8800015211DEA840140044431982E0446 +:200A4800880F883A08142C00DFC00317DC400217DC000117DEC00404F800283ADEFFFF042B +:200A500021003FCC014119C4DFC00015081427001009883A0140190408141B00010020743F +:200A580001802074211DEA840140044431983104100F883ADFC00017DEC0010408142C01B3 +:200A600021C03FCC0180207401002074211DEA84014004443198330408142C0121003FCC36 +:200A6800DEFFFF042100004401409C44DFC00015081427001009883A0140190408141B00A1 +:200A70000100207401802074211DEA840140044431983604100F883ADFC00017DEC001044A +:200A780008142C0100802074109DCA0411400017DEFFF70401802074DC000015DFC0081584 +:200A8000DDC00715DD800615DD400515DD000415DCC00315DC800215DC400115040002C4D5 +:200A88003199FB0400C004448405883A1185883A1080000B288005268400004480FFFA1E0D +:200A900021003FCC2000C0260021883AD562164304C020749CDA0704AC803FCC902290FA55 +:200A9800014005049C45883A1500010315800017A5C03FCCB809883A08142700B0C00117CE +:200AA000817FFD4429403FCC1885883A0100014410C0010321406336280A90BA01002074FD +:200AA800210AAC04290B883A290000172000683A00812B3800812AFC00812AC800812AE0D2 +:200AB00000812BB400812BB4B800011EB5000003A53FFFC49C63883A8D0001050000510685 +:200AB800B14000038C400104B90000449C63883A081420408880000500004A06900002260A +:200AC000A8BFFFC400002906D0221685DFC00817DDC00717DD800617DD400517DD0004170C +:200AC800DCC00317DC800217DC400117DC000017DEC009040810DEC118C03FCC01000084F2 +:200AD00019000626010000C41900361E10800217103EE83A1023883A0000330694800044F0 +:200AD800902490FA108002179C87883A18C000171880042600C0207418DA07041C87883ACB +:200AE000180001059C63883A89000103B50001170140050408142700A085883A10800217A0 +:200AE8009CA5883A90800015A8800044D0A2164500001C0618C03FCC0100004420C0193614 +:200AF0001180021782003FCC01C003C41100030310C00343314000031080038341C0081E49 +:200AF80029C03FCC1A003FCC41C0022E28BFFFC400000B0621003FCC2000091E0000070659 +:200B000029C03FCC12003FCC3A00022E288000440000030621003FCC200001261805883A13 +:200B0800308000050023883AD0A2164301400504100490FA9887883A190001031D0000174E +:200B1000081427001025883AA080011701002074211DE2041485883A11400017018004440E +:200B180008143BC0A0800117010000441485883A10C00103190012261800052601000084E1 +:200B200019002326010000C4190012260000230610C00217110004170180044418C000035B +:200B280018C5883A1085883A2085883A1140001701002074211DEA8408143BC00000170673 +:200B300010C002171080041719000003103EE83A0000120684003FCC00C002C480C00C1EEE +:200B38008800021E11C003170000020601C0207439D838040100207401802074211DEA840A +:200B40000140044431983A0408142C000000030600802074109DEA8410000005DFC00817B2 +:200B4800DDC00717DD800617DD400517DD000417DCC00317DC800217DC400117DC000017D5 +:200B5000DEC009040810DD81DFC00817DDC00717DD800617DD400517DD000417DCC003178A +:200B5800DC800217DC400117DC000017DEC00904F800283A009114B4DEFFBF041094D54426 +:200B6000D88000150080107410951044D880011500801204D8800245D809883A00800084C1 +:200B6800014002C401840004DFC04015D8000205D88002850810A8401000022600BFFFC471 +:200B7000000020060140207400800D04D90001042959FB0401800C04D8000005D88000456F +:200B7800D80000C5D800008508142980D809883A01400D04018400440810A840103FEF1E84 +:200B80000080004401402074D8800005D9000104008006C4295DFD44018005C4D880004589 +:200B8800D80000C5D800008508142980D809883A014006C4018400840810A8401004C03AC9 +:200B90000085C83ADFC04017DEC04104F800283ADEFFB50401000434014002C4D80D883A0E +:200B9800DFC04A15DD804915DD404815DD004715DCC04615DC804515DC404415DC0043157B +:200BA0000810A10010000226043FFFC400003806D9004004D80B883A0180020408143BC0A0 +:200BA80001402074D90040042959140401800204081437801021883A10002C1ED88002039D +:200BB000DD4002830023883AD8804205D8800243DD40428505800D04D8804245A8803FCCD6 +:200BB8008880230E8CC400449826923A01400084D80D883A9809883A0810A100103FE21EEF +:200BC000DD0000439809883AD80D883AA00B883ADC8000030810A100103FDB1E90803FCC03 +:200BC8001000032600C0004410C0072600000D06A5800C1E010020742119FB04D940010485 +:200BD00001800C0400000606008006C4A080051E01002074211DFD44D9400104018005C45F +:200BD800081429808C400044003FDC06040000448005883ADFC04A17DD804917DD4048173F +:200BE000DD004717DCC04617DC804517DC404417DC004317DEC04B04F800283ADEFFFA043A +:200BE800DC800215048020B4DFC00515DD000415DCC00315DC400115DC000015948510046F +:200BF00090000035044005048809883A040020B4840508040814C5408009883A01400E0454 +:200BF800081568008809883A0814C5408009883A01400E44081568008809883A0814C5400D +:200C0000880B883A8009883A081568008809883A0814C5408009883A01401C4408156800CA +:200C08008809883A0814C5408009883A01401784081568008809883A0814C5408009883AED +:200C100001401B44081568008809883A0814C5408009883A01400304081568008809883AC0 +:200C18000814C54004C00044980B883A8009883A081568000500C804A009883A0814C54001 +:200C20008009883A01400184081568008809883A0814C5408009883A014000840815680015 +:200C2800A009883A0814C54094C00035DFC00517DD000417DCC00317DC800217DC40011785 +:200C3000DC000017DEC00604F800283ADEFFFA04DC000015040020B4DCC00315DC800215E4 +:200C3800DFC00515DD000415DC4001152027883A2825883A8405100480000035010020B47C +:200C40000140004421050804081568000100C8040814C54000800084808000359809883ACE +:200C480001400404081440801007883A10803FCC1000061E014020749809883A29591604EB +:200C50000180044408143BC000C000449829883A1C403FCCA1400003010020B421050804CB +:200C580008156800010005040814C540A5000044A4C5C83A10803FCC147FF6368000003569 +:200C6000010020B4014030042105080408156800010005040814C54000800084808000350F +:200C68009009883A01400404081440801007883A10803FCC1000061E014020749009883A14 +:200C7000295916040180044408143BC000C000449027883A1C403FCC99400003010020B453 +:200C78002105080408156800010005040814C5409CC000449C85C83A10803FCC147FF6365D +:200C8000008000C480800035DFC00517DD000417DCC00317DC800217DC400117DC000017D6 +:200C8800DEC00604F800283ADEFFFB04DC000015040020B484050804DC80021504800B040A +:200C9000DCC00315900B883A2027883A000D883A8009883ADFC00415DC40011508155C00AD +:200C9800044000448009883A99403FCC880D883A081562408009883A900B883A880D883A70 +:200CA00008155C008009883A880B883ADFC00417DCC00317DC800217DC400117DC0000170F +:200CA800DEC0050408155F81DEFFFC04DC000015040020B484050804DC800215DC400115A8 +:200CB0002025883A2823883A8009883A01400B04000D883ADFC0031508155C008009883A2B +:200CB80091403FCC000D883A081562408009883A89403FCC01800044DFC00317DC800217A0 +:200CC000DC400117DC000017DEC0040408156241010020B4DEFFFD04000D883A000B883A38 +:200CC80021050804DFC00215DC400115DC00001508156240010002840814C540040006048C +:200CD000044000448809883A800B883A08132A80800B883A0100008408132A80800B883A33 +:200CD800010000C408132A808809883A081322801405003ADFC00217DC400117DC00001730 +:200CE000DEC00304F800283ADEFFFC04DC4001152023883ADC8002158C403FCC04800044CF +:200CE8009009883A882290FADFC00315DC00001508132280043FF9C41404703A1460B03AD8 +:200CF00084003FCC9009883A800B883A08132A80800B883A0100008408132A80010000C48C +:200CF800800B883ADFC00317DC800217DC400117DC000017DEC0040408132A81DEFFFD04F0 +:200D0000DC4001152023883A01000044DC000015DFC00215043FF604081322801420703AD8 +:200D080089003FCC008000842080021E84000054000003062008917A2080011480A0B03AA0 +:200D100084003FCC800B883A0100004408132A80800B883A0100008408132A80010000C481 +:200D1800800B883ADFC00217DC400117DC000017DEC0030408132A81DEFFFB04DC00001557 +:200D2000040020B484050804DC80021504801704DCC00315900B883A2027883A000D883A4B +:200D28008009883ADFC00415DC40011508155C00044000448009883A99403FCC880D883AEF +:200D3000081562408009883A900B883A880D883A08155C008009883A880B883ADFC0041712 +:200D3800DCC00317DC800217DC400117DC000017DEC0050408155F81DEFFFC04DC000015D7 +:200D4000040020B484050804DC800215DC4001152025883A2823883A8009883A01401704C6 +:200D4800000D883ADFC0031508155C008009883A91403FCC000D883A081562408009883A8C +:200D500089403FCC01800044DFC00317DC800217DC400117DC000017DEC00404081562412F +:200D5800DEFFFC04DC000015040008848009883ADFC00315DC800215DC4001150813478094 +:200D6000014004C4010005841023883A0489C40408134F809009883A0814C540014000C42B +:200D6800010005C408134F809009883A0814C54000FFE00488CAB03A8009883A29403FCC5D +:200D700008134F800100FA040814C5408009883A89401FCCDFC00317DC800217DC400117F8 +:200D7800DC000017DEC0040408134F81DEFFFE04DC0000150409C4048009883ADFC0011532 +:200D80000814C540014004440100058408134F808009883A0814C540010005C4014000843A +:200D880008134F808009883ADFC00117DC000017DEC002040814C541DEFFFE04DC000015D6 +:200D90002821883A21403FCC01000484DFC0011508134F80010004C481403FCCDFC0011758 +:200D9800DC000017DEC0020408134F8121403FCC0100044408134F81DEFFFA04DC0000154D +:200DA0002021883A01000104DD000415DCC00315DC8002153829883ADFC00515DC400115FF +:200DA8002827883A3025883A0813478000FFFE04A5003FCC10C4703AA00006261080005442 +:200DB00011403FCC0100010408134F808423883A0000040611403FCC010001048023883A9D +:200DB80008134F808C7FFFCC880AD13A0100004429403FCC08134F80880A913A0100008439 +:200DC00029403C0C08134F80993FFFCC91403FCC081427001009883A880B883A0814270043 +:200DC8001009883A0140FA040814260000E327D41880092E00C0007418C45BC41880082E05 +:200DD00000C000B418C3D5C41885403A00C000C41885C83A000003060005883A000001060A +:200DD8000080004414003FCCD0A016041405883A1100000301400A04081427008808D07A33 +:200DE000880B883A1109883A08141B00100B883A00C001C410803FCC1880012E180B883AE2 +:200DE80029403FCC800491BA280A90FA010000C4288AB03A29403FCCDFC00517DD00041764 +:200DF000DCC00317DC800217DC400117DC000017DEC0060408134F81DEFFFE04DC0000152E +:200DF8002021883A01000684DFC0011508134780017FFE8484003FCC00C000441144703A22 +:200E000080C0021E10800094000001061080029411403FCC01000684DFC00117DC00001790 +:200E0800DEC0020408134F812140028BDEFFFE04DC000015280AD23A2021883A010012C465 +:200E1000DFC0011508134F80814002830100128408134F808140030B01001344280AD23AF7 +:200E180008134F80814003030100130408134F808140038B010013C4280AD23A08134F80B8 +:200E2000814003830100138408134F808140010B01001444280AD23A08134F8081400103D6 +:200E28000100140408134F808140018B010014C4280AD23A08134F8081400183010014847B +:200E300008134F808140020B01001544280AD23A08134F80814002030100150408134F809E +:200E38008140040B010015C4280AD23A08134F80814004030100158408134F808140048B2C +:200E400001001644280AD23A08134F80814004830100160408134F808140050B010016C416 +:200E4800280AD23A08134F808140050301001684DFC00117DC000017DEC0020408134F81C5 +:200E5000DEFFFD04DC00001504000FC4DC4001152023883A8009883ADFC0021508134780C2 +:200E580000FFFC0410C4703A888AB03A8009883A29403FCCDFC00217DC400117DC00001763 +:200E6000DEC0030408134F81DEFFFD04DC40011504400684DC0000152021883A8809883ABD +:200E6800DFC002150813478081403FCC00C000C4194BC83A280A91BA10800FCC8809883AE1 +:200E7000114AB03A29403FCCDFC00217DC400117DC000017DEC0030408134F81DEFFFD045C +:200E7800DC0000152021883ADC40011584003FCC044001048809883A802090FADFC0021529 +:200E800008134780108001CC140AB03A8809883A29403FCCDFC00217DC400117DC00001765 +:200E8800DEC0030408134F81DEFFFD04DFC00215DC400115DC000015081356000009883AC7 +:200E900008137D80000B883A01000D4408134F800100207421191704081382800100040411 +:200E980008139DC00009883A081394000009883A081398800140018401000F4408134F8046 +:200EA00001001104081366C0010006C40140220408134F8004400204880B883A0100070414 +:200EA80008134F8004000684800B883A0100028408134F80800B883A0100024408134F8076 +:200EB0008809883A800B883ADFC00217DC400117DC000017DEC0030408134F81DEFFFD0435 +:200EB800DC0000152021883ADC40011584003FCC044004048809883A802090FADFC00215E6 +:200EC00008134780108001CC140AB03A8809883A29403FCCDFC00217DC400117DC00001725 +:200EC800DEC0030408134F8121003FCC20002D26DEFFFE04DC000015010009842821883A72 +:200ED00001402004DFC0011508134F80817FFFC429403FCC008003C411402536280A90BA58 +:200ED80000802074108EDD04288B883A288000171000683A00813BB400813BC000813BF0E9 +:200EE00000813BC000813BF000813BF000813BF000813BD800813BF000813BF000813BF0DA +:200EE80000813BF000813BF000813BF000813BF000813BC001000C440140024400000206DE +:200EF00001000C4401400604DFC00117DC000017DEC002040000050601000C4401401684C1 +:200EF800003FF90601000984000B883A08134F81DFC00117DC000017DEC00204F800283AAE +:200F0000DEFFFE04213FFFC4DFC00115DC00001521003FCC008003C411003236200890BACB +:200F080000802074108F0D042089883A208000171000683A00813CBC00813CBC00813CE49E +:200F100000813CA400813CE400813CE400813CE400813C8C00813CE400813CE400813CE451 +:200F180000813CE400813CE400813CE400813CE400813C74010008840140030408134F8045 +:200F200001000D04014000C400001206010008840140020408134F8001000D0401400384EA +:200F280000000C06010008840140020408134F8001000D0401401104000006062821883A5A +:200F3000010008840140020408134F8001000D0481403FCCDFC00117DC000017DEC00204B7 +:200F380008134F81DFC00117DC000017DEC00204F800283ADEFFF604DC4001152C403FCC86 +:200F4000297FFFC4DF000815DDC00715DD800615DD400515DD000415DCC00315DC800215A5 +:200F4800DC000015DFC0091529403FCC008003C42021883A3039883A382B883ADD000A17CF +:200F5000DCC00B17DC800C17DDC00D17DD800E1711402836280A90BA00802074108F5B04C4 +:200F5800288B883A288000171000683A00813DAC00813DC400813DF400813DC400813DF4C1 +:200F600000813DF400813DF400813DDC00813DF400813DF400813DF400813DF400813DF4F9 +:200F680000813DF400813DF400813DC4010001440140008408134F8001000184200B883A1B +:200F700000000B06010001440140018408134F80010001840140040400000506010001443A +:200F780001400C8408134F80010001840140080408134F80880B883AE1003FCC0813B28053 +:200F8000B9003FCC081366C084003FCC8809883AB1403FCC8400201C0813C000843FE0042C +:200F88008009883A0140070404402074081427008C5923048887883A19C0068B1900040B28 +:200F9000A1803FCC39C0100C380EC03AA97FFFCC0813678099003FCC91403FCC081363804D +:200F98008009883A01400704081427008885883A11400583010001C4DFC00917DF00081734 +:200FA000DDC00717DD800617DD400517DD000417DCC00317DC800217DC400117DC00001779 +:200FA800DEC00A0408134F81DEFFFC0429BFFF84DC400115DC000015DFC00315DC800215FD +:200FB00031803FCC0080004424403FCC2C003FCC11800436008000848880071E8805883AB0 +:200FB800000008068800061E8005003A00C000841885C83A000003060005883A00000106E6 +:200FC00000800084880A913A8C47883A10803FCC18CD883A100491BA2986B03A20CAB03A0D +:200FC800288AB03A0100064429403FCC0480008408134F809400062E008000C48080071E8B +:200FD000010004040140174408134F8000001106010004040140160408134F808C800D1ED6 +:200FD8000080004414000B36010003848080021E0140148400000106014014C408134F8055 +:200FE0000100FA040814C540010005040813478000000C06014016C40100038408134F8046 +:200FE8000100FA040814C5400100050408134780008000C48080031E010006040140040424 +:200FF0000000020601000604000B883A08134F8001000D84000B883ADFC00317DC8002178A +:200FF800DC400117DC000017DEC0040408134F81DEFFFD04DC4001152023883A0100050402 +:20100000DC000015DFC002152821883A081347808C403FCC00C0008488C00A1E81403FCCE5 +:2010080000C0004428C0031E1080240C10802420000006062800031E1080220C1080222042 +:20101000000002061004D07A1080004CDFC00217DC400117DC000017DEC00304F800283AA0 +:20101800DEFFFE04DAC0030302800044DC00001552D6983ADA400403DC00020302002074F0 +:20102000DC400115421929040005883A037FFEC40380008403FFFBC429403FCC0300068422 +:201028004180008B40C000033440200C880005264800021E78C6703A000009064B80081EB6 +:20103000000006063440400C880005264800021E68C6703A000002064A80011E0007883A27 +:2010380019C6703A18C03FCC18000C2630C0080C1807003A28C0091E40FFFE8B18C007844B +:2010400019000636318003CC8000032630FFFFCC180001261AC007263000062610C0004462 +:201048001805883A18C03FCC420007041B3FDC1E00BFFFC4DC400117DC000017DEC00204D8 +:20105000F800283A2900182E280017160080080400C0004400000206100011262800051640 +:20105800294B883A10BFFFC418C7883A293FFA3618000B260005883A214002362149C83A62 +:2010600010C4B03A1806D07A280AD07A183FFA1E3000011EF800283A2005883AF800283A75 +:201068000005883A003FFA0600C00044003FF106DEFFFE04DFC00115DC00001520000B1662 +:201070000021883A28000C16000D883A081414400407C83A1884F03A1405883ADFC001178F +:20107800DC000017DEC00204F800283A0109C83A04000044283FF40E014BC83A8400005C7C +:20108000003FF106DEFFFD04DFC00215DC400115DC00001520000C160023883A0021883A59 +:2010880028000D1601800044081414401404F03A8885883ADFC00217DC400117DC000017D8 +:20109000DEC00304F800283A0109C83A04400044043FFFC4283FF30E014BC83A003FF106BB +:20109800000D883A0814144101800044081414410005883A2000072620C0004C2008D07A10 +:2010A000180001261145883A294B883A203FFA1EF800283AF800283A2005883A0007883AC8 +:2010A8001980062628C9883A21C0000310C9883A18C0004421C00005003FF906F800283A97 +:2010B000DEFFF504DFC00915DC400815DC000715D9C00A1500802074109BCD0414400017A9 +:2010B8002800040E008022C48880001500BFFFC400001C0600C08204D8C0000DD9000415DA +:2010C000D90002152800022628FFFFC4000001060007883AD8C00515D8C00315110000178C +:2010C80000FFFFC4D8C0008D00C0207418D281042821883AD9C00A04D80B883AD8C0011559 +:2010D000D80006150814498000FFFFC410C0020E00C022C488C0001580000226D8C0041728 +:2010D80018000005DFC00917DC400817DC000717DEC00B04F800283A30000E2631BFFFC4C9 +:2010E000218D883A2080000728C0000710C0011E2180041E2080000328C0000310C5C83AD3 +:2010E800F800283A103FFB262100004429400044003FF4060005883AF800283A2005883ACB +:2010F0002007883A1809883A3000092629C0000331BFFFC418C0004421C0000539C03FCC10 +:2010F80039C0201C39FFE00429400044383FF51E198D883A198003261800000518C00044ED +:20110000003FFC06F800283A214B883A2005883A1140021E1105C83AF800283A10C0000765 +:20110800183FFC2610800044003FF906DEFFFB04DC800315DC400215DC000115DFC004150F +:201110002025883A2823883AD98000053821883A04000A0E888001179009883A880B883A46 +:20111800D80D883A01C00044103EE83A843FFFC4103FF72600BFFFC4000001060005883A59 +:20112000DFC00417DC800317DC400217DC000117DEC00504F800283ADEFFE304D8C00804ED +:20112800DF001B15DDC01A15DD801915DD401815DD001715DCC01615DC801515DC4014155C +:20113000DC001315DFC01C152029883A2823883A3839883AD9800F150021883AD8000E1525 +:20113800002F883A002B883A0027883A0025883AD8000C15D8000B15002D883AD8C0091553 +:20114000D8C00F171900000320803FCC1080201C10BFE00410012E2601400044B140142676 +:201148002D800216B00006260001250601400084B1401D26014000C4B1402B260001200653 +:201150000140094411410D2688800117D9000005880B883AA009883AD80D883A01C0004402 +:20115800103EE83A1000E81E840000440001140601400C0411410A260140094411400A1E34 +:20116000D880000588800117A009883A880B883AD80D883AB00F883A103EE83A1000DA1E92 +:20116800840000440001050625BFF404B5803FCC00C002441D80093600BFFFC490800426D9 +:201170009009883A0140028408142700000001060005883A15A5883A0000F20601400B84E8 +:201178001140F42605800084213FF40420C03FCC0100024420C00B3600BFFFC49880062672 +:201180009809883A01400284D8C0111508142700D8C01117000001060005883A10E7883ADD +:201188000000E70601401B041140E026013FFFC499000226D8000B150000010604C00044D8 +:2011900001001A441100162620800916010018C411009626010019041100112601001604A9 +:201198001100D61E00C00044D8C00E150000140601001CC41100A6262080041601001BC401 +:2011A0001100CE1E0540020400000E0601001D4411000C2601001E04110009260000C706FE +:2011A800E0800104B8000726D8800D15E7000017E000080E0739C83A0200004400000606DB +:2011B00005400404E0C00104D8C00D15E7000017002F883A0011883A002D883ADD87883A9C +:2011B800E0001A26E009883AA80B883AD8C01115DA00121508142600A809883A100B883A86 +:2011C000D880101508142700E085C83A01000244D8C01117D9801017DA00121720800216A6 +:2011C80017000C0400000506D9400E172800022617000DC400000106170015C41F00000544 +:2011D000B58000443039883A003FE4061EC5C83AD8800A159885C83A1839883A00800E0E08 +:2011D800182D883A1885883A01000C04D9400917B007883AB140052EB58000441900000513 +:2011E000B039883AB0BFF91E00000106B039883AB6EDC83ADD800A15D8800A171207883A97 +:2011E80090EDC83AD8C00B171800172640000A2600800B44D880080588800117A009883A2A +:2011F000880B883AD980080401C00044103EE83A10004D1E840000440580070EA009883A68 +:2011F800880B883A01800C04B00F883A081442C01000451E85A1883AD9000A17E007883A54 +:20120000272DC83A000022060580090EA009883A880B883A01800804B00F883ADA001215E5 +:20120800081442C0DA0012171000371E85A1883A403FF12600800B44D8800805888001176E +:20121000A009883A880B883AD980080401C00044103EE83A10002C1E84000044003FE606D7 +:2012180018FFFFC418800003A009883AD8C01115D880080588800117880B883AD9800804D9 +:2012200001C00044103EE83AD8C0111710001E1E80C5C83A1D89883AE085883A013FF01611 +:201228001021883ADF000D1700004406010000442480080E95BFFFC4A009883A880B883A90 +:2012300001800804B00F883A081442C010000E1E85A1883AE0800017A009883A880B883A17 +:20123800D880000588800117D80D883A01C00044E5800104103EE83A1000031E840000449A +:20124000B039883A00002D0600BFFFC400003106E5800017E0C00104D8C00A15B009883AA4 +:201248000814B0009091C83A1039883A0200090E400F883AA009883A880B883A0180080415 +:20125000DA001215081442C0DA001217103FEE1E8221883A88800117A009883A880B883ABC +:20125800B00D883AE00F883A103EE83A103FE61E8721883ADF000A170000100605C00044FA +:2012600004FFFFC4D8000E15054002849825883AD8000C15D8000B15B82D883A00000806BD +:20126800DD800B15058000840000050600C00044D8C00C15058000C400000106002D883AD9 +:20127000D8C00F1718C00044D8C00F15003ECC068005883ADFC01C17DF001B17DDC01A17C0 +:20127800DD801917DD401817DD001717DCC01617DC801517DC401417DC001317DEC01D0415 +:20128000F800283A2880000BDEFFFD04DC000015DFC00215DC40011510C0020C2821883AA1 +:201288001800192628C0008F1800150E10C0800C180013262C40051789C0030E10C0200CB2 +:201290001800032600000E063C40010E3823883A81000417300B883A880D883A0814AA008B +:20129800808005171445C83A80800515808004171463883A844004150005883A00000306A4 +:2012A000108010148080000D00BFFFC4DFC00217DC400117DC000017DEC00304F800283A0D +:2012A8002005883A29000D2E298F883A21C00B2E3007883A017FFFC418FFFFC419400626AC +:2012B0001989C83A3909883A2200000310C9883A22000005003FF806F800283A0007883A2F +:2012B8001980062628C9883A21C0000310C9883A18C0004421C00005003FF906F800283A85 +:2012C0002005883A10C000071800022610800044003FFC061105C83AF800283ADEFFFF04A9 +:2012C8000100207401402074DFC000152119E904295BD6042140061E010020740140207474 +:2012D00021000804294008042140141E00000D0600C0207418DBD60400BFFF041907C83AB1 +:2012D8001886703A0005883A10FFF326114F883A39C00017110D883A1080010431C0001512 +:2012E000003FF906010020740140207421173204295732042140121E00000D0600C020742A +:2012E80018C0080400BFFF041907C83A1886703A0005883A10FFF326114F883A39C00017B5 +:2012F000110D883A1080010431C00015003FF90608157240DFC00017DEC00104081581C19E +:2012F80000C0207418D9E90400BFFF041907C83A1886703A0005883A10FFF526114F883A65 +:2013000039C00017110D883A1080010431C00015003FF906DEFFFF040009883ADFC00015A5 +:201308000814C5800814C780010020742119D904200B883A200D883A08158C00D1221917AD +:20131000D1621817D1A21717DFC00017DEC001040811A40108156A41DEFFFF04DFC0001547 +:201318000815B580008000441001703ADFC00017DEC00104F800283ADEFFFE04DC00001561 +:2013200004002074841BCF0401002074800B883A211B4104DFC00115081573000100207466 +:20132800211B0A040815364001002074211AFF04800B883ADFC00117DC000017DEC002045A +:201330000815730101402074DEFFFF04295BCF04DFC0001508157A8010000E2610C00A1700 +:20133800D0A21C15D022261519008D04D122241519008904D122231519008404D122221553 +:2013400019008C04D122211519008B04D1222015D0E21F15DFC00017DEC00104F800283A52 +:20134800D0E21C17008000441800041ED0E226171880071ED022261500000506D0E22417D1 +:2013500018C0002B18C0008C183FF826F800283A0005883AF800283ADEFFFD04DC40011516 +:20135800DC000015DFC002152023883A2821883A0814D2001000021E0005883A00001106C2 +:201360008C09883A2006927AD0A2201710C00035D0A2211700C0060410C0002DD0A2241718 +:201368001080002B10BFFFCC10C0010C183FFB1E1080040C103FF01ED0221B15D1221A1582 +:2013700000800044DFC00217DC400117DC000017DEC00304F800283AD0A21B1710000326DE +:20137800D1221A17000B883A0814D58100800044F800283ADEFFFD04DC400115DC000015D3 +:20138000DFC002152023883A2821883A0814D2001000021E0005883A00001906D0A21B17DF +:201388001000081E8C09883A2006927AD0A2201710C00035D0A2211700C0044410C0002D29 +:2013900000000506D1221A17000B883A0814D580103FF41E003FEF06D0A224171080002BD3 +:2013980010BFFFCC10C0010C183FFB1E1080040C103FE81ED0221B15D1221A150080004451 +:2013A000DFC00217DC400117DC000017DEC00304F800283A2005883A2100001711C0021746 +:2013A80021000A040814EBC12005883A2100001711C0021721000A040814F1812100001730 +:2013B000298D883A2805883A3A10000C11800B2E20C000371A60000C4800032610C00005B3 +:2013B80010800044003FF906114002261145C83AF800283A403FF52600000106117FFB1E8E +:2013C00039D0000C3800021E00BFFEC4F800283A00BFFD44F800283A210000173005883A3C +:2013C800298D883A21C001042980072E38C0003718FFFFEC183FFC2628C0000720C000351B +:2013D00029400044003FF806F800283A20001D262804923A20C03017DEFFFD04DC40011527 +:2013D800DC000015DFC002152823883A2021883A108000D41880033580C0301718800037B4 +:2013E0001080004C100003260100004408156A40003FF90618C000371809D0FA2140020C25 +:2013E8001809D0BA1807D07A210001CC2908B03A18C0040C20C6B03A1C40042600BFF48453 +:2013F0000000020600BFFA84F800283ADFC00217DC400117DC000017DEC00304F800283A60 +:2013F80020000A26280009263000082620800C173080001520800C171000062621000D0417 +:20140000290000150005883AF800283A00BFFA84F800283A00BFFEC4F800283A2005883A14 +:2014080020001D262809883A28001B1610C0311728C0192E1140341728FFFFC420C6703AB8 +:201410001800151EDEFFFE04DC000015DFC001151021883A081426001004923A00C04034A3 +:2014180018FFC00410C4703A80C03017108000941880033580C0301718C0040418800037AA +:201420001080004C10000626008000441880003500BFFEC40000020600BFFA84F800283AE3 +:20142800DFC00117DC000017DEC00204F800283ADEFFF504DC000115DFC00A15DF00091579 +:20143000DDC00815DD800715DD400615DD000515DCC00415DC800315DC400215DC000B17E0 +:20143800280039163023883A300037162027883A20003526382B883A380033262080311769 +:201440003080312E2880302E208034172987C83A1887883A1C002C3680002B1610BFFFC4A8 +:20144800288A703A2800281E0029883A05BFFFC405C000C40700010480002126DD8000157A +:20145000890000CC20000526E125C83A8480012E8025883A8923C83A00000406BC0002368E +:201458008025883A0000010604800104AD0B883AD909883A900D883A0814298098802E17DE +:20146000D8C00017A4A9883A84A1C83A8885883A10C00035988030171080040410C00037B5 +:2014680018C0008C1800042600C0008410C0003500BFFEC4000005068C400104003FDE06F5 +:201470000005883A0000010600BFFA84DFC00A17DF000917DDC00817DD800717DD40061721 +:20147800DD000517DCC00417DC800317DC400217DC000117DEC00B04F800283ADEFFF60427 +:20148000DC000115DFC00915DDC00815DD800715DD400615DD000515DCC00415DC80031512 +:20148800DC4002152021883A20000A263029883A3000082620802E1780C02F172823883ACD +:201490002885883A382B883A11C9883A10C0012E20C0023600BFFA84000024062809883A9B +:2014980081403417002D883A08142600102F883A80803317B8801C2EA8001B2684C03417B2 +:2014A00004E7C83A8CE6703A9C4002268CE5C83A000001060025883A8009883A980B883A0E +:2014A800081501C01000111E80C034171CA5C83AAC80012EA825883ADC8000158009883A13 +:2014B000980B883A880D883AA58F883A08150B001000061EACABC83AB4AD883A8CA3883AA1 +:2014B800BDC00044003FE2060005883ADFC00917DDC00817DD800717DD400617DD0005173C +:2014C000DCC00417DC800317DC400217DC000117DEC00A04F800283A200007263000062607 +:2014C80020802E17288B883A20802F1729C7883A2880012E1880023600BFFA84F800283AD4 +:2014D000DEFFFF043009883A380D883ADFC00015081429800005883ADFC00017DEC0010481 +:2014D800F800283A20005026208030171000502620C03217DEFFFB04DFC00415DCC0031526 +:2014E000DC800215DC400115DC00001518001E1E1480023700C0030494803FCC90BFFAC442 +:2014E80018804536100490BA00C0207418D4EF0410C5883A108000171000683A0081542853 +:2014F000008154380081544000815430008153F0008154B8008154B8008154B8008154B8BD +:2014F800008154B8008154B8008153F80081540004408004000013060441000400001106D8 +:201500000442000400000F06148001370080058494803FCC90800A26008006049080042674 +:20150800008005049080241E0440080400000506044040040000030604401004000001069D +:201510000440200424C034172021883A8809883A980B883A0814270080C0311710C0021EAE +:2015180080C0331788C0022680000C150000120600C00044014020748480361584403315CC +:2015200080C00C1580000D1580800E1584400F1584C010158009883A295BD40408157300FD +:201528000005883A0000050600BFFA84F800283A00BFFB44F800283A00BFFB44DFC004172A +:20153000DCC00317DC800217DC400117DC000017DEC00504F800283A300001260005C032FA +:2015380000FFFF042005883A28C6703A110DC83A30C0042E11800017300DC0F210800104A4 +:20154000003FFA06294000CC00C000C428C0041E10C0000B1807C0B21080008300000806FC +:2015480000C0008428C0031E1080000B1005C0B20000040600C0004428C0021E108000036B +:201550001005C0720005C132F800283ADEFFFD04DC400115DC0000152823883A2021883AD1 +:20155800014001443009883ADFC00215081427008809883A100B883A0814260010BFFFC4F5 +:2015600080C0020418000035010000448100043511003FCC810000351004D23A10803FCC4C +:20156800808001350080200418800035DFC00217DC400117DC000017DEC00304F800283ADE +:201570003180004C294B883A298B883A21400335210004040080240420800035208000379C +:201578001080008C103FFD1E208000371004D1FA1080004CF800283A20C0040428000226A9 +:2015800000801A04000001060080080418800035188000371080008C103FFD1E208003371E +:20158800F800283A29403FCC21400335210004043000022600801404000001060080040434 +:2015900020800035208000371080008C103FFD1E208000371004D1FA1080004CF800283A1D +:2015980020C0040400800B0418800035188000371080008C103FFD1E20800337F800283A66 +:2015A00029403FCC21400335210004040080070420800035208000371080008C103FFD1E38 +:2015A800F800283A014AAAF4DEFFFE04296AAA84DC000015DFC001152021883A0814260055 +:2015B00010000F2601600034013555740007883A297FFFC421155584297FFFC4283FFE1E11 +:2015B80018C000448121883A18BFFB168405883A1421883A843FFFC4803FFE1E00000406FE +:2015C0008405883A1421883A843FFFC4043FFE160005883ADFC00117DC000017DEC00204D7 +:2015C800F800283AF800283A3005883AF800283A20000226208002171000131E00802074A8 +:2015D000109BD3041080001710000926DEFFFF04DFC00015103EE83A00C0058410C0001561 +:2015D80000BFFA84DFC00017DEC00104F800283A00802074109DDD0400C0058410C0001533 +:2015E00000BFFA84F800283A28800017214001152080001528800017110001152900001545 +:2015E8000005883AF800283ADEFFFB04DCC00315DC800215DC400115DC000015DFC00415E4 +:2015F0002027883A2823883A2C0000170814B000148000448440072681000217980B883AEE +:2015F800900D883A0815C9801000042684000017003FF8060005883A000001068005883AE7 +:20160000DFC00417DCC00317DC800217DC400117DC000017DEC00504F800283AF800283A63 +:20160800DEFFFC04DC4001152023883A2809883A300B883A01807FC4DC000015DFC0031552 +:20161000DC800215081593801021883A100016161009883A0140030404802074081427006A +:20161800949B4C041007883A9085883A108000178009883A8880001590C5883A10800117B5 +:2016200090C7883A888001151880021788800215DFC00317DC800217DC400117DC0000174E +:20162800DEC004040815AFC1DFC00317DC800217DC400117DC000017DEC00404F800283A1A +:20163000DEFFFB04DC000015040020742005883A841B4F04DC40011504400044DCC00315EE +:20163800DC8002152827883A3025883A100B883A8009883A880D883ADFC004150815820021 +:20164000813FFD04980B883A000D883A0815820081000304900B883A880D883ADFC0041700 +:20164800DCC00317DC800217DC400117DC000017DEC0050408158201DEFFF504DC400215E0 +:201650002823883A01402074295BCF04DD400615DD000515DCC00415DC800315DFC00A152B +:20165800DF000915DDC00815DD800715DC0001152025883A3027883A08157A80102B883A1C +:201660000029883A1000051E9009883A0815B600102B883A1000462605000044A809883AE9 +:201668000815C0C01021883A100044161009883A01400304081427001007883A02100034E3 +:2016700000802074109B4C04423FFFC418B9883A8A10703A102F883A18C00204A000062685 +:2016780010C7883A1A000015A880031710001A1E8005883A0000350610C7883A00802074D1 +:2016800042100034109BCE041A00001510C00017B829883A002D883A8009883A014003040C +:20168800D8C0001508142700B885883AA100001710800017D8C000172080031EA08002174B +:201690001000010EA7001F1EB5800044A50003041DBFF12E003FE406880D883AE009883AEC +:20169800900B883A980F883A103EE83A1023883A8005883A8800150E8009883A0815AFC03E +:2016A00000802074109BD3041080001710000226103EE83A0000020600802074109DDD049B +:2016A8000463C83A1440001500BFFFC400000706047FFB44043FFFC4003FEF061023883AD0 +:2016B000003FED06047FFCC4003FEB06DFC00A17DF000917DDC00817DD800717DD40061745 +:2016B800DD000517DCC00417DC800317DC400217DC000117DEC00B04F800283A00C0008478 +:2016C0001900140EDEFFFD04DC0000150140030404002074DFC00215DC400115841B4C0449 +:2016C8002023883A081427008085883A8809883A0140030410000215081427008085883A27 +:2016D00010000015DFC00217DC400117DC000017DEC00304F800283A000170FAF800283A32 +:2016D80000802074DEFFFA04109BD104DC00001514000017DD000415DCC00315DC8002154A +:2016E000DFC00515DC4001152027883A1025883A05000BC484801226844002178809883ABF +:2016E8000814B0008887883A18FFFFC71D00011E10BFFFC49887883A18C000071D00012696 +:2016F0001800051E8809883A980B883A100D883A0815C9801000042684000017003FED069B +:2016F8000005883A000001068005883ADFC00517DD000417DCC00317DC800217DC400117AB +:20170000DC000017DEC00604F800283ADEFFFB04DCC0031504C02074DC800215DC40011547 +:20170800DC000015DFC004152025883A0021883A9CDB4C04044008048009883A0140030484 +:20171000081427009887883A188000171000081E1C80001500C0207418DBCE0419000017B6 +:201718008005883A2400050E1C0000150000030684000044847FF01E00BFFA04DFC00417A9 +:20172000DCC00317DC800217DC400117DC000017DEC00504F800283A218D883A2180082617 +:201728002080000328C0000310C0022610C5C83AF800283A2100004429400044003FF7069C +:201730000005883AF800283A73616C4672652068006573616F727265642520720000000087 +:2017380073616C4672772068006574696F7272650000007273616C466576206820666972E4 +:201740006C6961660000000061766E492064696C64616572646D63200000000072204453ED +:20174800206461656C696166006572757665642F746C412F5F617265535F505561435F445C +:20175000415F64726F6C61766E495F6E667265745F6563610000003053206F4E616320440C +:2017580064206472002E74652520732500632575252E75256B75322E25207A482E252E750C +:201760007A487532000000004353534F776620207525202E322E252E0000007574696E4907 +:20176800727265202020726F000064252020202053204F4E00434E59343130323130322D4D +:201770006D202036737172610000000074736554746170206E726574000000003A315641FF +:2017780042475220000000533A31564173475220000000423A3156416250592000007250A4 +:201780003A32564162505920000072503A32564173475220000000423A33564142475220E9 +:20178800000056483A33564142475220000000533A33564173475220000000423A335641DB +:20179000625059200000725000815DCC00815DDC00815DE800815DF400815E0000815E0C86 +:2017980000815E1800815E2400815E3000815E3C0000000000000000001000000088440B26 +:2017A00000010100000000007365725000000073666E6F43006D72696D73694D6863746116 +:2017A8006572202C00797274646F4D567325203A00000000203A4F4C56207525203A4D5338 +:2017B000000075250000003100000032000000330000003400000035000000360000003713 +:2017B8000000003800000039554E454D0000000000004B4F4B43414200000000000050551B +:2017C0004E574F44000000005446454C0000000048474952000000544F464E4900000000FC +:2017C8005F44434C4B4341424847494C000000544E414353454E494C444F4D5F0000004575 +:2017D0004E414353454E494C5059545F000000454E414353454E494C544E495F0000002B49 +:2017D8004E414353454E494C544E495F0000002D454E494C544C554D444F4D5F000000453F +:2017E00000815EC400815EC800815ECC00815ED000815ED400815ED800815EDC00815EE061 +:2017E80000815EE400815D5400815EE800815EF000815EF400815EFC00815F0000815F08E0 +:2017F00000815F1000815F1800815F2000815F3000815F4000815F5000815F6000815F7001 +:2017F8004353534F0000000061766E492064696C67616D690000006561766E492064696CC8 +:20180000646165680000726561766E492064696C2072646800435243696C61566974616414 +:201808006420676E0061746162207525736574790000000061766E492064696C61746164CF +:201810004352432000000000252E75252575322E0073257361647055676E697400574620D5 +:2018180061656C70772065732E74696100002E2E697265566E6979666C66206700687361F6 +:2018200072746552676E6979647075200065746161647055203F65742C593D314E3D32201F +:201828000000000064206425000067656C20752573656E6900000000252E75252075322E10 +:20183000000073756D20642500000056702075256C65786900000073252575250000000011 +:201838006C6961660000646500007325656469566E69206F6F7270203E2020630000000053 +:20184000706D6153676E696C74706F203E20202E00000000636E795374706F202020202E90 +:201848003E202020000000007074754F6F207475202E74703E2020200000000074736F504C +:201850006F72702D20202E633E20202000000000202E774661647075202065743E2020201F +:20185800000000002D204B4F736C70207365722074726174000000007365523C7320746523 +:20186000697474653E73676E00000000657365526F6420740000656E7661533C65732065A0 +:201868006E6974743E2073670000000065766153000000646E616353656E696C00000073D6 +:201870006E616353656E696C727473200000002E6E616353656E696C707974200000006575 +:201878006E616353656E696C2E6469200000000069726F48746E6F7A6D206C61006B736112 +:20188000747265566C61636973616D200000006B703034323838322F696C20703358656EA8 +:2018880000000000656E694C706972746D20656C0065646F703038343637352F696C207021 +:201890003258656E00000000693038343637352F6170206972747373000000006D205854A6 +:201898000065646F74696E49206C616975706E69000000746C616E417320676F20636E798F +:2018A0000046504C6C616E417320676F20636E79006874566E797356687420636873657204 +:2018A80000646C6F74696C47694668637265746C6E656C20000000004C502D487250204C82 +:2018B0006F432D65007473614C502D486F50204C432D74737473616F00000000706D6153B1 +:2018B800676E696C616870200000657370303834206E6920706D61730072656C6564695696 +:2018C000504C206F00000046506250596E6920726C6F4320006170536F74754176656C2071 +:2018C8007463202E00006C7200706F5474746F4200006D6F69726F48746E6F7A00006C612B +:2018D000747265566C6163690000000065746C4174616E7200676E690066664F6F74754191 +:2018D80000000000756E614D00006C61494D44480000000000495644656E654720636972B0 +:2018E000393A363100000000656E65472063697200333A3478303233203034326974706F11 +:2018E80000002E6D78363532203034326974706F00002E6D484D33336D28207A00296E69F9 +:2018F000484D30316D28207A002964654D352E3228207A482978616D000000002056544458 +:2018F8007030383400000000415345563034362030383478003036402E6365523130362022 +:20190000000000002E6365523930372000000000484D35394828207A2056544400294949E9 +:20190800484D35334828207A2056544400002949484D36314528207A29565444000000001E +:201910007A484D39445328200029565400006E4F445253550041544100000020008163F8F0 +:201918000000200020002CE5E926F4FD38BC20000000000000816404000020002000323EB1 +:20192000F113FA043B61200000000000703034324D334C5F05000030177000F001060618E7 +:20192800034810AA00410012703034324D334C5F03C00031177000F0010604920336108045 +:2019300000420012703034324D334C5F01400032177000F0010601AA031F103100440011BE +:20193800703034324D334C5F01000033177000F001060155031910270048001170303432A4 +:201940000000000002D00000177000F00106035A033C104100500012703838324D334C5FAB +:20194800050000301388012001380618034810AA00410012703838324D334C5F03C00031AE +:2019500013880120013804920336108000420012703838324D334C5F01400032138800F094 +:20195800013801AA031F293100440011703838324D334C5F01000033138800F0013801552F +:201960000319292700480011703838320000000002D000001388012001380360033C1041D6 +:2019680000500012703438330000000001F000001686018001A70280033E1D3200500004D2 +:20197000783034360034383302800000157C018001EC032002603F3000100010693038340C +:201978000000000002D00000176A00F0020D035A033C104100700012703038340000000082 +:2019800002D00000176A01E0020D035A063E1E3C0080001478303436003038340280000045 +:20198800177001E0020D032002602130010000147830343600323135028000001770020028 +:201990000238032002601C3000000010693637350000000002D000001388012002710360AD +:20199800033C104100700012703637350000000002D000001388024002710360063C2041E3 +:2019A0000000000478303038003030360320000017700258027404200480175800000010DC +:2019A800703032370000000005000000176A02D002EE0672052814FF000000083038323143 +:2019B0003032377805000000177002D002EE0672052814DC0000001034323031383637782F +:2019B80004000000177003000326054006881DA000000010303832313230317805000034A9 +:2019C00017700400042A0698037026F800000010303830310000006907800000176A043899 +:2019C80004650898052C109400200008303830310000007007800000176A04380465089873 +:2019D000052C24BC000000083032393138303178078000301770043804650898052C249495 +:2019D800000000107665642F61746A2F61755F67305F7472000000007665642F6370652F1D +:2019E0006F635F716F72746E72656C6C615F305F6D5F6C7600006D657665642F6C756E2F18 +:2019E8000000006C0000000000000000000000000000000000000000000000000000000073 :2019F0000000000000000000000000000000000000000000000000000000000000000000D7 :2019F8000000000000000000000000000000000000000000000000000000000000000000CF :201A00000000000000000000000000000000000000000000000000000000000000000000C6 @@ -875,66 +875,66 @@ :201B480000000000000000000000000000000000000000000000000000000000000000007D :201B5000000000000000000000000000000000000000000000000000000000000000000075 :201B580000000000000000000000000000000000000000000000000000000000000000006D -:201B60000000000000000000000000000000000000000000017804E53CCE00813FAE038305 -:201B68003F333D4905B80383009301B404163C493CD93F9F04163F10020E04093D0E00C8AF -:201B70003F6E03833ED03DAC04B2038300E9026404163C933D493F5604163E9F3EA93E2957 -:201B78003EE93E693E993E193ED93E593EC93E393E1D3E4D3E2D3EED3EAD3ECD3E653E6D65 -:201B80001C483E011C501C181CC81CD000816D1C00000000000000000000000000815FA0A8 -:201B88000000000200816D44000000000000000000815FB40000000200816D3C0000000049 -:201B90000000000000815FC80000000200816D34000000000000000000815FDC00000002AB -:201B980000816D2C000000000000000000815FF00000000200816D2400000000000000002F -:201BA0000081600400000003008122E800816018000000000081602C0000000300811E58B2 -:201BA80000816040000000000081604C0000000300812C9000816060000000000081606805 -:201BB00000000000008175DD00020001008169080081607400000001008175DF000F000013 -:201BB800008128B80081608400000000008175DE00020001008168FC008160940000000016 -:201BC000008175E00001000100816D4C008160A400000001008175E3003F00000081289C10 -:201BC800008160B400000001008175E4003F00000081289C008160C400000000008175E18D -:201BD0000001000100816D64008160D800000000008175E20003000100816914008160E845 -:201BD80000000000008175F00001000100816D64008160FC00000000008175F100010001ED -:201BE00000816D640081611000000000008175E50001000100816D54008161180000000088 -:201BE800008175EB00030001008169240081612800000001008175E9001F000000812858E0 -:201BF0000081613800000001008175EA00C80A00008127CC0081614800000001008175EE85 -:201BF80000050000008127B00081615800000001008175EF00050000008127B00081616CA5 -:201C000000000001008175E7001F00000081276C0081617C00000000008175E60002000176 -:201C0800008169340081618C00000000008175EC00050001008169400081619800000000A4 -:201C1000008175E80001000100816D5C008161A800000000008175ED0001000100816D64C9 -:201C1800008161C4008161D0008161DC008161E8008161EC008161F4008162080081621842 -:201C20000081622400816234008161E800816244008162500081625C008161EC0081626C06 -:201C280000816278008161EC008161E80081629C008162AC008162BC008162CC000000004D -:201C300000816A1800816A2000816A28000000000000000000000000000000000000000073 -:201C380000000000000000000000000000000000000000000000000000000000000000008C -:201C4000000000000000000000000000000000000000000000000000000000000000000084 -:201C480000000000000000000000000000000000000000000000000000000000000000007C -:201C5000000000000000000000000000000000000000000000000000000000000000000074 -:201C5800000000000000000000000000000000000000000000000000000000000000000468 -:201C6000000000000001000A000000000002000A000000000000000000000000000000004D -:201C68000000000000815BF000000000000000000000000000000000000000000000000090 -:201C700000000000008210000000000000000000008166040000000000000000008150C046 -:201C7800008151DC00814EA400814EE000814F7400000000008000000000000000000000B8 -:201C8000000000000000000000000000000000000000000000000000000000000000000044 -:201C880000000000000000000000000000000000000000000000000000000000000000003C -:201C9000000000000000000000000000000000000000000000000000000000000000000034 -:201C98000000000000000000000000000000000000000000000000000000000000814E104D -:201CA00000000000008000000082140000800000000000010000008000010000000001000B -:201CA800000000000000000000000000008165F0000000000000000000814D5800814D6CE6 -:201CB000000000000000000000000000008214A000816CEC000000000000000000816CEC2C -:201CB800000000000000000000816CEC000000000000000000000000000000000000000033 +:201B6000000000000000000000000000000000000000000000000000000000000000000065 +:201B680000000000000000000000000000000000000000000000000000000000000000005D +:201B7000000000000000000000000000000000000000000000000000000000000000000055 +:201B780000000000000000000000000000000000000000000000000000000000000000004D +:201B8000000000000000000000000000000000000000000000000000000000000000000045 +:201B880000000000000000000000000000000000000000000000000000000000000000003D +:201B9000000000000000000000000000000000000000000000000000000000000000000035 +:201B980000000000000000000000000000000000000000000000000000000000000000002D +:201BA000000000000000000000000000000000000000000000000000000000000000000025 +:201BA80000000000000000000000000000000000000000000000000000000000000000001D +:201BB000000000000000000000000000000000000000000000000000000000000000000015 +:201BB80000000000000000000000000000000000000000000000000000000000000000000D +:201BC000000000000000000000000000000000000000000000000000000000000000000005 +:201BC8000000000000000000000000000000000000000000000000000000000000000000FD +:201BD000000000000000000000000000000000000000000000000000017804E53CCE008108 +:201BD8003FAE03833F333D4905B80383009301B404163C493CD93F9F04163F10020E0409DF +:201BE0003D0E00C83F6E03833ED03DAC04B2038300E9026404163C933D493F5604163E9F22 +:201BE8003EA93E293EE93E693E993E193ED93E593EC93E393E1D3E4D3E2D3EED3EAD3ECDF5 +:201BF0003E653E6D1C483E011C501C181CC81CD000816EE0000000000000000000000000A5 +:201BF800008160EC0000000200816F080000000000000000008161000000000200816F0032 +:201C00000000000000000000008161140000000200816EF8000000000000000000816128DB +:201C08000000000200816EF000000000000000000081613C0000000200816EE800000000E4 +:201C10000000000000816150000000030081234000816164000000000081617800000003F8 +:201C180000811EB00081618C00000000008161980000000300812D74008161AC00000000C2 +:201C2000008161B400000000008177F50002000100816AA4008161C000000001008177F7FD +:201C2800000F00000081299C008161D000000000008177F60002000100816A98008161E05F +:201C300000000000008177F80001000100816F10008161F000000001008177FB003F00009D +:201C3800008129800081620000000001008177FC003F00000081298000816210000000002E +:201C4000008177F90001000100816F280081622400000000008177FA0003000100816AB0E1 +:201C48000081623400000000008178090001000100816F2800816248000000000081780A1B +:201C50000001000100816F280081625C00000000008177FD0001000100816F1800816264D5 +:201C5800000000000081780B0009000100816AF40081627400000000008178040003000127 +:201C600000816AC0008162840000000100817801001F00000081293C0081629400000001DA +:201C68000081780200C80A00008128B0008162A4000000010081780300FF000000812824E6 +:201C7000008162B800000001008178070005000000812808008162C8000000010081780855 +:201C78000005000000812808008162DC00000001008177FF001F0000008127C4008162EC85 +:201C800000000000008177FE0002000100816AD0008162FC000000000081780500050001AD +:201C880000816ADC0081630800000000008178000001000100816F20008163180000000082 +:201C9000008178060001000100816F2800816334008163400081634C008163580081635C33 +:201C980000816364008163780081638800816394008163A400816358008163B4008163C0A4 +:201CA000008163CC0081635C008163DC008163E80081635C00816358008164100081642032 +:201CA800008164300081644000815DCC00815DDC00815DE800815DF400815E0000815E0C1C +:201CB00000815E1800815E2400815E3000815E3C0000000000816BDC00816BE400816BEC80 +:201CB80000000000000000000000000000000000000000000000000000000000000000000C :201CC000000000000000000000000000000000000000000000000000000000000000000004 :201CC8000000000000000000000000000000000000000000000000000000000000000000FC :201CD0000000000000000000000000000000000000000000000000000000000000000000F4 :201CD8000000000000000000000000000000000000000000000000000000000000000000EC -:201CE0000000000000000000000000000000000000000000000000000000000000000000E4 -:201CE8000000000000000000000000000000000000000000000000000000000000000000DC -:201CF0000000000000000000000000000000000000000000000000000000000000000000D4 -:201CF8000000000000000000000000000000000000000000000000000000000000000000CC -:201D00000000000000000000000000000000000000000000000000000000000000000000C3 +:201CE0000000000000000000000000000000000000000004000000000001000A00000000D5 +:201CE8000002000A000000000000000000000000000000000000000000815D2C00000000C6 +:201CF000000000000000000000000000000000000000000000000000008210000000000042 +:201CF80000000000008167780000000000000000008151FC0081531800814FE00081501C15 +:201D0000008150B000000000008000000000000000000000000000000000000000000000C2 :201D08000000000000000000000000000000000000000000000000000000000000000000BB -:201D10000000000000000000000000000000000000816D7800816D780081662400000000DC -:201D18000000000000000000008155EC0000000000000000000000000010801000000080C9 -:201D200000000008008166B8000000060081675800000005008167D00000000500816834D7 -:201D2800000000020081689800000003008168C0008161B8008161C0008161FC008162046B -:201D30000081628400816290008161E8008162D8C896554B00816958FFFFFFFF00816CEC1F -:201D380000816CEC00816D8000816D800000000000816D8C00816D8C0000000000000000E2 +:201D10000000000000000000000000000000000000000000000000000000000000000000B3 +:201D18000000000000000000000000000000000000000000000000000000000000000000AB +:201D20000000000000000000000000000000000000814F4C00000000008000000082140071 +:201D2800008000000000000100000080000100000000010000000000000000000000000098 +:201D300000816764000000000000000000814E9400814EA80000000000000000000000006D +:201D3800008214A000816EB0000000000000000000816EB0000000000000000000816EB078 :201D4000000000000000000000000000000000000000000000000000000000000000000083 :201D480000000000000000000000000000000000000000000000000000000000000000007B :201D5000000000000000000000000000000000000000000000000000000000000000000073 @@ -946,12 +946,12 @@ :201D8000000000000000000000000000000000000000000000000000000000000000000043 :201D880000000000000000000000000000000000000000000000000000000000000000003B :201D9000000000000000000000000000000000000000000000000000000000000000000033 -:201D980000000000000000000000000000000000000000000000000000000000000000002B -:201DA000000000000000000000000000000000000000000000000000000000000000000023 -:201DA80000000000000000000000000000000000000000000000000000000000000000001B -:201DB000000000000000000000000000000000000000000000000000000000000000000013 -:201DB80000000000000000000000000000000000000000000000000000000000000000000B -:201DC000000000000000000000000000000000000000000000000000000000000000000003 +:201D98000000000000816F3C00816F3C008167980000000000000000000000000081572853 +:201DA0000000000000000000000000000010801000000080000000080081682C00000006E0 +:201DA800008168CC000000060081694400000006008169BC0000000200816A340000000362 +:201DB00000816A5C008163280081632C0081636C00816374008163F8008164040081635807 +:201DB8000081644CC896554B00816B1CFFFFFFFF00816EB000816EB000816F4400816F4432 +:201DC0000000000000816F5000816F50000000000000000000000000000000000000000083 :201DC8000000000000000000000000000000000000000000000000000000000000000000FB :201DD0000000000000000000000000000000000000000000000000000000000000000000F3 :201DD8000000000000000000000000000000000000000000000000000000000000000000EB diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 42dd848..d427ac5 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -40,11 +40,11 @@ #include "HDMI_TX.h" #include "hdmitx.h" -#define LINECNT_THOLD 1 #define STABLE_THOLD 1 #define MIN_LINES_PROGRESSIVE 200 #define MIN_LINES_INTERLACED 400 -#define SYNC_LOSS_THOLD 5 +#define SYNC_LOCK_THOLD 3 +#define SYNC_LOSS_THOLD -5 #define STATUS_TIMEOUT 10000 // Current mode @@ -97,7 +97,7 @@ void set_lpf(alt_u8 lpf) { alt_u32 pclk; pclk = (clkrate[REFCLK_EXT27]/cm.clkcnt)*video_modes[cm.id].h_total; - printf("PCLK: %uHz\n", pclk); + printf("PCLK: %luHz\n", pclk); //Auto if (lpf == 0) { @@ -146,7 +146,7 @@ status_t get_status(tvp_input_t input, video_format format) alt_u8 vsyncmode; alt_u16 fpga_totlines; status_t status; - static alt_u8 act_ctr; + static alt_8 act_ctr; alt_u32 ctr; int valid_linecnt; @@ -161,7 +161,7 @@ status_t get_status(tvp_input_t input, video_format format) } sync_active = tvp_check_sync(input, format); - vsyncmode = IORD_ALTERA_AVALON_PIO_DATA(PIO_4_BASE) >> 16; + vsyncmode = cm.sync_active ? (IORD_ALTERA_AVALON_PIO_DATA(PIO_4_BASE) >> 16) : 0; data1 = tvp_readreg(TVP_LINECNT1); data2 = tvp_readreg(TVP_LINECNT2); @@ -184,18 +184,23 @@ status_t get_status(tvp_input_t input, video_format format) // TVP7002 may randomly report "no sync" (especially with arcade boards), // thus disable output only after N consecutive "no sync"-events if (!cm.sync_active && sync_active && valid_linecnt) { - cm.sync_active = sync_active; - status = ACTIVITY_CHANGE; - act_ctr = 0; - } else if (cm.sync_active && (!sync_active || !valid_linecnt)) { - printf("Sync down in %u...\n", SYNC_LOSS_THOLD-act_ctr); - if (act_ctr >= SYNC_LOSS_THOLD) { + printf("Sync up in %d...\n", SYNC_LOCK_THOLD-act_ctr); + if (act_ctr >= SYNC_LOCK_THOLD) { act_ctr = 0; - cm.sync_active = sync_active; + cm.sync_active = 1; status = ACTIVITY_CHANGE; } else { act_ctr++; } + } else if (cm.sync_active && (!sync_active || !valid_linecnt)) { + printf("Sync down in %d...\n", act_ctr-SYNC_LOSS_THOLD); + if (act_ctr <= SYNC_LOSS_THOLD) { + act_ctr = 0; + cm.sync_active = 0; + status = ACTIVITY_CHANGE; + } else { + act_ctr--; + } } else { act_ctr = 0; } @@ -205,8 +210,10 @@ status_t get_status(tvp_input_t input, video_format format) clkcnt = ((data2 & 0x0f) << 8) | data1; if (valid_linecnt) { - if ((abs((alt_16)totlines - (alt_16)cm.totlines) > LINECNT_THOLD) || (clkcnt != cm.clkcnt) || (progressive != cm.progressive)) { - printf("totlines: %u (cur) / %u (prev), clkcnt: %u (cur) / %u (prev). Data1: 0x%.2x, Data2: 0x%.2x\n", (unsigned)totlines, (unsigned)cm.totlines, (unsigned)clkcnt, (unsigned)cm.clkcnt, (unsigned)data1, (unsigned)data2); + if ((totlines != cm.totlines) || (clkcnt != cm.clkcnt) || (progressive != cm.progressive)) { + printf("totlines: %lu (cur) / %lu (prev), clkcnt: %lu (cur) / %lu (prev). Data1: 0x%.2x, Data2: 0x%.2x\n", totlines, cm.totlines, clkcnt, cm.clkcnt, (unsigned)data1, (unsigned)data2); + /*if (!cm.sync_active) + act_ctr = 0;*/ stable_frames = 0; } else if (stable_frames != STABLE_THOLD) { stable_frames++; @@ -244,6 +251,9 @@ status_t get_status(tvp_input_t input, video_format format) if (tc.vsync_thold != cm.cc.vsync_thold) tvp_set_ssthold(tc.vsync_thold); + if (tc.sd_sync_win != cm.cc.sd_sync_win) + tvp_setup_glitchstripper(target_type, tc.sd_sync_win); + if ((tc.pre_coast != cm.cc.pre_coast) || (tc.post_coast != cm.cc.post_coast)) tvp_set_hpllcoast(tc.pre_coast, tc.post_coast); @@ -325,7 +335,7 @@ void program_mode() if ((cm.clkcnt != 0) && (cm.totlines != 0)) { //prevent div by 0 h_hz = clkrate[REFCLK_EXT27]/cm.clkcnt; - v_hz_x100 = cm.progressive ? (100*clkrate[REFCLK_EXT27]/cm.clkcnt)/cm.totlines : (2*(100*clkrate[REFCLK_EXT27]/cm.clkcnt))/cm.totlines; + v_hz_x100 = cm.progressive ? ((100*clkrate[REFCLK_EXT27])/cm.totlines)/cm.clkcnt : (2*((100*clkrate[REFCLK_EXT27])/cm.totlines))/cm.clkcnt; } else { h_hz = 15700; v_hz_x100 = 6000; @@ -340,15 +350,13 @@ void program_mode() sniprintf(row1, LCD_ROW_LEN+1, "%s %u%c", avinput_str[cm.avinput], (unsigned)cm.totlines, cm.progressive ? 'p' : 'i'); sniprintf(row2, LCD_ROW_LEN+1, "%u.%.2ukHz %u.%.2uHz", (unsigned)(h_hz/1000), (unsigned)((h_hz%1000)/10), (unsigned)(v_hz_x100/100), (unsigned)(v_hz_x100%100)); - //strncpy(row1, avinput_str[cm.avinput], LCD_ROW_LEN+1); - //strncpy(row2, avinput_str[cm.avinput], LCD_ROW_LEN+1); if (!menu_active) lcd_write_status(); //printf ("Get mode id with %u %u %f\n", totlines, progressive, hz); cm.id = get_mode_id(cm.totlines, cm.progressive, v_hz_x100/100, target_typemask, cm.cc.linemult_target, cm.cc.l3_mode, cm.cc.s480p_mode); - if ( cm.id == -1) { + if (cm.id == -1) { printf ("Error: no suitable mode found, defaulting to 240p\n"); cm.id = 4; } @@ -357,7 +365,7 @@ void program_mode() printf("Mode %s selected\n", video_modes[cm.id].name); - tvp_source_setup(cm.id, target_type, cm.cc.en_alc, (cm.progressive ? cm.totlines : cm.totlines/2), v_hz_x100/100, cm.cc.pre_coast, cm.cc.post_coast, cm.cc.vsync_thold); + tvp_source_setup(cm.id, target_type, cm.cc.en_alc, (cm.progressive ? cm.totlines : cm.totlines/2), v_hz_x100/100, cm.cc.pre_coast, cm.cc.post_coast, cm.cc.vsync_thold, cm.cc.sd_sync_win); set_lpf(cm.cc.video_lpf); set_videoinfo(); } @@ -475,6 +483,8 @@ int main() while (1) {} } + target_mode = tc.def_input; + // Mainloop while(1) { // Read remote control and PCB button status @@ -482,7 +492,6 @@ int main() remote_code = input_vec & RC_MASK; btn_code = ~input_vec & PB_MASK; remote_rpt = input_vec >> 24; - target_mode = AV_KEEP; if ((remote_rpt == 0) || ((remote_rpt > 1) && (remote_rpt < 6)) || (remote_rpt == remote_rpt_prev)) remote_code = 0; @@ -615,6 +624,7 @@ int main() btn_code_prev = btn_code; remote_rpt_prev = remote_rpt; + target_mode = AV_KEEP; usleep(300); // Avoid executing mainloop multiple times per vsync } diff --git a/software/sys_controller/ossc/av_controller.h b/software/sys_controller/ossc/av_controller.h index 22c0910..9c5c26a 100644 --- a/software/sys_controller/ossc/av_controller.h +++ b/software/sys_controller/ossc/av_controller.h @@ -24,7 +24,7 @@ #define HDMITX_MODE_MASK 0x00040000 -static const char *avinput_str[] = { "-", "AV1: RGBS", "AV1: RGsB", "AV1: YPbPr", "AV2: YPbPr", "AV2: RGsB", "AV3: RGBHV", "AV3: RGBS", "AV3: RGsB", "AV3: YPbPr" }; +static const char *avinput_str[] = { "Test pattern", "AV1: RGBS", "AV1: RGsB", "AV1: YPbPr", "AV2: YPbPr", "AV2: RGsB", "AV3: RGBHV", "AV3: RGBS", "AV3: RGsB", "AV3: YPbPr" }; typedef enum { AV_KEEP = 0, diff --git a/software/sys_controller/ossc/avconfig.c b/software/sys_controller/ossc/avconfig.c index 65b005a..700fead 100644 --- a/software/sys_controller/ossc/avconfig.c +++ b/software/sys_controller/ossc/avconfig.c @@ -37,6 +37,7 @@ const avconfig_t tc_default = { .sampler_phase = DEFAULT_SAMPLER_PHASE, .sync_vth = DEFAULT_SYNC_VTH, .vsync_thold = DEFAULT_VSYNC_THOLD, + .sd_sync_win = DEFAULT_SD_SYNC_WIN, .en_alc = 1, .pre_coast = DEFAULT_PRE_COAST, .post_coast = DEFAULT_POST_COAST, diff --git a/software/sys_controller/ossc/avconfig.h b/software/sys_controller/ossc/avconfig.h index 8373a10..059402e 100644 --- a/software/sys_controller/ossc/avconfig.h +++ b/software/sys_controller/ossc/avconfig.h @@ -29,6 +29,7 @@ #define SYNC_VTH_MAX 31 #define VSYNC_THOLD_MIN 10 #define VSYNC_THOLD_MAX 200 +#define SD_SYNC_WIN_MAX 255 #define PLL_COAST_MAX 5 #define SL_MODE_MAX 2 @@ -50,6 +51,7 @@ typedef struct { alt_u8 ypbpr_cs; alt_u8 sync_vth; alt_u8 vsync_thold; + alt_u8 sd_sync_win; alt_u8 sync_lpf; alt_u8 video_lpf; alt_u8 en_alc; @@ -57,6 +59,7 @@ typedef struct { alt_u8 post_coast; alt_u8 edtv_l2x; alt_u8 interlace_pt; + alt_u8 def_input; } __attribute__((packed)) avconfig_t; int set_default_avconfig(); diff --git a/software/sys_controller/ossc/controls.c b/software/sys_controller/ossc/controls.c index 8b5f95f..9e3e615 100644 --- a/software/sys_controller/ossc/controls.c +++ b/software/sys_controller/ossc/controls.c @@ -89,10 +89,10 @@ void parse_control() int i; if (remote_code) - printf("RCODE: 0x%.4x, %u\n", remote_code, remote_rpt); + printf("RCODE: 0x%.4lx, %d\n", remote_code, remote_rpt); if (btn_code_prev == 0 && btn_code != 0) - printf("BCODE: 0x%.2x\n", btn_code>>16); + printf("BCODE: 0x%.2lx\n", btn_code>>16); for (i = RC_BTN1; i < REMOTE_MAX_KEYS; i++) { if (remote_code == rc_keymap[i]) diff --git a/software/sys_controller/ossc/firmware.h b/software/sys_controller/ossc/firmware.h index 251f298..fa6c6d9 100644 --- a/software/sys_controller/ossc/firmware.h +++ b/software/sys_controller/ossc/firmware.h @@ -23,7 +23,7 @@ #include "alt_types.h" #define FW_VER_MAJOR 0 -#define FW_VER_MINOR 71 +#define FW_VER_MINOR 72 #define FW_UPDATE_RETRIES 3 diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index 033f885..2bfd8d7 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -39,17 +39,18 @@ alt_u8 menu_active; static const char *off_on_desc[] = { "Off", "On" }; static const char *video_lpf_desc[] = { "Auto", "Off", "95MHz (HDTV II)", "35MHz (HDTV I)", "16MHz (EDTV)", "9MHz (SDTV)" }; static const char *ypbpr_cs_desc[] = { "Rec. 601", "Rec. 709" }; -static const char *s480p_mode_desc[] = { "Auto", "DTV 480p", "VGA 640x480" }; +static const char *s480p_mode_desc[] = { "Auto", "DTV 480p", "VESA 640x480@60" }; static const char *sync_lpf_desc[] = { "Off", "33MHz (min)", "10MHz (med)", "2.5MHz (max)" }; static const char *l3_mode_desc[] = { "Generic 16:9", "Generic 4:3", "320x240 optim.", "256x240 optim." }; static const char *tx_mode_desc[] = { "HDMI", "DVI" }; static const char *sl_mode_desc[] = { "Off", "Auto", "Manual" }; static const char *sl_type_desc[] = { "Horizontal", "Vertical", "Alternating" }; -static const char *sl_id_desc[] = { "Even", "Odd" }; +static const char *sl_id_desc[] = { "Top", "Bottom" }; static void sampler_phase_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%d deg", (v*1125)/100); } static void sync_vth_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%d mV", (v*1127)/100); } -static void vsync_thold_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%u.%u us", (unsigned)(((1000000U*v)/(clkrate[REFCLK_INTCLK]/1000))/1000), (unsigned)((((1000000U*v)/(clkrate[REFCLK_INTCLK]/1000))%1000)/100)); } +static void intclks_to_time_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%u.%.2u us", (unsigned)(((1000000U*v)/(clkrate[REFCLK_INTCLK]/1000))/1000), (unsigned)((((1000000U*v)/(clkrate[REFCLK_INTCLK]/1000))%1000)/10)); } +static void extclks_to_time_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%u.%.2u us", (unsigned)(((1000000U*v)/(clkrate[REFCLK_EXT27]/1000))/1000), (unsigned)((((1000000U*v)/(clkrate[REFCLK_EXT27]/1000))%1000)/10)); } static void sl_str_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%u%%", ((v+1)*625)/100); } static void lines_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%u lines", v); } static void pixels_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%u pixels", v); } @@ -69,7 +70,8 @@ MENU(menu_sampling, P99_PROTECT({ \ MENU(menu_sync, P99_PROTECT({ \ { "Analog sync LPF", OPT_AVCONFIG_SELECTION, { .sel = { &tc.sync_lpf, OPT_WRAP, SETTING_ITEM(sync_lpf_desc) } } }, { "Analog sync Vth", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sync_vth, OPT_NOWRAP, 0, SYNC_VTH_MAX, sync_vth_disp } } }, - { "Vsync threshold", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.vsync_thold, OPT_NOWRAP, VSYNC_THOLD_MIN, VSYNC_THOLD_MAX, vsync_thold_disp } } }, + { "Vsync threshold", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.vsync_thold, OPT_NOWRAP, VSYNC_THOLD_MIN, VSYNC_THOLD_MAX, intclks_to_time_disp } } }, + { "GlitchFilter len", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sd_sync_win, OPT_NOWRAP, 0, SD_SYNC_WIN_MAX, extclks_to_time_disp } } }, { "H-PLL Pre-Coast", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.pre_coast, OPT_NOWRAP, 0, PLL_COAST_MAX, lines_disp } } }, { "H-PLL Post-Coast", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.post_coast, OPT_NOWRAP, 0, PLL_COAST_MAX, lines_disp } } }, })) @@ -80,6 +82,7 @@ MENU(menu_output, P99_PROTECT({ \ { "480p/576p lineX2", OPT_AVCONFIG_SELECTION, { .sel = { &tc.edtv_l2x, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, { "480i/576i passtr", OPT_AVCONFIG_SELECTION, { .sel = { &tc.interlace_pt, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, { "TX mode", OPT_AVCONFIG_SELECTION, { .sel = { &tc.tx_mode, OPT_WRAP, SETTING_ITEM(tx_mode_desc) } } }, + { "Initial input", OPT_AVCONFIG_SELECTION, { .sel = { &tc.def_input, OPT_WRAP, SETTING_ITEM(avinput_str) } } }, })) MENU(menu_postproc, P99_PROTECT({ \ diff --git a/software/sys_controller/ossc/sysconfig.h b/software/sys_controller/ossc/sysconfig.h index 5915462..418f1be 100644 --- a/software/sys_controller/ossc/sysconfig.h +++ b/software/sys_controller/ossc/sysconfig.h @@ -25,6 +25,7 @@ #define ErrorF(...) #define printf(...) #else +#include #define OS_PRINTF printf #define ErrorF printf // use reduced printf diff --git a/software/sys_controller/tvp7002/tvp7002.c b/software/sys_controller/tvp7002/tvp7002.c index 7624d0e..61bf5af 100755 --- a/software/sys_controller/tvp7002/tvp7002.c +++ b/software/sys_controller/tvp7002/tvp7002.c @@ -205,7 +205,7 @@ void tvp_setup_hpll(alt_u16 h_samplerate, alt_u16 v_lines, alt_u8 hz, alt_u8 pll pclk_est = ((alt_u32)h_samplerate * v_lines * hz) / 1000; //in kHz - printf("Estimated PCLK: %u.%.3u MHz\n", pclk_est/1000, pclk_est%1000); + printf("Estimated PCLK: %lu.%.3lu MHz\n", pclk_est/1000, pclk_est%1000); if (pclk_est < 36000) { vco_range = 0; @@ -319,20 +319,13 @@ void tvp_set_alc(alt_u8 en_alc, video_type type) } } -void tvp_source_setup(alt_8 modeid, video_type type, alt_u8 en_alc, alt_u32 vlines, alt_u8 hz, alt_u8 pre_coast, alt_u8 post_coast, alt_u8 vsync_thold) +void tvp_setup_glitchstripper(video_type type, alt_u8 sd_winwidth) { - // Clamp position and ALC - tvp_set_clamp_position(type); - tvp_set_alc(en_alc, type); - - tvp_set_ssthold(vsync_thold); - - // Macrovision enable/disable, coast disable for RGBHV. - // Coast needs to be enabled when HSYNC is missing during VSYNC. Valid only for RGBHV? - // Macrovision should be enabled when serration pulses etc. present, so disable only for RGBHV. + // Setup Macrovision stripper and H-PLL coast. + // Coast needs to be enabled when HSYNC is missing during VSYNC. Disabled only for RGBHV. + // Macrovision stripper filters out glitches and serration pulses that may occur outside of sync window (HSYNC_lead +- TVP_MVSWIDTH*37ns). Enabled for all inputs. switch (type) { case VIDEO_PC: - //tvp_writereg(TVP_MISCCTRL4, 0x04); tvp_writereg(TVP_MISCCTRL4, 0x0C); tvp_writereg(TVP_MVSWIDTH, 0x03); break; @@ -340,15 +333,29 @@ void tvp_source_setup(alt_8 modeid, video_type type, alt_u8 en_alc, alt_u32 vlin tvp_writereg(TVP_MISCCTRL4, 0x08); tvp_writereg(TVP_MVSWIDTH, 0x0E); break; - case VIDEO_LDTV: - case VIDEO_SDTV: case VIDEO_EDTV: tvp_writereg(TVP_MISCCTRL4, 0x08); - tvp_writereg(TVP_MVSWIDTH, 0x88); // TODO: check mode + tvp_writereg(TVP_MVSWIDTH, 0x44); + break; + case VIDEO_LDTV: + case VIDEO_SDTV: + tvp_writereg(TVP_MISCCTRL4, 0x08); + tvp_writereg(TVP_MVSWIDTH, sd_winwidth); break; default: break; } +} + +void tvp_source_setup(alt_8 modeid, video_type type, alt_u8 en_alc, alt_u32 vlines, alt_u8 hz, alt_u8 pre_coast, alt_u8 post_coast, alt_u8 vsync_thold, alt_u8 sd_sync_win) +{ + // Clamp position and ALC + tvp_set_clamp_position(type); + tvp_set_alc(en_alc, type); + + tvp_set_ssthold(vsync_thold); + + tvp_setup_glitchstripper(type, sd_sync_win); tvp_setup_hpll(video_modes[modeid].h_total, vlines, hz, !!(video_modes[modeid].flags & MODE_PLLDIVBY2)); diff --git a/software/sys_controller/tvp7002/tvp7002.h b/software/sys_controller/tvp7002/tvp7002.h index 24e25e4..9df77c7 100755 --- a/software/sys_controller/tvp7002/tvp7002.h +++ b/software/sys_controller/tvp7002/tvp7002.h @@ -28,6 +28,7 @@ #define I2CA_BASE I2C_OPENCORES_0_BASE #define DEFAULT_VSYNC_THOLD 0x44 +#define DEFAULT_SD_SYNC_WIN 0x88 typedef enum { TVP_INPUT1 = 0, @@ -91,7 +92,9 @@ void tvp_set_sog_thold(alt_u8 val); void tvp_set_alc(alt_u8 en_alc, video_type type); -void tvp_source_setup(alt_8 modeid, video_type type, alt_u8 en_alc, alt_u32 vlines, alt_u8 hz, alt_u8 pre_coast, alt_u8 post_coast, alt_u8 vsync_thold); +void tvp_setup_glitchstripper(video_type type, alt_u8 sd_winwidth); + +void tvp_source_setup(alt_8 modeid, video_type type, alt_u8 en_alc, alt_u32 vlines, alt_u8 hz, alt_u8 pre_coast, alt_u8 post_coast, alt_u8 vsync_thold, alt_u8 sd_sync_win); void tvp_source_sel(tvp_input_t input, video_format fmt); diff --git a/software/sys_controller_bsp/settings.bsp b/software/sys_controller_bsp/settings.bsp index 54b51b9..a25b754 100644 --- a/software/sys_controller_bsp/settings.bsp +++ b/software/sys_controller_bsp/settings.bsp @@ -2,9 +2,9 @@ hal default - Jul 5, 2016 9:45:39 PM - 1467744339754 - ./ + Aug 11, 2016 10:54:37 PM + 1470945277261 + /home/markus/Code/ossc/software/sys_controller_bsp settings.bsp ../../sys.sopcinfo default diff --git a/sys.sopcinfo b/sys.sopcinfo index 2bb2aae..499971e 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1467742468 + 1470867102 false true false