From aa43991534422ee1ad13521135fe28d0f0de1e58 Mon Sep 17 00:00:00 2001 From: marqs Date: Mon, 30 Sep 2019 19:31:05 +0300 Subject: [PATCH] add mask color option --- ip/sc_config/inc/sc_config_regs.h | 3 +- ossc_sw_bsp.project | 71 +- rtl/scanconverter.v | 14 +- .../mem_init/sys_onchip_memory2_0.hex | 12643 ++++++++-------- software/sys_controller/ossc/av_controller.c | 2 + software/sys_controller/ossc/avconfig.h | 1 + software/sys_controller/ossc/menu.c | 2 + 7 files changed, 6367 insertions(+), 6369 deletions(-) diff --git a/ip/sc_config/inc/sc_config_regs.h b/ip/sc_config/inc/sc_config_regs.h index 3ce14e1..893e739 100644 --- a/ip/sc_config/inc/sc_config_regs.h +++ b/ip/sc_config/inc/sc_config_regs.h @@ -93,9 +93,10 @@ typedef union { typedef union { struct { alt_u8 mask_br:4; + alt_u8 mask_color:3; alt_u8 rev_lpf_str:5; alt_u8 panasonic_hack:1; - alt_u32 misc_rsv:22; + alt_u32 misc_rsv:19; } __attribute__((packed, __may_alias__)); alt_u32 data; } misc_config_reg; diff --git a/ossc_sw_bsp.project b/ossc_sw_bsp.project index 157fd75..665927d 100644 --- a/ossc_sw_bsp.project +++ b/ossc_sw_bsp.project @@ -1,5 +1,14 @@ + + + + + + + + /home/markus/Code/ossc/software + @@ -30,6 +39,9 @@ + + + @@ -43,6 +55,9 @@ + + + @@ -191,62 +206,6 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/rtl/scanconverter.v b/rtl/scanconverter.v index bcdb25f..1021a39 100644 --- a/rtl/scanconverter.v +++ b/rtl/scanconverter.v @@ -188,6 +188,7 @@ reg [9:0] H_L5BORDER; reg [9:0] H_L3BORDER; reg [6:0] H_L3_OPT_SAMPLE_COMP; reg [3:0] X_MASK_BR; +reg [2:0] X_MASK_COLOR; reg [5:0] X_REV_LPF_STR; reg [3:0] SL_L_STR[4:0] /* synthesis ramstyle = "logic" */; reg [3:0] SL_C_STR[5:0] /* synthesis ramstyle = "logic" */; @@ -690,9 +691,9 @@ begin G_out <= {8{lt_box_enable_pp[`PP_PIPELINE_LENGTH]}}; B_out <= {8{lt_box_enable_pp[`PP_PIPELINE_LENGTH]}}; end else if (border_enable_pp[`PP_PIPELINE_LENGTH]) begin - R_out <= {2{X_MASK_BR}}; - G_out <= {2{X_MASK_BR}}; - B_out <= {2{X_MASK_BR}}; + R_out <= X_MASK_COLOR[2] ? {2{X_MASK_BR}} : 8'h00; + G_out <= X_MASK_COLOR[1] ? {2{X_MASK_BR}} : 8'h00; + B_out <= X_MASK_COLOR[0] ? {2{X_MASK_BR}} : 8'h00; end end @@ -895,10 +896,11 @@ begin H_OPT_SAMPLE_MULT <= h_config2[12:10]; H_OPT_STARTOFF <= h_config2[9:0]; - X_REV_LPF_ENABLE <= (misc_config[8:4] != 5'b00000); - X_REV_LPF_STR <= (misc_config[8:4] + 6'd16); + X_PANASONIC_HACK <= misc_config[12]; + X_REV_LPF_ENABLE <= (misc_config[11:7] != 5'b00000); + X_REV_LPF_STR <= (misc_config[11:7] + 6'd16); + X_MASK_COLOR <= misc_config[6:4]; X_MASK_BR <= misc_config[3:0]; - X_PANASONIC_HACK <= misc_config[9]; SL_NO_ALTERN <= sl_config[31]; SL_METHOD <= sl_config[30]; diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 71836ed..a5d27c3 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -45,9 +45,9 @@ :04002C000000911728 :04002D00F5010113C5 :04002E000000871730 -:04002F0000C70713EC +:04002F000887071324 :0400300000008797AE -:040031005E4787930C +:040031006607879344 :0400320000F7576319 :04003300000720237F :04003400DDE30711F0 @@ -56,41 +56,41 @@ :04003700C4064501B5 :04003800200520057A :04003900A60367E1D2 -:04003A0067E10C4727 -:04003B000C87A58306 +:04003A0067E114075F +:04003B001447A5833E :04003C0040A267E196 -:04003D000CC7A50344 +:04003D001487A5037C :04003E00206F0131FD -:04003F00A3A1420037 +:04003F00A3A1422017 :0400400067E1808272 :04004100000207377B -:040042000CE7AA23FA +:0400420014E7A823F4 :0400430007B7655D39 :040044006761019C53 :04004500CC078793CA -:040046005A450513FF -:040047000CF7282367 +:040046005EC505137B +:0400470014F7262361 :040048006761AE49F5 -:040049000D07270375 +:0400490014C72703AE :04004A00A78367E140 -:04004B00557D0D478B +:04004B00557D1507C3 :04004C004721CB116C :04004D006741C3D86C :04004E00C798177DBB :04004F004711C7D8B6 :040050004501C3D8CB :0400510067E1808261 -:040052000D07A7836C +:0400520014C7A783A5 :04005300CF91557D77 :04005400A70367E1B6 -:0400550028230D4708 +:040055002823150740 :040056004B1C000738 :0400570007C24B4849 :0400580083C1054219 :0400590045138D5D61 :04005A008082FFF5AC :04005B00A50367E1B1 -:04005C0080820D078A +:04005C00808214C7C3 :04005D002703C93973 :04005E0011510C052B :04005F0000859793EE @@ -174,7 +174,7 @@ :0400AD00953E8626D0 :0400AE00CC3ACE1A60 :0400AF00C836CA166F -:0400B0001BA050EF52 +:0400B0001BC050EF32 :0400B10007B3101071 :0400B200C03E0096B6 :0400B3008793678543 @@ -273,7 +273,7 @@ :04011000C222853A48 :040111008436C40666 :0401120050EFC026C4 -:04011300872A030034 +:04011300872A032014 :0401140025B76605A0 :04011500652100025E :040116000613468105 @@ -341,7 +341,7 @@ :04015400D918DD5C7D :0401550002052A2352 :04015600C134DD10C3 -:040157000005859387 +:0401570007C58593C0 :0401580040A222019E :04015900853E478117 :04015A00808201316D @@ -408,7 +408,7 @@ :04019700BFD5078544 :04019800451CC11928 :0401990067E1EF919A -:04019A00FFC7A78371 +:04019A000787A783A9 :04019B001151C395A6 :04019C009782C4067C :04019D0047D940A25C @@ -419,7 +419,7 @@ :0401A200C188C3C885 :0401A3008082450110 :0401A4000513656179 -:0401A50047D96A05C7 +:0401A50047D971C500 :0401A6005529C11CFA :0401A70067338082B8 :0401A80053FD00B54E @@ -601,7 +601,7 @@ :04025800BF59013158 :04025900C22211515B :04025A0004136461C4 -:04025B0045830E8445 +:04025B00458316447D :04025C004515002420 :04025D003749C40653 :04025E0000244783AE @@ -677,9 +677,9 @@ :0402A400359D0C5028 :0402A50064E14702C7 :0402A6008693479262 -:0402A700C6980E8463 +:0402A700C69816449B :0402A80084934705EF -:0402A90044090E8472 +:0402A90044091644AA :0402AA008363462202 :0402AB00442900E7FB :0402AC00822347055D @@ -859,12 +859,12 @@ :04035A008432C036F3 :04035B003669CA062F :04035C00676146820D -:04035D000E870613EE +:04035D001647061326 :04035E0097938A8562 :04035F0046830016BB :040360009AF500C644 :0403610007938EDD93 -:0403620006230E87D9 +:040362000623164711 :04036300C03E00D6C2 :04036400C2998A8927 :040365003F494505C2 @@ -905,11 +905,11 @@ :0403880047C104F471 :040389009F6365E128 :04038A00460D14F414 -:04038B0000858593D1 +:04038B000845859309 :04038C00073005131E :04038D0065DD3CC925 :04038E0085934649C4 -:04038F00A8356A859E +:04038F00A8356F0519 :040390000405E593E8 :04039100E593BFA58C :04039200BF8D08050E @@ -920,11 +920,11 @@ :040397007413FA04DD :04039800B7750FF432 :04039900460D65E1C7 -:04039A0000C5859382 +:04039A0008858593BA :04039B00073005130F :04039C0065DD3C5986 :04039D0085934649B5 -:04039E0005136BC513 +:04039E00051370458E :04039F00F0EF076014 :0403A0004489A89F45 :0403A1000720051319 @@ -986,17 +986,17 @@ :0403D9000161450574 :0403DA0065E18082D7 :0403DB008593460DB3 -:0403DC00051300C540 +:0403DC000513088578 :0403DD00F0EF073006 :0403DE0065DD991F21 :0403DF008593464973 -:0403E000BDE56945C9 +:0403E000BDE56DC545 :0403E1008593460DAD -:0403E200051300857A +:0403E20005130845B2 :0403E300F0EF073000 :0403E40065DD979F9D :0403E500859346496D -:0403E600B5C568052C +:0403E600B5C56C85A8 :0403E7004481440108 :0403E80045E1B5D561 :0403E90006200513D2 @@ -1021,8 +1021,8 @@ :0403FC000131059036 :0403FD00905FF06FAE :0403FE00879367DD9D -:0403FF0067615A4791 -:040400000EF72C23A4 +:0403FF0067615EC70D +:0404000016F72A239E :040401000C87A703BA :04040200F38005136B :04040300A703CB017F @@ -1031,7 +1031,7 @@ :04040600450100F7B5 :0404070067E18082A7 :0404080085AA86AE8D -:040409000F87A503B1 +:040409001747A503E9 :04040A00C4061151C2 :04040B00BF0FF0EF40 :04040C000513C119FA @@ -1043,7 +1043,7 @@ :0404120086AA0FF4B3 :040413000622872E08 :04041400C38564E157 -:040415000F84A503A8 +:040415001744A503E0 :04041600F0047593E6 :04041700F0EF05A25B :04041800C1199D8FDA @@ -1051,7 +1051,7 @@ :04041A00444240D246 :04041B00016144B285 :04041C00C22A8082EE -:04041D000F84A503A0 +:04041D001744A503D8 :04041E0085B2C42EB1 :04041F00F0EFC03208 :04042000460296CF2B @@ -1097,19 +1097,19 @@ :040448000014B613D3 :04044900853685BAB5 :04044A0020EFC236A7 -:04044B00872A71F09B +:04044B00872A72107A :04044C001004849381 :04044D00B77D46929F :04044E00C422114172 :04044F00C02A6461FA -:040450000FC40513BD +:0404500017840513F5 :0404510040EFC606AC -:04045200458205607A +:04045200458205805A :040453000713E90999 :0404540046812000BD :040455000513460144 -:0404560040EF0FC4A0 -:0404570040B218A0F7 +:0404560040EF1784D8 +:0404570040B218C0D7 :0404580001414422F8 :04045900112180826B :04045A00C626C822C8 @@ -1124,9 +1124,9 @@ :040463000103171367 :04046400834167E188 :040465008622468124 -:040466000FC7851324 +:04046600178785135C :04046700C21AC41ED3 -:04046800144040EF0D +:04046800146040EFED :0404690043A2431255 :04046A000533C9018C :04046B0040D240A09B @@ -1141,13 +1141,13 @@ :0404740084B393BA00 :04047500B7454064E3 :04047600656165E176 -:0404770014C5859390 -:040478001145051312 -:040479000CE0306FF4 +:040477001C858593C8 +:04047800190505134A +:040479000D00306FD3 :04047A00656165E172 -:04047B001C45859304 -:04047C0012850513CD -:04047D000BE0306FF1 +:04047B00240585933C +:04047C001A45051305 +:04047D000C00306FD0 :04047E00C40611514E :04047F00842AC222E7 :040480009B5FF0EF9F @@ -1155,13 +1155,13 @@ :04048200A9DFF0EF0F :04048300056347893D :04048400646102F4B9 -:040485001DC404137B +:0404850025840413B3 :04048600460367E1E1 -:040487004583036442 -:04048800A503035471 -:04048900F0EF10C7B9 +:040487004583037432 +:04048800A503036461 +:04048900F0EF1887F1 :04048A004503FF2FF8 -:04048B0044120354C0 +:04048B0044120364B0 :04048C00013140A258 :04048D00DFAFF06F7E :04048E00441240A232 @@ -1174,9 +1174,9 @@ :040495004501458157 :04049600975FF0EF8D :040497000793646102 -:04049800C50316047E +:04049800C5031DC4B7 :04049900478501078B -:04049A00160404132D +:04049A001DC4041366 :04049B0000A0353355 :04049C00460105050B :04049D0000F4936371 @@ -1186,17 +1186,17 @@ :0404A100AD7FF0EF4C :0404A200896347899A :0404A300636102F49B -:0404A4001DC303135E +:0404A4002583031396 :0404A50000F4478395 -:0404A60002334703D3 +:0404A60002434703C3 :0404A70001144503F4 :0404A800009035B3D8 :0404A9004601468141 :0404AA00F0EF0586E4 :0404AB0067E1D12F05 -:0404AC001DC78313D2 -:0404AD00023347834C -:0404AE0004F400232F +:0404AC00258783130A +:0404AD00024347833C +:0404AE0004F400A3AF :0404AF003F2D852632 :0404B00040A2441210 :0404B100450144823B @@ -1204,86 +1204,86 @@ :0404B3001151A5FF3F :0404B400C222C40696 :0404B50067E1E559BD -:0404B600160787930B +:0404B6001DC7879344 :0404B700043743D4EF :0404B8000413019C8C :0404B9005433CC04E8 :0404BA00868302D45F :0404BB00467100A7DF :0404BC00879367E1DA -:0404BD0086B32907D2 +:0404BD0086B330C70B :0404BE0097B602C625 :0404BF0000E7D783F8 :0404C000043346A11A :0404C10067E102F4F9 -:0404C2001397C78342 +:0404C2001B57C7837A :0404C30002D78D636C :0404C400896346C141 :0404C500471100D704 :0404C60004E7916353 -:0404C7005B0030EFB7 +:0404C7005B2030EF97 :0404C800A829450515 :0404C90001C9C7B7E7 :0404CA0037F78793E6 :0404CB00E363872A36 :0404CC00473D008721 :0404CD0030EF853A4D -:0404CE00450D59601F +:0404CE00450D5980FF :0404CF0040A24412F1 :0404D000306F013157 -:0404D10030EF1520D3 -:0404D200B537586082 +:0404D10030EF1540B3 +:0404D200B537588062 :0404D300051304C445 :0404D40035333FF588 :0404D5000509008590 :0404D60030EFB7D577 -:0404D7004501572064 +:0404D7004501574044 :0404D80067E1BFF128 -:0404D9001DC78713A1 -:0404DA0002D746837C +:0404D90025878713D9 +:0404DA0002E746836C :0404DB008413470936 -:0404DC00453D1DC7B6 +:0404DC00453D2587EE :0404DD0000E683634F :0404DE0030EF4501B5 -:0404DF0047835520DA -:0404E000470902D4F2 +:0404DF0047835540BA +:0404E000470902E4E2 :0404E1007BE3450D67 :0404E2004515FAF7CB :0404E30075138D1DE3 :0404E400B76D0FF5EC :0404E500F8634785EC :0404E60067E100A723 -:0404E7001397C5039F +:0404E7001B57C503D7 :0404E8003513156152 :0404E90047E10015D2 :0404EA0002F50533DF :0404EB00879367DDAF -:0404EC00953E0DC765 -:0404ED004200306F2A +:0404EC00953E1247E0 +:0404ED004220306F0A :0404EE002603676119 -:0404EF0011010107EF +:0404EF00110108C728 :0404F000CE0667E1EC :0404F100CA26CC2229 :0404F2006A0787937B :0404F3000036468306 :0404F400E299829D6A :0404F500FBFD17FDF7 -:0404F600768030EFED +:0404F60076A030EFCD :0404F700869367E1A0 -:0404F800C58316079B +:0404F800C5831DC7D4 :0404F900C23E00B649 -:0404FA0016078493CA +:0404FA001DC7849303 :0404FB0082AA67E189 -:0404FC00010787135A +:0404FC0008C7871393 :0404FD004314C5914E :0404FE000026C7838A :0404FF000037F5933A :04050000037005136C :04050100C62EC81624 -:0405020010A030EF26 +:0405020010C030EF06 :040503000513C02AF2 :0405040030EF038051 -:04050500430210009D +:04050500430210207D :0405060016936605DD :040507000613008552 :040508008EF1F0067A @@ -1293,11 +1293,11 @@ :04050C000390051340 :04050D0084A3C036CD :04050E0030EF00C406 -:04050F00C42A0D806D +:04050F00C42A0DA04D :0405100003A005132C -:040511000CE030EFDB +:040511000D0030EFBA :04051200871367E103 -:040513004310010789 +:04051300431008C7C2 :04051400468245B224 :040515000026470372 :04051600531342C277 @@ -1322,11 +1322,11 @@ :04052900C30347853C :04052A00666100B452 :04052B0006136761EB -:04052C0004130D8621 -:04052D001D631DC766 -:04052E008C632403B3 -:04052F008A63440295 -:0405300005834405F6 +:04052C000413154659 +:04052D001D6325879E +:04052E0082632403BD +:04052F00806346029D +:0405300005834605F4 :040531004309000674 :0405320022B35F632E :04053300000600239B @@ -1339,15 +1339,15 @@ :04053A00633300A384 :04053B00471200E380 :04053C00260365E14C -:04053D000513160785 +:04053D0005131DC7BE :04053E006D630016D3 :04053F00851300D54B :0405400069630016D5 :0405410040D000C5E1 :0405420000661663D6 :040543000084C60367 -:0405440040F6046316 -:040545001C058023EE +:0405440040F60A6310 +:0405450022058E23DA :040546000194458354 :040547000364C60380 :040548000AC59063ED @@ -1378,30 +1378,30 @@ :040561000184458349 :040562000354C60375 :0405630002C59A63D0 -:040564000264458365 -:040565000434C60391 +:040564000274458355 +:040565000444C60381 :0405660002C59463D3 -:040567000274458352 -:040568000444C6037E +:040567000284458342 +:040568000454C6036E :0405690000C59E63C8 -:04056A0003144583AE -:04056B0004E4C603DB +:04056A00032445839E +:04056B0004F4C603CB :04056C0000C59863CB -:04056D00038445833B -:04056E000554C60367 +:04056D00039445832B +:04056E000564C60357 :04056F0000C58C63D4 :040570004589470270 :04057100000146033C :0405720000B77363F8 :0405730077134609AB :04057400C03A0FF684 -:040575000244458374 -:040576000414C603A0 +:040575000254458364 +:040576000424C60390 :0405770002C58D63C9 :0405780000A4860352 :04057900063345F10F :04057A0065E102B67F -:04057B002905859336 +:04057B0030C585936F :04057C004A10962E5D :04057D0020D00593F2 :04057E007613821559 @@ -1412,13 +1412,13 @@ :04058300460900B76E :040584000FF67713E4 :040585004583C03AB0 -:04058600C603025452 -:040587008D63042458 +:04058600C603026442 +:040587008D63043448 :04058800860302C51F :0405890045F100A494 :04058A0002B606337C :04058B00859365E10E -:04058C00962E290579 +:04058C00962E30C5B2 :04058D0005934A1078 :04058E0082151C10A6 :04058F007FF676136A @@ -1429,14 +1429,14 @@ :04059400771346098A :04059500C03A0FF663 :040596004603676150 -:04059700CE411D67CD +:04059700CE41252705 :0405980000A48383B5 :0405990062E1467164 :04059A0002C383B362 -:04059B002902861398 +:04059B0030C28613D1 :04059C000124C583EE :04059D00C0834515BD -:04059E0093B20434DC +:04059E0093B20444CC :04059F000103A603AB :0405A00006338A7D17 :0405A100063302B665 @@ -1455,14 +1455,14 @@ :0405AE008A05820533 :0405AF00C436C61A6E :0405B00030EFC83E22 -:0405B100860300803D +:0405B100860300A01D :0405B20045F100A46B :0405B300063367E1C3 :0405B400829302B676 -:0405B500C5832907CA +:0405B500C58330C703 :0405B60092B20124D8 :0405B7000162C50315 -:0405B800290030EFF7 +:0405B800292030EFD7 :0405B90089A34702C9 :0405BA0046A200A4B1 :0405BB0047C24332BE @@ -1470,4716 +1470,4716 @@ :0405BD004712C03AE7 :0405BE000064A22310 :0405BF0000F484239D -:0405C00016D7202307 +:0405C0001CD72E23F3 :0405C1000585A03DCF :0405C20000B600235C :0405C300B3F1C002CE :0405C400000284634A -:0405C5001E059F630D +:0405C5002005956315 :0405C6000006030325 -:0405C700556353F134 +:0405C7005B6353F12E :0405C80047111E7346 :0405C9000006002305 :0405CA00000485A301 :0405CB0096E3C03AB9 :0405CC0067E1DA0504 -:0405CD001DC7C6037D +:0405CD002587C603B5 :0405CE0001D4C6830B -:0405CF000CD61463CF +:0405CF000CD61A63C9 :0405D00000144603CA :0405D10001E4C683F8 -:0405D2000AD61E63C4 +:0405D2000CD61463CC :0405D30000244603B7 :0405D40001F4C683E5 -:0405D5000AD61863C7 +:0405D5000AD61E63C1 :0405D60000344603A4 :0405D7000204C683D1 -:0405D8000AD61263CA +:0405D8000AD61863C4 :0405D9000064460371 :0405DA000234C6839E -:0405DB0008D61C63BF +:0405DB000AD61263C7 :0405DC00656165E10F :0405DD0085934615A7 -:0405DE000513185594 -:0405DF0030EF1E4596 -:0405E000E1494D7030 +:0405DE0005132015CC +:0405DF0030EF2605CE +:0405E000E5594D90FC :0405E100656165E10A :0405E200859346199E -:0405E300051318A53F -:0405E40030EF1E9541 -:0405E500E53D4C3074 +:0405E3000513206577 +:0405E40030EF265579 +:0405E500ED2D4C505C :0405E6000044460384 :0405E7000214C683B1 -:0405E80006D61263BE +:0405E80006D61863B8 :0405E9000054460371 :0405EA000224C6839E -:0405EB0004D61C63B3 +:0405EB0006D61263BB :0405EC00007446034E :0405ED000244C6837B -:0405EE0004D61663B6 +:0405EE0004D61C63B0 :0405EF0001F44603CA :0405F00003C4C683F7 -:0405F10004D61063B9 +:0405F10004D61663B3 :0405F20002044603B6 :0405F30003D4C683E4 -:0405F40002D61A63AE +:0405F40004D61063B6 :0405F50002144603A3 :0405F60003E4C683D1 -:0405F70002D61463B1 -:0405F80001E44603D1 -:0405F90003B4C683FE -:0405FA0000D61E63A6 -:0405FB00034446036C -:0405FC000514C68399 -:0405FD0000D61863A9 -:0405FE000334460379 -:0405FF000504C683A6 -:0406000000D60663B7 -:04060100E3994782B0 -:04060200C03E47852A -:040603000294450315 -:040604000464C68341 -:0406050000A6846364 -:04060600190030EFB8 -:0406070002A4450301 -:040608000474C6832D -:0406090000A6846360 -:04060A00629020EFEB -:04060B0002B44503ED -:04060C000484C68319 -:04060D0000A684635C -:04060E00623020EF47 -:04060F0002E44503B9 -:0406100004B4C683E5 -:0406110002F4458327 -:0406120000A6966345 +:0405F70002D61A63AB +:0405F8000224460390 +:0405F90003F4C683BE +:0405FA0002D61463AE +:0405FB0001E44603CE +:0405FC0003B4C683FB +:0405FD0000D61E63A3 +:0405FE000354460359 +:0405FF000524C68386 +:0406000000D61863A5 +:040601000344460365 +:040602000514C68392 +:0406030000D60663B4 +:04060400E3994782AD +:04060500C03E478527 +:0406060002A4450302 +:040607000474C6832E +:0406080000A6846361 +:04060900186030EF56 +:04060A0002B44503EE +:04060B000484C6831A +:04060C0000A684635D +:04060D0061F020EF89 +:04060E0002C44503DA +:04060F000494C68306 +:0406100000A6846359 +:04061100619020EFE5 +:0406120002F44503A6 :0406130004C4C683D2 -:0406140000B6846345 -:040615005DF020EF85 -:040616000284450312 -:040617000454C6833E -:0406180000A6846351 -:04061900B31FF0EF2C -:04061A0002D44503BE -:04061B0004A4C683EA -:04061C0000A684634D -:04061D00A5BFF0EF96 -:04061E0002C44503CA -:04061F000494C683F6 -:0406200000A6846349 -:0406210006C030EFF0 -:0406220065E166E147 -:0406230021568513C4 -:040624008593461D57 -:0406250030EF1B6532 -:0406260066E13BF05E -:040627008513C50969 -:0406280020EF215648 -:0406290046035C1018 -:04062A00C68303542C -:04062B001863052427 -:04062C00460300D6AB +:040614000304458313 +:0406150000A6966342 +:0406160004D4C683BF +:0406170000B6846342 +:040618005D5020EF22 +:0406190002944503FF +:04061A000464C6832B +:04061B0000A684634E +:04061C00B25FF0EFEA +:04061D0002E44503AB +:04061E0004B4C683D7 +:04061F0000A684634A +:04062000A4FFF0EF54 +:0406210002D44503B7 +:0406220004A4C683E3 +:0406230000A6846346 +:04062400062030EF8D +:0406250065E166E144 +:0406260029268513E9 +:040627008593461D54 +:0406280030EF233557 +:0406290066E13B50FB +:04062A008513C50966 +:04062B0020EF29266D +:04062C0046035B70B6 :04062D00C683036419 -:04062E000663053426 -:04062F00450300D6A9 -:04063000F0EF0224C1 -:0406310066E1937F6C -:040632001D76C683E8 -:040633004503CE812C -:04063400C703037481 -:04063500076305440E -:04063600155100A7B3 -:040637008561056272 -:04063800087020EF37 -:04063900859367E15D -:04063A0085131DC740 -:04063B00061301D4CD -:04063C0030EF041087 -:04063D0040F23890BF -:04063E0067E14462CA -:04063F001C078B23E6 -:0406400044D2450259 -:04064100808261054D -:040642000023137D01 -:04064300C00200668B -:040644000023BD3999 -:04064500BFE5000607 -:040646001C05C603C6 -:040647000DE3450575 -:040648000605BEA63F -:040649000FF676131F -:04064A001CC5802328 -:04064B00BEA616E34E -:04064C004589470293 -:04064D00000146035F -:04064E0000B773631B -:04064F0077134609CE -:04065000C03A0FF6A7 -:040651000113BED102 -:040652006761FC815F -:04065300D826DA22A9 -:0406540016070793EB -:0406550000A78483F3 -:040656006561467123 -:0406570002C4863320 -:0406580029050693D7 -:0406590001E7C5836D -:04065A000127C2832F -:04065B001607071364 -:04065C0096B244010D -:04065D0000C6D603FA -:04065E000146C3038B -:04065F00C683CA3252 -:04066000CC3601365D -:040661000227C68323 -:0406620000C7C78383 -:040663000693D436F0 -:04066400C23E290564 -:04066500F793CE3603 -:04066600EB950FD52C -:04066700478356A2CD -:04066800C2990247EA -:04066900A00546851D -:04066A00468D461261 -:04066B0000D60B6347 -:04066C0046114512DC -:04066D0010C5086349 -:04066E0015E34689C1 -:04066F000786FED527 -:040670004692B7D522 -:0406710097B30786AE -:04067200F41300F687 -:0406730086930FF764 -:04067400F693FFF505 -:0406750047850FF6B0 -:04067600E063C0027B -:04067700469102D7CF -:0406780002474603EC -:040679000E56E363D3 -:04067A00FFF2869372 -:04067B0002C686B37A -:04067C0000D796B35A -:04067D000FF6F793EA -:04067E004783C03EB0 -:04067F004681023777 -:04068000C83EC402AA -:040681009513438DFD -:040682009A63002651 -:0406830007B30C7538 -:04068400C78300D751 -:04068500861302577F -:040686008A3DFFF7B3 -:0406870000A6163380 -:0406880037B345221D -:0406890097B300F033 -:04068A008E4900D7BE -:04068B00C4328FC125 -:04068C000FF7F4135D -:04068D004795068502 -:04068E00FCF697E3FC -:04068F00C6024681D8 -:040690009513438DEE -:040691009363002649 -:0406920007B30A752B -:04069300C78300D742 -:04069400861302A720 -:040695008A3DFFF7A4 -:0406960000A6163371 -:0406970037B34532FE -:0406980097B300F024 -:040699008E4900D7AF -:04069A004602C6321C -:04069B00F7938FD171 -:04069C00C03E0FF756 -:04069D0047990685EE -:04069E00FCF695E3EE -:04069F0087B347F1E5 -:0406A00046F202F428 -:0406A1004F9C97B61D -:0406A2008B85D00272 -:0406A3004792CB812E -:0406A4004783C79130 -:0406A500B7930217EE -:0406A600D03E00172B -:0406A70001D74783AD -:0406A8009C63468584 -:0406A900479204D799 -:0406AA0047F1CB99B0 -:0406AB0002F487B31B -:0406AC0097B646F2C5 -:0406AD0046914BDC4B -:0406AE00916383F5DC -:0406AF00C00204D7AA -:0406B000A82D44012C -:0406B10002D787B332 -:0406B2008513BDFDF2 -:0406B3000633FFE229 -:0406B400450D02C529 -:0406B50000C5163333 -:0406B6000FF6779331 -:0406B70047C2BF3146 -:0406B80000A79533CF -:0406B9008FC947A2FC -:0406BA00B7A9C43EDA -:0406BB00953347C26A -:0406BC0047B200A79A -:0406BD00C63E8FC9DD -:0406BE00D3F1BFB500 -:0406BF0067914F0CE4 -:0406C00032F580632C -:0406C10002B7E66333 -:0406C200100006938B -:0406C3008D6347916B -:0406C400668902D56C -:0406C5008963478D71 -:0406C600069302D5C0 -:0406C7009F63080025 -:0406C800468332D55E -:0406C9008F9503B74F -:0406CA00F793078615 -:0406CB00A8290FF754 -:0406CC00001007B75C -:0406CD002EF58B6318 -:0406CE00020007B768 -:0406CF002EF58B6316 -:0406D000479966A13F -:0406D10030D59C6321 -:0406D200C60366E114 -:0406D30046A1139693 -:0406D40000D61863D1 -:0406D5000013169365 -:0406D6000106931373 -:0406D70001035313B5 -:0406D800014746830D -:0406D900C8324605D8 -:0406DA000066F7635C -:0406DB0040D306B34F -:0406DC0082C106C20F -:0406DD004571C83665 -:0406DE0002A48533BA -:0406DF0040F286B3AC -:0406E00046F2D636D2 -:0406E1004383460900 -:0406E20074E1035765 -:0406E30013FD95A6C8 -:0406E400007033B3BC -:0406E5002303953620 -:0406E60086B3008552 -:0406E70003F640F2E4 -:0406E8000153531354 -:0406E90002D306B37F +:04062E001863053414 +:04062F00460300D6A8 +:04063000C683037406 +:040631000663054413 +:04063200450300D6A6 +:04063300F0EF0234AE +:0406340066E192BF2A +:040635002536C6831D +:040636004503CE8129 +:04063700C70303846E +:0406380007630554FB +:04063900155100A7B0 +:04063A00856105626F +:04063B0007D020EFD5 +:04063C00859367E15A +:04063D008513258775 +:04063E00061301D4CA +:04063F0030EF042074 +:0406400040F237F05D +:0406410067E14462C7 +:0406420024078923DD +:0406430044D2450256 +:04064400808261054A +:040645000023137DFE +:04064600C002006688 +:040647000023BD09C6 +:04064800BFE5000604 +:0406490023C5C603FC +:04064A0007E3450578 +:04064B000605BEA63C +:04064C000FF676131C +:04064D0022C58E2311 +:04064E00BEA610E351 +:04064F004589470290 +:04065000000146035C +:0406510000B7736318 +:0406520077134609CB +:04065300C03A0FF6A4 +:040654000113B6E1F7 +:0406550067E1FC81DC +:04065600D826DA22A6 +:040657001DC7871321 +:0406580000A7048370 +:040659006561467120 +:04065A0002C486331D +:04065B0030C506930D +:04065C0001E74583EA +:04065D0001274283AC +:04065E001DC787939A +:04065F0030C503138C +:04066000D60396B275 +:04066100C40300C608 +:04066200CC3201464F +:040663000136C68313 +:04066400CE36C002CC +:04066500022746839F +:0406660000C747037F +:04066700C43AD43687 +:040668000FD5F713A0 +:04066900C703EB15C3 +:04066A00C2990247E8 +:04066B00A00546851B +:04066C00468D46224F +:04066D0000D60B6345 +:04066E0046114522CA +:04066F0010C5096346 +:0406700015E34689BF +:040671000706FED5A5 +:0406720046A2B7D510 +:0406730097330706AC +:04067400771300E612 +:04067500C03A0FF781 +:04067600FFF5869373 +:040677000FF6F693F1 +:04067800C20247056E +:0406790002D76063E1 +:04067A00C6034691DC +:04067B00E3630247EC +:04067C0086930E56FD +:04067D0086B3FFF24F +:04067E0016B302C6E7 +:04067F00F71300D796 +:04068000C23A0FF675 +:040681000237C70372 +:04068200C6024681E5 +:04068300438DCA3A9F +:0406840000269513A4 +:040685000C759A63F3 +:0406860000D78733DF +:0406870002574703CC +:04068800FFF706135F +:0406890016338A3D5D +:04068A00453200A64F +:04068B0000E0373321 +:04068C0000D7173349 +:04068D00C6328E499A +:04068E008F51460240 +:04068F000FF77713D7 +:040690000685C03AE1 +:0406910095E3471591 +:040692004681FCE6BB +:04069300438DC802C9 +:040694000026951394 +:040695000A759163EE +:0406960000D78733CF +:0406970002A747036C +:04069800FFF706134F +:0406990016338A3D4D +:04069A00454200A62F +:04069B0000E0373311 +:04069C0000D7173339 +:04069D00C8328E4988 +:04069E008F51461220 +:04069F000FF77713C7 +:0406A0000685C23ACF +:0406A10095E347197D +:0406A2004771FCE6BA +:0406A30002E48733B3 +:0406A4004F18971A3A +:0406A5008B05D002EF +:0406A6004722CB011B +:0406A700C703C711AD +:0406A80037130217EB +:0406A900D03A00172C +:0406AA0001D7C703AA +:0406AB001B63468502 +:0406AC00472204D706 +:0406AD004771CB11B5 +:0406AE0002E48733A8 +:0406AF00971A4691BF +:0406B00083754B58AB +:0406B10004D71163F6 +:0406B200C002C202BE +:0406B3000733A82D34 +:0406B400BDF502D7B7 +:0406B500FFE28513C8 +:0406B60002C5063340 +:0406B7001633450DA4 +:0406B800771300C5EF +:0406B900BF310FF648 +:0406BA00153347525B +:0406BB00473200A71B +:0406BC00C63A8F4962 +:0406BD004752B7B930 +:0406BE0000A7153349 +:0406BF008F494742D6 +:0406C000B741C83A3C +:0406C1004F8CD37116 +:0406C2008C636711CD +:0406C300666330E555 +:0406C400069302B7E0 +:0406C50047111000C9 +:0406C60002D58D6369 +:0406C700470D6689EC +:0406C80002D589636B +:0406C900080006938C +:0406CA0032D59B6327 +:0406CB0003B7C68328 +:0406CC0007068F1579 +:0406CD000FF7771399 +:0406CE000737A82919 +:0406CF00876300102D +:0406D00007372EE5D5 +:0406D1008763020039 +:0406D20066A12EE50A +:0406D30098634719C8 +:0406D40066E130D5D6 +:0406D5001B56C603E7 +:0406D600156346A1C1 +:0406D700040600D63F +:0406D8008041044217 +:0406D9000147C6838C +:0406DA00CA324605D5 +:0406DB000086F7633B +:0406DC0040D406B34D +:0406DD0082C106C20E +:0406DE004571CA3662 +:0406DF0002A48533B9 +:0406E00040E286B3BB +:0406E1004609D636BA +:0406E2000357C38374 +:0406E30095A674E183 +:0406E40013FD44D2EC +:0406E500007033B3BB +:0406E6002303951A3B +:0406E70003F6008591 +:0406E800029284B343 +:0406E9000153531353 :0406EA000015B593AF -:0406EB0005F244C20E -:0406EC0002C6C6B3C9 -:0406ED0082C106C2FE -:0406EE004683D23637 -:0406EF00561203C7D5 -:0406F000029284B33B -:0406F10002D786B3F3 -:0406F20007C28B9D13 -:0406F3000FF4F49379 -:0406F40096B204D2E4 -:0406F5000125460392 -:0406F6000253033375 -:0406F7007FF6F69301 -:0406F8004603CE32B5 -:0406F90006CE00D752 -:0406FA00067A8EDD11 -:0406FB0000766633EC -:0406FC0046728DD1E4 -:0406FD008DC547C29E -:0406FE0002C283B3FE -:0406FF000137460376 -:040700007FF37313FD -:040701000207448324 -:0407020006368A1D10 -:0407030046728ED1DB -:04070400F39388855E -:0407050003AE1FF32D -:040706000075E5B3E2 -:040707000065E33373 -:040708000072F593F3 -:0407090000C782B3F0 -:04070A0005AA57B233 -:04070B0082B38ECD5A -:04070C00579202F20C -:04070D000155460349 -:04070E0006468A1DF4 -:04070F00F29392BE11 -:0407100047833FF2EA -:04071100E2B303D775 -:0407120046920056B5 -:0407130003F7F7935E -:0407140006F607D20C -:0407150046E28FD554 -:0407160046038FD136 -:04071700F69303E76B -:04071800959303F6BC -:0407190046D200B60E -:04071A008A3D8FCDB8 -:04071B007FF6F693DC -:04071C0000D7E533EA -:04071D0005174783F2 -:04071E000507468302 -:04071F0007928BFDB5 -:040720008FD18A8566 -:040721008EDD06A6BD -:04072200460347A2A1 -:04072300971301F730 -:04072400959301E4C4 -:04072500779300C7FF -:0407260007D201F401 -:040727008A7D81B195 -:040728008DDD0666F7 -:0407290057828DD195 -:04072A0000E5E4B34F -:04072B00800007370C -:04072C00FFF7471379 -:04072D008CF907FE3E -:04072E0047B28CDD65 -:04072F000087941398 -:04073000802147825B -:0407310003F7F79340 -:040732008C5D07E2F1 -:040733008C7957A2C4 -:040734008C5D07FED3 -:04073500A70367E1CE -:0407360077930107AD -:0407370046030FF373 -:04073800062300C7CD -:04073900579300F7DB -:04073A00F7930083AE -:04073B0046030FF76B -:04073C0006A300D739 -:04073D00579300F7D7 -:04073E00F793010329 -:04073F0046030FF767 -:04074000072300E7A4 -:04074100478300F7F3 -:04074200531300F756 -:0407430007A3018384 -:04074400F7930067C0 -:0407450046030FF266 -:04074600082301077C -:04074700D79300F74D -:04074800F7930082A1 -:0407490046030FF75D -:04074A0008A30117E8 -:04074B00D79300F749 -:04074C00F79301021C -:04074D0046030FF759 -:04074E000923012753 -:04074F00478300F7E5 -:04075000D293013708 -:0407510009A3018275 -:040752007793005742 -:0407530046030FF555 -:040754000A2301472C -:04075500579300F7BF -:04075600F793008590 -:0407570046030FF74F -:040758000AA3015798 -:04075900579300F7BB -:04075A00F79301050B -:04075B0046030FF74B -:04075C000B23016703 -:04075D00478300F7D7 -:04075E00816101773D -:04075F0000A70BA341 -:040760000FF6F79306 -:0407610001874603C3 -:0407620000F70C236D -:040763000086D793A2 -:0407640001974603B0 -:0407650000F70CA3EA -:0407660001A747831D -:0407670000070D2357 -:0407680001B747830B -:04076900F79382E19F -:04076A000DA30FF4D8 -:04076B00468300D7EA -:04076C000E2301C790 -:04076D00D79300F727 -:04076E00F793008479 -:04076F0046830FF7B7 -:040770000EA301D7FC -:04077100D79300F723 -:04077200F7930104F4 -:0407730046830FF7B3 -:040774000F2301E767 -:04077500478300F7BF -:0407760080E101F726 -:0407770000970FA335 -:040778000FF4779370 -:0407790002074683AA -:04077A0002F700235F -:04077B00008457930C -:04077C000FF7F793E9 -:04077D000217468396 -:04077E0002F700A3DB -:04077F000104579387 -:040780000FF7F793E5 -:040781000227468382 -:04078200012380616E -:04078300478302F7AF -:0407840001A3023794 -:040785005452028741 -:04078600011354C245 -:0407870080820381E8 -:0407880003B74683EA -:040789008F95479170 -:04078A004683B309E6 -:04078B00479503B7D4 -:04078C004683BFDD04 -:04078D00479903B7CE -:04078E00F7938F95B9 -:04078F0046830FF797 -:0407900093E3035795 -:0407910046D2D00676 -:0407920006C216A1E4 -:04079300CA3682C11F -:0407940006B146E282 -:0407950082C106C255 -:04079600B1FDCC36AF -:04079700002006B781 -:04079800FEE387965F -:04079900B1CDFCD50D -:04079A0067E11111F1 -:04079B004705CA2222 -:04079C0080236461F1 -:04079D0007931CE7BB -:04079E00A283160418 -:04079F00C826004721 -:0407A0000493CC06EC -:0407A100896316044E -:0407A2002703240203 -:0407A30005631604D0 -:0407A400C7B72407A8 -:0407A5008793A0EEA8 -:0407A600D7B3B0070E -:0407A700C70302E79B -:0407A80003B700840F -:0407A9008393019C99 -:0407AA00D3B3CC03F6 -:0407AB00036302538F -:0407AC00D2B322079B -:0407AD0005130257D7 -:0407AE00C61603B0B8 -:0407AF0020EFC21E57 -:0407B000C42A6540B2 -:0407B10003C0051369 -:0407B20064A020EF30 -:0407B30001C4C78333 -:0407B4000084C603F4 -:0407B50097134392C1 -:0407B60067D90027D8 -:0407B70013C787934A -:0407B800439497BA15 -:0407B90016042703F8 -:0407BA00070007939A -:0407BB00E21942B24B -:0407BC000690079309 -:0407BD00000166379A -:0407BE000613656158 -:0407BF0045C51F0607 -:0407C0001285051386 -:0407C100C61EC21678 -:0407C20064A030EF10 -:0407C30043B2429269 -:0407C4000640079351 -:0407C5003E800693D9 -:0407C600D73365615F -:0407C70045C502F230 -:0407C8001C450513B4 -:0407C90002D3F6332E -:0407CA00F2B3C23A8A -:0407CB0087BA02F2F5 -:0407CC00573347292F -:0407CD00663702E6A3 -:0407CE00C016000150 -:0407CF001F86061368 -:0407D00002D3D6B3C7 -:0407D10060E030EFC5 -:0407D200C78367E191 -:0407D300E39926F789 -:0407D400A98FF0EF0A -:0407D500468367618F -:0407D60046121D5753 -:0407D7000084C58352 -:0407D80016042503DB -:0407D900421020EFBB -:0407DA00676157FDFF -:0407DB0016F50D639F -:0407DC0000A48523CD -:0407DD0000A487836A -:0407DE0045F166E19A -:0407DF0026F6872350 -:0407E00002B787B322 -:0407E100859366E1B5 -:0407E200C60329061B -:0407E3004515012493 -:0407E4001D57470353 -:0407E5000434C28393 -:0407E6002906841349 -:0407E70097AE468102 -:0407E80089FD4B8CB0 -:0407E90002C585B30D -:0407EA0002A585B32C -:0407EB0006400513AC -:0407EC0003258593C9 -:0407ED0002A5C5B3E9 -:0407EE0000E7D50348 -:0407EF0002A6063325 -:0407F00005C295B2F7 -:0407F1009B2381C104 -:0407F200C50300B487 -:0407F30040D001777A -:0407F4008D79897DF5 -:0407F5000CA3676189 -:0407F600472212A7DD -:0407F7000FF777136E -:0407F80002B707330A -:0407F90002C75733A9 -:0407FA0082410642F0 -:0407FB000002856310 -:0407FC0082854F940F -:0407FD0077138A855F -:0407FE0020EF0FF7E2 -:0407FF00C5032230DC -:04080000F0EF04A46D -:04080100C503ACCFB0 -:04080200F0EF0454BB -:040803008683B8AF81 -:0408040047F100A414 -:040805000124C58382 -:0408060002F686B3BD -:04080700C50396A2ED -:0408080020EF016676 -:0408090089A314F0BB -:04080A00F0EF00A467 -:04080B00C70391DFAF -:04080C00478903F421 -:04080D0000E4C5033B -:04080E0007634581B6 -:04080F00C58300F7A6 -:040810008D8900F4DA -:040811000015B59386 -:04081200F73FE0EFDD -:0408130007B740D80B -:040814008793019C29 -:04081500D7B3CC0782 -:04081600D70302E71B -:04081700C6030164AF -:04081800468D00D435 -:0408190002E787B3B8 -:04081A0000C4C7034C -:04081B0087B3070593 -:04081C00C70302E725 -:04081D00070500E4E7 -:04081E0002E787B3B3 -:04081F0026236761C4 -:04082000166310F754 -:04082100838506D6EF -:0408220010F7262382 -:0408230010C7268351 -:04082400051107377C -:04082500F4070713BA -:040826006B63478534 -:04082700773700D748 -:040828000713047836 -:0408290047818BF781 -:04082A0000D774631C -:04082B000104C7837A -:04082C0004D4C70326 -:04082D0003F4C58388 -:04082E00C703E7090C -:04082F000F6301044E -:04083000445202F735 -:0408310000F4882324 -:0408320044C240E29A -:040833000171852E9C -:04083400970FF06FBB -:04083500BBF1078686 -:0408360063916285E3 -:04083700770282932F -:04083800D54383938E -:040839004791BBC95F -:04083A0000F485231E -:04083B004585B561D9 -:04083C00F8B61EE309 -:04083D00D7B3078A9C -:04083E00B77902D7AD -:04083F008263478900 -:04084000C50302F5F5 -:04084100C19101144C -:04084200445245894E -:0408430000F4C78373 -:040844000404C703DE -:0408450044C240E287 -:0408460046014681A0 -:04084700E06F0171EC -:0408480040E2E9EFB2 -:0408490044C244520F -:04084A008082017136 -:04084B00C026115161 -:04084C00C50364E19B -:04084D00458110A42D -:04084E00C406C222F8 -:04084F00333010EF43 -:04085000E121842AF4 -:0408510010A4C683A6 -:04085200472967E1EA -:0408530014D78EA385 -:04085400C78367E10E -:04085500856321C7CF -:04085600676100E7EF -:0408570010F708A3EB -:04085800C70367E18A -:04085900478115E7D7 -:04085A0067E1C7018A -:04085B001117C78327 -:04085C0007136761B6 -:04085D0097BA14072B -:04085E008023453D71 -:04085F0010EF00D7BF -:0408600085221810C5 -:04086100441240A25B -:04086200013144829A -:04086300115180822D -:0408640064E1C02665 -:0408650010A4C50313 -:04086600C406C222E0 -:04086700163010EF48 -:04086800E51D842ADC -:0408690010A4C6838E -:04086A008EA367E111 -:04086B0067E114D756 -:04086C0015E7C703C2 -:04086D00C7014781F7 -:04086E00C78367E1F4 -:04086F00676117C7DF -:04087000140707134F -:04087100453D97BAB0 -:0408720000D7802308 -:04087300133010EF3F -:0408740040A28522F7 -:040875004482441263 -:04087600808201314A -:04087700C406115151 -:04087800C026C222B2 -:04087900000214372E -:04087A00202347C52B -:04087B0067E10EF42F -:04087C000107A78346 -:04087D000E04202322 -:04087E00C70365093E -:04087F00862300C705 -:04088000C7030007A3 -:0408810086A300D773 -:04088200C7030007A1 -:04088300872300E7E0 -:04088400C70300079F -:0408850087A300F74E -:04088600C70300079D -:040887008A23014778 -:04088800C70300079B -:040889008AA30157E6 -:04088A00C703000799 -:04088B008B23016753 -:04088C00C703000797 -:04088D0005130177D7 -:04088E008BA37105C2 -:04088F00D0EF00079F -:040890000713EC1F3F -:0408910067E11D10EE -:0408920012E79D23A9 -:040893000003153712 -:040894001D10079399 -:040895000EF420231A -:04089600D40505136D -:04089700EA3FD0EF75 -:0408980000062637F9 -:04089900019C05B702 -:04089A00040405133A -:04089B00A806061392 -:04089C00CC0585936F -:04089D00AFEFE0EFEA -:04089E0079B010EF2E -:04089F001D4020EFE9 -:0408A000C155547971 -:0408A10020EF4501FE -:0408A200079328C0D0 -:0408A30054750FF089 -:0408A40008F50B63E5 -:0408A500684020EF98 -:0408A600E0EF450931 -:0408A70047CDE4EF66 -:0408A8001263547112 -:0408A900E0EF08F57F -:0408AA0010EFEBEF71 -:0408AB00C5096DF01E -:0408AC00470567E1B4 -:0408AD001CE78BA316 -:0408AE00D41FE0EF84 -:0408AF00E935842A79 -:0408B00071A000EF44 -:0408B100851364E166 -:0408B20065D91604EA -:0408B3000410061314 -:0408B400298585937A -:0408B50030EF0575A6 -:0408B60065D91A40A6 -:0408B700061365615E -:0408B80085930360C1 -:0408B900051342855C -:0408BA0030EF2205F4 -:0408BB00458119005A -:0408BC0010EF453DB7 -:0408BD0067E117D008 -:0408BE0015D7C50382 -:0408BF008493458158 -:0408C00010EF16041B -:0408C10017B716D07F -:0408C200A783000206 -:0408C30097130D0773 -:0408C400446300E7A2 -:0408C50000EF000739 -:0408C60047897000EE -:0408C70088A34501BC -:0408C800E0EF00F469 -:0408C9008522F1FF94 -:0408CA00441240A2F2 -:0408CB000131448231 -:0408CC00547D808255 -:0408CD0067E1BFCD53 -:0408CE001087C78345 -:0408CF00FDC1011353 -:0408D0000785CE22A8 -:0408D1000FF7F79393 -:0408D20007C207B2A0 -:0408D300C83E83C1D7 -:0408D4005783646181 -:0408D500474213A4DF -:0408D600CC26D00656 -:0408D70067218FD92D -:0408D8001D238FD974 -:0408D900173712F4C7 -:0408DA00C202000254 -:0408DB006637C402B6 -:0408DC0064E10001D2 -:0408DD000EF72023CF -:0408DE001686061361 -:0408DF00851345C573 -:0408E00030EF14C41D -:0408E100E0EF1D0027 -:0408E2006741E53F46 -:0408E3004785177DB1 -:0408E4001737CA3ABE -:0408E5002683000264 -:0408E60046520D0762 -:0408E700C6368EF192 -:0408E800C6F1E7F975 -:0408E900869366E1AB -:0408EA00D603220609 -:0408EB0045B20166AB -:0408EC0013A4578377 -:0408ED0012B61463C8 -:0408EE0016FD76F588 -:0408EF001D238FF541 -:0408F000202312F4BB -:0408F10086230EF755 -:0408F200E0EF14041B -:0408F3002537E0FFC6 -:0408F40005130006E2 -:0408F500D0EFA80593 -:0408F6005783D29FB3 -:0408F700474213A4BD -:0408F80005136691ED -:0408F9008FD93E80D5 -:0408FA001D238FD556 -:0408FB00173712F4A5 -:0408FC0020230002B3 -:0408FD0030EF0EF7D3 -:0408FE0030EF014096 -:0408FF00478503A086 -:040900001C6366E12D -:04090100A60302F552 -:040902004783010620 -:040903004703008620 -:040904000722009630 -:0409050047838F5D38 -:0409060007C200A67E -:0409070047838F5D36 -:0409080007E200B64C -:0409090097138FD9D8 -:04090A00834101071D -:04090B009713C23A42 -:04090C0083510047CC -:04090D00D2E3C43A33 -:04090E0030EFFC07C3 -:04090F0047920120EA -:040910006637EF95C2 -:0409110006130001C8 -:0409120045C517467A -:0409130014C4851370 -:04091400102030EF90 -:04091500D85FE0EFD8 -:0409160013A45703CC -:0409170017FD77F160 -:040918001D238FF913 -:04091900173712F486 -:04091A002023000294 -:04091B0065090EF765 -:04091C007105051349 -:04091D00C8BFD0EF90 -:04091E00BF2147B2FC -:04091F004752479262 -:0409200000E79763F2 -:040921000001663734 -:04092200184606135A -:040923004712BF7D3B -:04092400660545A27D -:0409250006400793EE -:0409260056B3167D31 -:04092700773302F729 -:040928009C6302F7D3 -:04092900663700C568 -:04092A0006130001AF -:04092B0045C518C6E0 -:04092C0014C4851357 -:04092D0009E030EFBE -:04092E004622BF712D -:04092F00851345C522 -:04093000763314C442 -:04093100C03202F6D8 -:0409320057B346224F -:04093300663702F62B -:0409340006130001A5 -:0409350030EF198600 -:04093600BFAD07C08A -:040937000186D683DC -:040938009BE34632C5 -:0409390007D2F6C625 -:04093A001D2383D125 -:04093B00508212F4E0 -:04093C0020234472BE -:04093D0044E20EF78B -:04093E00011345015B -:04093F00808202416F -:04094000C406115187 -:04094100965FF0EFDE -:040942000F4020EF53 -:0409430040A267E186 -:040944001FE7C503E1 -:04094500E06F01312D -:040946007179D2BF32 -:04094700D422D606DA -:04094800D0EFD226F4 -:04094900842AC4BF79 -:04094A00CB5FF0EFA0 -:04094B0064E186AA33 -:04094C00C6636561B8 -:04094D0066371206F1 -:04094E00071300018A -:04094F004681054098 -:040950001AC60613AA -:04095100051345C580 -:0409520030EF1285EB -:0409530065B7008004 -:040954004645000113 -:040955001DC58593A4 -:040956001C448513A5 -:0409570071F020EF2C -:04095800C89FE0EF65 -:040959000007A537B7 -:04095A00120505136A -:04095B00B93FD0EFE1 -:04095C00BB3FD0EFDE -:04095D0000A455138A -:04095E0012C0079329 -:04095F0002F507B3E3 -:04096000C602646106 -:04096100C202C40208 -:040962000413C002B8 -:04096300CC3E16046C -:04096400C83E4799A9 -:04096500000217B7BE -:040966000D07A7834F -:0409670065616661FF -:0409680001079713D9 -:04096900C69383416D -:04096A002023FFF750 -:04096B0083E126E618 -:04096C000003073746 -:04096D00F5938F75FA -:04096E0066E10FF738 -:04096F0026E6A223B3 -:0409700026B5042381 -:04097100863685320F -:04097200CF8166E1EA -:04097300FFE5879382 -:040974000FF7F793EF -:040975007663430D55 -:04097600C78300F340 -:04097700946325C69A -:04097800202300B781 -:0409790067E1260507 -:04097A0024B68E23EE -:04097B002587A683A3 -:04097C0024E7AC239D -:04097D006761EAD1F3 -:04097E001D87478307 -:04097F00C3F164E17B -:0409800001C44783E4 -:0409810066E1CFDD7F -:0409820000B44783F3 -:0409830026F6C6830B -:04098400EBC58FD55B -:04098500B33FD0EFBD -:04098600646347E27D -:0409870047320AF5F4 -:04098800063007939B -:0409890008E7EF6329 -:04098A0046836761D8 -:04098B0047851D87F8 -:04098C008763470531 -:04098D0047C200F667 -:04098E00FFA7871325 -:04098F0000E037331A -:0409900001C44783D4 -:0409910017FD46A167 -:040992000FF7F793D1 -:0409930004F6E9631A -:04099400078A66D98F -:040995000E868693B1 -:04099600439C97B631 -:0409970066378782B6 -:040998000613000141 -:0409990045C51C062E -:04099A0012850513AA -:04099B006E7020EF6B -:04099C00000165B73A -:04099D0085934645B3 -:04099E00851375C583 -:04099F0020EF1C44E5 -:0409A000E0EF76F01E -:0409A100A001B67F7C -:0409A20026062223E0 -:0409A30067E1B7ADA4 -:0409A40013C7C7832B -:0409A50000F037B374 -:0409A60088A3078992 -:0409A70047B210F44F -:0409A8000785474236 -:0409A9000FF7F793BA -:0409AA004799C63E65 -:0409AB0000F70763E7 -:0409AC000017079396 -:0409AD000FF7F793B6 -:0409AE00D0EFC83E80 -:0409AF004785A69F33 -:0409B0002949C43ECF -:0409B100C78367E1B0 -:0409B200CA2A26F730 -:0409B3004501C781B2 -:0409B400176010EFC9 -:0409B5001114C783CF -:0409B60001C447032E -:0409B70000F70463DE -:0409B800E7114752AA -:0409B900CB154722F1 -:0409BA0000B447033B -:0409BB006761C71D8C -:0409BC0015E74703F1 -:0409BD00C315C43A60 -:0409BE000713676153 -:0409BF0097BA1407C8 -:0409C0000007C50364 -:0409C100C70367E120 -:0409C200C40215D77F -:0409C30000A707631F -:0409C4008EA3458138 -:0409C50010EF14A774 -:0409C600C78355800E -:0409C70047031114BD -:0409C80064E101C421 -:0409C9001DC4849332 -:0409CA0000F71C63B3 -:0409CB004709468210 -:0409CC0016D7746363 -:0409CD000324C683B6 -:0409CE0004F44703E3 -:0409CF0014E68E6339 -:0409D0006361473DDB -:0409D1001CE30AA376 -:0409D2007E63470DEC -:0409D300C70306F759 -:0409D400CB0103242C -:0409D500FFA78713DE -:0409D6000FF777138D -:0409D700F4634685FA -:0409D800471506E6D3 -:0409D90006F7756345 -:0409DA004509460580 -:0409DB008593468931 -:0409DC00F713FFF717 -:0409DD0042A10FF52F -:0409DE0004E2EF63DD -:0409DF00070A62D9C8 -:0409E00010C282932C -:0409E100431897160A -:0409E20047858702BC -:0409E300F00717E31F -:0409E400C78367E17D -:0409E500B79300F7CD -:0409E600079100175E -:0409E7004799BDFD72 -:0409E800EE070DE326 -:0409E9001114C7839B -:0409EA00479DBDCD9B -:0409EB0067E1B5FD0E -:0409EC001107C783A5 -:0409ED0000F037B32C -:0409EE00B5C507A1E3 -:0409EF001EE3479923 -:0409F0004785EC0744 -:0409F100460DBDD919 -:0409F20046814505F0 -:0409F3004609B74DAD -:0409F400BFE5450115 -:0409F500F593C202B2 -:0409F60047210FF591 -:0409F7006963C0026E -:0409F800675900B784 -:0409F90013070713C6 -:0409FA004703972EEA -:0409FB00C03A0007F7 -:0409FC0000F40E23D2 -:0409FD0004A4478384 -:0409FE00000405A349 -:0409FF00458D4705D6 -:040A000000F7766322 -:040A01008D9D4595ED -:040A02000FF5F59364 -:040A0300CE36D032E9 -:040A04004BB010EFF4 -:040A050058B010EFE6 -:040A0600B9CFE0EF95 -:040A0700C78367E159 -:040A080046F21D771E -:040A0900C789560241 -:040A0A0010EF853232 -:040A0B0046F211702E -:040A0C0045824612C7 -:040A0D0020EF85361B -:040A0E00478326E014 -:040A0F00656101C458 -:040A100097134645AD -:040A110067D900277A -:040A120013C78793EC -:040A1300438C97BABF -:040A1400128505132F -:040A15000004222394 -:040A1600595020EF24 -:040A1700000165B7BE -:040A18004645656189 -:040A19001D0585939F -:040A1A001C4505135F -:040A1B00581020EF60 -:040A1C00C78367E144 -:040A1D00E39926F73C -:040A1E00971FE0EF4F -:040A1F00CF8947D262 -:040A2000C70367E1C0 -:040A210047A910973A -:040A220000F7156361 -:040A230010EF453D4E -:040A2400D0EF2700E8 -:040A2500C602891F5D -:040A26000224C703DC -:040A270003F447830A -:040A280002F7056369 -:040A2900011445036C -:040A2A0047014781B8 -:040A2B0046014681B9 -:040A2C00D0EF458141 -:040A2D00C503F0BF4E -:040A2E00E0EF0224CF -:040A2F00C783987F62 -:040A30002223022457 -:040A31000FA300040B -:040A3200C58302F482 -:040A330047890224C9 -:040A340002F58663DE -:040A35000234C703BD -:040A360004044783EA -:040A370002E78063EF -:040A3800011445035D -:040A39004589C19199 -:040A3A0000F44783FA -:040A3B0046014681A9 -:040A3C00ECDFD0EF2C -:040A3D000234C78335 -:040A3E0004F4002399 -:040A3F000324C78342 -:040A400004F4470370 -:040A410000F7046353 -:040A420004F407A30E -:040A430001C4478320 -:040A44004502C3B5EF -:040A4500AA5FE0EFD5 -:040A46000A6347896F -:040A470047910AF5D4 -:040A480002F50A6346 -:040A49001763478563 -:040A4A00478304F5E5 -:040A4B00C3B900B477 -:040A4C00816FF0EFD7 -:040A4D004709A08134 -:040A4E00BD71C23A7A -:040A4F00BFED470DA3 -:040A50000324C703B1 -:040A5100C216428502 -:040A5200E80717E3B7 -:040A53000AA347416A -:040A5400B5511CE399 -:040A550000B447831F -:040A56006761C39D74 -:040A570013A7578307 -:040A58000027E793F9 -:040A590012F71D2350 -:040A5A000002173748 -:040A5B000EF720234F -:040A5C00B91FF0EFDF -:040A5D0012C00513AB -:040A5E00F86FD0EF6E -:040A5F002223B92174 -:040A600010EF00048F -:040A6100478341D0B6 -:040A6200656101C405 -:040A6300971346455A -:040A640067D9002727 -:040A650013C7879399 -:040A6600438C97BA6C -:040A670012850513DC -:040A680044D020EF67 -:040A6900000165B76C -:040A6A004645656137 -:040A6B001D0585934D -:040A6C001C4505130D -:040A6D00439020EFA3 -:040A6E00C78367E1F2 -:040A6F00E39926F7EA -:040A7000829FE0EF92 -:040A7100F5EFD0EFDE -:040A7200C602C802EE -:040A73004783B76599 -:040A7400D3CD00B42A -:040A7500C94FF0EF86 -:040A760065D9BF710E -:040A77001151656153 -:040A7800041006134D -:040A790029858593B3 -:040A7A001DC505137E -:040A7B0020EFC4069E -:040A7C0065DD28D03C -:040A7D000613656196 -:040A7E00859340C05C -:040A7F000513134503 -:040A800020EF290535 -:040A810040A22790D8 -:040A8200470567E1DC -:040A83001CE78B23BE -:040A840001314501F6 -:040A850067598082AB -:040A860007931111B0 -:040A8700C8263BC77B -:040A8800CA22CC06AC -:040A89004481C002E2 -:040A8A006461C43EA1 -:040A8B00000165B74A -:040A8C0085934645C3 -:040A8D000513460502 -:040A8E0020EF114400 -:040A8F0047A23B300F -:040A90000024971394 -:040A9100973E6561C6 -:040A92004645430C86 -:040A930014C505136E -:040A940039D020EF46 -:040A9500F84FE0EF47 -:040A9600C2224681B1 -:040A9700000217370B -:040A98000D0725839E -:040A990017FD67C11D -:040A9A0000F5F6333A -:040A9B00202364614F -:040A9C00270326C442 -:040A9D0005370D0705 -:040A9E0067E1000309 -:040A9F00FFF7471303 -:040AA000A2238F6995 -:040AA100C63E26E740 -:040AA2004782CA15A8 -:040AA30002F60863EC -:040AA40095136761DE -:040AA500071300141F -:040AA600972A220762 -:040AA7004792EABDCB -:040AA80000B7102360 -:040AA900000165B72C -:040AAA0085934645A5 -:040AAB0085134685E4 -:040AAC0020EF1147DF -:040AAD00E0EF33B093 -:040AAE004685F22F58 -:040AAF0047B2676182 -:040AB000258726036D -:040AB1002647A3032E -:040AB200E60587BA14 -:040AB3001263674122 -:040AB400E0BD02E3BC -:040AB500656165D939 -:040AB60003600613C0 -:040AB700428585935C -:040AB80022050513FB -:040AB90020EFC01A50 -:040ABA00430219508A -:040ABB0044ED468937 -:040ABC00270367E1C4 -:040ABD00AC2326043C -:040ABE00C6362467AD -:040ABF004709C03AE9 -:040AC00002E68F6358 -:040AC10005136509AB -:040AC200D0EF7105FB -:040AC30046B2DF4F09 -:040AC4005703B7B16C -:040AC5004689000757 -:040AC600FAE602E367 -:040AC70065B7479236 -:040AC800464500019E -:040AC90047058593C5 -:040ACA001147851338 -:040ACB002C1020EFDC -:040ACC00EA8FE0EFDE -:040ACD00B75946814E -:040ACE00468914F948 -:040ACF000485BF5D7E -:040AD00054E347693B -:040AD1004452EE9706 -:040AD20044C240E2F8 -:040AD3000171453D2B -:040AD4007AF0006F45 -:040AD500FBC101134D -:040AD6000131079350 -:040AD70067E1CE3EC7 -:040AD8001F5787938A -:040AD90067E1D03EC3 -:040ADA001F67879378 -:040ADB0067E1D23EBF -:040ADC001F77879366 -:040ADD0067E1D43EBB -:040ADE001F87879354 -:040ADF00D83ED63EE9 -:040AE000879367E1B0 -:040AE100DA3E1F9743 -:040AE2000F0327B720 -:040AE300F0178793EE -:040AE4000793CA3E6C -:040AE50063613030E9 -:040AE60000F11C23DC -:040AE7000D23478D07 -:040AE800079300F17F -:040AE900C7831603A6 -:040AEA00DE2201C740 -:040AEB00DC26C086BF -:040AEC00000109A359 -:040AED000313472583 -:040AEE0044051603A2 -:040AEF0000E7866333 -:040AF0000017841354 -:040AF1000FF4741377 -:040AF200676167E1F0 -:040AF3002607A60329 -:040AF40022070713BB -:040AF50045E9478107 -:040AF600000756831C -:040AF70000D61C63A6 -:040AF8006663476981 -:040AF90067590EF734 -:040AFA000713078A4D -:040AFB0097BA2DC7B2 -:040AFC008782439C0E -:040AFD008C630709F6 -:040AFE00078548B769 -:040AFF004785BFF177 -:040B0000D70366E1D0 -:040B0100471313A6DD -:040B02009D23100718 -:040B0300676112E62E -:040B04002647270356 -:040B050000F716934C -:040B06000006D363AF -:040B0700169387A218 -:040B0800DE6300E7C1 -:040B0900676100061A -:040B0A001DC746833A -:040B0B0046014585D5 -:040B0C0000D5E563C8 -:040B0D00F613068550 -:040B0E000E230FF6AD -:040B0F0047291CC78F -:040B100086634501B2 -:040B1100676100E731 -:040B120010F708A32D -:040B130067E145054C -:040B140013A7D70349 -:040B1500C68366E14C -:040B16009B4D26F6D7 -:040B170083410742CD -:040B180012E79D2320 -:040B190066E1EA8126 -:040B1A0014A6C683D4 -:040B1B008F55068A62 -:040B1C0012E79D231C -:040B1D0013A7D70340 -:040B1E005472408647 -:040B1F00000217B702 -:040B20000EE7A02319 -:040B2100011354E286 -:040B22008082044188 -:040B2300BF8D4789B2 -:040B2400B7BD478D85 -:040B2500B7AD479190 -:040B2600B79D47959B -:040B2700B78D4799A6 -:040B2800BFB9479D6D -:040B2900BFA947A178 -:040B2A00BF9947A583 -:040B2B004783676134 -:040B2C00B69326F75F -:040B2D0007A3001703 -:040B2E00676126D7FE -:040B2F0015D746830D -:040B300005236761D1 -:040B3100E79110D761 -:040B320000EF450586 -:040B330047A937D0C7 -:040B3400E0EFBF052A -:040B3500BFE5D16FD8 -:040B3600A70367E1C9 -:040B37006637010715 -:040B380065610001F2 -:040B390000074483EA -:040B3A0000174783D6 -:040B3B0035860613E2 -:040B3C0007A245C502 -:040B3D0044838FC599 -:040B3E000513002774 -:040B3F0004C2114596 -:040B400044838FC596 -:040B410004E2003793 -:040B4200D6938CDDDD -:040B4300F79300B470 -:040B4400F393001611 -:040B4500C43E7FF437 -:040B46004683C61EFE -:040B4700428300479E -:040B480002A20057AE -:040B490000D2E6B33D -:040B4A00006742837B -:040B4B0000774703E5 -:040B4C00E2B302C24C -:040B4D00068300D249 -:040B4E00477100A348 -:040B4F0086B302B2B5 -:040B5000676102E6F1 -:040B51002907071356 -:040B520000C2D29378 -:040B53009736C216F9 -:040B5400C68366E10D -:040B550020EF15D6A2 -:040B560067E17FC014 -:040B570016078313E7 -:040B580000B347039C -:040B590043B2C721BB -:040B5A00071347A294 -:040B5B0086930690E7 -:040B5C0096B3001339 -:040B5D0096BE00F64A -:040B5E000144979324 -:040B5F00C463429297 -:040B60000713000770 -:040B610007B70700CB -:040B62008CFD000303 -:040B630002A0079352 -:040B64000793E0997A -:040B650066370200ED -:040B660065610001C4 -:040B67000613C0169B -:040B680045C5364603 -:040B690014C5051397 -:040B6A007AA020EF5E -:040B6B00C2CFE0EF26 -:040B6C006761BF39C5 -:040B6D0013A75783F0 -:040B6E000107C79321 -:040B6F0012F71D2339 -:040B700067E1B73949 -:040B71001DC7C703D2 -:040B7200468146056D -:040B730000E66563D0 -:040B74007693070568 -:040B75008E230FF7C5 -:040B7600BDD51CD7F6 -:040B7700871367E198 -:040B780047031DC74B -:040B79004605001716 -:040B7A001DC7879379 -:040B7B0065634681E7 -:040B7C00070500E683 -:040B7D000FF7769365 -:040B7E0000D780A379 -:040B7F006761BDC924 -:040B80001DC70793F3 -:040B81000067C783BF -:040B82001DC7071371 -:040B830017FDC78112 -:040B84000FF7F793DD -:040B850000F703234F -:040B86006761BD5D89 -:040B87001DC707136C -:040B88000067478338 -:040B8900F36346B913 -:040B8A0047B900F671 -:040B8B00B7DD078546 -:040B8C00000165B748 -:040B8D004645656113 -:040B8E0037458593CF -:040B8F0011450513F4 -:040B90007AC020EF18 -:040B910065B764E1FF -:040B920046450001D3 -:040B930038458593C9 -:040B940014C48513ED -:040B9500798020EF54 -:040B9600B80FE0EFC5 -:040B970067E167614A -:040B9800831382A69B -:040B990004931607A4 -:040B9A0017B7290759 -:040B9B00A6030002AB -:040B9C0067E10D07F9 -:040B9D002207879311 -:040B9E008241064248 -:040B9F0045ED468159 -:040BA0000007D50372 -:040BA10000C5066322 -:040BA2000789068534 -:040BA300FEB69AE31D -:040BA40000A3078320 -:040BA50087B346715B -:040BA600461502C727 -:040BA7004BDC97A6E6 -:040BA800646383F50A -:040BA900461102F6F9 -:040BAA0004D64A63C0 -:040BAB00973E183821 -:040BAC00FDC7470337 -:040BAD0040D75733A3 -:040BAE00CF118B05D3 -:040BAF001838078A61 -:040BB000A78397BAC6 -:040BB1008023FE4758 -:040BB200E0EF00D799 -:040BB30067E1B1EF56 -:040BB400260787A3E6 -:040BB5006637BBEDF7 -:040BB60006850001AF -:040BB70039060613E2 -:040BB800851345C597 -:040BB90020EF14C253 -:040BBA00E0EF66C042 -:040BBB00A537AEEFBD -:040BBC000513000716 -:040BBD00D0EF12055E -:040BBE00BFC1A08F84 -:040BBF0086E347B1D1 -:040BC0006509FCF6D1 -:040BC10071050513A2 -:040BC2009F6FD0EF62 -:040BC300831367E150 -:040BC40062E11607CD -:040BC5000683BF994B -:040BC600467100A3D1 -:040BC700863367E129 -:040BC800871302C6C7 -:040BC9004581290732 -:040BCA0029078793DD -:040BCB004703973213 -:040BCC0046790167FE -:040BCD0000E6656376 -:040BCE00759307050F -:040BCF0046710FF765 -:040BD00002C68633A0 -:040BD10097B267610F -:040BD20000B78B23BA -:040BD3000B234605A5 -:040BD40067611CC772 -:040BD5002787470324 -:040BD600D6E69BE3E1 -:040BD7000167C703E8 -:040BD800962367E118 -:040BD900B3A526E7B3 -:040BDA0000A30683EB -:040BDB0067E1467117 -:040BDC0002C6863394 -:040BDD00290787134A -:040BDE00879345FDB7 -:040BDF009732290719 -:040BE000016747035F -:040BE100177DDF4D50 -:040BE2004785BF4D37 -:040BE300C23E448149 -:040BE40067B7656129 -:040BE5004645000180 -:040BE6003A078593B2 -:040BE700114505139C -:040BE80064C020EFD6 -:040BE90086B346A9E0 -:040BEA00461502D4D6 -:040BEB008736479270 -:040BEC0000D6536379 -:040BED0062E1471565 -:040BEE000001663765 -:040BEF0014C2851394 -:040BF00006130725BC -:040BF10045C53B06B5 -:040BF20020EFC43EEE -:040BF300E0EF588057 -:040BF40047A2A0AFC5 -:040BF50066C162E192 -:040BF60016FDC21610 -:040BF70000021737AA -:040BF8000D072703BB -:040BF900EF998F756C -:040BFA0067E1CF11CF -:040BFB002207861334 -:040BFC00478145EDFB -:040BFD000006550396 -:040BFE0002E50263A7 -:040BFF000609078557 -:040C0000FEB79AE3BE -:040C01000513650969 -:040C0200C43A71057A -:040C03008F2FD0EF70 -:040C040087BA472242 -:040C050006936741AA -:040C0600B7C9FFF774 -:040C07008763462594 -:040C0800461100C7CA -:040C09004625E0910B -:040C0A0004C7D163E7 -:040C0B0007854729E9 -:040C0C0002E7E7B361 -:040C0D0002E4833347 -:040C0E00979A6761E9 -:040C0F0010F70523B2 -:040C10008ECFF0EFA4 -:040C110066B7CD11E4 -:040C120086930001C4 -:040C130047923506C9 -:040C1400000166373E -:040C15007546061307 -:040C1600851345C538 -:040C1700B56114C7E8 -:040C1800000166B7BA -:040C19003486869304 -:040C1A004669B7DD93 -:040C1B0000C7966315 -:040C1C000014C49369 -:040C1D00BF2187BAB2 -:040C1E0095E34631E3 -:040C1F00B5B1F8C7AC -:040C2000C78367E13E -:040C210094E326F73B -:040C220087A2C407DA -:040C230047A9BE958A -:040C24000113BEBD3D -:040C25001828DBC1EF -:040C26002411202352 -:040C270022812E23D5 -:040C280022912C23C6 -:040C2900894FE0EF20 -:040C2A0020EFC22ACB -:040C2B00479232803A -:040C2C00EBC5440DC3 -:040C2D00182C461128 -:040C2E0020EF082883 -:040C2F0065B7532032 -:040C30004611000168 -:040C310060C5859382 -:040C320020EF08287F -:040C330007934F6074 -:040C3400E94106404C -:040C350003C157831D -:040C360003E105933E -:040C3700051346213A -:040C38001E2301E195 -:040C390020EF00F1B7 -:040C3A00578350602C -:040C3B0002A30461AB -:040C3C001A23020174 -:040C3D00578300F1E8 -:040C3E001B230481EF -:040C3F00455200F129 -:040C4000725000EFFF -:040C410004A1578330 -:040C42001A23D42A73 -:040C4300578300F1E2 -:040C44001B2304C1A9 -:040C4500455200F123 -:040C460070D000EF7B -:040C470004E15783EA -:040C48001A23D62A6B -:040C4900578300F1DC -:040C4A001B23050162 -:040C4B00455200F11D -:040C4C006F5000EFF6 -:040C4D002503D82A79 -:040C4E00CA2A23414A -:040C4F006E9000EFB4 -:040C5000DA2A55A2A5 -:040C51001E200793C7 -:040C5200FE658713A1 -:040C530014E7E0635F -:040C54001828460511 -:040C55006F5000EFED -:040C56000B6357D203 -:040C5700079306F504 -:040C5800C23E066032 -:040C590026E020EF82 -:040C5A004705479271 -:040C5B002AE7896398 -:040C5C0026F74763CD -:040C5D00F350071336 -:040C5E002AE7886396 -:040C5F0024F74463CF -:040C6000F340071343 -:040C61002AE7876394 -:040C6200000165B771 -:040C6300558585939B -:040C640067E1C23E44 -:040C650014C7851318 -:040C660020EF4645F0 -:040C6700E0EF452055 -:040C6800453783AFDA -:040C69000513000F60 -:040C6A00C0EF2405AE -:040C6B004792F55F58 -:040C6C002A07DA6316 -:040C6D002A8058631E -:040C6E0000016637E4 -:040C6F0006136561A2 -:040C700045C5678689 -:040C71001145051311 -:040C720038A020EF97 -:040C7300A2B9147D91 -:040C7400663764611A -:040C75000613000161 -:040C760045C56146C9 -:040C7700114405130C -:040C7800372020EF12 -:040C790067E156B227 -:040C7A0000016637D8 -:040C7B0014C7851302 -:040C7C0062460613B3 -:040C7D0020EF45C55A -:040C7E00D0EF35C0BE -:040C7F0057C2FDFF5C -:040C8000430154B226 -:040C81004501C23E29 -:040C82006463C422C1 -:040C830047920893F9 -:040C84001AA79263B6 -:040C850001E14783BF -:040C860001C14683DF -:040C870001D147034D -:040C880067B7CFD5A6 -:040C8900879300014C -:040C8A004522524766 -:040C8B0001E106136A -:040C8C006637C032D5 -:040C8D000613000149 -:040C8E0045C56306EF -:040C8F0011450513F3 -:040C9000312020EF00 -:040C910065B767E1FB -:040C920046450001D2 -:040C93006885859358 -:040C940014C78513E9 -:040C9500226020EFCA -:040C9600D0EF6441F6 -:040C9700147DF7FFD2 -:040C9800000214B78B -:040C99000D04A7831C -:040C9A0056836761B5 -:040C9B008FE12207BC -:040C9C0006F68B636A -:040C9D002207071310 -:040C9E0000275703D1 -:040C9F0014F70063E3 -:040CA00005136509CA -:040CA100C0EF71052A -:040CA200BFD9E79F30 -:040CA300065007935D -:040CA40082B3BDC991 -:040CA500079340640D -:040CA600F4632000D3 -:040CA700029300575D -:040CA80067E12000E0 -:040CA900200304130D -:040CAA000102971399 -:040CAB000FC78513D7 -:040CAC0046818341B9 -:040CAD000094561346 -:040CAE00C81A182C1C -:040CAF0020EFC61656 -:040CB00087AA0260AD -:040CB1000E051663B3 -:040CB20042B24342C5 -:040CB30036131828B4 -:040CB400859600130E -:040CB500575000EFA5 -:040CB600BF058322D1 -:040CB700000167B71A -:040CB80075C78793E2 -:040CB90010EFB799E8 -:040CBA0067612B80C3 -:040CBB0013A75783A1 -:040CBC0005136509AE -:040CBD00E793710543 -:040CBE001D230027CB -:040CBF00A02312F765 -:040CC000C0EF0EF47F -:040CC10065B7DFDF55 -:040CC2006561000167 -:040CC300859346458A -:040CC400051363C5EC -:040CC50020EF1145C6 -:040CC600440D2D604C -:040CC70064B767E1C6 -:040CC800851300018F -:040CC900464514C7C1 -:040CCA006484859326 -:040CCB002C0020EFEA -:040CCC00EA9FD0EFDC -:040CCD00183456324F -:040CCE004505458112 -:040CCF00E2BFD0EFC1 -:040CD00010E387AAFC -:040CD1006761E20570 -:040CD200000165B701 -:040CD30011470513AD -:040CD4008593464579 -:040CD50020EF658522 -:040CD6008593296079 -:040CD70064E16484EC -:040CD80085134645F5 -:040CD90020EF14C430 -:040CDA00D0EF2860CF -:040CDB005642E6FF98 -:040CDC00183455B2C1 -:040CDD00D0EF45010E -:040CDE0087AAD5FF0D -:040CDF00DE0513E338 -:040CE000052020EFDC -:040CE10065B767612B -:040CE2004645000182 -:040CE30069C58593C7 -:040CE400114705139C -:040CE5000E6020EF8E -:040CE600000165B7ED -:040CE7008593464566 -:040CE8008513668585 -:040CE90020EF14C420 -:040CEA00D0EF2460C3 -:040CEB00A001E2FF83 -:040CEC00BB45440DB3 -:040CED000793440D18 -:040CEE00B365067074 -:040CEF000793440D16 -:040CF000B345068082 -:040CF100F360071392 -:040CF20006E78A6324 -:040CF300F370071380 -:040CF400DAE79CE3BC -:040CF500000165B7DE -:040CF6005B85859302 -:040CF7000713BB55CF -:040CF80082630660AD -:040CF9004F6306E758 -:040CFA00071300F7E5 -:040CFB0081630640CB -:040CFC00071306E7ED -:040CFD0099E3065021 -:040CFE0065B7D8E717 -:040CFF0085930001D8 -:040D0000B379570567 -:040D0100067007135E -:040D020004E7896316 -:040D0300068007134C -:040D0400D6E79CE3AF -:040D0500000165B7CD -:040D06005A45859332 -:040D070065B7BB957C -:040D080085930001CE -:040D0900B3AD56052B -:040D0A00000165B7C8 -:040D0B005D858593EA -:040D0C0065B7B3858F -:040D0D0085930001C9 -:040D0E00BB995E85AA -:040D0F00000165B7C3 -:040D10005C858593E6 -:040D110065B7B3B15E -:040D120085930001C4 -:040D1300B389580543 -:040D1400000165B7BE -:040D15005FC585939E -:040D160065B7BB25DD -:040D170085930001BF -:040D1800B33D590589 -:040D1900240120830E -:040D1A0023C12403CA -:040D1B002381248389 -:040D1C000113557DED -:040D1D00808224416B -:040D1E00C70367E1BF -:040D1F0046F126E78C -:040D20008C2367E1D8 -:040D2100073326E787 -:040D220067E102D7AC -:040D23002907879382 -:040D2400D68397BA21 -:040D2500676100E71B -:040D260026D71B238E -:040D270066E14B989E -:040D28009E238B7DFE -:040D2900C68326E671 -:040D2A0067610147B5 -:040D2B0026D71F2385 -:040D2C000127C68352 -:040D2D0015236761C2 -:040D2E00479826D7E5 -:040D2F00835566E1A1 -:040D300026E69A23F6 -:040D31000157C6831D -:040D320018236761BA -:040D3300C68326D776 -:040D340067610137BB -:040D350026D71D237D -:040D360000C7D68399 -:040D370019236761B4 -:040D3800C70326D7F0 -:040D390067E1016706 -:040D3A0026E79623EF -:040D3B0067E180826A -:040D3C002787C583BD -:040D3D00D68367E111 -:040D3E0067E12767DB -:040D3F0027C7D2836D -:040D4000D78367E10D -:040D4100111127E77E -:040D4200C03ECA26BF -:040D4300C78367E11A -:040D4400CC2226A7F0 -:040D4500C23E6761E2 -:040D4600D78367E107 -:040D47000713274720 -:040D4800C43E290775 -:040D4900D78367E104 -:040D4A00C63E270773 -:040D4B00C78367E112 -:040D4C00C83E27A7CF -:040D4D00D48367E103 -:040D4E0067E127270B -:040D4F0026C7D303DD -:040D5000879367E13D -:040D5100C6031607B8 -:040D5200C24100B7E3 -:040D530000A786036C -:040D540006B61D635F -:040D550007B347F1A8 -:040D560097BA02F650 -:040D570000E7D3835B -:040D580006D39163CA -:040D59000107A38368 -:040D5A000FF2F4138D -:040D5B0001F3F3931A -:040D5C000483996310 -:040D5D000147C38304 -:040D5E009463440254 -:040D5F00C3830483C3 -:040D60004412012711 -:040D610002839F6307 -:040D62000087A383E0 -:040D6300D3934422C0 -:040D6400986301533C -:040D6500C3830283BF -:040D660044320157BB -:040D6700028393630D -:040D68000137C38309 -:040D69009E634442FF -:040D6A00D7830083A8 -:040D6B009A6300C7C0 -:040D6C0047F10097B4 -:040D6D0002F6063351 -:040D6E004783963AE7 -:040D6F008663016630 -:040D700067E10067D0 -:040D71008B23460585 -:040D720047F11CC762 -:040D730002F587B34B -:040D740001F2F29303 -:040D7500D60397BA50 -:040D760097230107B7 -:040D77009A0100D706 -:040D7800005662B30C -:040D79000057982364 -:040D7A008A23460280 -:040D7B00461200C755 -:040D7C0000C7892300 -:040D7D001293462265 -:040D7E00D603005642 -:040D7F008A7D00A7C2 -:040D80000056663380 -:040D810000C79523EF -:040D82008AA34632C8 -:040D8300464200C71D -:040D8400009796231B -:040D850000678B2355 -:040D860000C789A376 -:040D87000613666188 -:040D880067E126C633 -:040D890002C5166326 -:040D8A004650069336 -:040D8B0002D3033359 -:040D8C000640069384 -:040D8D0000017637B4 -:040D8E0007C606137B -:040D8F0002D346B392 -:040D900044D24462A3 -:040D9100851345C5BC -:040D9200017114C710 -:040D93007070106FFD -:040D9400061366617B -:040D95000763276663 -:040D9600666100C5CD -:040D970027C6061352 -:040D980002C5176316 -:040D990085B3467167 -:040D9A00461502C533 -:040D9B0044D2446298 -:040D9C0014C78513E0 -:040D9D004B18972E2A -:040D9E008B7D45C53F -:040D9F0002C707334D -:040DA00000017637A1 -:040DA10008460613E7 -:040DA200106F01715C -:040DA30076376C90A3 -:040DA4005683000171 -:040DA500061300052C -:040DA600B75D06C669 -:040DA7002710069378 -:040DA80002D506B3B7 -:040DA9000640079366 -:040DAA0000016637A7 -:040DAB000613656165 -:040DAC0045C575863E -:040DAD0014C5051351 -:040DAE0002F6C6B3D0 -:040DAF006970106FE8 -:040DB000FDDFF06F04 -:040DB100763786AA61 -:040DB2006561000176 -:040DB3000686061397 -:040DB400051345C519 -:040DB500106F14C5E2 -:040DB60086AA67D0D2 -:040DB700000166379A -:040DB8000613656158 -:040DB90045C5704676 -:040DBA0014C5051344 -:040DBB006670106FDF -:040DBC00000F46B727 -:040DBD0024068693EF -:040DBE0002D5053322 -:040DBF008793678926 -:040DC0000713964738 -:040DC10036B73E8083 -:040DC20086930063B1 -:040DC3006637EA069F -:040DC4000613000111 -:040DC50045C56F862B -:040DC60002F557B328 -:040DC70002E7F7B395 -:040DC80056B34729AE -:040DC900656102D589 -:040DCA0014C5051334 -:040DCB0002E7D73331 -:040DCC006230106F12 -:040DCD0046700693D3 -:040DCE0002D506B391 -:040DCF000640079340 -:040DD0000001763771 -:040DD100061365613F -:040DD20045C5060607 -:040DD30014C505132B -:040DD40002F6C6B3AA -:040DD5005FF0106F4C -:040DD6002710069349 -:040DD70005330505D6 -:040DD800069302D5A7 -:040DD9006637064033 -:040DDA0006130001FB -:040DDB0045C575860F -:040DDC0002D546B343 -:040DDD000513656134 -:040DDE00106F14C5B9 -:040DDF0086AA5D90F3 -:040DE0000001663771 -:040DE100061365612F -:040DE20045C573068A -:040DE30014C505131B -:040DE4005C30106F00 -:040DE50001851693DB -:040DE600663786E105 -:040DE7006561000141 -:040DE800061316D107 -:040DE90045C56F0687 -:040DEA0014C5051314 -:040DEB005A70106FBB -:040DEC00053345F195 -:040DED0065E102B505 -:040DEE0029058593BB -:040DEF0095AA464536 -:040DF0000513656121 -:040DF100106F14C5A6 -:040DF2001793627081 -:040DF3006559002519 -:040DF400724505132C -:040DF500410C953EDA -:040DF60046456561A8 -:040DF70014C5051307 -:040DF80060D0106F48 -:040DF9000F6347A994 -:040DFA00179300F556 -:040DFB00655D00250D -:040DFC006DC50513A9 -:040DFD00410C953ED2 -:040DFE0046456561A0 -:040DFF0014C50513FF -:040E00005ED0106F41 -:040E0100000165B7D0 -:040E0200710585935E -:040E03001141B7F5ED -:040E0400C606458554 -:040E050029A1C02A35 -:040E06004783676156 -:040E070046822807F0 -:040E0800280707139D -:040E09006737E789D7 -:040E0A0007130001C9 -:040E0B0040B27487F6 -:040E0C000001663744 -:040E0D000613656102 -:040E0E0045C575065B -:040E0F0014C50513EE -:040E1000106F01411D -:040E110067E1511034 -:040E12002607A70305 -:040E1300879367E179 -:040E140046AD2207BE -:040E1500D5834645F6 -:040E1600926301677B -:040E1700F69306E563 -:040E1800E1190FF6D7 -:040E190028070C6337 -:040E1A00C4261131A8 -:040E1B00C28364E149 -:040E1C00C6220D9449 -:040E1D000793646172 -:040E1E009593EE4476 -:040E1F0097AE003258 -:040E2000A303C8065A -:040E2100C78300077C -:040E220046510047EE -:040E2300FF568513DE -:040E240002C7873347 -:040E2500004326035D -:040E26000FF575133C -:040E270084934395D8 -:040E280004130D940E -:040E2900963AEE44C3 -:040E2A000046470334 -:040E2B0002A3E863D3 -:040E2C00050A63D977 -:040E2D006B0383933D -:040E2E004108951EC4 -:040E2F0006858502AD -:040E30009AE30789B1 -:040E31004681F8C638 -:040E3200E399BF6918 -:040E330000034783EE -:040E3400F79317FD1C -:040E350095A20FF77C -:040E360000F582231E -:040E370046814701A8 -:040E38004703A0913B -:040E39000785000326 -:040E3A00E7B395A2E3 -:040E3B00B7ED02E726 -:040E3C0000028763C6 -:040E3D00FFF28793A6 -:040E3E0000F4802319 -:040E3F004432B7C5BD -:040E400044A240C2C6 -:040E410087A367E13B -:040E4200015126072D -:040E43008DDFD06F00 -:040E44000E63478D65 -:040E4500479104F7D6 -:040E4600FCF712E3C0 -:040E47009782461C2C -:040E48004685872A2A -:040E49000004C78357 -:040E4A004645656153 -:040E4B00943E078E3C -:040E4C000044478394 -:040E4D0044514004C8 -:040E4E000287843360 -:040E4F00051340DC6B -:040E5000C23A11454C -:040E510097A2C0366E -:040E520010EF438CCE -:040E530040DC4A3005 -:040E540097A245918B -:040E55000047C60389 -:040E560014C5E36379 -:040E5700060A65D949 -:040E58006C8585938D -:040E59004210962E7F -:040E5A004712468273 -:040E5B004A1C8602A5 -:040E5C009782C39125 -:040E5D000004C78343 -:040E5E0085934351E4 -:040E5F00078E0017E3 -:040E600000F4073360 -:040E610047034314EC -:040E620042D0004733 -:040E630002670733E8 -:040E6400003596932C -:040E650000D405337D -:040E6600000523035D -:040E6700471897325F -:040E680000E304633C -:040E6900000502235B -:040E6A00C78397A201 -:040E6B0047510047A4 -:040E6C0087B396A210 -:040E6D00802302E7F5 -:040E6E0097B200B483 -:040E6F00C29C479C3E -:040E70004791BF31B6 -:040E7100F0E7ECE3D7 -:040E7200879367D922 -:040E7300070A6DC736 -:040E7400431C973E46 -:040E7500460C87821E -:040E76004503433DB0 -:040E7700470300C667 -:040E7800C78300D656 -:040E79004603000527 -:040E7A009D6300E68E -:040E7B007863006632 -:040E7C0017FD00F767 -:040E7D000FF7F61362 -:040E7E0000C5802308 -:040E7F00FD6DB5C58B -:040E8000BFDD863A12 -:040E810000C7F8634B -:040E8200F7130785D6 -:040E830080230FF7C2 -:040E8400B5E900E5E7 -:040E85008732FD6D46 -:040E86004608BFDD7E -:040E870000C65583C9 -:040E880000E6570326 -:040E89005783463D08 -:040E8A009E6300055E -:040E8B00F76300C643 -:040E8C0017FD00F559 -:040E8D0001079713AF -:040E8E00A0198341E3 -:040E8F00872EC19158 -:040E900000E5102346 -:040E9100F463BD61E8 -:040E9200078500E7E9 -:040E9300F9EDB7E5D9 -:040E9400B7FD47015E -:040E950045BD4650C1 -:040E96004783421834 -:040E97009963000754 -:040E9800C78100B658 -:040E9900F79317FDB7 -:040E9A0000230FF72B -:040E9B00B5BD00F7EA -:040E9C000046468343 -:040E9D0000D7F56322 -:040E9E00F69307853B -:040E9F0000230FF726 -:040EA000BDA900D711 -:040EA1004B9C479887 -:040EA200470365613C -:040EA30046450007B9 -:040EA40014C5051359 -:040EA50097BA070AE7 -:040EA60010EF438C7A -:040EA700443235306C -:040EA80044A240C25E -:040EA900D06F0151B4 -:040EAA004798F32F43 -:040EAB0045034B9C14 -:040EAC009782000722 -:040EAD004B98B7EDBA -:040EAE0097024788D8 -:040EAF0067E1B7CD73 -:040EB000140786237A -:040EB100C695BFE93A -:040EB20066B7C30557 -:040EB3008693000121 -:040EB4006637350662 -:040EB5006561000172 -:040EB6007546061364 -:040EB700051345C515 -:040EB80010EF14C55E -:040EB900BF652710DA -:040EBA00000166B716 -:040EBB003486869360 -:040EBC0047DCB7CD8B -:040EBD004398D7E996 -:040EBE00BF55479C39 -:040EBF0047BD808229 -:040EC00016A7E56329 -:040EC100445257B789 -:040EC200EF410113E8 -:040EC3003557879385 -:040EC40057B7C03E1E -:040EC50087930041CE -:040EC600C23E4417CD -:040EC70000F5379368 -:040EC8001081222350 -:040EC90000F105230C -:040ECA000105041307 -:040ECB0010112423BB -:040ECC00109120233E -:040ECD00042247B9FB -:040ECE000AA7F96313 -:040ECF008793679509 -:040ED00065E13007A1 -:040ED10000F11423F5 -:040ED2000793462913 -:040ED300859304807F -:040ED40005131405E9 -:040ED50005A300D1A0 -:040ED600062300F1FE -:040ED70010EF000117 -:040ED80067E111D0ED -:040ED9001117C783A3 -:040EDA00061365E1B5 -:040EDB000C23036081 -:040EDC0067E100F1D9 -:040EDD001097C78320 -:040EDE0022058593D1 -:040EDF0001F1051305 -:040EE00000F10CA36E -:040EE100C78367E17B -:040EE2000BA315E762 -:040EE30067E100F1D2 -:040EE40014A7C78305 -:040EE50000F10D23E8 -:040EE600C78367E176 -:040EE7000DA31D87B3 -:040EE80067E100F1CD -:040EE90013C7C783E1 -:040EEA0000F10E23E2 -:040EEB00C78367E171 -:040EEC000EA300F75A -:040EED0067E100F1C8 -:040EEE001107C7839E -:040EEF0000F10F23DC -:040EF0000BB010EF44 -:040EF10005938622BD -:040EF200850A055018 -:040EF300C70FD0EF66 -:040EF40000A03533F2 -:040EF50040A00533E1 -:040EF60010812083C4 -:040EF700104124037F -:040EF800100124833E -:040EF90010C1011310 -:040EFA0067958082F6 -:040EFB004007879392 -:040EFC0000F11423CA -:040EFD00040C07B723 -:040EFE0004178793BB -:040EFF00CC3E64E1A0 -:040F00002804C78377 -:040F01007637EB91C3 -:040F020006130001D1 -:040F030045B50D469D -:040F04002804851325 -:040F050013F010EFE6 -:040F06008593463554 -:040F070005132804A2 -:040F080010EF00B135 -:040F090065E11CB0D2 -:040F0A0004100613B6 -:040F0B001DC58593E8 -:040F0C0010EF086872 -:040F0D0065E1049006 -:040F0E000A3006138C -:040F0F002905859398 -:040F100005D10513EF -:040F1100037010EF6A -:040F1200059386229B -:040F1300850A10003B -:040F1400BECFD0EF8D -:040F150006136561F9 -:040F1600059300142B -:040F170005133690F8 -:040F1800D0EF3335AE -:040F19004501C2EFDD -:040F1A00557DBF85BD -:040F1B0067E1808288 -:040F1C0028078023FF -:040F1D00F56347BD74 -:040F1E0057FD00A7D4 -:040F1F008082853E09 -:040F2000EE8101134A -:040F2100010507932C -:040F22009513C22E33 -:040F23000070010752 -:040F24001000059321 -:040F25002A23C03E7D -:040F2600282310115B -:040F270026231081EC -:040F2800D0EF109165 -:040F290057FDB7CFEA -:040F2A0075B7E10DA9 -:040F2B0085930001A9 -:040F2C0000680CC588 -:040F2D009EBFC0EFB4 -:040F2E00136387AA18 -:040F2F0047031C0553 -:040F3000CF1101617B -:040F3100046346858A -:040F320020830ED733 -:040F33002403114141 -:040F34002483110100 -:040F3500853E10C124 -:040F36001181011311 -:040F3700470380826A -:040F380011630141FF -:040F390046831A07CA -:040F3A000713015147 -:040F3B009B6305307F -:040F3C00470318E669 -:040F3D004683018165 -:040F3E000722017114 -:040F3F0006938F5531 -:040F400014E3048032 -:040F41004712FCD780 -:040F420066E1F36908 -:040F4300478100786A -:040F44008693453912 -:040F450045A91406A0 -:040F460000D7460387 -:040F470000C5666318 -:040F480000D7833318 -:040F490000C30023BE -:040F4A00070507850B -:040F4B00FEB796E374 -:040F4C000251478384 -:040F4D0004A3676131 -:040F4E00472510F72C -:040F4F0000F76663DE -:040F500008A367612A -:040F5100A02910F7CC -:040F5200024147838E -:040F5300FEF77AE348 -:040F540002714703DC -:040F5500656167E18A -:040F56001CE78C23E5 -:040F570002814703C9 -:040F5800061367E134 -:040F59008E23036080 -:040F5A00470312E750 -:040F5B0067E10291B7 -:040F5C0002B1059346 -:040F5D0000E787A37F -:040F5E0002A14703A2 -:040F5F00051367E12E -:040F600088232205BB -:040F6100470310E74B -:040F620067E1023110 -:040F630014E78F23DD -:040F6400C70367E177 -:040F650067E1140725 -:040F660014E78EA35B -:040F670002614703D9 -:040F6800852367E195 -:040F690010EF14E78A -:040F6A0047816D400E -:040F6B004483BF39C3 -:040F6C00E8E901416E -:040F6D000151468365 -:040F6E000540071320 -:040F6F000CE6946395 -:040F70000241568361 -:040F7100041007134E -:040F7200F0E691E331 -:040F730002615403C0 -:040F740040C007135F -:040F7500EEE41BE3A8 -:040F7600C42A67E141 -:040F77008513463563 -:040F780005932807AE -:040F790010EF017103 -:040F7A0047120070AA -:040F7B001EE347A288 -:040F7C006561EC07B8 -:040F7D000410061343 -:040F7E000513102C1B -:040F7F0010EF1DC58D -:040F8000478267C07D -:040F8100470166E1DD -:040F8200C03E07A2C4 -:040F83002906869322 -:040F840005D00793FA -:040F8500100006133F -:040F860000D7053358 -:040F87008E1DC43ABD -:040F8800C23E0078ED -:040F890000F705B3B5 -:040F8A0004C44863F0 -:040F8B0064E010EF1F -:040F8C00472247921F -:040F8D00943E048505 -:040F8E0047828F1DEA -:040F8F00100707132D -:040F90000FF4F493D3 -:040F910000F48533B0 -:040F9200834107424E -:040F9300F00404134F -:040F94000593007051 -:040F95000522100021 -:040F96000442C23A15 -:040F97009C2FD0EFCC -:040F9800804166E14D -:040F99004712478133 -:040F9A00290686930B -:040F9B0067E1F445D1 -:040F9C008B23470557 -:040F9D00BF151CE779 -:040F9E0010EF8622A8 -:040F9F00BFC560006A -:040FA000B5A147852B -:040FA100B591478936 -:040FA200DD810113D9 -:040FA3002223086895 -:040FA40020232211D3 -:040FA5002E23228154 -:040FA600D0EF2091D7 -:040FA700C02AA9EFC4 -:040FA800532010EFD3 -:040FA9009A6347827E -:040FAA006461160761 -:040FAB00000175B715 -:040FAC00859346459E -:040FAD0005130A45D9 -:040FAE0064E11144A5 -:040FAF005BE010EF04 -:040FB000140486237C -:040FB100B14FD0EF7D -:040FB20064C1C6262A -:040FB30014FDC42243 -:040FB400000217B769 -:040FB5000D07A783FA -:040FB6005683676196 -:040FB7008FE5220799 -:040FB80000F68E634E -:040FB90022070713F1 -:040FBA0000275703B2 -:040FBB0012F70763BF -:040FBC0005136509AB -:040FBD00C0EF71050B -:040FBE00BFD9A08F68 -:040FBF0075B747A219 -:040FC00046450001A1 -:040FC1000B85859384 -:040FC200114785133B -:040FC30056E010EFF5 -:040FC40065B747B214 -:040FC500464500019C -:040FC6006485859326 -:040FC70014C78513B3 -:040FC8006CC010EFFA -:040FC900AB4FD0EF6B -:040FCA004401448119 -:040FCB0001041713F3 -:040FCC0020070713E0 -:040FCD00409757935F -:040FCE00863EC23E5B -:040FCF00472D67E162 -:040FD000080C468142 -:040FD1000FC78513AE -:040FD20039C010EF23 -:040FD30075B7E57990 -:040FD4008593000100 -:040FD50008080CC537 -:040FD600F46FC0EF05 -:040FD7004703ED1DC2 -:040FD800EF0501819F -:040FD90001914683B9 -:040FDA0005400713B4 -:040FDB0002E6976330 -:040FDC0001A14683A6 -:040FDD0062634705FF -:040FDE00660502D7CB -:040FDF0000841593E2 -:040FE000061395B2AD -:040FE100846346904F -:040FE200061300E60C -:040FE300451205505E -:040FE400D0EF0874CE -:040FE500E1519D4FEA -:040FE6000405048575 -:040FE70017E3474184 -:040FE80010EFF8E42A -:040FE90045814300FB -:040FEA00F0EF453DA2 -:040FEB0067E1CC5F8F -:040FEC001117C7838F -:040FED00071367611E -:040FEE0097BA140793 -:040FEF000007C5032F -:040FF00067E14581EF -:040FF10014A78EA310 -:040FF200CA7FF0EFD3 -:040FF300763747A264 -:040FF40086A60001CC -:040FF50008C6061311 -:040FF600851345C555 -:040FF70010EF11479F -:040FF80047B2574065 -:040FF900000175B7C7 -:040FFA008593464550 -:040FFB0085130985CC -:040FFC0010EF14C717 -:040FFD00D0EF5FA032 -:040FFE0045379E2FA6 -:040FFF000513000FC7 -:04100000C0EF240514 -:0410010020838FCFEA -:041002002403224160 -:04100300450222017F -:0410040021C124835F -:041005002281011330 -:0410060010EF8082E5 -:0410070057FD3B80D6 -:04100800B7D5C03E5A -:0410090001855713F3 -:04100A0001851793B2 -:04100B0006B78FD9BC -:04100C00171300FFB7 -:04100D008F75008556 -:04100E0067418FD9CE -:04100F00F0070713CC -:041010008D79812134 -:0410110080828D5DEF -:0410120017B7C6093D -:04101300A023000214 -:041014004701000789 -:04101500FFC5F6938A -:041016000002163787 -:0410170000E507B336 -:0410180002D7646334 -:04101900470D898D69 -:04101A0002E59463F4 -:04101B000007D68371 -:04101C000002173780 -:04101D0000D71223C3 -:04101E000027C7835D -:04101F0000F70223B1 -:04102000000217B7FC -:0410210080824B88F6 -:041022000711439CD3 -:04102300B7F9C25CFB -:04102400996347097C -:04102500D70300E508 -:0410260017B70007F1 -:04102700922300020E -:04102800BFF900E725 -:041029009DE34705F7 -:04102A00C703FCE517 -:04102B0017B70007EC -:04102C008223000219 -:04102D00B7E900E738 -:04102E00C222115178 -:04102F000002143770 -:041030004601C0268F -:04103100059384AAF5 -:04103200051304A0FE -:04103300C4060404E7 -:04103400CCCFC0EF6E -:04103500460185A645 -:041036000404051396 -:04103700CFAFC0EF88 -:0410380004A0059378 -:041039000404051393 -:04103A00C0EF4605B8 -:04103B000513CB2F9F -:04103C004412040452 -:04103D00448240A207 -:04103E0001314585B2 -:04103F00CC0FC06FA3 -:04104000C422114174 -:04104100000214375E -:04104200C02AC226D8 -:04104300460184AE30 -:0410440004A005936C -:041045000404051387 -:04104600C0EFC6062B -:041047004782C82FE5 -:041048000513460145 -:0410490085BE040458 -:04104A00CAEFC0EF3A -:04104B000404051381 -:04104C0040B2442248 -:04104D00449285A69E -:04104E000141460511 -:04104F00C9AFC06FF6 -:04105000C222115156 -:04105100143344050B -:04105200741300A46F -:0410530085A20FF46F -:04105400C406451970 -:04105500FADFF0EFDF -:04105600441285A219 -:04105700451D40A251 -:04105800F06F013103 -:041059001151F9FF39 -:04105A00C2220506A3 -:04105B000FF5741306 -:04105C00450585A21F -:04105D00F0EFC406E6 -:04105E0085A2F8BFB0 -:04105F0040A2441255 -:04106000013145090C -:04106100F7DFF06F56 -:0410620045151151CE -:04106300F0EFC406E0 -:041064000713F2BFBD -:041065004781086057 -:0410660004E5136327 -:0410670009000593E4 -:04106800020005136A -:04106900F5DFF0EFD0 -:04106A0005134581A4 -:04106B00F0EF021090 -:04106C004581F53F86 -:04106D000220051345 -:04106E00F49FF0EF0C -:04106F000513458D93 -:04107000F0EF02306B -:041071004581F3FFC3 -:0410720002800513E0 -:04107300F35FF0EF48 -:0410740003000593DD -:041075000710051348 -:04107600F29FF0EF06 -:0410770040A24785C7 -:041078000131853E7F -:04107900114180821F -:04107A0000A101A32D -:04107B000002153723 -:04107C004605C4223F -:04107D000513842EA5 -:04107E0005930405CD -:04107F00C606003170 -:04108000C20FC0EFEC -:04108100B0EF852225 -:0410820040B2EF9FEA -:0410830001414422C1 -:041084001151808204 -:041085006461C222BE -:0410860013A45783D5 -:04108700C026C406B5 -:04108800F9F7F793EA -:0410890083C107C256 -:04108A0012F41D231C -:04108B00000214B794 -:04108C000EF4A0239B -:04108D00B0EF45512A -:04108E0045D1EC9FBD -:04108F0003800513C2 -:04109000FA7FF0EF04 -:04109100051345D12D -:04109200F0EF0390E8 -:0410930045D1F9DF6B -:04109400F0EF4551E3 -:0410950045D1F95FE9 -:041096000710051327 -:04109700F8BFF0EFBF -:04109800051345D126 -:04109900F0EF05E08F -:04109A0045D1F81F25 -:04109B0006D0051363 -:04109C00F77FF0EFFB -:04109D00453145D1C3 -:04109E00F6FFF0EF7A -:04109F003200059383 -:0410A000F0EF450523 -:0410A10045D1F65FE0 -:0410A200F0EF45190D -:0410A3000593F5DFDD -:0410A40045093200C8 -:0410A500F53FF0EF34 -:0410A60013A45783B5 -:0410A700E79340A2E9 -:0410A8001D230407F9 -:0410A900441212F4E7 -:0410AA000EF4A0237D -:0410AB000131448249 -:0410AC00112180820C -:0410AD006461C82290 -:0410AE0013A45783AD -:0410AF00C626CA0681 -:0410B000F9F7F793C2 -:0410B10083C107C22E -:0410B2001D23C02E0C -:0410B300173712F4E5 -:0410B40020230002F3 -:0410B50084AA0EF704 -:0410B600320005936C -:0410B700F0EF45050C -:0410B8005783F09FCB -:0410B900173713A42E -:0410BA0045C100022A -:0410BB000207E793AE -:0410BC0012F41D23EA -:0410BD000EF72023E7 -:0410BE0010EF852684 -:0410BF007713316012 -:0410C000EB110FF52C -:0410C100000175B7FE -:0410C2008593464587 -:0410C30085265645E3 -:0410C4002DC010EF3C -:0410C5004781470513 -:0410C60000F486B3F9 -:0410C7000006C50357 -:0410C800C43A45D110 -:0410C900F0EFC23E44 -:0410CA004792EC1F3E -:0410CB00078547222C -:0410CC000FF7F69391 -:0410CD00FEE6E2E376 -:0410CE0013A457838D -:0410CF00000214B750 -:0410D000F79345D17C -:0410D10007C2FDF75E -:0410D2001D2383C196 -:0410D300A02312F450 -:0410D40005130EF4FE -:0410D500F0EF0C002C -:0410D6005783E91F34 -:0410D700450213A417 -:0410D800E79345C194 -:0410D9001D230207CA -:0410DA00A02312F449 -:0410DB0010EF0EF410 -:0410DC0077932A20BC -:0410DD00EB910FF58F -:0410DE0075B745029B -:0410DF004645000181 -:0410E0005645859359 -:0410E100268010EF66 -:0410E2004481478579 -:0410E3004782C23E40 -:0410E400873345D138 -:0410E5004503009728 -:0410E6000485000776 -:0410E700E4BFF0EF83 -:0410E800F713479221 -:0410E90063E30FF4BA -:0410EA005783FEF733 -:0410EB0040D213A438 -:0410EC0000021737B0 -:0410ED000407E7937A -:0410EE0012F41D23B8 -:0410EF002023444234 -:0410F00044B20EF701 -:0410F1008082016197 -:0410F200C2221151B4 -:0410F30000021437AC -:0410F4004601C026CB -:0410F500059384AA31 -:0410F600051302C01C -:0410F700C406040423 -:0410F8009BCFC0EFDB -:0410F900460585A67D -:0410FA0004040513D2 -:0410FB009EAFC0EFF5 -:0410FC0002C0059396 -:0410FD0004040513CF -:0410FE00C0EF4605F4 -:0410FF0005139A2F0C -:04110000441204048D -:04110100448240A242 -:0411020001314585ED -:041103009B0FC06F0F -:04110400C4221141AF -:041105000002143799 -:04110600C02AC22613 -:04110700460184AE6B -:0411080002C0059389 -:0411090004040513C2 -:04110A00C0EFC60666 -:04110B004782972F51 -:04110C000513460180 -:04110D0085BE040493 -:04110E0099EFC0EFA6 -:04110F0004040513BC -:0411100040B2442283 -:04111100449285A6D9 -:04111200014146054C -:0411130098AFC06F62 -:041114000002153789 -:04111500460111512D -:0411160005134581F7 -:04111700C406040501 -:04111800976FC0EF1E -:04111900B0EF4529C5 -:04111A0045E1C99F43 -:04111B00F0EF4505A7 -:04111C0045E1FA3F70 -:04111D00F0EF4509A1 -:04111E0045E1F9BFEF -:04111F00F0EF450D9B -:041120004505F93F49 -:04112100F45FF0EF98 -:04112200152140A2B1 -:04112300001535136B -:041124008082013193 -:04112500C222115180 -:041126004505842ACD -:04112700F0EFC4061B -:04112800991DF2BF5C -:041129008C49040EDB -:04112A000FF4741337 -:04112B00450585A24F -:04112C00F61FF0EFCB -:04112D00450985A249 -:04112E00F59FF0EF4A -:04112F00441285A23F -:04113000450D40A287 -:04113100F06F013129 -:041132001151F4BFA4 -:04113300842AC22226 -:04113400C4064505A3 -:04113500EF5FF0EF89 -:04113600751347895D -:0411370013630D85AC -:04113800641302F446 -:0411390085A2001576 -:04113A00F0EF450588 -:04113B0085A2F27F18 -:04113C00F0EF450982 -:04113D0085A2F1FF97 -:04113E0040A2441275 -:04113F000131450D28 -:04114000F11FF06F3C -:041141008C490416BB -:041142000FF474131F -:0411430000446413ED -:041144001151BFD9AD -:041145001437C22277 -:04114600C0260002BD -:0411470084AA46012F -:0411480005C0059346 -:041149000404051382 -:04114A00C0EFC40628 -:04114B00F593872F62 -:04114C0046050FF451 -:04114D00040405137E -:04114E0089EFC0EF76 -:04114F0005C005933F -:04115000040405137B -:04115100C0EF4605A0 -:041152000513856F8D -:04115300441204043A -:04115400448240A2EF -:04115500013145859A -:04115600864FC06F91 -:04115700C42211415C -:041158000002143746 -:04115900C02AC226C0 -:04115A00460184AE18 -:04115B0005C0059333 -:04115C00040405136F -:04115D00C0EFC60613 -:04115E004782826FD3 -:04115F00051346012D -:04116000F5930404FB -:04116100C0EF0FF7D5 -:041162000513850FDD -:04116300442204041A -:0411640085A640B26A -:041165004605449265 -:04116600C06F014114 -:04116700115183CFD0 -:041168000220051349 -:04116900C222C406D4 -:04116A00F0EFC026BC -:04116B007413F69F64 -:04116C0045CD0FF569 -:04116D00F0EF455901 -:04116E006489FA7F17 -:04116F00710485136F -:04117000B3FFB0EF2A -:04117100455D458D06 -:04117200F95FF0EF42 -:04117300710485136B -:04117400B2FFB0EF27 -:041175000804659372 -:04117600022005133B -:04117700F81FF0EF7E -:041178003E8005139D -:04117900B1BFB0EF63 -:04117A0007F475936E -:04117B0040A2441238 -:04117C000513448291 -:04117D00013102201A -:04117E00F65FF06FB9 -:04117F00C222115126 -:0411800005136409E6 -:04118100C40671042B -:04118200AF7FB0EF9C -:04118300455945C5C0 -:04118400F4DFF0EFB5 -:0411850071040513D9 -:04118600AE7FB0EF99 -:041187004589455DF4 -:04118800F3DFF0EFB2 -:0411890071040513D5 -:04118A0040A2441229 -:04118B00B06F01310F -:04118C001151AD1F31 -:04118D00842EC222C8 -:04118E00454985AAA0 -:04118F00F0EFC406B3 -:0411900085A2F1FF44 -:0411910040A2441222 -:041192000131454D95 -:04119300F11FF06FE9 -:04119400051385AA10 -:04119500F06F03D024 -:0411960085AAF07FB7 -:04119700F06F45456B -:041198001151EFFF03 -:04119900C222C406A4 -:04119A000065478322 -:04119B00456D842AF0 -:04119C0000479593E0 -:04119D00F5938DDD5C -:04119E00F0EF0FF56A -:04119F004583EE3F57 -:0411A0004571006431 -:0411A100ED9FF0EFDF -:0411A200003445834D -:0411A300F0EF4529FB -:0411A4004583ECFF94 -:0411A5004525004498 -:0411A600EC5FF0EF1B -:0411A7000054458328 -:0411A800F0EF4521FE -:0411A9004583EBBFD0 -:0411AA0045350004C3 -:0411AB00EB1FF0EF57 -:0411AC000014458363 -:0411AD00F0EF4531E9 -:0411AE004583EA7F0C -:0411AF0044120024C2 -:0411B000452D40A2E7 -:0411B100F06F0131A9 -:0411B2001141E97F7F -:0411B300842AC422A4 -:0411B400C2264511F9 -:0411B50084AEC03212 -:0411B600F0EFC6068A -:0411B7004602E39F6A -:0411B8000F85759397 -:0411B9000793CE4D7D -:0411BA00EA637FF075 -:0411BB0045110A8749 -:0411BC000015E593A2 -:0411BD00E69FF0EFCA -:0411BE0000141513F1 -:0411BF0001051413FF -:0411C0005593804182 -:0411C100F59300445E -:0411C20045050FF5DB -:0411C300E51FF0EF45 -:0411C400004415933B -:0411C5000F05F5938A -:0411C600F0EF4509F8 -:0411C7000737E43FC3 -:0411C8000713019C6C -:0411C9005733CC07C5 -:0411CA0056B702977B -:0411CB0086930225E0 -:0411CC0047810FF652 -:0411CD00028707335B -:0411CE0002E6F063E2 -:0411CF00042C26B70F -:0411D000D7F6869335 -:0411D100F9634785F2 -:0411D200F7B700E685 -:0411D3008793080BEB -:0411D400B7B3FBF7BB -:0411D500078900E79F -:0411D6000713676133 -:0411D700973E0C072C -:0411D80000074503C4 -:0411D9000280071376 -:0411DA0002E50533F2 -:0411DB000014571392 -:0411DC004533953AC8 -:0411DD00471D028523 -:0411DE000FF5751381 -:0411DF000FF5759300 -:0411E00000A773638E -:0411E1004422459DC2 -:0411E200449240B241 -:0411E300079A058ED4 -:0411E400F5938DDD15 -:0411E500450D0F8520 -:0411E600F06F014164 -:0411E7004511DC3F93 -:0411E800DBDFF0EF6A -:0411E9001151BFB928 -:0411EA00842AC2226F -:0411EB00C406456988 -:0411EC00D63FF0EF0B -:0411ED00759347852A -:0411EE001A630FA5CC -:0411EF00E59300F490 -:0411F0004412002580 -:0411F100456940A26A -:0411F200F06F013168 -:0411F300E593D93F68 -:0411F400BFC500A5CE -:0411F50000A5558379 -:0411F600C2221151AF -:0411F700842A81A124 -:0411F80004B0051327 -:0411F900F0EFC40649 -:0411FA004583D77FD3 -:0411FB00051300A434 -:0411FC00F0EF04A06C -:0411FD005583D6BF81 -:0411FE00051300C411 -:0411FF0081A104D0F6 -:04120000D5DFF0EF57 -:0412010000C445835D -:0412020004C005130C -:04120300D51FF0EF14 -:0412040000E455832A -:0412050004F00513D9 -:04120600F0EF81A1E3 -:041207004583D43F08 -:04120800051300E4E6 -:04120900F0EF04E01E -:04120A005583D37FB6 -:04120B000513004483 -:04120C0081A10510A7 -:04120D00D29FF0EF8D -:04120E0000444583D0 -:04120F0005000513BE -:04121000D1DFF0EF4B -:04121100006455839D -:04121200053005138B -:04121300F0EF81A1D6 -:041214004583D0FF3F -:041215000513006459 -:04121600F0EF0520D0 -:041217005583D03FEC -:041218000513008436 -:0412190081A105505A -:04121A00CF5FF0EFC3 -:04121B000084458383 -:04121C000540051371 -:04121D00CE9FF0EF81 -:04121E0001045583EF -:04121F00057005133E -:04122000F0EF81A1C9 -:041221004583CDBF75 -:0412220005130104AB -:04122300F0EF056083 -:041224005583CCFF23 -:041225000513012488 -:0412260081A105900D -:04122700CC1FF0EFF9 -:0412280001244583D5 -:041229000580051324 -:04122A00CB5FF0EFB7 -:04122B0001445583A2 -:04122C0005B00513F1 -:04122D00F0EF81A1BC -:04122E004583CA7FAB -:04122F004412014420 -:04123000051340A2C0 -:04123100013105A0E2 -:04123200C95FF06F31 -:04123300C222115171 -:041234000513842AF0 -:04123500C40603F0F8 -:04123600C3BFF0EF53 -:041237000F05759397 -:0412380044128DC10E -:04123900051340A2B7 -:04123A00013103F08B -:04123B00C71FF06F6A -:04123C00C222115168 -:04123D004569842A51 -:04123E00F0EFC40603 -:04123F001593C19FA3 -:0412400044120064F0 -:0412410003F5751329 -:041242008DC940A270 -:041243000FF5F5931B -:0412440001314569C6 -:04124500C49FF06FE3 -:0412460065DD113120 -:041247008593461D28 -:04124800850A59C5F5 -:0412490000EFC806E4 -:04124A00F0EF35503C -:04124B004501C75F33 -:04124C00E77FF0EF59 -:04124D0005134585BB -:04124E00F0EF03506A -:04124F00655DC23FD8 -:041250000DC50513B0 -:04125100E91FF0EFB2 -:04125200F0EF450173 -:041253004519FA7FC0 -:04125400D01FF0EFC8 -:041255000440051339 -:04125600D03FF0EFA6 -:041257004505458183 -:04125800CD3FF0EFA7 -:04125900F0EF850A23 -:04125A0040C2CFDFE0 -:04125B00808201513B -:04125C00C422114156 -:04125D004511842A89 -:04125E00C02EC606D2 -:04125F00B97FF0EF74 -:04126000043345828C -:04126100759302B4CB -:0412620045110075BD -:0412630001F4779388 -:041264008DDD078E87 -:04126500BC9FF0EF4B -:041266004054551388 -:04126700442240B22B -:041268000FF57513F6 -:04126900808201413D -:04126A00C22211513A -:04126B004541842A4B -:04126C00F0EFC406D5 -:04126D001593B61F00 -:04126E0044120034F2 -:04126F0040A2891DF3 -:04127000F5938DC99C -:0412710045410FF5EF -:04127200F06F0131E7 -:04127300C139B93F85 -:04127400C02611512E -:0412750002600513FB -:04127600059384AEAA -:04127700C222080087 -:041278008432C406F2 -:04127900B79FF0EF3C -:04127A00059347850C -:04127B0089630094EF -:04127C0047A100F492 -:04127D0005A405932C -:04127E0000F4846391 -:04127F00018405934E -:0412800040A2441232 -:04128100F59344821B -:0412820005130FF54C -:041283000131031022 -:04128400B4DFF06F74 -:041285000513458187 -:04128600BFDD026066 -:04128700C822112147 -:04128800CA06C626A6 -:04128900C232C02E7F -:04128A004785C4369A -:04128B0084BA842A73 -:04128C0000F50E63F8 -:04128D000D6347A105 -:04128E00059304F5CB -:04128F00F59300676C -:0412900045150FF5FC -:04129100B19FF0EF2A -:04129200A80945C1A1 -:041293000027059398 -:041294000FF5F593CA -:04129500F0EF45151C -:041296004599B07F47 -:04129700F0EF451916 -:0412980085A2AFFF7D -:04129900450586265B -:04129A00F67FF0EFFC -:04129B0045B147C151 -:04129C0000F40363F4 -:04129D00051345A14F -:04129E00F0EF02204B -:04129F004442AE3FD8 -:0412A000459246220B -:0412A10040D24502F0 -:0412A200016144B2F0 -:0412A300C3FFF06F26 -:0412A4000327059384 -:0412A5000FF5F593B9 -:0412A600F0EF45150B -:0412A7000593AC3FC0 -:0412A800BF6D020014 -:0412A900C2221151FB -:0412AA00C406C02690 -:0412AB00478984AE3D -:0412AC0045818432C2 -:0412AD000097E6635D -:0412AE0000649593B0 -:0412AF0085E105E2EE -:0412B00000251713EB -:0412B100004517934A -:0412B2008FC98FD978 -:0412B300F5938DDD45 -:0412B40045650FF588 -:0412B500A89FF0EF0F -:0412B600F0EF4541CF -:0412B700478DA39F1D -:0412B8000F85759396 -:0412B90000F41463C6 -:0412BA000055E59363 -:0412BB00F0EF4541CA -:0412BC004789A6FFB9 -:0412BD0005B00593E0 -:0412BE000097F96339 -:0412BF0005934791BB -:0412C000F4630520AE -:0412C10005930097FA -:0412C2004539053075 -:0412C300A51FF0EF84 -:0412C4003E80051350 -:0412C500DEAFB0EFF9 -:0412C600F0EF4551AF -:0412C700478D9F9F11 -:0412C800036345C1B6 -:0412C900458100F467 -:0412CA00F0EF45619B -:0412CB004412A33FE7 -:0412CC00448240A276 -:0412CD00051345813F -:0412CE000131036087 -:0412CF00A21FF06FFB -:0412D000C2221151D4 -:0412D1004551842AD5 -:0412D200F0EFC4066F -:0412D30047119C9F84 -:0412D40000877C63B0 -:0412D5000885751300 -:0412D600F785051380 -:0412D70000153513B6 -:0412D800441240A2DA -:0412D90080820131DD -:0412DA0077634709E6 -:0412DB007513008700 -:0412DC0005130905E8 -:0412DD00B7DDF7057D -:0412DE000FF57793FE -:0412DF000017D5130C -:0412E000BFF98905C4 -:0412E100F9410113BB -:0412E2004791D2A2BC -:0412E300D4866461E8 -:0412E400DA3ED0A678 -:0412E5001604079351 -:0412E600C683CC36B9 -:0412E7004721031781 -:0412E8001733C82AC6 -:0412E900C68300D7E1 -:0412EA00671303275C -:0412EB00DC3A008762 -:0412EC0020000713C4 -:0412ED0000D71733DC -:0412EE000337C68379 -:0412EF006741DE3A3B -:0412F00000D71733D9 -:0412F1000347C68366 -:0412F2000737C0BA40 -:0412F300173300208D -:0412F400C2BA00D7A3 -:0412F50003310713A7 -:0412F6006761C4BAAE -:0412F7001967071359 -:0412F8006761C6BAAA -:0412F9001977071347 -:0412FA006761C8BAA6 -:0412FB001987071335 -:0412FC006761CABAA2 -:0412FD001997071323 -:0412FE006761CCBA9E -:0412FF0019A7071311 -:04130000C703CEBA97 -:04130100C783041783 -:0413020066E1042775 -:04130300C43EC03AEA -:04130400290687939C -:0413050067DDC23EA0 -:0413060010C78793F2 -:0413070009A3CA2E3E -:041308004701020196 -:0413090016040413AF -:04130A002906869397 -:04130B00D03E42F19D -:04130C0001871793AB -:04130D00C63E87E170 -:04130E0002500793EF -:04130F0000F715636B -:04131000C63E57FD81 -:0413110007B3A691E7 -:041312004615025723 -:041313004BDC97B662 -:041314000187D31367 -:04131500749383F555 -:04131600666301F316 -:04131700558206F6FF -:041318000027961301 -:04131900962ECE320C -:04131A0086024210F5 -:04131B00025703B3BF -:04131C0010000613A4 -:04131D006621DE3235 -:04131E000613C0B240 -:04131F00C2B2200036 -:041320001C10059305 -:041321000076863399 -:0413220082154A10D6 -:041323007FF67613C8 -:0413240006B61B638B -:0413250067E1D43E6A -:041326002907879379 -:0413270077B793BE43 -:0413280085930001A8 -:04132900851E1247C4 -:04132A00D63A461D4C -:04132B0000EFD21EDF -:04132C0066E1113035 -:04132D0057A25392DE -:04132E008693573219 -:04132F0042F1290658 -:041330004622E90167 -:041331004792E229D4 -:0413320007F10705B3 -:04133300B78DC23E72 -:0413340077B7D23E77 -:04133500859300019B -:04133600461D12C777 -:04133700D43A851E01 -:041338000E1000EFA4 -:04133900579266E180 -:04133A00869357221D -:04133B0042F129064C -:04133C0045A2E919C4 -:04133D009863460566 -:04133E00B7F100C53E -:04133F002000061371 -:041340006641DE32F2 -:041341004662C0B28E -:0413420000C4F333BD -:04134300FA030DE3B9 -:041344004572461296 -:0413450010904E0CAA -:0413460000A604B346 -:04134700FE84A60377 -:0413480046031088C0 -:04134900060A00068A -:04134A002603962AB6 -:04134B008E6DFD4660 -:04134C004552DA59D3 -:04134D00FFF5C59350 -:04134E0096E3898514 -:04134F0005B3F8A545 -:0413500045420257B9 -:04135100498C95B678 -:04135200F5938195F9 -:0413530005F97FF524 -:04135400F6A5EBE32C -:04135500092345859E -:04135600172300B4A5 -:0413570008A30004E3 -:041358000A23000460 -:04135900CC100004B0 -:04135A000763658937 -:04135B00E46326B66B -:04135C00059314C51C -:04135D000B63080016 -:04135E00E26322B66E -:04135F0045C10EC5B1 -:0413600022B601634D -:041361000AC5EF6367 -:041362000663459148 -:0413630045A11CB6CE -:04136400F2B61BE3DF -:041365000623460510 -:04136600460300C476 -:041367001F6304E418 -:0413680046711E06A6 -:0413690002C706337E -:04136A0055839636DB -:04136B00061300E67F -:04136C0065635770EE -:04136D0096131EB6FF -:04136E00516301D7EF -:04136F00460D1EC049 -:0413700000C406A30C -:0413710009234609FD -:04137200861300C41A -:041373008A15006770 -:041374004615CE113B -:041375000AC79F63A1 -:04137600073347F101 -:04137700079302F7DF -:0413780096BA4AF0E7 -:0413790000E6D703B0 -:04137A000AE7E56336 -:04137B00044447835C -:04137C0006A3CFD124 -:04137D004789000498 -:04137E000633AA7D0B -:04137F000593025779 -:04138000963620D0AD -:0413810082154A1077 -:041382007FF6761369 -:04138300EEB61DE3C2 -:04138400FFF7061356 -:0413850002560633D3 -:041386009636458DC5 -:0413870082754A50D1 -:0413880000B61A632E -:04138900E601460231 -:04138A000EF4F493D6 -:04138B004609BDE969 -:04138C00B5D1458210 -:04138D00E60146022D -:04138E000FB4F49311 -:04138F004605B5E971 -:041390000793BFC53B -:0413910006630200ED -:04139200079300F6C7 -:041393001CE3040053 -:041394000793E6F6DF -:0413950016233010DB -:04139600479100F487 -:041397000593AAA967 -:0413980003634000AB -:04139900EB6316B636 -:04139A00059302C5F0 -:04139B0007631000D4 -:04139C00059314B6EB -:04139D0018E3200031 -:04139E004709E4B661 -:04139F0000E416232D -:0413A0009863470DFA -:0413A100478300E797 -:0413A200F7B5044453 -:0413A3000723478550 -:0413A400478300F487 -:0413A50008A3055440 -:0413A600A0FD00F4B2 -:0413A7008006079322 -:0413A800120789633C -:0413A90010E3678561 -:0413AA000793E2F6CD -:0413AB0016232020C5 -:0413AC00478D00F475 -:0413AD0005B7A209D5 -:0413AE000A630008C6 -:0413AF00E36312B62C -:0413B00065C104C54A -:0413B10012B600630D -:0413B20002C5E3632A -:0413B30008636791D3 -:0413B40067A110F627 -:0413B500DEF619E364 -:0413B6002020079359 -:0413B70000F4162305 -:0413B8000923479D21 -:0413B90047B500F440 -:0413BA0000F40A230E -:0413BB0007B7B75D5C -:0413BC000B630002BD -:0413BD0007B70EF66A -:0413BE0016E300042E -:0413BF000793DCF6BE -:0413C000B7752030AD -:0413C100004007B72A -:0413C2000EF60963B7 -:0413C30002C7E0631A -:0413C400001007B757 -:0413C5000EF60063BD -:0413C600002007B745 -:0413C700DAF615E35A -:0413C8001623479110 -:0413C900079300F492 -:0413CA00BF7D07805C -:0413CB00010007B75F -:0413CC000CF60F63A9 -:0413CD00020007B75C -:0413CE000EF60463B0 -:0413CF00008007B7DC -:0413D000D8F613E355 -:0413D100204007931E -:0413D20000F41623EA -:0413D3000923479112 -:0413D40047F900F4E1 -:0413D5004671BF514D -:0413D60002C7073310 -:0413D70000041623D5 -:0413D80096BA8B95A1 -:0413D90000A6D70390 -:0413DA008B7D46853C -:0413DB0000E408A37F -:0413DC0000D79B6338 -:0413DD0004444683FB -:0413DE004789CE99D4 -:0413DF0000F40923EA -:0413E00007A3478593 -:0413E100D71100F42C -:0413E200541650A6A7 -:0413E30054864532B5 -:0413E40006C101132A -:0413E50007238082D8 -:0413E600B7DD00F47B -:0413E700000406A355 -:0413E8000793B52D85 -:0413E9001623201097 -:0413EA00B5B100F4A5 -:0413EB003010079324 -:0413EC0000F41623D0 -:0413ED0009234799F0 -:0413EE00BDE100F469 -:0413EF002010079330 -:0413F00000F41623CC -:0413F100BFC5479598 -:0413F200102007932D -:0413F30000F41623C9 -:0413F4000793B5C9DD -:0413F500BFC1202034 -:0413F6002020079319 -:0413F7000793BDADEE -:0413F800BFF92020F9 -:0413F900BD59470D86 -:0413FA002030079305 -:0413FB000793BF6D28 -:0413FC00B595203053 -:0413FD002030079302 -:0413FE000793B7E1B9 -:0413FF001623204051 -:04140000478D00F420 -:0414010000F40923C7 -:0414020002800793CA -:041403000793BDF19D -:04140400162320404B -:04140500479500F413 -:0414060000F40923C2 -:04140700B5E947E11B -:0414080020400793E6 -:0414090000F41623B2 -:04140A0009234799D2 -:04140B0047D100F4D1 -:04140C001111BD6598 -:04140D0001851793AB -:04140E00C826CA2200 -:04140F0087E1CC069F -:0414100084AE842AF8 -:041411000007DD6390 -:0414120005134581F8 -:0414130037D5077052 -:0414140000A101A38F -:04141500EE634785B6 -:04141600741306A79E -:041417002E9507F413 -:0414180045014591B4 -:041419002E81268179 -:04141A000184D793DF -:04141B0000F102A337 -:04141C000104D7935D -:04141D0000F10323B4 -:04141E000084D793DC -:04141F0000F103A332 -:041420000081022322 -:04142100009104230F -:0414220004000713A8 -:0414230009500793D2 -:0414240000E4096374 -:041425000480071325 -:04142600146347857F -:04142700079300E443 -:04142800459908706A -:0414290004A30048D0 -:04142A0024ED00F1BC -:04142B002EB1451584 -:04142C004585842A44 -:04142D000031051372 -:04142E00078324F517 -:04142F00D66300314F -:041430002E8D0007F6 -:0414310006E3478502 -:04143200E011FEF5D2 -:041433004503264106 -:0414340040E2003161 -:0414350044C2445217 -:04143600808201713E -:04143700FD8101131F -:041438004581C02A00 -:041439000490051303 -:04143A00D022D206E4 -:04143B00F0EFCE26DA -:04143C00C901F45F8F -:04143D005092450183 -:04143E0044F254021E -:04143F000281011312 -:04144000842A8082F8 -:041441002611451516 -:04144200458584AAAE -:04144300007105131C -:0414440047032C51DD -:041445000793007198 -:0414460016630FF02A -:041447002E1900F763 -:0414480004E34785ED -:04144900E091FEF53B -:04144A004703261519 -:04144B000793007192 -:04144C0011E30FE0B9 -:04144D0045C9FCF79A -:04144E0024AD0028A1 -:04144F00C783478286 -:04145000F713004747 -:04145100CF1500278C -:0414520000E145036D -:0414530000F14783DA -:041454000121470328 -:041455000522890DD6 -:0414560047838D5DDE -:04145700050A010180 -:0414580000D1440378 -:041459008D5D839989 -:04145A0001114783B2 -:04145B00883D831D28 -:04145C008B990786DB -:04145D00943E8FD951 -:04145E001465050507 -:04145F0000851533BC -:041460008B91BF9D10 -:041461004503CB89EB -:0414620047830101BA -:04146300052201114C -:0414640047C58D5D8E -:041465004781B7CD37 -:04146600BFF145018C -:04146700FDC10113AF -:04146800CC2665D950 -:0414690084AA4629E2 -:04146A000A858593D7 -:04146B00D00600683F -:04146C0024E1CE2287 -:04146D00C03E478DA9 -:04146E002C2122C14A -:04146F0045A924A9BE -:041470002AC900681D -:04147100A0234581EE -:04147200051300045A -:04147300F0EF040092 -:041474004785E65F63 -:041475001B63842A47 -:0414760005930EF5D7 -:0414770005131AA09F -:04147800F0EF04800D -:04147900C22AE51F7F -:04147A000885176367 -:04147B00002845916F -:04147C0047032A55A3 -:04147D00479200A1F1 -:04147E000CF71A63EA -:04147F0000B147036E -:041480000AA0079324 -:041481000CF71463ED -:041482003E80051390 -:04148300241D2AFDFD -:04148400196347851C -:0414850005B700F5B2 -:04148600051340000A -:04148700F0EF0E90E4 -:04148800F575E15FB6 -:0414890047852C0166 -:04148A0002F50663FE -:04148B002C394401B3 -:04148C0017FD47827F -:04148D000FF7F793CB -:04148E00CBD9C03EB8 -:04148F004785DC357C -:04149000008482232F -:041491008526C09C50 -:04149200E95FF0EF2F -:04149300C488157D77 -:04149400A0412A69E0 -:041495000513458175 -:04149600F0EF07A0CC -:04149700F579DD9F67 -:041498000028459152 -:0414990047832281E2 -:04149A004431008158 -:04149B000407F793B8 -:04149C004411FFDD1B -:04149D004581BF6D59 -:04149E000E90051394 -:04149F00DB7FF0EF10 -:0414A000041007939A -:0414A10000A46563DB -:0414A200079344095F -:0414A30005130E908F -:0414A400C23E0FA095 -:0414A5002A792A9DD9 -:0414A60017634785FC -:0414A700451200F5F5 -:0414A800F0EF45819B -:0414A900F965D91FE9 -:0414AA00E1112271B9 -:0414AB002A79440155 -:0414AC00051345815E -:0414AD00F0EF07B0A5 -:0414AE00C111D7DFB2 -:0414AF00059344015C -:0414B0000513200000 -:0414B100F0EF050053 -:0414B200D13DD6DF73 -:0414B300B78D4401AC -:0414B40020CDF43D16 -:0414B50000143513D7 -:0414B60044725082AA -:0414B700011344E2F7 -:0414B80080820241EB -:0414B9001121451C9C -:0414BA00CA06C82274 -:0414BB00C22EC62651 -:0414BC00440DC03AE1 -:0414BD0002C7E1631E -:0414BE004783CF1978 -:0414BF0084B60045AA -:0414C000E3918BA188 -:0414C10085B20626C4 -:0414C20005100513F9 -:0414C300D27FF0EFF5 -:0414C4004409C90905 -:0414C5008522204517 -:0414C600444240D28A -:0414C700016144B2C9 -:0414C8000513808206 -:0414C90020D50640E4 -:0414CA00051345853C -:0414CB00289D00B1A7 -:0414CC0000B1470321 -:0414CD000FF0079382 -:0414CE0000F71663AA -:0414CF00478528E540 -:0414D000FEF504E33E -:0414D100470322218A -:0414D200079300B1CB -:0414D30012E30FE031 -:0414D4004782FCF758 -:0414D50020200413BC -:0414D6008C1D8C05D8 -:0414D700804104420A -:0414D80085A6C481A0 -:0414D900283D450164 -:0414DA0045124582F0 -:0414DB0085A2282599 -:0414DC00280D450191 -:0414DD00BF7944018E -:0414DE0000062637A7 -:0414DF00019C05B7B0 -:0414E00000021537BA -:0414E100A806061340 -:0414E200CC0585931D -:0414E30002050513E6 -:0414E4009E2FB06F18 -:0414E50085AA862E20 -:0414E60000021537B4 -:0414E70002050513E2 -:0414E800A80FB06F2A -:0414E90085AA862E1C -:0414EA0000021537B0 -:0414EB0002050513DE -:0414EC00A44FB06FEA -:0414ED006761808231 -:0414EE0013A7578366 -:0414EF00F7F7F79381 -:0414F00083C107C2EB -:0414F10012F71D23AE -:0414F20000021737A6 -:0414F3000EF72023AD -:0414F400676180822A -:0414F50013A757835F -:0414F6000807E79369 -:0414F70012F71D23A8 -:0414F80000021737A0 -:0414F9000EF72023A7 -:0414FA00363780827F -:0414FB0005B7002908 -:0414FC001537019C03 -:0414FD0006130002D0 -:0414FE0085932E069E -:0414FF000513CC0500 -:04150000B06F0205C1 -:04150100F06F970FE1 -:041502006761F73FE7 -:0415030069C727830A -:04150400679DE38577 -:0415050097878793AA -:0415060002F50533B2 -:04150700C4061151B4 -:0415080068A72E237F -:04150900CFFFA0EF81 -:04150A00450140A2B5 -:04150B0080820131A8 -:04150C00808245058F -:04150D00C4061151AE -:04150E00D0FFA0EF7B -:04150F00A78367E166 -:0415100040A269C7C5 -:0415110000F5353379 -:0415120080820131A1 -:04151300AE2367E1BB -:041514008082680762 -:041515001463470113 -:04151600450100E6A5 -:0415170007B3808214 -:04151800070500E5DE -:0415190000E586B3B0 -:04151A000007C7837C -:04151B00FFF6C6838E -:04151C00FED783E390 -:04151D0040D78533FB -:04151E00C7B380824D -:04151F008B8D00A50B -:0415200000C50733C8 -:04152100478DE7818A -:0415220002C7E963B0 -:04152300716387AABF -:04152400C6830CE589 -:041525000785000531 -:041526008FA3058505 -:04152700EAE3FED71E -:041528008082FEE7D8 -:041529000005C68370 -:04152A0005850785A7 -:04152B00FED78FA3B5 -:04152C00FEE7EAE309 -:04152D000111440262 -:04152E0076938082AE -:04152F0087AA003552 -:04153000C683CA9113 -:041531000785000525 -:041532008FA30585F9 -:04153300F693FED756 -:04153400B7FD0037C8 -:04153500FFC77693E3 -:04153600FE06861314 -:0415370006C7F5638B -:04153800C02211714B -:04153900A30349C0FF -:04153A00A383000582 -:04153B00CBC000859C -:04153C00A0234D801B -:04153D00A30300679D -:04153E00CF80004515 -:04153F00A2234DC0D6 -:04154000A28300671B -:04154100A30300C53B -:041542008593010587 -:04154300CFC00245CE -:04154400FFC5A40338 -:041545000077A42364 -:041546000057A62381 -:041547000067A8236E -:04154800024787933C -:04154900FE87AE2348 -:04154A00FAC7EEE30B -:04154B00F8D7F2E3F8 -:04154C000791419032 -:04154D00AE23059133 -:04154E00BFCDFEC748 -:04154F00079141902F -:04155000AE23059130 -:04155100EBE3FEC703 -:04155200E4E3FED7F9 -:041553008082F4E7B7 -:04155400011380827D -:04155500D6A6F7C15E -:04155600DA86C13E32 -:04155700D8A267E1CE -:04155800DEBADCB665 -:0415590005C7A4839B -:04155A000005DC6349 -:04155B0008B007933A -:04155C00557DC09C5D -:04155D00544650D6CA -:04155E00011354B66B -:04155F00808208413D -:04156000208007934D -:0415610000F118235A -:04156200CA2AC22AA5 -:04156300C199478162 -:04156400FFF5879375 -:04156500CC3EC63E74 -:0415660057FD18B461 -:041567008526842E23 -:041568001923004CF7 -:04156900C03600F197 -:04156A0057FD260102 -:04156B0000F55563CF -:04156C0008B0079329 -:04156D00DC5DC09CE5 -:04156E0080234792FD -:04156F00BF5D000755 -:04157000167DC60519 -:0415710007B3470174 -:0415720086B300E557 -:04157300C78300E545 -:04157400C683000723 -:041575009663000673 -:04157600046300D733 -:04157700070500C79D -:041578008533F3FDC7 -:04157900808240D755 -:04157A008082450125 -:04157B00CA0987AA68 -:04157C00C703058517 -:04157D000785FFF5EA -:04157E008FA3167DA4 -:04157F00FB65FEE723 -:041580009363963E9D -:04158100808200C79D -:041582008FA30785A7 -:04158300BFD5FE07CB -:0415840087AA95AAF3 -:0415850000B78563C3 -:041586000007C70390 -:041587008533E701C0 -:04158800808240A776 -:04158900B7FD07851E -:04158A00A783C5D599 -:04158B001151FFC536 -:04158C00C406C222AD -:04158D008413C026DD -:04158E00D363FFC55F -:04158F00943E00077F -:0415900000EF84AA3A -:04159100676115F089 -:041592000DC72783D7 -:04159300EF81863A24 -:04159400000422230A -:041595000C872E236E -:0415960040A2441219 -:0415970044828526DF -:04159800006F0131AE -:041599007063141057 -:04159A00401402F403 -:04159B0000D407333E -:04159C0000E796636B -:04159D0043DC439850 -:04159E00C0189736A4 -:04159F002E23C05CDB -:0415A000BFD90C861D -:0415A10043D887BAEA -:0415A2007DE3C31909 -:0415A3004394FEE48B -:0415A40000D78633B3 -:0415A50000861F633A -:0415A60096B24010A9 -:0415A7008633C39430 -:0415A8001BE300D76A -:0415A9004310FAC72A -:0415AA0096B243585A -:0415AB00C3D8C3944A -:0415AC007563B76547 -:0415AD0047B100C47E -:0415AE00BF79C09CA5 -:0415AF0006B340102F -:0415B000166300C4FA -:0415B100431400D708 -:0415B20096B2435852 -:0415B300C058C01448 -:0415B400B759C3C0A0 -:0415B50011418082DE -:0415B6008493C22632 -:0415B70098F1003572 -:0415B800C422C6067D -:0415B90047B104A191 -:0415BA0004F4FB63D7 -:0415BB00EA6344B1EA -:0415BC00C02A04B489 -:0415BD000AD000EF61 -:0415BE0026836761B8 -:0415BF0047820DC78B -:0415C0000DC706133A -:0415C100E431843657 -:0415C2000413646149 -:0415C30040180E04BA -:0415C400853EEB0174 -:0415C500C03E45815E -:0415C6007F8000EF33 -:0415C700C00847828F -:0415C80085A6853E31 -:0415C90000EFC03E31 -:0415CA00577D7EA02B -:0415CB0015634782DB -:0415CC00473106E5B8 -:0415CD00853EC398FC -:0415CE0006B000EF74 -:0415CF00D8E3A02994 -:0415D00047B1FA0421 -:0415D1004501C11CF3 -:0415D200442240B2BD -:0415D30001414492FC -:0415D40040188082B9 -:0415D5004E638F05CD -:0415D60045AD020716 -:0415D70000E5F663D2 -:0415D800943AC01869 -:0415D900A029C00481 -:0415DA009263405880 -:0415DB00C2180286AA -:0415DC0000EF853E59 -:0415DD0005130310DF -:0415DE00071300B43B -:0415DF0099610044CA -:0415E00040E507B328 -:0415E100943ED3F170 -:0415E200C0188F0995 -:0415E300C2D8BF7536 -:0415E40086A2B7C55F -:0415E500BF8540403E -:0415E60000350413B5 -:0415E70003E3987111 -:0415E80005B3FC85C6 -:0415E900853E40A457 -:0415EA00279DC03E3B -:0415EB004782577D5F -:0415EC00FAE51AE31F -:0415ED00A303BFBDD8 -:0415EE001111008552 -:0415EF00CC06C82638 -:0415F000C232CA2217 -:0415F100E36384AE7E -:0415F200D6030866AE -:0415F300771300C5A5 -:0415F400CB3D48069D -:0415F50048C882AAB6 -:0415F6004080470DDD -:0415F70002A707330D -:0415F8004509498CCC -:0415F90040B407B340 -:0415FA004433C03E78 -:0415FB00851302A7AB -:0415FC00953E001602 -:0415FD0000A4736370 -:0415FE007613842AB2 -:0415FF00C6364006A6 -:041600008516C63D48 -:04160100C41685A2E4 -:04160200ECFFF0EF1A -:0416030046B242A207 -:04160400CD2D473170 -:04160500488C4602C5 -:04160600C42AC636F6 -:04160700C5FFF0EF3C -:0416080000C4D60341 -:0416090046B2432280 -:04160A00B7F67613A6 -:04160B000806661354 -:04160C0000C496235D -:04160D00A823478245 -:04160E00C8C00064EC -:04160F008C1D933E5D -:041610000064A023AF -:041611008336C480D8 -:041612000066F36318 -:041613004592833643 -:04161400861A40886A -:041615002701C01ACF -:0416160043024498AF -:04161700073345014F -:04161800C4984067CB -:04161900933A409828 -:04161A000064A023A5 -:04161B008516A80D7B -:04161C00C416862248 -:04161D00832A2F05E8 -:04161E0046B242A2EC -:04161F00488CFD45B1 -:04162000C016851655 -:04162100DA5FF0EFAD -:041622004731428288 -:0416230000E2A0231E -:0416240000C4D70324 -:041625006713557D75 -:0416260096230407FC -:0416270040E200E4B9 -:0416280044C2445222 -:041629008082017149 -:04162A0000C5D7031D -:04162B00F78101132F -:04162C00DEA6C12253 -:04162D0001F107932D -:04162E00C22AC30603 -:04162F007713C02E3F -:0416300084B2080771 -:04163100FF87F41328 -:041632004998CB1DEB -:041633000593EB0D23 -:04163400C6360400B2 -:04163500E03FF0EFB3 -:0416360046B24782EF -:04163700CB88C38811 -:041638004712E91953 -:04163900557D47B1E3 -:04163A00409AC31CF3 -:04163B0054F6440A13 -:04163C00088101130D -:04163D0047828082DE -:04163E00040007138A -:04163F000713CBD8EA -:041640000CA30200F5 -:04164100071300E4A7 -:041642002A23030054 -:041643000D2300046F -:04164400CA3600E4BE -:0416450006138726DB -:041646004683025085 -:04164700C29900073D -:041648000AC69863D3 -:041649004097033390 -:04164A000203026332 -:04164B00451245827D -:04164C008626869ACE -:04164D00C61AC83AB7 -:04164E00E7FFF0EFD3 -:04164F00026356FDDF -:0416500048541CD509 -:041651004742433297 -:04165200C854969A48 -:0416530000074683C3 -:041654001A06896386 -:0416550000170493E3 -:041656002023577D79 -:041657002623000442 -:04165800C058000472 -:041659000004242342 -:04165A00040401A3E0 -:04165B0004042C2334 -:04165C000004C5833E -:04165D00000177B75A -:04165E008513461595 -:04165F002B7D560782 -:0416600000148713D8 -:04166100E539401413 -:041662000106F61374 -:041663000613C6099B -:0416640001A30200DC -:04166500F61304C4B0 -:04166600C60900862B -:0416670002B00613B4 -:0416680004C401A312 -:041669000004C58331 -:04166A0002A00613C1 -:04166B0004C58163CE -:04166C008726445435 -:04166D004525458149 -:04166E00460342A944 -:04166F00031300075A -:041670000613001746 -:041671007963FD0696 -:04167200C99506C54B -:04167300A805C454AE -:04167400B7A107050E -:04167500000177B742 -:04167600560786137A -:0416770040C5063331 -:0416780015334505DC -:041679008EC900C551 -:04167A0084BAC0145A -:04167B004652B751CB -:04167C00004605938C -:04167D00CA2E42101F -:04167E0002064963B4 -:04167F004603C4500A -:0416800006930007C6 -:04168100116302E00F -:04168200460306D63F -:0416830006930017B3 -:041684001B6302A042 -:0416850046D202D671 -:0416860086130709B7 -:041687004294004643 -:04168800C163CA323E -:04168900C054020641 -:04168A000633A08102 -:04168B00E69340C0E2 -:04168C00C450002620 -:04168D00B7E1C014ED -:04168E00025686B3C7 -:04168F00871A4585EC -:04169000BFA596B2AA -:04169100B7C556FD86 -:041692002223070503 -:041693004581000489 -:041694004525468121 -:04169500460342A91D -:041696000313000733 -:04169700061300171F -:041698007763FD0671 -:04169900F1E106C5B0 -:04169A00000745837D -:04169B00000174B71F -:04169C008513460D5F -:04169D00C63A56846F -:04169E00473221D1DD -:04169F008493CD0162 -:0416A0008D055684DA -:0416A10004000693A8 -:0416A20000A696B355 -:0416A30007054008EF -:0416A400C0088D5598 -:0416A5000007458372 -:0416A6000001753793 -:0416A70005134619C8 -:0416A800049356C58C -:0416A9000C230017F7 -:0416AA00294900B416 -:0416AB000737C52D0B -:0416AC000713000020 -:0416AD00E70D00073E -:0416AE00475240144B -:0416AF001006F69398 -:0416B0000711CE91BF -:0416B1004858CA3A91 -:0416B200973E47A276 -:0416B300B599C858C5 -:0416B400025686B3A1 -:0416B500871A4585C6 -:0416B600BFB596B274 -:0416B700B7DD072173 -:0416B800451246028F -:0416B900000156B71F -:0416BA0086930858B3 -:0416BB0085A27B6623 -:0416BC000000009793 -:0416BD00000000E742 -:0416BE0047A2C42A51 -:0416BF0094E3577DDC -:0416C0004782FCE77A -:0416C100D703557D79 -:0416C200771300C7D3 -:0416C3001EE3040717 -:0416C4004848DC07AF -:0416C5004602BBD945 -:0416C60056B74512BC -:0416C70008580001BE -:0416C8007B66869324 -:0416C9002A0585A2C7 -:0416CA001101BFC18A -:0416CB008332CA2676 -:0416CC00459084B60B -:0416CD00CC2249944E -:0416CE00842ACE0696 -:0416CF00D36387AEAC -:0416D00086B200C618 -:0416D10000D32023FF -:0416D2000437C60310 -:0416D3000685C601C1 -:0416D40000D32023FC -:0416D500F6934394B1 -:0416D600C6910206B1 -:0416D7000003268363 -:0416D800202306893C -:0416D900A28300D315 -:0416DA0083930007EF -:0416DB00F2930197EE -:0416DC0083630062C2 -:0416DD00439006022E -:0416DE000437C68384 -:0416DF000206761376 -:0416E00000D036B34D -:0416E1008613EA4141 -:0416E20085A604379E -:0416E300C41A85227E -:0416E400C03AC23E08 -:0416E50056FD970215 -:0416E60006D50863BA -:0416E70043224792C1 -:0416E80043944511D1 -:0416E90000032603D1 -:0416EA008A9947CCC6 -:0416EB00470243016E -:0416EC0000A697635A -:0416ED0040C583333E -:0416EE00000353633F -:0416EF0047944301D8 -:0416F00054634B9064 -:0416F1008E9100D600 -:0416F2004281933668 -:0416F300126307E98E -:0416F4004501065353 -:0416F5000285A81DA5 -:0416F600260347D4AC -:0416F7008E910003CD -:0416F800F8D2DBE366 -:0416F900861E46857E -:0416FA00852285A61A -:0416FB00C63EC81A05 -:0416FC00C21EC41630 -:0416FD009702C03A56 -:0416FE00470256FD4C -:0416FF0042A243922E -:04170000434247B267 -:04170100FCD519E317 -:0417020040F2557DDF -:0417030044D2446226 -:041704008082610579 -:0417050000D785B3D1 -:0417060003000513C4 -:0417070004A581A311 -:041708000457C5833A -:04170900001686132D -:04170A000689963E78 -:04170B0004B601A37C -:04170C004685BF99B6 -:04170D0085A6863EE9 -:04170E00C616852254 -:04170F00C23EC41AF8 -:041710009702C03A42 -:0417110001E356FD9D -:0417120042B2FCD50E -:041713004792432294 -:041714004702028501 -:041715001101BFAD52 -:04171600842ECC222F -:041717004603C23291 -:04171800CA26018458 -:0417190084B6CE06BE -:04171A000693C02A48 -:04171B00859306E0CC -:04171C000863043525 -:04171D00E4631ED68D -:04171E00069306C662 -:04171F000463063029 -:04172000ED630AD695 -:04172100046300C697 -:041722000693200604 -:041723000C630580CE -:04172400031316D6BF -:041725000123042474 -:04172600A87904C4D6 -:0417270006400693DF -:0417280000D606637E -:04172900069006938D -:04172A00FED615E3EF -:04172B002303401440 -:04172C00F6130007A9 -:04172D000513080692 -:04172E00C249004369 -:04172F00000326830A -:041730007637C3083D -:04173100D863000178 -:041732000713000693 -:0417330006B302D027 -:0417340001A340D0FD -:04173500061304E4AF -:0417360047295746A2 -:041737000693A07DF8 -:041738000A63073009 -:04173900EF631AD66A -:04173A00069300C64C -:04173B00006306F051 -:04173C00069306D634 -:04173D001EE30700A0 -:04173E004014F8D685 -:04173F000206E69325 -:04174000A809C01420 -:0417410007500693B4 -:0417420004D6036363 -:041743000780069382 -:04174400F8D611E3DF -:041745000780069380 -:0417460000017637F1 -:0417470004D402A321 -:0417480058860613A6 -:041749004314A0FDA8 -:04174A00042403135D -:04174B0000468613BB -:04174C004298C310EC -:04174D0004E401238C -:04174E00AABD4705E4 -:04174F000406F61383 -:0417500000032683E9 -:04175100DE35C308B6 -:0417520086C106C284 -:041753004008BF9DEE -:041754007293431435 -:0417550083130805ED -:041756008663004660 -:041757002023000249 -:041758004294006750 -:041759007513A8015B -:04175A00202304053F -:04175B00D9750067D5 -:04175C000006D6832A -:04175D0006F005137A -:04175E0000017737D8 -:04175F000CA60D6364 -:0417600057470613CE -:0417610001A3472970 -:0417620040480404F3 -:041763004863C4080B -:041764002303000556 -:0417650073130004F6 -:041766002023FFB38A -:04176700E29900649F -:04176800CD11832EEE -:04176900F533832EA3 -:04176A00137D02E603 -:04176B00450395326B -:04176C000023000551 -:04176D00D53300A3CD -:04176E00F26302E63A -:04176F0046A10AE69F -:0417700000D71E631D -:041771008B0540188C -:041772004054CB1103 -:041773004763481868 -:04177400071300D780 -:041775000FA30300BB -:04177600137DFEE3FE -:04177700406585B391 -:041778004692C80CC1 -:041779008726450278 -:04177A0085A208102C -:04177B00F0EFC41AAD -:04177C00577DD3DFE3 -:04177D00156343228B -:04177E00557D0CE5A4 -:04177F00446240F28E -:04178000610544D2E9 -:0417810002A38082BD -:04178200763704C4EE -:041783000613000148 -:04178400400857467C -:041785000007230333 -:04178600080572934D -:0417870000032683B2 -:041788008163031165 -:041789002023020215 -:04178A00771300676A -:04178B00C70100157D -:04178C0002056513DA -:04178D004741C00808 -:04178E004008F6B960 -:04178F00FDF57513DC -:04179000B791C00845 -:041791000405729346 -:0417920000672023A9 -:04179300FC028FE3E2 -:0417940082C106C246 -:041795000613BFD99F -:041796004721574749 -:0417970086AAB72D3A -:041798004010B799AD -:041799004848431465 -:04179A000806729338 -:04179B00004683136E -:04179C00000287635D -:04179D00006720239E -:04179E00C3084298A2 -:04179F002023A80952 -:0417A0007613006755 -:0417A1004298040660 -:0417A2001023DA6DC9 -:0417A300282300A750 -:0417A400832E00048C -:0417A5004314B7B979 -:0417A60086134581E0 -:0417A700C310004625 -:0417A8000006A30391 -:0417A900851A40500D -:0417AA002849C41AEC -:0417AB00C50143220F -:0417AC00406505335C -:0417AD004058C04898 -:0417AE0001A3C818B3 -:0417AF00B715040462 -:0417B0004592481402 -:0417B100861A45024D -:0417B200577D948249 -:0417B300F2E507E371 -:0417B4008B09401845 -:0417B5004742EB15A7 -:0417B60051E344486F -:0417B700853AF2E598 -:0417B8004592BF3166 -:0417B900468545021A -:0417BA00C63A861A8B -:0417BB009482C41A36 -:0417BC0004E356FDEF -:0417BD004732F0D5EA -:0417BE0007054322B6 -:0417BF004642445406 -:0417C00040E38E91E3 -:0417C100BFC1FED7CF -:0417C20003134701C5 -:0417C300B7FD0194D9 -:0417C400C2221151DB -:0417C5006461C02675 -:0417C600852E84AA3E -:0417C7002023C40611 -:0417C80020FD6A0492 -:0417C900166357FD4F -:0417CA00278300F57C -:0417CB00C3916A0458 -:0417CC0040A2C09CDB -:0417CD0044824412FC -:0417CE0080820131E3 -:0417CF000FF5F5938A -:0417D0001463962ADE -:0417D100450100C509 -:0417D2004783808247 -:0417D3008DE300059D -:0417D4000505FEB752 -:0417D500F363B7FD06 -:0417D60086B304A52D -:0417D7007F6300C567 -:0417D800459302D55E -:0417D9004781FFF64F -:0417DA00936317FD01 -:0417DB00808200F513 -:0417DC0000F6873359 -:0417DD0000074303BB -:0417DE0000C7873386 -:0417DF000023972A22 -:0417E000B7DD00670A -:0417E10000F5873355 -:0417E2000007468333 -:0417E30000F50733D3 -:0417E4000023078552 -:0417E50017E300D72F -:0417E6008082FEF609 -:0417E700BFE5478192 -:0417E80080828082F9 -:0417E90085B2E5815F -:0417EA00F2EFF06FBB -:0417EB00C8061131EA -:0417EC00C426C62227 -:0417ED00F0EFEA111E -:0417EE004781E72F19 -:0417EF00443240C27E -:0417F000853E44A24C -:0417F10080820151A0 -:0417F200C032842E4F -:0417F300203D84AA67 -:0417F40087A2460280 -:0417F500FEC574E3D6 -:0417F600852685B20D -:0417F700F0EFC2321B -:0417F80087AAEF8F3E -:0417F9004612DD6156 -:0417FA00C02A85A2DA -:0417FB00C8EFF0EF54 -:0417FC00852685A217 -:0417FD00E34FF0EFD7 -:0417FE00B7C947829E -:0417FF00FFC5A783F8 -:04180000FFC7851386 -:041801000007D563A4 -:04180200419C95AAC6 -:041803008082953E0C -:04180400C4221141A8 -:041805002783646170 -:04180600C6060E44C0 -:04180700832AC22648 -:041808000E44041373 -:041809004501EB8525 -:04180A0046014581CD -:04180B0047014681CA -:04180C000D600293D6 -:04180D000000007364 -:04180E005C6384AAE9 -:04180F002881000527 -:04181000409004B34D -:04181100557DC1043C -:04181200442240B27A -:0418130001414492B9 -:04181400C008808206 -:0418150045814008C1 -:04181600951A4601D8 -:0418170047014681BE -:04181800029347816F -:0418190000730D60EB -:04181A0084AA00009C -:04181B000005596308 -:04181C002831C01A95 -:04181D0004B34302CB -:04181E00C104409031 -:04181F00401C54FD18 -:04182000933E557D21 -:04182100FC6492E3EE -:04182200853EC0043B -:0418230067E1BF7545 -:0418240005C7A5034C -:0418250000008082BD +:0406EB0006B305F25B +:0406EC00F49302D3AE +:0406ED0004D20FF430 +:0406EE0002C6C6B3C7 +:0406EF0082C106C2FC +:0406F000C683D236B5 +:0406F100561203C7D3 +:0406F2000125440397 +:0406F30002D706B371 +:0406F40007428B1D11 +:0406F500C60396B2F0 +:0406F600033300D7F3 +:0406F700F693025321 +:0406F800067A7FF609 +:0406F90000766633EE +:0406FA008DD106CECA +:0406FB0047528ED9FB +:0406FC00C6038DC5DF +:0406FD0083B301378B +:0406FE0073130282EE +:0406FF008A1D7FF3DE +:040700008ED106365A +:040701000155460355 +:040702000527C503FF +:0407030006468A1DFF +:040704001FF3F39359 +:04070500E5B303AEA7 +:04070600E333007564 +:04070700F593006501 +:0407080002B30072C6 +:0407090057320087DC +:04070A008ECD05AAE1 +:04070B0002E282B3D1 +:04070C00897D57127A +:04070D0092BA051E79 +:04070E003FF2F29331 +:04070F0003D7C70342 +:040710000056E2B3FA +:04071100771346A272 +:04071200075203F790 +:040713008F5506F602 +:040714008F5146F2C9 +:0407150003E7C6032D +:0407160003F6F6935D +:0407170000B6959300 +:040718008F4D46E2D9 +:04071900F6938A3D8C +:04071A0064337FF6CF +:04071B00C68300D7BA +:04071C008D5103F701 +:04071D0001F7C58398 +:04071E0006928A9D18 +:04071F0046B28D55FC +:040720000517C703EF +:04072100961389FDA5 +:04072200468200C645 +:0407230005E6823134 +:0407240006D28AFD72 +:04072500C6038ED1A8 +:040726008B05020736 +:040727008A05073206 +:0407280001E617933C +:0407290000B6E633FD +:04072A0057828E5D07 +:04072B0005378F49B6 +:04072C0045138000F1 +:04072D0007FEFFF5CF +:04072E008E5D8E69E5 +:04072F00769347C2B4 +:0407300094930FF39C +:040731004792008764 +:04073200F79380A118 +:0407330007E203F7DF +:0407340057A28CDD5F +:0407350007FE8CE946 +:0407360067E18CDD0E +:0407370008C7A783C5 +:0407380000C7C583AE +:0407390000D786233C +:04073A00008356934F +:04073B000FF6F6932C +:04073C0000D7C5839A +:04073D0000D786A3B8 +:04073E0001035693CA +:04073F000FF6F69328 +:0407400000E7C58386 +:0407410000D7872333 +:0407420000F7C68373 +:0407430001835313C8 +:04074400006787A320 +:040745000FF2F69326 +:040746000107C5835F +:0407470000D788232C +:040748000082D693C2 +:040749000FF6F6931E +:04074A000117C5834B +:04074B0000D788A3A8 +:04074C000102D6933D +:04074D000FF6F6931A +:04074E000127C58337 +:04074F0000D7892323 +:040750000137C68324 +:040751000182D293BC +:04075200005789A320 +:040753000FF4769396 +:040754000147C58311 +:0407550000D78A231C +:040756000084569332 +:040757000FF6F69310 +:040758000157C583FD +:0407590000D78AA398 +:04075A0001045693AD +:04075B000FF6F6930C +:04075C000167C583E9 +:04075D0000D78B2313 +:04075E000177C683D6 +:04075F008BA3806187 +:040760007693008705 +:04076100C5830FF746 +:040762008C2301875C +:04076300569300D7D2 +:04076400C5830087C2 +:040765008CA30197C9 +:04076600C68300D76F +:04076700836101A702 +:0407680000078D23D6 +:0407690001B7C6838B +:04076A0000E78DA374 +:04076B000FF67713FB +:04076C0001C7C68378 +:04076D0000E78E23F0 +:04076E000086571397 +:04076F000FF77713F6 +:0407700001D7C68364 +:0407710000E78EA36C +:040772000106571312 +:040773000FF77713F2 +:0407740001E7C68350 +:0407750000E78F23E7 +:0407760001F7C703BD +:040777008FA3826169 +:04077800F71300C7AC +:04077900C6830FF430 +:04077A0080230207CF +:04077B00D71302E7A7 +:04077C00771300846B +:04077D00C6830FF729 +:04077E0080A302173B +:04077F00D71302E7A3 +:0407800077130104E6 +:04078100C6830FF725 +:0407820080E10227E9 +:0407830002E78123E5 +:040784000237C7036E +:04078500029781A3B3 +:0407860054C25452B3 +:0407870003810113D6 +:04078800C683808222 +:04078900471103B75A +:04078A00B3298F15EB +:04078B0003B7C68367 +:04078C00BFDD471571 +:04078D0003B7C68365 +:04078E008F15471963 +:04078F000FF77713D6 +:040790000357C683C2 +:04079100D00697E314 +:0407920016A146E284 +:0407930082C106C257 +:0407940046F2CC3627 +:0407950006C206B1E1 +:04079600CE3682C118 +:0407970006B7B9DD0B +:0407980087160020A0 +:04079900FCD5FEE3AA +:04079A001111B1ED9B +:04079B00CA2267E126 +:04079C006461470548 +:04079D0022E78E239E +:04079E001DC40793DC +:04079F000047A283EA +:0407A000CC06C82695 +:0407A1001DC40493DC +:0407A2002402896341 +:0407A3001DC4270347 +:0407A40024070563BE +:0407A500A0EEC7B744 +:0407A600B00787937E +:0407A70002E7D7B3DB +:0407A8000084C703FF +:0407A900019C03B7F5 +:0407AA00CC03839366 +:0407AB000253D3B36F +:0407AC0022070363BA +:0407AD000257D2B36A +:0407AE0003B005137C +:0407AF00C21EC6168A +:0407B000654020EF91 +:0407B1000513C42A3E +:0407B20020EF03C071 +:0407B300C78364A0F4 +:0407B400C60301C4B3 +:0407B50043920084E7 +:0407B600002797136E +:0407B700879367D9E4 +:0407B80097BA13C712 +:0407B900270343943B +:0407BA0007931DC4C0 +:0407BB0042B207003F +:0407BC000793E219A4 +:0407BD006637069005 +:0407BE006561000170 +:0407BF001F060613F8 +:0407C000051345C513 +:0407C100C2161A45FD +:0407C20030EFC61E30 +:0407C300429264A05A +:0407C400079343B2A2 +:0407C5000693064051 +:0407C60065613E80AB +:0407C70002F2D73330 +:0407C800051345C50B +:0407C900F6332405DA +:0407CA00C23A02D35A +:0407CB0002F2F2B391 +:0407CC00472987BA78 +:0407CD0002E65733B6 +:0407CE000001663789 +:0407CF000613C01637 +:0407D000D6B31F86F7 +:0407D10030EF02D330 +:0407D20067E160E09B +:0407D3002EB7C783F3 +:0407D400F0EFE399C6 +:0407D5006761A96F40 +:0407D600251746831A +:0407D700C58346127E +:0407D8002503008471 +:0407D90020EF1DC42C +:0407DA0057FD421075 +:0407DB000D636761E2 +:0407DC00852316F566 +:0407DD00878300A46A +:0407DE0066E100A42C +:0407DF00852345F138 +:0407E00087B32EF6B7 +:0407E10066E102B714 +:0407E20030C6859305 +:0407E3000124C60324 +:0407E400470345156D +:0407E500C28325178F +:0407E6008413044430 +:0407E700468130C651 +:0407E8004B8C97AEF1 +:0407E90085B389FD4E +:0407EA0085B302C50C +:0407EB00051302A54B +:0407EC0085930640AB +:0407ED00C5B3032568 +:0407EE00D50302A588 +:0407EF00063300E7E6 +:0407F00095B202A616 +:0407F10081C105C2FB +:0407F20000B49B2391 +:0407F3000177C503C2 +:0407F400897D40D0EB +:0407F50067618D7932 +:0407F6001AA70AA391 +:0407F700771347220B +:0407F80007330FF7BD +:0407F900573302B7B9 +:0407FA00064202C7EA +:0407FB00856382414F +:0407FC004F94000214 +:0407FD008A858285E2 +:0407FE000FF7771367 +:0407FF00223020EF95 +:0408000004B4C50374 +:04080100ACAFF0EFB9 +:040802000464C503C2 +:04080300B88FF0EFCB +:0408040000A4868343 +:04080500C58347F16F +:0408060086B3012490 +:0408070096A202F6BD +:040808000166C503BD +:0408090014F020EFD8 +:04080A0000A489A31A +:04080B00927FF0EFF9 +:04080C000404C70316 +:04080D00C50347894F +:04080E00458100E43C +:04080F0000F7076384 +:0408100000F4C583A8 +:04081100B5938D8985 +:04081200E0EF0015FE +:0408130040D8F71FB3 +:04081400019C07B785 +:04081500CC078793F2 +:0408160002E7D7B36B +:040817000164D7039E +:0408180000D4C6033F +:0408190087B3468DCE +:04081A00C70302E727 +:04081B00070500C409 +:04081C0002E787B3B5 +:04081D0000E4C70329 +:04081E0087B3070590 +:04081F00676102E724 +:0408200018F724237E +:0408210006D616637E +:040822002423838583 +:04082300268318F719 +:0408240007371887F3 +:04082500071305119F +:040826004785F40707 +:0408270000D76B6328 +:0408280004787737A2 +:040829008BF707132F +:04082A00746347812B +:04082B00C78300D7A8 +:04082C00C7030104F9 +:04082D00C58304E497 +:04082E00E7090404CE +:04082F000104C703F6 +:0408300002F70F6359 +:040831008823445282 +:0408320040E200F4AC +:04083300852E44C208 +:04083400F06F0171EF +:04083500078696EFAD +:040836006285BBF12B +:0408370082936391B4 +:04083800839377022D +:04083900BBC9D5431F +:04083A00852347913A +:04083B00B56100F4AF +:04083C001EE34585ED +:04083D00078AF8B678 +:04083E0002D7D7B353 +:04083F004789B779B5 +:0408400002F58263D8 +:040841000114C503D6 +:040842004589C19192 +:04084300C7834452D1 +:04084400C70300F4F2 +:0408450040E2041475 +:04084600468144C2E1 +:0408470001714601F4 +:04084800E9CFE06FA5 +:04084900445240E2F3 +:04084A00017144C232 +:04084B001151808245 +:04084C0064E1C0267D +:04084D001864C50363 +:04084E00C2224581FC +:04084F0010EFC406DC +:04085000842A333093 +:04085100C683E12158 +:0408520067E11864DE +:040853008CA3472902 +:0408540067E11CD765 +:040855002997C78395 +:0408560000E78563CF +:0408570006A367612C +:0408580067E118F745 +:040859001DA7C7030D +:04085A00C70147810A +:04085B00C78367E107 +:04085C00676118D7E1 +:04085D001BC707139B +:04085E00453D97BAC3 +:04085F0000D780231B +:04086000181010EF6D +:0408610040A285220A +:040862004482441276 +:04086300808201315D +:04086400C026115148 +:04086500C50364E182 +:04086600C22218642E +:0408670010EFC406C4 +:04086800842A163098 +:04086900C683E51D40 +:04086A0067E11864C6 +:04086B001CD78CA367 +:04086C00C70367E176 +:04086D0047811DA7FB +:04086E0067E1C70176 +:04086F001F87C78395 +:0408700007136761A2 +:0408710097BA1BC750 +:040872008023453D5D +:0408730010EF00D7AB +:040874008522133096 +:04087500441240A247 +:040876000131448286 +:040877001151808219 +:04087800C222C406CE +:040879001437C0264A +:04087A0047C500026C +:04087B000EF4202334 +:04087C00A78367E106 +:04087D00202308C765 +:04087E0065090E04F6 +:04087F0000C7C703E4 +:0408800000078623C4 +:0408810000D7C703D2 +:04088200000786A342 +:0408830000E7C703C0 +:0408840000078723BF +:0408850000F7C703AE +:04088600000787A33D +:040887000147C7035B +:0408880000078A23B8 +:040889000157C70349 +:04088A0000078AA336 +:04088B000167C70337 +:04088C0000078B23B3 +:04088D000177C70325 +:04088E0071050513D8 +:04088F0000078BA330 +:04089000EBFFD0EFBB +:040891001D1007131C +:040892009B2367E15C +:0408930015371AE714 +:0408940007930003C3 +:0408950020231D10EF +:0408960005130EF444 +:04089700D0EFD405C5 +:040898002637EA1FF6 +:0408990005B7000699 +:04089A000513019CA5 +:04089B000613040438 +:04089C008593A80692 +:04089D00E0EFCC05B7 +:04089E0010EFAFCFD9 +:04089F0020EF79B01D +:0408A00054791D402A +:0408A1004501C155F7 +:0408A20028C020EF5B +:0408A3000FF00793B8 +:0408A4000B63547519 +:0408A50020EF08F543 +:0408A6004509684058 +:0408A700E4CFE0EFCB +:0408A800547147CD73 +:0408A90008F51263D9 +:0408AA00EBCFE0EFC1 +:0408AB006DF010EFED +:0408AC0067E1C50932 +:0408AD0089A34705CF +:0408AE00E0EF24E76C +:0408AF00842AD3FFC5 +:0408B00000EFE93537 +:0408B10064E171A0ED +:0408B2001DC48513C9 +:0408B300061365D9EA +:0408B4008593042004 +:0408B5000575298517 +:0408B6001A4030EFC5 +:0408B700656165D939 +:0408B80003600613C0 +:0408B900428585935C +:0408BA0029C5051334 +:0408BB00190030EF01 +:0408BC00453D4581F0 +:0408BD0017D010EF51 +:0408BE00C50367E126 +:0408BF0045811D97BB +:0408C0001DC484933C +:0408C10016D010EF4E +:0408C200000217B762 +:0408C3000D07A783F3 +:0408C40000E797139F +:0408C5000007446381 +:0408C600700000EFCF +:0408C7004501478917 +:0408C80000F488A30D +:0408C900F1DFE0EF8C +:0408CA0040A28522A1 +:0408CB00448244120D +:0408CC0080820131F4 +:0408CD00BFCD547DCA +:0408CE00C78367E194 +:0408CF0001131847B2 +:0408D000CE22FDC176 +:0408D100F79307850D +:0408D20007B20FF763 +:0408D30083C107C214 +:0408D4006461C83E55 +:0408D5001B645783C6 +:0408D600D0064742BF +:0408D7008FD9CC26C3 +:0408D8008FD967212C +:0408D9001AF41B23CF +:0408DA0000021737CA +:0408DB00C402C2028F +:0408DC00000166377A +:0408DD00202364E18F +:0408DE0006130EF7F8 +:0408DF0045C516866F +:0408E0001C848513DC +:0408E1001D0030EFD7 +:0408E200E51FE0EF3F +:0408E300177D6741D5 +:0408E400CA3A478540 +:0408E50000021737BF +:0408E6000D07268351 +:0408E7008EF14652F6 +:0408E800E7F9C63630 +:0408E90066E1C6F10D +:0408EA0029C6869302 +:0408EB000166D603C9 +:0408EC00578345B237 +:0408ED0014631B6411 +:0408EE0076F512B6D3 +:0408EF008FF516FD6E +:0408F0001AF41B23B8 +:0408F1000EF72023BB +:0408F2001C0484233B +:0408F300E0DFE0EF73 +:0408F400000625379E +:0408F500A80505133A +:0408F600D27FD0EFEE +:0408F7001B645783A4 +:0408F800669147427C +:0408F9003E80051325 +:0408FA008FD58FD92E +:0408FB001AF41B23AD +:0408FC0000021737A8 +:0408FD000EF72023AF +:0408FE00014030EF96 +:0408FF0003A030EF33 +:0409000066E14785E0 +:0409010002F51C637C +:0409020008C6A6037A +:0409030000864783A0 +:04090400009647030F +:040905008F5D0722D9 +:0409060000A647837D +:040907008F5D07C237 +:0409080000B647836B +:040909008FD907E299 +:04090A000107971337 +:04090B00C23A834128 +:04090C0000479713F6 +:04090D00C43A835114 +:04090E00FC07D2E32D +:04090F00012030EFA4 +:04091000EF95479286 +:040911000001663744 +:04091200174606136B +:04091300851345C53E +:0409140030EF1C8420 +:04091500E0EF1020DF +:040916005703D83F6C +:0409170077F11B64F5 +:040918008FF917FD3F +:040919001AF41B238E +:04091A000002173789 +:04091B000EF7202390 +:04091C000513650951 +:04091D00D0EF7105A1 +:04091E0047B2C89F75 +:04091F004792BF211B +:040920009763475240 +:04092100663700E74E +:0409220006130001B7 +:04092300BF7D184636 +:0409240045A247128F +:0409250007936605C9 +:04092600167D0640F4 +:0409270002F756B3CA +:0409280002F7773328 +:0409290000C59C6306 +:04092A00000166372B +:04092B0018C60613D1 +:04092C00851345C525 +:04092D0030EF1C8407 +:04092E00BF7109E0AC +:04092F0045C5462252 +:040930001C8485138B +:0409310002F6763321 +:040932004622C03267 +:0409330002F657B3BE +:040934000001663721 +:040935001986061306 +:0409360007C030EFD7 +:04093700D683BFADF7 +:0409380046320186BC +:04093900F6C69BE380 +:04093A0083D107D28C +:04093B001AF41B236C +:04093C00447250822F +:04093D000EF720236E +:04093E00450144E249 +:04093F00024101135D +:04094000115180824F +:04094100F0EFC40609 +:0409420020EF965FAD +:0409430067E10F4019 +:04094400C50340A205 +:04094500013127B79E +:04094600D29FE06FED +:04094700D6067179E6 +:04094800D226D422BD +:04094900C49FD0EF88 +:04094A00F0EF842A1C +:04094B0086AACB5F4E +:04094C00656164E19C +:04094D001206C66365 +:04094E000001663707 +:04094F000540071345 +:0409500006134681C3 +:0409510045C51AC6B8 +:040952001A4505132A +:04095300008030EF01 +:04095400000165B782 +:0409550085934645FB +:0409560085131DC523 +:0409570020EF240465 +:04095800E0EF71F06B +:04095900A537C87F77 +:04095A00051300077A +:04095B00D0EF1205C2 +:04095C00D0EFB91F00 +:04095D005513BB1F54 +:04095E00079300A457 +:04095F0007B312C008 +:04096000646102F5D7 +:04096100C402C60204 +:04096200C002C2020B +:040963001DC4041398 +:040964004799CC3EA5 +:0409650017B7C83EBA +:04096600A783000261 +:0409670066610D07B1 +:04096800971365611B +:0409690083410107BE +:04096A00FFF7C6933A +:04096B002CE62E2325 +:04096C00073783E1E5 +:04096D008F7500037F +:04096E000FF7F593F7 +:04096F00A02366E17A +:0409700002232EE64A +:0409710085322EB5E8 +:0409720066E186367E +:040973008793CF8116 +:04097400F793FFE511 +:04097500430D0FF728 +:0409760000F37663B1 +:040977002D86C7837F +:0409780000B79463CD +:040979002C052E23F8 +:04097A008C2367E182 +:04097B00A6832CB66D +:04097C00AA232D4736 +:04097D00EAD12CE7A8 +:04097E0047836761E3 +:04097F0064E12547C3 +:040980004783C3F1F5 +:04098100CFDD01C401 +:04098200478366E160 +:04098300C68300B473 +:040984008FD52EB627 +:04098500D0EFEBC5FF +:0409860047E2B31F72 +:040987000AF56463A6 +:040988000793473258 +:04098900EF630630E2 +:04098A00676108E7B2 +:04098B002547468333 +:04098C00470547854F +:04098D0000F6876386 +:04098E00871347C2C2 +:04098F003733FFA754 +:04099000478300E0B9 +:0409910046A101C4B6 +:04099200F79317FDC3 +:04099300E9630FF70E +:0409940066D904F626 +:040995008693078AB4 +:0409960097B60E867C +:040997008782439C74 +:0409980000016637BD +:040999001C0606131F +:04099A00051345C537 +:04099B0020EF1A45EA +:04099C0065B76E705D +:04099D0046450001CA +:04099E0075C5859303 +:04099F002404851394 +:0409A00076F020EFDE +:0409A100B65FE0EF6E +:0409A2002023A0016D +:0409A300B7AD2E06B8 +:0409A400C78367E1BD +:0409A50037B31B87C2 +:0409A600078900F0CD +:0409A70018F486A317 +:0409A800474247B2C9 +:0409A900F793078534 +:0409AA00C63E0FF73F +:0409AB0007634799FE +:0409AC00079300F7B6 +:0409AD00F7930017A5 +:0409AE00C83E0FF739 +:0409AF00A67FD0EF60 +:0409B000C43E478575 +:0409B10067E1294988 +:0409B2002EB7C78312 +:0409B300C781CA2A04 +:0409B40010EF4501FA +:0409B500C78317607D +:0409B600470318D407 +:0409B700046301C410 +:0409B800475200F7AB +:0409B9004722E711D9 +:0409BA004703CB150F +:0409BB00C71D00B4A0 +:0409BC004703676125 +:0409BD00C43A1DA774 +:0409BE006761C31595 +:0409BF001BC7071338 +:0409C000C50397BA1A +:0409C10067E10007E3 +:0409C2001D97C703B3 +:0409C3000763C40200 +:0409C400458100A7C2 +:0409C5001CA78CA33C +:0409C600558010EF59 +:0409C70018D4C783F6 +:0409C80001C447031C +:0409C900849364E1CE +:0409CA001C63258401 +:0409CB00468200F769 +:0409CC007463470900 +:0409CD00C68316D7F0 +:0409CE0047030334A4 +:0409CF008E6305042A +:0409D000473D14E6A5 +:0409D10008A36361B3 +:0409D200470D24E3C6 +:0409D30006F77E6342 +:0409D4000334C7031E +:0409D5008713CB01B8 +:0409D6007713FFA7ED +:0409D70046850FF74B +:0409D80006E6F463D8 +:0409D90075634715E6 +:0409DA00460506F7D1 +:0409DB0046894509FB +:0409DC00FFF7859309 +:0409DD000FF5F71308 +:0409DE00EF6342A1E0 +:0409DF0062D904E2F3 +:0409E0008293070AED +:0409E100971610C293 +:0409E200870243182D +:0409E30017E347854A +:0409E40067E1F007D0 +:0409E50008B7C78305 +:0409E6000017B793AC +:0409E700BDFD0791BA +:0409E8000DE347993B +:0409E900C783EE07CB +:0409EA00BDCD18D493 +:0409EB00B5FD479D72 +:0409EC00C78367E175 +:0409ED0037B318C73D +:0409EE0007A100F06D +:0409EF004799B5C5AA +:0409F000EC071EE30F +:0409F100BDD94785A0 +:0409F2004505460D64 +:0409F300B74D468135 +:0409F400450146096A +:0409F500C202BFE596 +:0409F6000FF5F59371 +:0409F700C0024721D2 +:0409F80000B7696378 +:0409F9000713675920 +:0409FA00972E13071A +:0409FB0000074703A7 +:0409FC000E23C03ACC +:0409FD00478300F438 +:0409FE0005A304B495 +:0409FF0047050004A4 +:040A00007663458D47 +:040A0100459500F720 +:040A0200F5938D9D3E +:040A0300D0320FF5E9 +:040A040010EFCE36EB +:040A050010EF4BB0F3 +:040A0600E0EF58B015 +:040A070067E1B9AF3B +:040A08002537C78344 +:040A0900560246F259 +:040A0A008532C789E1 +:040A0B00117010EF67 +:040A0C00461246F256 +:040A0D008536458263 +:040A0E0026E020EFCF +:040A0F0001C4478354 +:040A10004645656191 +:040A11000027971310 +:040A1200879367D986 +:040A130097BA13C7B4 +:040A14000513438CF7 +:040A150022231A4539 +:040A160020EF0004C9 +:040A170065B7595016 +:040A18006561000113 +:040A19008593464536 +:040A1A0005131D059E +:040A1B0020EF24059F +:040A1C0067E1581026 +:040A1D002EB7C783A6 +:040A1E00E0EFE39989 +:040A1F0047D296FF25 +:040A200067E1CF8932 +:040A21001857C70398 +:040A2200156347A968 +:040A2300453D00F756 +:040A2400270010EFA8 +:040A250088FFD0EF87 +:040A2600C703C6023A +:040A270047830234CB +:040A2800056304045A +:040A2900450302F788 +:040A2A0047810114EB +:040A2B0046814701B8 +:040A2C0045814601B9 +:040A2D00F09FD0EF77 +:040A2E000234C503C6 +:040A2F00985FE0EFFD +:040A30000234C78342 +:040A31000004222378 +:040A320004F40023A5 +:040A33000234C58341 +:040A34008663478905 +:040A3500C70302F5FC +:040A360047830244AC +:040A370080630414C0 +:040A3800450302E789 +:040A3900C191011452 +:040A3A004783458920 +:040A3B00468100F4FC +:040A3C00D0EF4601B0 +:040A3D00C783ECBFC0 +:040A3E0000A30244CB +:040A3F00C78304F471 +:040A40004703033431 +:040A41000463050441 +:040A4200082300F78E +:040A4300478304F4ED +:040A4400C3B501C471 +:040A4500E0EF450297 +:040A46004789AA3FF3 +:040A47000AF50A633F +:040A48000A63479165 +:040A4900478502F5E6 +:040A4A0004F5176335 +:040A4B0000B4478329 +:040A4C00F0EFC3B94B +:040A4D00A081820FF3 +:040A4E00C23A470958 +:040A4F00470DBD7121 +:040A5000C703BFED2C +:040A510042850334A3 +:040A520017E3C216CE +:040A53004741E80728 +:040A540024E308A3EC +:040A55004783B551CD +:040A5600C39D00B488 +:040A570057836761F9 +:040A5800E7931B679E +:040A59001B23002734 +:040A5A0017371AF739 +:040A5B002023000252 +:040A5C00F0EF0EF7B2 +:040A5D000513B91FA5 +:040A5E00D0EF12C003 +:040A5F00B921F84F72 +:040A60000004222349 +:040A610041D010EF81 +:040A620001C4478301 +:040A6300464565613E +:040A640000279713BD +:040A6500879367D933 +:040A660097BA13C761 +:040A67000513438CA4 +:040A680020EF1A451C +:040A690065B744D059 +:040A6A0065610001C1 +:040A6B0085934645E4 +:040A6C0005131D054C +:040A6D0020EF24054D +:040A6E0067E1439069 +:040A6F002EB7C78354 +:040A7000E0EFE39937 +:040A7100D0EF827FC1 +:040A7200C802F5CFF2 +:040A7300B765C6029B +:040A740000B4478300 +:040A7500F0EFD3CDFE +:040A7600BF71C94F34 +:040A7700656165D977 +:040A780006131151FF +:040A7900859304203D +:040A7A0005132985B2 +:040A7B00C406258503 +:040A7C0028D020EF6F +:040A7D00656165DD6D +:040A7E0040C006135B +:040A7F0017C585937F +:040A800030C5051365 +:040A8100279020EFAB +:040A820067E140A246 +:040A83008923470577 +:040A8400450124E71D +:040A85008082013139 +:040A8600111167598A +:040A87003BC70793CF +:040A8800CC06C826AA +:040A8900C002CA22BB +:040A8A00C43E4481A1 +:040A8B0065B7646186 +:040A8C0046450001DA +:040A8D004605859302 +:040A8E00190405132F +:040A8F003B3020EFE9 +:040A9000971347A2CF +:040A91006561002477 +:040A9200430C973E3C +:040A930005134645BC +:040A940020EF1C85AE +:040A9500E0EF39D085 +:040A96004681F82F6E +:040A97001737C22229 +:040A980025830002B0 +:040A990067C10D071D +:040A9A00F63317FD1B +:040A9B00646100F59D +:040A9C002CC42E2315 +:040A9D000D07270317 +:040A9E000003053715 +:040A9F00471367E1B1 +:040AA0008F69FFF764 +:040AA1002EE7A02379 +:040AA200CA15C63E6D +:040AA300086347821B +:040AA400676102F68E +:040AA5000014951391 +:040AA60029C7071342 +:040AA700EABD972AE3 +:040AA800102347923E +:040AA90065B700B776 +:040AAA0046450001BC +:040AAB004685859364 +:040AAC00190785138E +:040AAD0033B020EF53 +:040AAE00F20FE0EF74 +:040AAF0067614685B0 +:040AB000260347B220 +:040AB100A3032D4727 +:040AB20087BA2E07CA +:040AB3006741E605AC +:040AB40002E31263E4 +:040AB50065D9E0BD62 +:040AB600061365615D +:040AB70085930360C0 +:040AB800051342855B +:040AB900C01A29C571 +:040ABA00195020EFC0 +:040ABB004689430223 +:040ABC0067E144EDBD +:040ABD002DC427031A +:040ABE002C67AA23D4 +:040ABF00C03AC6363D +:040AC0008F634709F0 +:040AC100650902E6DB +:040AC20071050513A2 +:040AC300DF2FD0EF62 +:040AC400B7B146B2CE +:040AC50000075703CC +:040AC60002E3468978 +:040AC7004792FAE672 +:040AC800000165B70D +:040AC9008593464586 +:040ACA008513470544 +:040ACB0020EF1907F8 +:040ACC00E0EF2C101B +:040ACD004681EA6F05 +:040ACE0014F9B75907 +:040ACF00BF5D468938 +:040AD00047690485E9 +:040AD100EE9754E365 +:040AD20040E2445268 +:040AD300453D44C297 +:040AD400006F01713D +:040AD50001137AF09F +:040AD6000793FBC1C6 +:040AD700CE3E0131DD +:040AD800879367E1B8 +:040AD900D03E2717CD +:040ADA00879367E1B6 +:040ADB00D23E2727B9 +:040ADC00879367E1B4 +:040ADD00D43E2737A5 +:040ADE00879367E1B2 +:040ADF00D63E274791 +:040AE00067E1D83EB4 +:040AE1002757879379 +:040AE20027B7DA3E1A +:040AE30087930F03E3 +:040AE400CA3EF017FF +:040AE5003030079313 +:040AE6001C23636109 +:040AE700478D00F146 +:040AE80000F10D23E9 +:040AE9001DC307938F +:040AEA0001C7C783F6 +:040AEB00C086DE22C1 +:040AEC0009A3DC2658 +:040AED004725000198 +:040AEE001DC303130E +:040AEF0086634405D1 +:040AF000841300E784 +:040AF1007413001763 +:040AF20067E10FF4B5 +:040AF300A60367618E +:040AF40007132DC7F0 +:040AF500478129C745 +:040AF600568345E9F5 +:040AF7001C63000775 +:040AF800476900D674 +:040AF9000EF766632B +:040AFA00078A6759A7 +:040AFB002DC70713E9 +:040AFC00439C97BAC6 +:040AFD0007098782DC +:040AFE0048B78C6306 +:040AFF00BFF10785B7 +:040B000066E14785DE +:040B01001B66D70395 +:040B0200100747137E +:040B03001AE69B2330 +:040B040027036761FB +:040B050016932E070E +:040B0600D36300F7BE +:040B070087A20006BB +:040B080000E7169359 +:040B09000006DE63A1 +:040B0A004683676156 +:040B0B004585258770 +:040B0C00E563460156 +:040B0D00068500D584 +:040B0E000FF6F613D5 +:040B0F0024C70C23C8 +:040B1000450147292B +:040B110000E7866310 +:040B120006A367616E +:040B1300450518F785 +:040B1400D70367E1BB +:040B150066E11B6713 +:040B16002EB6C683AE +:040B170007429B4DA9 +:040B18009B23834157 +:040B1900EA811AE76C +:040B1A00C68366E147 +:040B1B00068A1C66C4 +:040B1C009B238F5533 +:040B1D00D7031AE7F9 +:040B1E0040861B678B +:040B1F0017B754723E +:040B2000A02300020C +:040B210054E20EE7A5 +:040B22000441011376 +:040B230047898082FC +:040B2400478DBF8DAD +:040B25004791B7BD80 +:040B26004795B7AD8B +:040B27004799B79D96 +:040B2800479DB78DA1 +:040B290047A1BFB968 +:040B2A0047A5BFA973 +:040B2B006761BF99A6 +:040B2C002EB7478316 +:040B2D000017B69364 +:040B2E002ED705A316 +:040B2F004683676131 +:040B300067611D9745 +:040B310018D70323AB +:040B32004505E791FD +:040B330037D000EFC8 +:040B3400BF0547A909 +:040B3500D14FE0EFCD +:040B360067E1BFE5CF +:040B370008C7A70341 +:040B3800000166371B +:040B3900448365612B +:040B3A0047830007E6 +:040B3B000613001786 +:040B3C0045C53586F0 +:040B3D008FC507A2B7 +:040B3E0000274483C5 +:040B3F00190505137C +:040B40008FC504C297 +:040B410000374483B2 +:040B42008CDD04E260 +:040B430000B4D69391 +:040B44000016F7930D +:040B45007FF4F393B3 +:040B4600C61EC43EC5 +:040B4700004746839A +:040B4800005742838D +:040B4900E6B302A26B +:040B4A00428300D210 +:040B4B0047030067F5 +:040B4C0002C200776A +:040B4D0000D2E2B33D +:040B4E0000A3068377 +:040B4F0002B2477136 +:040B500002E686B380 +:040B510007136761BE +:040B5200D29330C743 +:040B5300C21600C204 +:040B540066E1973689 +:040B55001D96C683A0 +:040B56007FC020EF4D +:040B5700831367E1BC +:040B580047031DC76B +:040B5900C72100B3FD +:040B5A0047A243B2B9 +:040B5B0006900713E6 +:040B5C000013869369 +:040B5D0000F696B355 +:040B5E00979396BE15 +:040B5F004292014479 +:040B60000007C46363 +:040B6100070007136F +:040B6200000307B7CE +:040B630007938CFD6B +:040B6400E09902A072 +:040B650002000793F0 +:040B660000016637ED +:040B6700C0166561EE +:040B680036460613F4 +:040B6900051345C566 +:040B6A0020EF1C85D7 +:040B6B00E0EF7AA09D +:040B6C00BF39C2AF1C +:040B6D0057836761E2 +:040B6E00C7931B67A7 +:040B6F001B2301073C +:040B7000B7391AF780 +:040B7100C70367E16E +:040B72004605258788 +:040B730065634681EF +:040B7400070500E68B +:040B75000FF776936D +:040B760024D78C23D1 +:040B770067E1BDD5A0 +:040B78002587871333 +:040B79000017470317 +:040B7A008793460512 +:040B7B004681258703 +:040B7C0000E66563C7 +:040B7D00769307055F +:040B7E0080A30FF74A +:040B7F00BDC900D715 +:040B8000079367610F +:040B8100C78325877A +:040B820007130067EE +:040B8300C78125877A +:040B8400F79317FDCF +:040B850003230FF740 +:040B8600BD5D00F75A +:040B87000713676188 +:040B880047832587F3 +:040B890046B9006702 +:040B8A0000F6F3631B +:040B8B00078547B9DA +:040B8C0065B7B7DDB5 +:040B8D00656100019D +:040B8E0085934645C0 +:040B8F0005133745CE +:040B900020EF190534 +:040B910064E17AC0E1 +:040B9200000165B742 +:040B930085934645BB +:040B94008513384548 +:040B950020EF1C84AD +:040B9600E0EF798093 +:040B97006761B7EFEC +:040B980082A667E1E9 +:040B99001DC78313DE +:040B9A0030C70493C9 +:040B9B00000217B786 +:040B9C000D07A60398 +:040B9D00879367E1F2 +:040B9E00064229C71B +:040B9F0046818241C8 +:040BA000D50345ED47 +:040BA10006630007E0 +:040BA200068500C5FF +:040BA3009AE3078941 +:040BA4000783FEB60F +:040BA500467100A3F2 +:040BA60002C787B348 +:040BA70097A64615B2 +:040BA80083F54BDCAA +:040BA90002F6646389 +:040BAA004A63461143 +:040BAB00183804D61C +:040BAC004703973E26 +:040BAD005733FDC7F6 +:040BAE008B0540D79C +:040BAF00078ACF11D1 +:040BB00097BA1838A0 +:040BB100FE47A783D1 +:040BB20000D78023C5 +:040BB300B1CFE0EFEF +:040BB40085A367E1CD +:040BB500BBED2E075F +:040BB600000166379D +:040BB7000613068596 +:040BB80045C53906F0 +:040BB9001C82851302 +:040BBA0066C020EF02 +:040BBB00AECFE0EFEA +:040BBC000007A53752 +:040BBD001205051305 +:040BBE00A06FD0EF65 +:040BBF0047B1BFC1BA +:040BC000FCF686E3D6 +:040BC10005136509AA +:040BC200D0EF7105FA +:040BC30067E19F4FF8 +:040BC4001DC78313B3 +:040BC500BF9962E191 +:040BC60000A30683FF +:040BC70067E146712B +:040BC80002C68633A8 +:040BC90030C7871397 +:040BCA008793458147 +:040BCB00973230C766 +:040BCC000167470373 +:040BCD00656346799D +:040BCE00070500E631 +:040BCF000FF7759314 +:040BD00086334671B1 +:040BD100676102C690 +:040BD2008B2397B228 +:040BD300460500B71C +:040BD40024C7092306 +:040BD500470367610A +:040BD6009BE32F4727 +:040BD700C703D6E694 +:040BD80067E1016769 +:040BD9002EE794234C +:040BDA000683B3A536 +:040BDB00467100A3BC +:040BDC00863367E114 +:040BDD00871302C6B2 +:040BDE0045FD30C7DA +:040BDF0030C7879301 +:040BE00047039732FE +:040BE100DF4D01677C +:040BE200BF4D177D6F +:040BE300448147857D +:040BE4006561C23E47 +:040BE500000167B7ED +:040BE6008593464568 +:040BE70005133A07B1 +:040BE80020EF1905DC +:040BE90046A964C0F5 +:040BEA0002D486B3F8 +:040BEB0047924615D2 +:040BEC005363873692 +:040BED00471500D6D2 +:040BEE00663762E123 +:040BEF008513000169 +:040BF00007251C8237 +:040BF1003B060613A6 +:040BF200C43E45C5F3 +:040BF300588020EF17 +:040BF400A08FE0EFFF +:040BF50062E147A2D0 +:040BF600C21666C1FC +:040BF700173716FD99 +:040BF80027030002CD +:040BF9008F750D07E0 +:040BFA00CF11EF998F +:040BFB00861367E115 +:040BFC0045ED29C7D3 +:040BFD0055034781D4 +:040BFE000263000688 +:040BFF00078502E57F +:040C00009AE3060964 +:040C01006509FEB7CC +:040C02007105051360 +:040C0300D0EFC43A30 +:040C040047228F0FE5 +:040C0500674187BA02 +:040C0600FFF706935B +:040C07004625B7C9FE +:040C080000C7876337 +:040C0900E09146111F +:040C0A00D163462547 +:040C0B00472904C7AA +:040C0C00E7B30785BE +:040C0D00833302E744 +:040C0E00676102E434 +:040C0F000323979A8A +:040C1000F0EF18F7F2 +:040C1100CD118ECFA4 +:040C1200000166B7C0 +:040C13003506869389 +:040C14006637479266 +:040C150006130001C1 +:040C160045C5754615 +:040C17001C8785139E +:040C180066B7B561A5 +:040C190086930001BD +:040C1A00B7DD348688 +:040C1B00966346692D +:040C1C00C49300C7B6 +:040C1D0087BA00147E +:040C1E004631BF217B +:040C1F00F8C795E39A +:040C200067E1B5B122 +:040C21002EB7C783A0 +:040C2200C40794E38C +:040C2300BE9587A251 +:040C2400BEBD47A961 +:040C2500DBC101131B +:040C26002023182847 +:040C27002E23241143 +:040C28002C232281D6 +:040C2900E0EF229145 +:040C2A00C22A892F22 +:040C2B00328020EF04 +:040C2C00440D47929A +:040C2D004611EBC5BC +:040C2E000828182C4E +:040C2F00532020EF3F +:040C3000000165B7A3 +:040C31008593461150 +:040C3200082860C569 +:040C33004F6020EFFF +:040C340006400793DC +:040C35005783E941B7 +:040C3600059303C15E +:040C3700462103E16E +:040C380001E10513BE +:040C390000F11E2385 +:040C3A00506020EFF7 +:040C3B000461578376 +:040C3C00020102A30C +:040C3D0000F11A2385 +:040C3E000481578353 +:040C3F0000F11B2382 +:040C400000EF45522A +:040C41005783725013 +:040C4200D42A04A10B +:040C430000F11A237F +:040C440004C157830D +:040C450000F11B237C +:040C460000EF455224 +:040C4700578370D08F +:040C4800D62A04E1C3 +:040C490000F11A2379 +:040C4A0005015783C6 +:040C4B0000F11B2376 +:040C4C0000EF45521E +:040C4D00D82A6F50E2 +:040C4E002341250316 +:040C4F0000EFCA2ABE +:040C500055A26E90AB +:040C51000793DA2A01 +:040C520087131E20C6 +:040C5300E063FE65F7 +:040C5400460514E756 +:040C550000EF18286C +:040C560057D26F50B2 +:040C570006F50B6330 +:040C58000660079398 +:040C590020EFC23E88 +:040C5A00479226E0B7 +:040C5B00896347055D +:040C5C0047632AE7D9 +:040C5D00071326F75C +:040C5E008863F35064 +:040C5F0044632AE7D9 +:040C6000071324F75B +:040C61008763F34072 +:040C620065B72AE761 +:040C63008593000174 +:040C6400C23E5585B2 +:040C6500851367E1AB +:040C660046451C875C +:040C6700452020EF15 +:040C6800838FE0EFA7 +:040C6900000F4537FC +:040C6A002405051345 +:040C6B00F53FC0EFA2 +:040C6C00DA6347926E +:040C6D0058632A0797 +:040C6E0066372A803B +:040C6F0065610001BA +:040C7000678606137A +:040C7100051345C55D +:040C720020EF190551 +:040C7300147D38A014 +:040C74006461A2B95C +:040C750000016637DD +:040C760061460613BA +:040C7700051345C557 +:040C780020EF19044C +:040C790056B2372018 +:040C7A00663767E191 +:040C7B0085130001DC +:040C7C0006131C87B8 +:040C7D0045C56246C1 +:040C7E0035C020EF6E +:040C7F00FDDFD0EFD6 +:040C800054B257C251 +:040C8100C23E43012B +:040C8200C422450142 +:040C8300089364630B +:040C8400926347929E +:040C850047831AA7E0 +:040C8600468301E1BF +:040C8700470301C15D +:040C8800CFD501D1F2 +:040C8900000167B748 +:040C8A0052478793B3 +:040C8B0006134522E5 +:040C8C00C03201E190 +:040C8D0000016637C5 +:040C8E0063060613E0 +:040C8F00051345C53F +:040C900020EF190533 +:040C910067E13120C6 +:040C9200000165B741 +:040C930085934645BA +:040C940085136885D7 +:040C950020EF1C87A9 +:040C96006441226033 +:040C9700F7DFD0EFC4 +:040C980014B7147DFC +:040C9900A78300022B +:040C9A0067610D047D +:040C9B0029C756838C +:040C9C008B638FE1F6 +:040C9D00071306F63D +:040C9E00570329C708 +:040C9F0000630027C7 +:040CA000650914F7D7 +:040CA10071050513C1 +:040CA200E77FC0EF39 +:040CA3000793BFD91B +:040CA400BDC9065070 +:040CA500406482B372 +:040CA6002000079390 +:040CA7000057F4639B +:040CA8002000029393 +:040CA900041367E1E8 +:040CAA009713200379 +:040CAB0085130102AA +:040CAC0083411787E2 +:040CAD005613468113 +:040CAE00182C00946A +:040CAF00C616C81A83 +:040CB000026020EFCF +:040CB100166387AA95 +:040CB20043420E05A6 +:040CB300182842B209 +:040CB40000133613E0 +:040CB50000EF859631 +:040CB60083225750EE +:040CB70067B7BF0557 +:040CB800879300011D +:040CB900B79975C7AB +:040CBA002B8010EF8C +:040CBB005783676193 +:040CBC0065091B6744 +:040CBD0071050513A5 +:040CBE000027E79391 +:040CBF001AF71B23E2 +:040CC0000EF4A0236B +:040CC100DFBFC0EFE2 +:040CC200000165B711 +:040CC30046456561DC +:040CC40063C58593EC +:040CC50019050513F5 +:040CC6002D6020EF8E +:040CC70067E1440D90 +:040CC800000164B70C +:040CC9001C878513EC +:040CCA008593464583 +:040CCB0020EF64842E +:040CCC00D0EF2C0039 +:040CCD005632EA7F32 +:040CCE004581183410 +:040CCF00D0EF450518 +:040CD00087AAE29F6E +:040CD100E20510E345 +:040CD20065B767613A +:040CD3000513000104 +:040CD4004645190771 +:040CD5006585859319 +:040CD600296020EF82 +:040CD7006484859319 +:040CD800464564E148 +:040CD9001C848513DF +:040CDA00286020EF7F +:040CDB00E6DFD0EF91 +:040CDC0055B2564275 +:040CDD004501183481 +:040CDE00D5DFD0EF9F +:040CDF0013E387AAEA +:040CE00020EFDE051E +:040CE1006761052022 +:040CE200000165B7F1 +:040CE300859346456A +:040CE400051369C5C6 +:040CE50020EF1907DC +:040CE60065B70E6080 +:040CE700464500017D +:040CE8006685859305 +:040CE9001C848513CF +:040CEA00246020EF73 +:040CEB00E2DFD0EF85 +:040CEC00440DA00112 +:040CED00440DBB45B2 +:040CEE0006700793F2 +:040CEF00440DB36598 +:040CF00006800793E0 +:040CF1000713B345ED +:040CF2008A63F360BE +:040CF300071306E7F6 +:040CF4009CE3F3701A +:040CF50065B7DAE71E +:040CF60085930001E1 +:040CF700BB555B8509 +:040CF8000660071378 +:040CF90006E7826325 +:040CFA0000F74F634D +:040CFB000640071395 +:040CFC0006E7816323 +:040CFD000650071383 +:040CFE00D8E799E3B7 +:040CFF00000165B7D4 +:040D0000570585937B +:040D01000713B379A8 +:040D0200896306708B +:040D0300071304E7E7 +:040D04009CE30680E6 +:040D050065B7D6E711 +:040D060085930001D0 +:040D0700BB955A45F9 +:040D0800000165B7CA +:040D09005605859373 +:040D0A0065B7B3AD69 +:040D0B0085930001CB +:040D0C00B3855D85C9 +:040D0D00000165B7C5 +:040D0E005E858593E6 +:040D0F0065B7BB9970 +:040D100085930001C6 +:040D1100B3B15C8599 +:040D1200000165B7C0 +:040D13005805859367 +:040D140065B7B38983 +:040D150085930001C1 +:040D1600BB255FC5D5 +:040D1700000165B7BB +:040D18005905859361 +:040D19002083B33D43 +:040D1A002403240189 +:040D1B00248323C149 +:040D1C00557D23815D +:040D1D002441011359 +:040D1E0067E1808287 +:040D1F002EA7C70331 +:040D200067E146F150 +:040D21002EE78A230C +:040D220002D70733BA +:040D2300879367E16A +:040D240097BA30C783 +:040D250000E7D6838A +:040D260019236761C5 +:040D27004B982ED7E0 +:040D28008B7D66E178 +:040D29002EE69C23F3 +:040D2A000147C68334 +:040D2B001D236761BC +:040D2C00C6832ED775 +:040D2D0067610127D2 +:040D2E002ED7132386 +:040D2F0066E147989A +:040D3000982383552C +:040D3100C6832EE661 +:040D3200676101579D +:040D33002ED716237E +:040D34000137C6833A +:040D35001B236761B4 +:040D3600D6832ED75B +:040D3700676100C729 +:040D38002ED7172378 +:040D39000167C70384 +:040D3A00942367E1B6 +:040D3B0080822EE79D +:040D3C00C58367E123 +:040D3D0067E12F47F4 +:040D3E002F27D68302 +:040D3F00D28367E113 +:040D400067E12F87B1 +:040D41002FA7D7837E +:040D4200CA2611119B +:040D430067E1C03E66 +:040D44002E67C783CC +:040D45006761CC22F4 +:040D460067E1C23E61 +:040D47002F07D78318 +:040D480030C7071396 +:040D490067E1C43E5C +:040D4A002EC7D78356 +:040D4B0067E1C63E58 +:040D4C002F67C783C3 +:040D4D0067E1C83E54 +:040D4E002EE7D48335 +:040D4F00D30367E182 +:040D500067E12E87A2 +:040D51001DC78793A0 +:040D520000B7C6031D +:040D53008603C24110 +:040D54001D6300A774 +:040D550047F106B6A6 +:040D560002F607B3E7 +:040D5700D38397BAF1 +:040D5800916300E7BC +:040D5900A38306D397 +:040D5A00F413010786 +:040D5B00F3930FF20D +:040D5C00996301F3A3 +:040D5D00C3830483C5 +:040D5E004402014703 +:040D5F000483946312 +:040D60000127C38321 +:040D61009F63441236 +:040D6200A3830283E2 +:040D6300442200879F +:040D64000153D393D1 +:040D6500028398630A +:040D66000157C383EB +:040D6700936344321C +:040D6800C3830283BC +:040D690044420137C8 +:040D6A0000839E6301 +:040D6B0000C7D78363 +:040D6C0000979A63EF +:040D6D00063347F111 +:040D6E00963A02F6B9 +:040D6F00016647834F +:040D7000006786632F +:040D7100460567E1EB +:040D720024C78923E6 +:040D730087B347F10A +:040D7400F29302F5FF +:040D750097BA01F236 +:040D76000107D60398 +:040D770000D79723E7 +:040D780062B39A01C7 +:040D79009823005665 +:040D7A0046020057D6 +:040D7B0000C78A2300 +:040D7C00892346126F +:040D7D00462200C743 +:040D7E000056129376 +:040D7F0000A7D603F0 +:040D800066338A7DCF +:040D81009523005660 +:040D8200463200C72E +:040D830000C78AA378 +:040D8400962346422A +:040D85008B23009725 +:040D860089A30067D6 +:040D8700666100C7DA +:040D88002E8606139A +:040D8900166367E1A5 +:040D8A00069302C505 +:040D8B000333465098 +:040D8C00069302D3F5 +:040D8D00763706406F +:040D8E000613000147 +:040D8F0046B30C4615 +:040D9000446202D3E4 +:040D910045C544D23E +:040D92001C87851322 +:040D9300106F01716B +:040D940066617070B4 +:040D95002F260613EC +:040D960000C507632A +:040D97000613666178 +:040D980017632F8628 +:040D9900467102C5D8 +:040D9A0002C585B356 +:040D9B004462461553 +:040D9C00851344D2A5 +:040D9D00972E1C87EA +:040D9E0045C54B18E4 +:040D9F0007338B7D0E +:040DA000763702C7D9 +:040DA1000613000134 +:040DA20001710CC609 +:040DA3006C90106FD1 +:040DA400000176379D +:040DA500000556836C +:040DA6000B460613DF +:040DA7000693B75D9B +:040DA80006B3271057 +:040DA900079302D5D5 +:040DAA006637064062 +:040DAB00656100017D +:040DAC00758606132F +:040DAD00051345C520 +:040DAE00C6B31C8527 +:040DAF00106F02F6C9 +:040DB000F06F697007 +:040DB10086AAFDDF32 +:040DB200000176378F +:040DB300061365615D +:040DB40045C50B0620 +:040DB5001C85051381 +:040DB60067D0106F83 +:040DB700663786AA6B +:040DB8006561000170 +:040DB9007046061367 +:040DBA00051345C513 +:040DBB00106F1C8514 +:040DBC0046B7667060 +:040DBD008693000F0A +:040DBE0005332406CF +:040DBF00678902D569 +:040DC0009647879338 +:040DC1003E80071356 +:040DC200006336B7DD +:040DC300EA06869323 +:040DC400000166378D +:040DC5006F8606131C +:040DC60057B345C515 +:040DC700F7B302F587 +:040DC800472902E7CE +:040DC90002D556B346 +:040DCA000513656147 +:040DCB00D7331C8579 +:040DCC00106F02E7BB +:040DCD0006936230F7 +:040DCE0006B34670B2 +:040DCF00079302D5AF +:040DD000763706402C +:040DD1006561000157 +:040DD2000A86061374 +:040DD300051345C5FA +:040DD400C6B31C8501 +:040DD500106F02F6A3 +:040DD60006935FF031 +:040DD70005052710D7 +:040DD80002D5053308 +:040DD9000640069337 +:040DDA000001663777 +:040DDB007586061300 +:040DDC0046B345C510 +:040DDD00656102D575 +:040DDE001C85051358 +:040DDF005D90106FA4 +:040DE000663786AA42 +:040DE1006561000147 +:040DE200730606137B +:040DE300051345C5EA +:040DE400106F1C85EB +:040DE50016935C30D5 +:040DE60086E101851C +:040DE700000166376A +:040DE80016D165615A +:040DE9006F06061378 +:040DEA00051345C5E3 +:040DEB00106F1C85E4 +:040DEC0045F15A7003 +:040DED0002B5053313 +:040DEE00859365E1A3 +:040DEF00464530C580 +:040DF000656195AAFA +:040DF1001C85051345 +:040DF2006270106FAC +:040DF300002517932D +:040DF4000513655925 +:040DF500953E724570 +:040DF6006561410CE6 +:040DF7000513464555 +:040DF800106F1C85D7 +:040DF90047A960D0D6 +:040DFA0000F50F638E +:040DFB000025179325 +:040DFC000513655D19 +:040DFD00953E724568 +:040DFE006561410CDE +:040DFF00051346454D +:040E0000106F1C85CE +:040E010065B75ED0A3 +:040E020085930001D3 +:040E0300B7F57105C9 +:040E040045851141CE +:040E0500C02AC60633 +:040E0600676129A156 +:040E07002FC7478327 +:040E08000713468204 +:040E0900E7892FC77F +:040E0A000001673745 +:040E0B0074870713CE +:040E0C00663740B253 +:040E0D00656100011A +:040E0E00750606134C +:040E0F00051345C5BD +:040E100001411C85FB +:040E11005110106FFD +:040E1200A70367E1EA +:040E130067E12DC79F +:040E140029C78793D0 +:040E1500464546AD5B +:040E16000167D58318 +:040E170006E59263F7 +:040E18000FF6F69348 +:040E19000C63E1196C +:040E1A001131280763 +:040E1B0064E1C426A4 +:040E1C001554C28324 +:040E1D006461C62224 +:040E1E00F60407933C +:040E1F000032959375 +:040E2000C80697AEBB +:040E21000007A30320 +:040E22000047C7833B +:040E2300851346519C +:040E24008733FF56BB +:040E2500260302C7D7 +:040E260075130043FD +:040E270043950FF5EB +:040E28001554849346 +:040E2900F6040413B4 +:040E2A004703963AAA +:040E2B00E863004632 +:040E2C0063D902A3E1 +:040E2D008393050A9C +:040E2E00951E6B039F +:040E2F0085024108EF +:040E300007890685A3 +:040E3100F8C69AE382 +:040E3200BF694681CD +:040E33004783E39975 +:040E340017FD0003A3 +:040E35000FF7F79329 +:040E3600822395A2DC +:040E3700470100F57A +:040E3800A0914681BE +:040E39000003470368 +:040E3A0095A20785F1 +:040E3B0002E7E7B330 +:040E3C008763B7ED24 +:040E3D008793000295 +:040E3E008023FFF21C +:040E3F00B7C500F43F +:040E400040C2443236 +:040E410067E144A27F +:040E42002E0785A34F +:040E4300D06F01511A +:040E4400478D8DBF8A +:040E450004F70E633D +:040E460012E34791DB +:040E4700461CFCF752 +:040E4800872A9782DC +:040E4900C783468590 +:040E4A0065610004DA +:040E4B00078E464583 +:040E4C004783943E06 +:040E4D004004004419 +:040E4E008433445154 +:040E4F0040DC0287FA +:040E50001905051368 +:040E5100C036C23AAB +:040E5200438C97A294 +:040E53004A3010EF22 +:040E5400459140DCA8 +:040E5500C60397A297 +:040E5600E36300470B +:040E570065D914C580 +:040E58008593060A6E +:040E5900962E6C85E0 +:040E5A00468242107A +:040E5B0086024712B2 +:040E5C00C3914A1CD8 +:040E5D00C78397822E +:040E5E0043510004F8 +:040E5F000017859360 +:040E60000733078EBF +:040E6100431400F442 +:040E620000474703FB +:040E6300073342D03F +:040E640096930267F8 +:040E6500053300351C +:040E6600230300D48E +:040E670097320005B9 +:040E680004634718C0 +:040E6900022300E37D +:040E6A0097A2000546 +:040E6B000047C783F2 +:040E6C0096A24751B2 +:040E6D0002E787B35E +:040E6E0000B4802329 +:040E6F00479C97B253 +:040E7000BF31C29C30 +:040E7100ECE34791D6 +:040E720067D9F0E765 +:040E73006DC787932D +:040E7400973E070A94 +:040E75008782431C11 +:040E7600433D460CA6 +:040E770000C6450369 +:040E780000D6470356 +:040E79000005C78326 +:040E7A0000E6460345 +:040E7B0000669D630D +:040E7C0000F77863A0 +:040E7D00F61317FD54 +:040E7E0080230FF7C7 +:040E7F00B5C500C530 +:040E8000863AFD6D44 +:040E8100F863BFDD76 +:040E8200078500C719 +:040E83000FF7F7135B +:040E840000E58023E2 +:040E8500FD6DB5E961 +:040E8600BFDD873213 +:040E87005583460841 +:040E8800570300C646 +:040E8900463D00E6FC +:040E8A000005578385 +:040E8B0000C69E639C +:040E8C0000F5F76313 +:040E8D00971317FDA3 +:040E8E008341010794 +:040E8F00C191A01954 +:040E90001023872E76 +:040E9100BD6100E55A +:040E920000E7F4631E +:040E9300B7E5078533 +:040E94004701F9ED2C +:040E95004650B7FD0F +:040E9600421845BDFC +:040E97000007478386 +:040E980000B69963A4 +:040E990017FDC781F9 +:040E9A000FF7F793C4 +:040E9B0000F7002339 +:040E9C004683B5BD17 +:040E9D00F5630046B3 +:040E9E00078500D7ED +:040E9F000FF7F693C0 +:040EA00000D7002354 +:040EA1004798BDA908 +:040EA20065614B9C9F +:040EA30000074703FA +:040EA40005134645A7 +:040EA500070A1C8597 +:040EA600438C97BA28 +:040EA700353010EFE3 +:040EA80040C24432CE +:040EA900015144A20D +:040EAA00F30FD06F03 +:040EAB004B9C47987D +:040EAC0000074503F3 +:040EAD00B7ED978284 +:040EAE0047884B988E +:040EAF00B7CD970222 +:040EB000842367E14F +:040EB100BFE91C0772 +:040EB200C305C69519 +:040EB300000166B71D +:040EB40035068693E6 +:040EB500000166379B +:040EB6000613656159 +:040EB70045C5754672 +:040EB8001C8505137D +:040EB900271010EFFF +:040EBA0066B7BF65F3 +:040EBB008693000119 +:040EBC00B7CD3486F4 +:040EBD00D7E947DC4E +:040EBE00479C439872 +:040EBF008082BF5519 +:040EC000E56347BDE2 +:040EC10057B716A762 +:040EC2000113445282 +:040EC3008793EF41E1 +:040EC400C03E3557A0 +:040EC500004157B7DA +:040EC60044178793B3 +:040EC7003793C23E5D +:040EC800222300F5EC +:040EC900052310816C +:040ECA00041300F11C +:040ECB0024230105D6 +:040ECC0020231011BE +:040ECD0047B9109180 +:040ECE00F96304229E +:040ECF0067950AA772 +:040ED00030078793CD +:040ED100142365E1A0 +:040ED200462900F1BC +:040ED30004800793FD +:040ED4001BC5859322 +:040ED50000D1051330 +:040ED60000F105A37F +:040ED70000010623ED +:040ED80011D010EF36 +:040ED900C78367E183 +:040EDA0065E118D7DF +:040EDB000360061397 +:040EDC0000F10C23F2 +:040EDD00C78367E17F +:040EDE008593185789 +:040EDF00051329C509 +:040EE0000CA301F16D +:040EE10067E100F1D4 +:040EE2001DA7C783FE +:040EE30000F10BA36C +:040EE400C78367E178 +:040EE5000D231C6756 +:040EE60067E100F1CF +:040EE7002547C78351 +:040EE80000F10DA365 +:040EE900C78367E173 +:040EEA000E231B8731 +:040EEB0067E100F1CA +:040EEC0008B7C783F9 +:040EED0000F10EA35F +:040EEE00C78367E16E +:040EEF000F2318C7EE +:040EF00010EF00F10E +:040EF10086220BB09A +:040EF200055005930F +:040EF300D0EF850AAD +:040EF4003533C6EFDD +:040EF500053300A021 +:040EF600208340A075 +:040EF700240310813F +:040EF80024831041FE +:040EF90001131001D0 +:040EFA00808210C121 +:040EFB0087936795DD +:040EFC001423400774 +:040EFD0007B700F142 +:040EFE008793040CC6 +:040EFF0064E104277F +:040F0000C783CC3E99 +:040F0100EB912FC47D +:040F0200000176373D +:040F030011C60613FA +:040F0400851345B557 +:040F050010EF2FC4F6 +:040F0600463513F069 +:040F07002FC48593DB +:040F080000B105131C +:040F09001CB010EF19 +:040F0A00061365E184 +:040F0B0085930420A6 +:040F0C0008682585C7 +:040F0D00049010EF4D +:040F0E00061365E180 +:040F0F0085930A209C +:040F1000051330C5D0 +:040F110010EF05E1F7 +:040F120086220370C0 +:040F13001000059332 +:040F1400D0EF850A8B +:040F15006561BEAFA5 +:040F160000140613AA +:040F170036A0059368 +:040F18003AE505139E +:040F1900C2CFD0EF84 +:040F1A00BF85450149 +:040F1B008082557DFE +:040F1C008E2367E1D8 +:040F1D0047BD2E0797 +:040F1E0000A7F563D0 +:040F1F00853E57FDB7 +:040F200001138082B7 +:040F21000793EE81C3 +:040F2200C22E0105D5 +:040F2300010795131A +:040F240005930070C1 +:040F2500C03E1000BA +:040F260010112A2359 +:040F270010812823EA +:040F280010912623DB +:040F2900B7AFD0EF9F +:040F2A00E10D57FD81 +:040F2B00000175B795 +:040F2C001145859353 +:040F2D00C0EF0068A9 +:040F2E0087AA9E9F51 +:040F2F001C05136327 +:040F30000161470311 +:040F31004685CF1111 +:040F32000ED704636F +:040F330011412083C5 +:040F34001101240380 +:040F350010C1248340 +:040F36000113853EE0 +:040F37008082118122 +:040F38000141470329 +:040F39001A0711631F +:040F3A000151468398 +:040F3B000530071363 +:040F3C0018E69B63B5 +:040F3D0001814703E4 +:040F3E000171468374 +:040F3F008F550722A1 +:040F40000480069390 +:040F4100FCD714E3E2 +:040F4200F3694712F6 +:040F4300007866E1EB +:040F44004539478163 +:040F45001BC68693AE +:040F4600460345A970 +:040F4700666300D706 +:040F4800833300C52A +:040F4900002300D7AA +:040F4A00078500C354 +:040F4B0096E307051D +:040F4C004783FEB722 +:040F4D006761025185 +:040F4E0018F702A3EB +:040F4F006663472569 +:040F5000676100F7DE +:040F510018F706A3E4 +:040F52004783A02908 +:040F53007AE30241FA +:040F54004703FEF75A +:040F550067E10271DD +:040F56008A23656124 +:040F5700470324E741 +:040F580067E10281CA +:040F59000360061318 +:040F5A001AE78C23E3 +:040F5B0002914703B5 +:040F5C00059367E1B1 +:040F5D0085A302B1B5 +:040F5E00470308E756 +:040F5F0067E102A1A3 +:040F600029C5051387 +:040F610018E78623E4 +:040F6200023147030E +:040F63008D2367E192 +:040F640067E11CE73E +:040F65001BC7C703DC +:040F66008CA367E110 +:040F670047031CE739 +:040F680067E10261DA +:040F69001CE78323DB +:040F6A006D4010EFD7 +:040F6B00BF394781C2 +:040F6C000141448378 +:040F6D004683E8E9E6 +:040F6E000713015113 +:040F6F009463054042 +:040F700056830CE6B2 +:040F7100071302411F +:040F720091E30420E3 +:040F73005403F0E64D +:040F740007130261FC +:040F75001BE340C07A +:040F760067E1EEE45D +:040F77004635C42A0D +:040F78002FC78513E7 +:040F7900017105936A +:040F7A00007010EF04 +:040F7B0047A2471230 +:040F7C00EC071EE37D +:040F7D000613656191 +:040F7E00102C04200F +:040F7F0025850513AC +:040F800067C010EF47 +:040F810066E147825C +:040F820007A247017A +:040F83008693C03E53 +:040F8400079330C6D9 +:040F8500061305E06A +:040F8600053310001F +:040F8700C43A00D791 +:040F880000788E1D42 +:040F890005B3C23EAC +:040F8A00486300F7C1 +:040F8B0010EF04C49B +:040F8C00479264E044 +:040F8D00048547226E +:040F8E008F1D943EE1 +:040F8F00071347827B +:040F9000F4931007BF +:040F910085330FF4A1 +:040F9200074200F41E +:040F9300041383417F +:040F94000070F004F5 +:040F950010000593B0 +:040F9600C23A052234 +:040F9700D0EF044251 +:040F980066E19C0F63 +:040F990047818041CB +:040F9A0086934712E1 +:040F9B00F44530C623 +:040F9C00470567E1BD +:040F9D0024E7892399 +:040F9E008622BF15D3 +:040F9F00600010EFEF +:040FA0004785BFC5FD +:040FA1004789B5A126 +:040FA2000113B591F1 +:040FA3000868DD817C +:040FA40022112223D1 +:040FA5002281202362 +:040FA60020912E2345 +:040FA700A9CFD0EF0F +:040FA80010EFC02A5C +:040FA9004782532008 +:040FAA0016079A6329 +:040FAB0075B7646151 +:040FAC0046450001B5 +:040FAD000EC5859355 +:040FAE00190405130A +:040FAF0010EF64E1FA +:040FB00084235BE05B +:040FB100D0EF1C045D +:040FB200C626B12F6F +:040FB300C42264C12F +:040FB40017B714FD5A +:040FB500A78300020C +:040FB60067610D075B +:040FB70029C756836D +:040FB8008E638FE5D0 +:040FB900071300F624 +:040FBA00570329C7E9 +:040FBB0007630027A1 +:040FBC00650912F7BA +:040FBD0071050513A2 +:040FBE00A06FC0EF71 +:040FBF0047A2BFD9AD +:040FC000000175B700 +:040FC1008593464589 +:040FC200851310057E +:040FC30010EF19070B +:040FC40047B256E0FA +:040FC500000165B70B +:040FC6008593464584 +:040FC70085136485A5 +:040FC80010EF1C8783 +:040FC900D0EF6CC039 +:040FCA004481AB2F84 +:040FCB0017134401B3 +:040FCC000713010402 +:040FCD00579320070F +:040FCE00C23E409748 +:040FCF0067E1863E12 +:040FD0004681472DE2 +:040FD1008513080C70 +:040FD20010EF17877E +:040FD300E57939C0C3 +:040FD400000175B7EC +:040FD50011458593AA +:040FD600C0EF080858 +:040FD700ED1DF44FC9 +:040FD8000181470349 +:040FD9004683EF0557 +:040FDA000713019167 +:040FDB0097630540D3 +:040FDC00468302E660 +:040FDD00470501A122 +:040FDE0002D7626371 +:040FDF0015936605FB +:040FE00095B2008442 +:040FE10046A006130D +:040FE20000E684633E +:040FE300055006139C +:040FE4000874451236 +:040FE5009D2FD0EF7D +:040FE6000485E1514C +:040FE7004741040575 +:040FE800F8E417E32F +:040FE900430010EFC2 +:040FEA00453D4581BB +:040FEB00CC5FF0EFF8 +:040FEC00C78367E16F +:040FED00676118D749 +:040FEE001BC7071303 +:040FEF00C50397BAE5 +:040FF0004581000730 +:040FF1008CA367E185 +:040FF200F0EF1CA759 +:040FF30047A2CA7FC8 +:040FF400000176374B +:040FF500061386A6B3 +:040FF60045C50D469A +:040FF700190785133E +:040FF800574010EF5F +:040FF90075B747B2CF +:040FFA004645000167 +:040FFB000E058593C7 +:040FFC001C878513B6 +:040FFD005FA010EFF2 +:040FFE009E0FD0EF83 +:040FFF00000F453763 +:0410000024050513AB +:041001008FAFC0EFFE +:0410020022412083E4 +:04100300220124039F +:0410040024834502FA +:04100500011321C1F1 +:041006008082228141 +:041007003B8010EF2B +:04100800C03E57FD92 +:041009005713B7D5ED +:04100A0017930185B2 +:04100B008FD90185F3 +:04100C0000FF06B724 +:04100D000085171330 +:04100E008FD98F7572 +:04100F00071367411B +:041010008121F00743 +:041011008D5D8D79EB +:04101200C609808209 +:04101300000217B709 +:041014000007A0230E +:04101500F693470106 +:041016001637FFC5C5 +:0410170007B3000219 +:04101800646300E528 +:04101900898D02D7E4 +:04101A009463470D87 +:04101B00D68302E591 +:04101C00173700077B +:04101D001223000298 +:04101E00C78300D7AD +:04101F000223002781 +:0410200017B700F707 +:041021004B880002F6 +:04102200439C8082E9 +:04102300C25C071193 +:041024004709B7F9C8 +:0410250000E59963E6 +:041026000007D703E5 +:04102700000217B7F5 +:0410280000E7922328 +:041029004705BFF9BF +:04102A00FCE59DE361 +:04102B000007C703F0 +:04102C00000217B7F0 +:04102D0000E7822333 +:04102E001151B7E9BC +:04102F001437C2228E +:04103000C0260002D4 +:0410310084AA460146 +:0410320004A005937E +:041033000404051399 +:04103400C0EFC4063F +:0410350085A6CCAF11 +:041036000513460157 +:04103700C0EF0404FE +:041038000593CF8FBE +:04103900051304A0F7 +:04103A00460504045F +:04103B00CB0FC0EF28 +:04103C000404051390 +:04103D0040A2441277 +:04103E00458544821E +:04103F00C06F01314C +:041040001141CBEFA0 +:041041001437C4227A +:04104200C2260002C0 +:0410430084AEC02A8D +:0410440005934601C9 +:04104500051304A0EB +:04104600C6060404D2 +:04104700C80FC0EF1F +:041048004601478294 +:041049000404051383 +:04104A00C0EF85BEB0 +:04104B000513CACFF0 +:04104C004422040432 +:04104D0085A640B282 +:04104E00460544927D +:04104F00C06F01412C +:041050001151C98FE2 +:041051004405C2226E +:0410520000A41433AF +:041053000FF474130F +:04105400451985A213 +:04105500F0EFC406EE +:0410560085A2FADF96 +:0410570040A244125D +:041058000131451D00 +:04105900F9FFF06F3C +:04105A000506115125 +:04105B007413C22226 +:04105C0085A20FF565 +:04105D00C40645057B +:04105E00F8BFF0EFF8 +:04105F00441285A210 +:04106000450940A25C +:04106100F06F0131FA +:041062001151F7DF52 +:04106300C406451565 +:04106400F2BFF0EFF8 +:041065000860071305 +:041066001363478148 +:04106700059304E504 +:041068000513090063 +:04106900F0EF0200A2 +:04106A004581F5DFE8 +:04106B000210051357 +:04106C00F53FF0EF6D +:04106D0005134581A1 +:04106E00F0EF02207D +:04106F00458DF49F18 +:041070000230051332 +:04107100F3FFF0EFAA +:04107200051345819C +:04107300F0EF028018 +:041074000593F35F8E +:04107500051303005C +:04107600F0EF071080 +:041077004785F29F18 +:04107800853E40A2CF +:04107900808201313F +:04107A0001A311417C +:04107B00153700A184 +:04107C00C422000288 +:04107D00842E460572 +:04107E00040505134D +:04107F0000310593A4 +:04108000C0EFC606F1 +:041081008522C1EF14 +:04108200EF7FB0EF5D +:04108300442240B211 +:041084008082014124 +:04108500C222115121 +:0410860057836461C7 +:04108700C4061B641C +:04108800F793C026F4 +:0410890007C2F9F7AA +:04108A001B2383C1E0 +:04108B0014B71AF488 +:04108C00A02300029B +:04108D0045510EF4C7 +:04108E00EC7FB0EF54 +:04108F00051345D12F +:04109000F0EF0380FA +:0410910045D1FA7FCC +:0410920003900513AF +:04109300F9DFF0EFA2 +:04109400455145D1AC +:04109500F95FF0EF20 +:04109600051345D128 +:04109700F0EF07105F +:0410980045D1F8BF87 +:0410990005E0051356 +:04109A00F81FF0EF5C +:04109B00051345D123 +:04109C00F0EF06D09B +:04109D0045D1F77FC3 +:04109E00F0EF4531F9 +:04109F000593F6FFC0 +:0410A00045053200D0 +:0410A100F65FF0EF17 +:0410A200451945D1D6 +:0410A300F5DFF0EF96 +:0410A400320005937E +:0410A500F0EF45091A +:0410A6005783F53F38 +:0410A70040A21B64E4 +:0410A8000407E793BF +:0410A9001AF41B23F7 +:0410AA00A023441229 +:0410AB0044820EF479 +:0410AC00808201310C +:0410AD00C822112123 +:0410AE00578364619F +:0410AF00CA061B64EE +:0410B000F793C626C6 +:0410B10007C2F9F782 +:0410B200C02E83C108 +:0410B3001AF41B23ED +:0410B40000021737E8 +:0410B5000EF72023EF +:0410B600059384AA70 +:0410B70045053200B9 +:0410B800F09FF0EFC6 +:0410B9001B645783DA +:0410BA0000021737E2 +:0410BB00E79345C1B1 +:0410BC001B230207E9 +:0410BD0020231AF4DE +:0410BE0085260EF77E +:0410BF00316010EF9D +:0410C0000FF577139E +:0410C10075B7EB1103 +:0410C200464500019E +:0410C3005AC58593F2 +:0410C40010EF85267E +:0410C50047052DC0EE +:0410C60086B3478125 +:0410C700C50300F469 +:0410C80045D1000608 +:0410C900C23EC43A25 +:0410CA00EC1FF0EF38 +:0410CB0047224792DF +:0410CC00F69307850B +:0410CD00E2E30FF754 +:0410CE005783FEE660 +:0410CF0014B71B64D3 +:0410D00045D1000204 +:0410D100FDF7F7939D +:0410D20083C107C20D +:0410D3001AF41B23CD +:0410D4000EF4A02353 +:0410D5000C000513F3 +:0410D600E91FF0EF2F +:0410D7001B645783BC +:0410D80045C14502C7 +:0410D9000207E79390 +:0410DA001AF41B23C6 +:0410DB000EF4A0234C +:0410DC002A2010EFC7 +:0410DD000FF5779301 +:0410DE004502EB914B +:0410DF00000175B7E0 +:0410E0008593464569 +:0410E10010EF5AC5ED +:0410E2004785268098 +:0410E300C23E448144 +:0410E40045D1478229 +:0410E50000978733B6 +:0410E60000074503B7 +:0410E700F0EF04859D +:0410E8004792E4BF88 +:0410E9000FF4F713F6 +:0410EA00FEF763E3C7 +:0410EB001B645783A8 +:0410EC00173740D2A0 +:0410ED00E793000283 +:0410EE001B230407B5 +:0410EF0044421AF469 +:0410F0000EF72023B4 +:0410F100016144B2A3 +:0410F2001151808296 +:0410F3001437C222CA +:0410F400C026000210 +:0410F50084AA460182 +:0410F60002C005939C +:0410F70004040513D5 +:0410F800C0EFC4067B +:0410F90085A69BAF7E +:0410FA00051346058F +:0410FB00C0EF04043A +:0410FC0005939E8F2B +:0410FD00051302C015 +:0410FE00460504049B +:0410FF009A0FC0EF95 +:0411000004040513CB +:0411010040A24412B2 +:041102004585448259 +:04110300C06F013187 +:0411040011419AEF0C +:041105001437C422B5 +:04110600C2260002FB +:0411070084AEC02AC8 +:041108000593460104 +:04110900051302C008 +:04110A00C60604040D +:04110B00970FC0EF8B +:04110C0046014782CF +:04110D0004040513BE +:04110E00C0EF85BEEB +:04110F00051399CF5C +:04111000442204046D +:0411110085A640B2BD +:0411120046054492B8 +:04111300C06F014167 +:041114001537988F64 +:041115001151000272 +:0411160045814601C8 +:0411170004050513B3 +:04111800C0EFC4065A +:041119004529974F7E +:04111A00C97FB0EFEA +:04111B00450545E160 +:04111C00FA3FF0EFB7 +:04111D00450945E15A +:04111E00F9BFF0EF36 +:04111F00450D45E154 +:04112000F93FF0EFB4 +:04112100F0EF4505A1 +:0411220040A2F45F94 +:04112300351315214A +:041124000131001580 +:041125001151808262 +:04112600842AC22233 +:04112700C4064505B0 +:04112800F2BFF0EF33 +:04112900040E991DFA +:04112A0074138C4965 +:04112B0085A20FF496 +:04112C00F0EF450596 +:04112D0085A2F61F82 +:04112E00F0EF450990 +:04112F0085A2F59F01 +:0411300040A2441283 +:041131000131450D36 +:04113200F4BFF06FA7 +:04113300C222115172 +:041134004505842ABF +:04113500F0EFC4060D +:041136004789EF5F97 +:041137000D8575139A +:0411380002F4136347 +:041139000015641326 +:04113A00450585A240 +:04113B00F27FF0EF60 +:04113C00450985A23A +:04113D00F1FFF0EFDF +:04113E00441285A230 +:04113F00450D40A278 +:04114000F06F01311A +:041141000416F11F80 +:0411420074138C494D +:0411430064130FF42E +:04114400BFD90044CB +:04114500C222115160 +:041146000002143758 +:041147004601C02677 +:04114800059384AADD +:04114900051305C0C5 +:04114A00C4060404CF +:04114B00870FC0EF5B +:04114C000FF4F59314 +:04114D00051346053B +:04114E00C0EF0404E6 +:04114F00059389CFAC +:04115000051305C0BE +:041151004605040447 +:04115200854FC0EF16 +:041153000404051378 +:0411540040A244125F +:041155004585448206 +:04115600C06F013134 +:041157001141862F8D +:041158001437C42262 +:04115900C2260002A8 +:04115A0084AEC02A75 +:04115B0005934601B1 +:04115C00051305C0B2 +:04115D00C6060404BA +:04115E00824FC0EF0D +:04115F00460147827C +:04116000040405136B +:041161000FF7F593FC +:0411620084EFC0EF67 +:041163000404051368 +:0411640040B244222F +:04116500449285A685 +:0411660001414605F8 +:0411670083AFC06F23 +:041168000513115109 +:04116900C406022096 +:04116A00C026C222B7 +:04116B00F69FF0EF0C +:04116C000FF57413F4 +:04116D00455945CDCE +:04116E00FA7FF0EF25 +:04116F0085136489F7 +:04117000B0EF710467 +:04117100458DB3DF16 +:04117200F0EF455DF8 +:041173008513F95F88 +:04117400B0EF710463 +:041175006593B2DFED +:041176000513080451 +:04117700F0EF022073 +:041178000513F81F44 +:04117900B0EF3E8015 +:04117A007593B19F19 +:04117B00441207F41F +:04117C00448240A2C7 +:04117D000220051334 +:04117E00F06F0131DC +:04117F001151F65FB5 +:041180006409C2221A +:0411810071040513DD +:04118200B0EFC40600 +:0411830045C5AF5F50 +:04118400F0EF4559EA +:041185000513F4DF7B +:04118600B0EF710451 +:04118700455DAE5FB5 +:04118800F0EF4589B6 +:041189000513F3DF78 +:04118A004412710496 +:04118B00013140A24C +:04118C00ACFFB06F95 +:04118D00C222115118 +:04118E0085AA842E7C +:04118F00C406454904 +:04119000F1FFF0EF8C +:04119100441285A2DD +:04119200454D40A2E5 +:04119300F06F0131C7 +:0411940085AAF11F18 +:0411950003D005136B +:04119600F07FF06F87 +:04119700454585AA9B +:04119800EFFFF06F06 +:04119900C406115126 +:04119A004783C222A3 +:04119B00842A00653D +:04119C009593456D75 +:04119D008DDD00479D +:04119E000FF5F593C1 +:04119F00EE3FF0EF40 +:0411A000006445831F +:0411A100F0EF4571B5 +:0411A2004583ED9FF5 +:0411A30045290034A6 +:0411A400ECFFF0EF7D +:0411A500004445833A +:0411A600F0EF4525FC +:0411A7004583EC5F31 +:0411A8004521005489 +:0411A900EBBFF0EFB9 +:0411AA000004458375 +:0411AB00F0EF4535E7 +:0411AC004583EB1F6D +:0411AD0045310014B4 +:0411AE00EA7FF0EFF5 +:0411AF000024458350 +:0411B00040A2441203 +:0411B1000131452D96 +:0411B200E97FF06F72 +:0411B300C422114100 +:0411B4004511842A33 +:0411B500C032C2265C +:0411B600C60684AE37 +:0411B700E39FF0EFD3 +:0411B80075934602E3 +:0411B900CE4D0F8583 +:0411BA007FF0079328 +:0411BB000A87EA6352 +:0411BC00E593451161 +:0411BD00F0EF00153A +:0411BE001513E69F80 +:0411BF0014130014F1 +:0411C0008041010564 +:0411C10000445593FE +:0411C2000FF5F5939D +:0411C300F0EF4505FF +:0411C4001593E51F7B +:0411C500F59300445A +:0411C60045090F05C3 +:0411C700E43FF0EF22 +:0411C800019C073748 +:0411C900CC07071335 +:0411CA0002975733FE +:0411CB00022556B7EC +:0411CC000FF6869301 +:0411CD00073347811C +:0411CE00F063028741 +:0411CF0026B702E657 +:0411D0008693042CD2 +:0411D1004785D7F681 +:0411D20000E6F963D7 +:0411D300080BF7B757 +:0411D400FBF787930B +:0411D50000E7B7B3C5 +:0411D60067610789BD +:0411D70013C7071320 +:0411D8004503973EF6 +:0411D90007130007F1 +:0411DA000533028057 +:0411DB00571302E5BF +:0411DC00953A00142C +:0411DD00028545330F +:0411DE007513471D21 +:0411DF0075930FF500 +:0411E00073630FF531 +:0411E100459D00A781 +:0411E20040B24422B1 +:0411E300058E44929F +:0411E4008DDD079AFC +:0411E5000F85F593EA +:0411E6000141450D71 +:0411E700DC3FF06F8A +:0411E800F0EF4511CE +:0411E900BFB9DBDFD0 +:0411EA00C2221151BB +:0411EB004569842AA4 +:0411EC00F0EFC40656 +:0411ED004785D63F1D +:0411EE000FA5759341 +:0411EF0000F41A638B +:0411F0000025E5935E +:0411F10040A24412C2 +:0411F2000131456919 +:0411F300D93FF06F81 +:0411F40000A5E593DA +:0411F5005583BFC59A +:0411F600115100A5EE +:0411F70081A1C222EE +:0411F8000513842A2D +:0411F900C40604B074 +:0411FA00D77FF0EFBC +:0411FB0000A4458384 +:0411FC0004A0051333 +:0411FD00D6BFF0EF7A +:0411FE0000C4558351 +:0411FF0004D0051300 +:04120000F0EF81A1E9 +:041201004583D5DF6D +:04120200051300C40C +:04120300F0EF04C044 +:041204005583D51F1A +:04120500051300E4E9 +:0412060081A104F0CE +:04120700D43FF0EFF1 +:0412080000E4458336 +:0412090004E00513E5 +:04120A00D37FF0EFAF +:04120B0000445583C3 +:04120C0005100513B1 +:04120D00F0EF81A1DC +:04120E004583D29FA3 +:04120F00051300447F +:04121000F0EF0500F6 +:041211005583D1DF51 +:04121200051300645C +:0412130081A1053080 +:04121400D0FFF0EF28 +:0412150000644583A9 +:041216000520051397 +:04121700D03FF0EFE5 +:041218000084558376 +:041219000550051364 +:04121A00F0EF81A1CF +:04121B004583CF5FD9 +:04121C000513008432 +:04121D00F0EF0540A9 +:04121E005583CE9F87 +:04121F0005130104AE +:0412200081A1057033 +:04122100CDBFF0EF5E +:0412220001044583FB +:04122300056005134A +:04122400CCFFF0EF1C +:0412250001245583C8 +:041226000590051317 +:04122700F0EF81A1C2 +:041228004583CC1F0F +:041229000513012484 +:04122A00F0EF05805C +:04122B005583CB5FBD +:04122C000513014461 +:04122D0081A105B0E6 +:04122E00CA7FF0EF94 +:04122F0001444583AE +:0412300040A2441282 +:0412310005A00513FC +:04123200F06F013127 +:041233001151C95F2D +:04123400842AC22224 +:0412350003F00513AA +:04123600F0EFC4060B +:041237007593C3BF29 +:041238008DC10F0550 +:0412390040A2441279 +:04123A0003F00513A5 +:04123B00F06F01311E +:04123C001151C71F66 +:04123D00842AC2221B +:04123E00C406456934 +:04123F00C19FF0EF6C +:04124000006415939E +:0412410075134412CB +:0412420040A203F5CE +:04124300F5938DC9C9 +:0412440045690FF5F4 +:04124500F06F013114 +:041246001131C49FFF +:04124700461D65DDFE +:041248005E458593E7 +:04124900C806850A44 +:04124A00355000EF2C +:04124B00C75FF0EF9A +:04124C00F0EF450179 +:04124D004585E77F6D +:04124E000350051331 +:04124F00C23FF0EFBB +:041250000513655DC0 +:04125100F0EF124563 +:041252004501E91F4A +:04125300FA7FF0EF3F +:04125400F0EF451959 +:041255000513D01F8E +:04125600F0EF044071 +:041257004581D03FBE +:04125800F0EF450569 +:04125900850ACD3FF6 +:04125A00CFDFF0EF03 +:04125B00015140C23B +:04125C00114180823A +:04125D00842AC422F9 +:04125E00C60645116A +:04125F00F0EFC02EBE +:041260004582B97F8B +:0412610002B404339C +:04126200007575930B +:041263007793451127 +:04126400078E01F4FC +:04126500F0EF8DDD3C +:041266005513BC9FC1 +:0412670040B24054FD +:041268007513442294 +:0412690001410FF53B +:04126A00115180821C +:04126B00842AC222ED +:04126C00C40645412E +:04126D00B61FF0EFC9 +:04126E0000341593A0 +:04126F00891D44127F +:041270008DC940A242 +:041271000FF5F593ED +:0412720001314541C0 +:04127300B93FF06F20 +:041274001151C1391A +:041275000513C02677 +:0412760084AE0260E0 +:0412770008000593D3 +:04127800C406C222C4 +:04127900F0EF8432DC +:04127A004785B79F4E +:04127B000094059343 +:04127C0000F489638E +:04127D00059347A1ED +:04127E00846305A4DC +:04127F00059300F4DF +:04128000441201848F +:04128100448240A2C1 +:041282000FF5F593DC +:04128300031005133C +:04128400F06F0131D5 +:041285004581B4DF0C +:0412860002600513EA +:041287001121BFDD95 +:04128800C626C8228C +:04128900C02ECA06A3 +:04128A00C436C23272 +:04128B00842A4785E5 +:04128C000E6384BAAF +:04128D0047A100F580 +:04128E0004F50D63F3 +:04128F00006705935C +:041290000FF5F593CE +:04129100F0EF451520 +:0412920045C1B19F02 +:041293000593A8090E +:04129400F5930027A7 +:0412950045150FF5F7 +:04129600B07FF0EF46 +:041297004519459917 +:04129800AFFFF0EFC5 +:04129900862685A27E +:04129A00F0EF450527 +:04129B0047C1F67FD2 +:04129C00036345B1F2 +:04129D0045A100F473 +:04129E000220051312 +:04129F00AE3FF0EF7F +:0412A000462244425C +:0412A100450245922B +:0412A20044B240D240 +:0412A300F06F016186 +:0412A4000593C3FFEC +:0412A500F593032793 +:0412A60045150FF5E6 +:0412A700AC3FF0EF79 +:0412A80002000593A8 +:0412A9001151BF6DB3 +:0412AA00C026C22276 +:0412AB0084AEC40643 +:0412AC0084324789B8 +:0412AD00E66345812E +:0412AE00959300977D +:0412AF0005E20064F0 +:0412B000171385E1AA +:0412B100179300256A +:0412B2008FD900458B +:0412B3008DDD8FC975 +:0412B4000FF5F593AA +:0412B500F0EF4565AC +:0412B6004541A89F67 +:0412B700A39FF0EF12 +:0412B8007593478D56 +:0412B90014630F8526 +:0412BA00E59300F4C4 +:0412BB004541005554 +:0412BC00A6FFF0EFAA +:0412BD0005934789C5 +:0412BE00F96305B01B +:0412BF0047910097BC +:0412C000052005936D +:0412C1000097F4633B +:0412C200053005935B +:0412C300F0EF4539CA +:0412C4000513A51F4A +:0412C500B0EF3E80C8 +:0412C6004551DE8F21 +:0412C7009F9FF0EF06 +:0412C80045C1478D48 +:0412C90000F40363C7 +:0412CA0045614581B4 +:0412CB00A33FF0EF5E +:0412CC0040A24412E6 +:0412CD004581448291 +:0412CE0003600513A1 +:0412CF00F06F01318A +:0412D0001151A21FF7 +:0412D100842AC22287 +:0412D200C4064551B8 +:0412D3009C9FF0EFFD +:0412D4007C634711DF +:0412D5007513008706 +:0412D600051308856F +:0412D7003513F7854F +:0412D80040A200151B +:0412D9000131441289 +:0412DA0047098082BE +:0412DB0000877763AE +:0412DC000905751378 +:0412DD00F7050513F9 +:0412DE007793B7DD6E +:0412DF00D5130FF51F +:0412E0008905001765 +:0412E1000113BFF93D +:0412E200D2A2F9415A +:0412E300646147916A +:0412E400D0A6D48636 +:0412E5000793DA3E53 +:0412E600CC361DC421 +:0412E7000317C683A0 +:0412E800C82A4721A8 +:0412E90000D71733E0 +:0412EA000327C6838D +:0412EB0000876713FE +:0412EC000713DC3ACE +:0412ED001733200093 +:0412EE00C68300D7DC +:0412EF00DE3A0337A9 +:0412F0001733674108 +:0412F100C68300D7D9 +:0412F200C0BA034734 +:0412F3000020073799 +:0412F40000D71733D5 +:0412F5000713C2BA5F +:0412F600C4BA033142 +:0412F7000713676111 +:0412F800C6BA21272A +:0412F900071367610F +:0412FA00C8BA213716 +:0412FB00071367610D +:0412FC00CABA214702 +:0412FD00071367610B +:0412FE00CCBA2157EE +:0412FF000713676109 +:04130000CEBA2167D9 +:041301000427C703F3 +:041302000437C78362 +:04130300C03A66E1A5 +:041304008793C43EC9 +:04130500C23E30C6EE +:04130600879367DD85 +:04130700CA2E15478E +:04130800020109A332 +:041309000413470181 +:04130A0086931DC4E5 +:04130B0042F130C6B5 +:04130C001793D03E25 +:04130D0087E10187EC +:04130E000793C63E3D +:04130F001563025010 +:0413100057FD00F78E +:04131100A691C63E9D +:04131200025707B3C4 +:0413130097B646152E +:04131400D3134BDCC8 +:0413150083F50187D4 +:0413160001F37493D8 +:0413170006F666630D +:041318009613558251 +:04131900CE320027A9 +:04131A004210962EB9 +:04131B0003B3860290 +:04131C00061302575B +:04131D00DE321000AC +:04131E00C0B26621D2 +:04131F002000061391 +:041320000593C2B2BD +:0413210086331C10E3 +:041322004A100076F7 +:0413230076138215A6 +:041324001B637FF6D2 +:04132500D43E06B6F6 +:04132600879367E161 +:0413270093BE30C77A +:04132800000177B792 +:0413290016C78593CB +:04132A00461D851EB9 +:04132B00D21ED63ABE +:04132C00113000EF8D +:04132D00539266E190 +:04132E00573257A239 +:04132F0030C68693AB +:04133000E90142F19C +:04133100E229462245 +:0413320007054792D2 +:04133300C23E07F1BE +:04133400D23EB78D61 +:04133500000177B785 +:04133600174785933D +:04133700851E461DAC +:0413380000EFD43AB4 +:0413390066E10E104B +:04133A00572257924D +:04133B0030C686939F +:04133C00E91942F178 +:04133D00460545A27A +:04133E0000C59863EB +:04133F000613B7F1E9 +:04134000DE32200079 +:04134100C0B266418F +:04134200F3334662D9 +:041343000DE300C4F2 +:041344004612FA0350 +:041345004E0C457293 +:0413460004B310904C +:04134700A60300A653 +:041348001088FE8487 +:041349000006460351 +:04134A00962A060ACF +:04134B00FD46260332 +:04134C00DA598E6D6F +:04134D00C5934552AD +:04134E008985FFF599 +:04134F00F8A596E384 +:04135000025705B388 +:0413510095B64542C6 +:041352008195498CAC +:041353007FF5F5939A +:04135400EBE305F9C9 +:041355004585F6A52F +:0413560000B40923B3 +:041357000004172354 +:04135800000408A3E2 +:0413590000040A235F +:04135A006589CC10C5 +:04135B0026B6076348 +:04135C0014C5E4636D +:04135D0008000593EC +:04135E0022B60B6345 +:04135F000EC5E26372 +:04136000016345C11F +:04136100EF6322B65E +:0413620045910AC5E2 +:041363001CB606634B +:041364001BE345A1A1 +:041365004605F2B691 +:0413660000C4062396 +:0413670004F4460341 +:041368001E061F63DB +:041369000633467190 +:04136A00963602C7EA +:04136B0000E65583C0 +:04136C00577006139D +:04136D001EB66563E0 +:04136E0001D79613FA +:04136F001EC05163E8 +:0413700006A3460D7D +:04137100460900C465 +:0413720000C4092387 +:041373000067861376 +:04137400CE118A15F7 +:041375009F63461517 +:0413760047F10AC76A +:0413770002F707333F +:041378004AF007939D +:04137900D70396BA46 +:04137A00E56300E641 +:04137B0047830AE7B3 +:04137C00CFD1045475 +:04137D00000406A3BF +:04137E00AA7D478974 +:04137F0002570633D8 +:0413800020D00593E1 +:041381004A10963642 +:041382007613821547 +:041383001DE37FF6F1 +:041384000613EEB6A8 +:041385000633FFF735 +:04138600458D025639 +:041387004A509636FC +:041388001A638275ED +:04138900460200B662 +:04138A00F493E601F1 +:04138B00BDE90EF4B6 +:04138C004582460947 +:04138D004602B5D18E +:04138E00F493E601ED +:04138F00B5E90FB4F9 +:04139000BFC546058A +:0413910002000793BC +:0413920000F60663F8 +:0413930004000793B8 +:04139400E6F61CE37A +:04139500301007937A +:0413960000F4162326 +:04139700AAA9479127 +:041398004000059379 +:0413990016B603631E +:04139A0002C5EB633A +:04139B0010000593A6 +:04139C0014B6076319 +:04139D002000059394 +:04139E00E4B618E3B6 +:04139F0016234709C1 +:0413A000470D00E411 +:0413A10000E7986366 +:0413A2000454478325 +:0413A3004785F7B5CE +:0413A40000F4072327 +:0413A5000564478311 +:0413A60000F408A3A4 +:0413A7000793A0FD0B +:0413A80089638006CF +:0413A900678512073B +:0413AA00E2F610E374 +:0413AB002020079364 +:0413AC0000F4162310 +:0413AD00A209478DBD +:0413AE00000805B777 +:0413AF0012B60A6305 +:0413B00004C5E3632A +:0413B100006365C1AF +:0413B200E36312B629 +:0413B300679102C577 +:0413B40010F60863C4 +:0413B50019E367A130 +:0413B6000793DEF6C5 +:0413B70016232020B9 +:0413B800479D00F459 +:0413B90000F4092310 +:0413BA000A2347B506 +:0413BB00B75D00F426 +:0413BC00000207B76D +:0413BD000EF60B63BA +:0413BE00000407B769 +:0413BF00DCF616E35F +:0413C000203007933F +:0413C10007B7B7753E +:0413C200096300407B +:0413C300E0630EF6DF +:0413C40007B702C79E +:0413C50000630010B1 +:0413C60007B70EF661 +:0413C70015E300200A +:0413C8004791DAF679 +:0413C90000F41623F3 +:0413CA0007800793FE +:0413CB0007B7BF7D24 +:0413CC000F630100AA +:0413CD0007B70CF65C +:0413CE0004630200B2 +:0413CF0007B70EF658 +:0413D00013E30080A3 +:0413D1000793D8F6B0 +:0413D200162320407E +:0413D300479100F44A +:0413D40000F40923F5 +:0413D500BF5147F9C4 +:0413D6000733467122 +:0413D700162302C710 +:0413D8008B950004ED +:0413D900D70396BAE6 +:0413DA00468500A69E +:0413DB0008A38B7D5B +:0413DC009B6300E42B +:0413DD00468300D76C +:0413DE00CE9904544C +:0413DF00092347890E +:0413E000478500F449 +:0413E10000F407A36A +:0413E20050A6D71129 +:0413E3004532541625 +:0413E4000113548617 +:0413E500808206C13B +:0413E60000F40723E5 +:0413E70006A3B7DDC5 +:0413E800B52D00041B +:0413E9002010079336 +:0413EA0000F41623D2 +:0413EB000793B5B1FE +:0413EC001623301084 +:0413ED00479900F428 +:0413EE0000F40923DB +:0413EF000793BDE1C2 +:0413F0001623201090 +:0413F100479500F428 +:0413F2000793BFC5D9 +:0413F300162310208D +:0413F400B5C900F483 +:0413F500202007931A +:0413F6000793BFC1D9 +:0413F700BDAD202048 +:0413F8002020079317 +:0413F900470DBFF9E4 +:0413FA000793BD593F +:0413FB00BF6D203072 +:0413FC002030079303 +:0413FD000793B59508 +:0413FE00B7E1203003 +:0413FF0020400793F0 +:0414000000F41623BB +:041401000923478DE7 +:04140200079300F458 +:04140300BDF10280B5 +:0414040020400793EA +:0414050000F41623B6 +:0414060009234795DA +:0414070047E100F4C5 +:041408000793B5E9A8 +:041409001623204046 +:04140A00479900F40A +:04140B0000F40923BD +:04140C00BD6547D1A2 +:04140D00179311110F +:04140E00CA22018568 +:04140F00CC06C82619 +:04141000842A87E1C2 +:04141100DD6384AE65 +:041412004581000709 +:041413000770051346 +:0414140001A337D524 +:04141500478500A166 +:0414160006A7EE63D4 +:0414170007F474134F +:0414180045912E9537 +:0414190026814501E2 +:04141A00D7932E81B5 +:04141B0002A30184A3 +:04141C00D79300F171 +:04141D0003230104A0 +:04141E00D79300F16F +:04141F0003A300849F +:04142000022300F1B2 +:04142100042300811F +:04142200071300911B +:041423000793040027 +:0414240009630950FF +:04142500071300E4C5 +:041426004785048072 +:0414270000E4146366 +:0414280008700793AE +:041429000048459999 +:04142A0000F104A326 +:04142B00451524ED52 +:04142C00842A2EB12F +:04142D0005134585D9 +:04142E0024F5003170 +:04142F0000310783FE +:041430000007D66378 +:0414310047852E8D30 +:04143200FEF506E3DA +:041433002641E0115D +:04143400003145033B +:04143500445240E2FB +:04143600017144C23A +:04143700011380829B +:04143800C02AFD8148 +:0414390005134581D1 +:04143A00D206049042 +:04143B00CE26D022C7 +:04143C00F45FF0EF7A +:04143D004501C9019B +:04143E005402509272 +:04143F00011344F25F +:041440008082028123 +:041441004515842A9F +:0414420084AA261141 +:0414430005134585C3 +:041444002C510071B6 +:0414450000714703E8 +:041446000FF0079309 +:0414470000F7166331 +:0414480047852E198D +:04144900FEF504E3C5 +:04144A002615E091F2 +:04144B0000714703E2 +:04144C000FE0079313 +:04144D00FCF711E3B4 +:04144E00002845C964 +:04144F00478224ADFF +:041450000047C78307 +:041451000027F71366 +:041452004503CF156A +:04145300478300E1EA +:04145400470300F159 +:04145500890D0121DB +:041456008D5D052281 +:0414570001014783C5 +:041458004403050A3A +:04145900839900D1A2 +:04145A0047838D5DDA +:04145B00831D0111DB +:04145C000786883D3A +:04145D008FD98B99FF +:04145E000505943EAE +:04145F0015331465C8 +:04146000BF9D0085A7 +:04146100CB898B9117 +:04146200010145033C +:0414630001114783A9 +:041464008D5D052273 +:04146500B7CD47C5F3 +:041466004501478174 +:041467000113BFF1BD +:0414680065D9FDC184 +:041469004629CC261E +:04146A00859384AA38 +:04146B0000680A8586 +:04146C00CE22D006B6 +:04146D00478D24E1A2 +:04146E0022C1C03E99 +:04146F0024A92C215F +:04147000006845A922 +:0414710045812AC9BE +:041472000004A023AF +:041473000400051359 +:04147400E65FF0EF50 +:04147500842A4785F9 +:041476000EF51B63F1 +:041477001AA005931F +:0414780004800513D4 +:04147900E51FF0EF8C +:04147A001763C22A08 +:04147B00459108850A +:04147C002A550028C5 +:04147D0000A1470380 +:04147E001A63479214 +:04147F0047030CF71C +:04148000079300B11D +:0414810014630AA046 +:0414820005130CF74B +:041483002AFD3E8080 +:041484004785241D57 +:0414850000F51963F2 +:04148600400005B766 +:041487000E900513AB +:04148800E15FF0EF41 +:041489002C01F575C8 +:04148A000663478529 +:04148B00440102F521 +:04148C0047822C392E +:04148D00F79317FDBD +:04148E00C03E0FF756 +:04148F00DC35CBD9A4 +:0414900082234785E7 +:04149100C09C008477 +:04149200F0EF8526CC +:04149300157DE95F7B +:041494002A69C48875 +:041495004581A041AC +:0414960007A0051393 +:04149700DD9FF0EFF6 +:041498004591F5790C +:041499002281002884 +:04149A000081478303 +:04149B00F79344314E +:04149C00FFDD040765 +:04149D00BF6D4411CA +:04149E00051345816C +:04149F00F0EF0E90CC +:0414A0000793DB7F54 +:0414A100656304106B +:0414A200440900A455 +:0414A3000E9007930D +:0414A4000FA005137D +:0414A5002A9DC23E7C +:0414A60047852A79D3 +:0414A70000F51763D2 +:0414A8004581451223 +:0414A900D91FF0EF68 +:0414AA002271F9654D +:0414AB004401E11106 +:0414AC0045812A79D3 +:0414AD0007B005136C +:0414AE00D7DFF0EFA5 +:0414AF004401C11122 +:0414B0002000059380 +:0414B100050005131A +:0414B200D6DFF0EFA2 +:0414B3004401D13DE2 +:0414B400F43DB78DBF +:0414B500351320CDFE +:0414B600508200144C +:0414B70044E2447255 +:0414B80002410113D9 +:0414B900451C8082CC +:0414BA00C822112112 +:0414BB00C626CA0671 +:0414BC00C03AC22E42 +:0414BD00E163440D96 +:0414BE00CF1902C779 +:0414BF00004547831A +:0414C0008BA184B6C2 +:0414C1000626E39187 +:0414C200051385B2D7 +:0414C300F0EF051031 +:0414C400C909D27F01 +:0414C5002045440971 +:0414C60040D2852269 +:0414C70044B24442A5 +:0414C80080820161BC +:0414C90006400513C1 +:0414CA00458520D55F +:0414CB0000B1051354 +:0414CC004703289D0D +:0414CD00079300B1D0 +:0414CE0016630FF0A2 +:0414CF0028E500F715 +:0414D00004E3478565 +:0414D1002221FEF5E1 +:0414D20000B147031B +:0414D3000FE007938C +:0414D400FCF712E32C +:0414D5000413478233 +:0414D6008C05202041 +:0414D70004428C1D22 +:0414D800C48180410A +:0414D900450185A69E +:0414DA004582283DE2 +:0414DB002825451269 +:0414DC00450185A29F +:0414DD004401280D91 +:0414DE002637BF7975 +:0414DF0005B7000647 +:0414E0001537019C1F +:0414E10006130002EC +:0414E2008593A80640 +:0414E3000513CC051C +:0414E400B06F0205DE +:0414E500862E9E0FA2 +:0414E600153785AA87 +:0414E70005130002E7 +:0414E800B06F0205DA +:0414E900862EA7EFB5 +:0414EA00153785AA83 +:0414EB0005130002E3 +:0414EC00B06F0205D6 +:0414ED008082A42F26 +:0414EE005783676158 +:0414EF00F7931B67ED +:0414F00007C2F7F741 +:0414F1001B2383C175 +:0414F20017371AF797 +:0414F30020230002B0 +:0414F40080820EF7ED +:0414F5005783676151 +:0414F600E7931B67F6 +:0414F7001B230807A4 +:0414F80017371AF791 +:0414F90020230002AA +:0414FA0080820EF7E7 +:0414FB000029363757 +:0414FC00019C05B793 +:0414FD00000215379D +:0414FE002E0606139D +:0414FF00CC05859300 +:0415000002050513C8 +:0415010096EFB06F42 +:04150200F73FF06F50 +:041503002783676172 +:04150400E385718783 +:041505008793679DC4 +:04150600053397878B +:04150700115102F587 +:041508002C23C406C6 +:04150900A0EF70A738 +:04150A0040A2CFDF4D +:04150B000131450164 +:04150C00450580828F +:04150D001151808276 +:04150E00A0EFC40680 +:04150F0067E1D0DFE1 +:041510007187A783B5 +:04151100353340A28C +:04151200013100F5AE +:0415130067E180828A +:041514007007AC238D +:041515004701808288 +:0415160000E6146374 +:041517008082450188 +:0415180000E507B330 +:0415190086B3070589 +:04151A00C78300E59E +:04151B00C68300077C +:04151C0083E3FFF670 +:04151D008533FED73D +:04151E00808240D7B0 +:04151F0000A5C7B3A9 +:0415200007338B8D75 +:04152100E78100C599 +:04152200E963478DA5 +:0415230087AA02C7CA +:041524000CE57163FE +:041525000005C68374 +:0415260005850785AB +:04152700FED78FA3B9 +:04152800FEE7EAE30D +:04152900C683808273 +:04152A00078500052C +:04152B008FA3058500 +:04152C00EAE3FED719 +:04152D004402FEE78F +:04152E0080820111A5 +:04152F00003576937A +:04153000CA9187AA2B +:041531000005C68368 +:04153200058507859F +:04153300FED78FA3AD +:041534000037F693F3 +:041535007693B7FDF5 +:041536008613FFC752 +:04153700F563FE0654 +:04153800117106C760 +:0415390049C0C022C3 +:04153A000005A30302 +:04153B000085A38301 +:04153C004D80CBC053 +:04153D000067A02380 +:04153E000045A303BE +:04153F004DC0CF804C +:041540000067A2237B +:0415410000C5A283BC +:041542000105A303F9 +:041543000245859345 +:04154400A403CFC06D +:04154500A423FFC517 +:04154600A623007761 +:04154700A82300577E +:04154800879300671E +:04154900AE23024784 +:04154A00EEE3FE8747 +:04154B00F2E3FAC706 +:04154C004190F8D7FB +:04154D00059107916C +:04154E00FEC7AE2303 +:04154F004190BFCD3B +:041550000591079169 +:04155100FEC7AE2300 +:04155200FED7EBE3F2 +:04155300F4E7E4E3F2 +:04155400808280828F +:04155500F7C10113C6 +:04155600C13ED6A616 +:0415570067E1DA86E8 +:04155800DCB6D8A283 +:04155900A483DEBACF +:04155A00DC630D87BA +:04155B0007930005ED +:04155C00C09C08B077 +:04155D0050D6557D92 +:04155E0054B65446E5 +:04155F00084101132B +:0415600007938082EB +:0415610018232080AB +:04156200C22A00F1A8 +:041563004781CA2AC8 +:041564008793C1990F +:04156500C63EFFF58A +:0415660018B4CC3EAB +:04156700842E57FD7A +:04156800004C852688 +:0415690000F1192351 +:04156A002601C03660 +:04156B00556357FD70 +:04156C00079300F5EC +:04156D00C09C08B066 +:04156E004792DC5D67 +:04156F0000078023CE +:04157000C605BF5D90 +:041571004701167D9B +:0415720000E507B3D6 +:0415730000E586B356 +:041574000007C78322 +:041575000006C68323 +:0415760000D79663A1 +:0415770000C7046342 +:04157800F3FD070573 +:0415790040D785339F +:04157A004501808225 +:04157B0087AA808239 +:04157C000585CA090E +:04157D00FFF5C703AC +:04157E00167D07854A +:04157F00FEE78FA351 +:04158000963EFB6533 +:0415810000C79363A9 +:0415820007858082D7 +:04158300FE078FA32D +:0415840095AABFD590 +:04158500856387AA49 +:04158600C70300B7E0 +:04158700E701000771 +:0415880040A78533C0 +:0415890007858082D0 +:04158A00C5D5B7FD0F +:04158B00FFC5A7836E +:04158C00C222115115 +:04158D00C026C406AA +:04158E00FFC58413FE +:04158F000007D3631B +:0415900084AA943E57 +:0415910015F000EF62 +:0415920027836761E3 +:04159300863A1587F8 +:041594002223EF819E +:041595002C230004FF +:041596004412148760 +:04159700852640A2C3 +:041598000131448257 +:041599001410006FBB +:04159A0002F4706384 +:04159B0007334014BE +:04159C00966300D47E +:04159D00439800E788 +:04159E00973643DC5D +:04159F00C05CC01854 +:0415A00014862C235E +:0415A10087BABFD96D +:0415A200C31943D84E +:0415A300FEE47DE302 +:0415A40086334394B3 +:0415A5001F6300D7E9 +:0415A600401000866B +:0415A700C39496B2A1 +:0415A80000D78633AF +:0415A900FAC71BE37F +:0415AA00435843104F +:0415AB00C39496B29D +:0415AC00B765C3D884 +:0415AD0000C475639E +:0415AE00C09C47B1E5 +:0415AF004010BF79B0 +:0415B00000C406B3BA +:0415B10000D71663E6 +:0415B2004358431443 +:0415B300C01496B218 +:0415B400C3C0C05898 +:0415B5008082B75920 +:0415B600C2261141F7 +:0415B70000358493E4 +:0415B800C60698F1DA +:0415B90004A1C422A3 +:0415BA00FB6347B1D7 +:0415BB0044B104F43F +:0415BC0004B4EA6326 +:0415BD0000EFC02A51 +:0415BE0067610AD087 +:0415BF0015872683E3 +:0415C0000613478245 +:0415C10084361587D0 +:0415C2006461E4314B +:0415C30015C4041334 +:0415C400EB014018DF +:0415C5004581853E99 +:0415C60000EFC03E34 +:0415C70047827F8058 +:0415C800853EC00894 +:0415C900C03E85A6F5 +:0415CA007EA000EF10 +:0415CB004782577D7F +:0415CC0006E51563B8 +:0415CD00C398473147 +:0415CE0000EF853E67 +:0415CF00A02906B099 +:0415D000FA04D8E35E +:0415D100C11C47B141 +:0415D20040B24501DD +:0415D30044924422D8 +:0415D40080820141CF +:0415D5008F05401826 +:0415D60002074E6357 +:0415D700F66345ADC5 +:0415D800C01800E552 +:0415D900C004943A7C +:0415DA004058A029AC +:0415DB00028692638F +:0415DC00853EC2186E +:0415DD00031000EF08 +:0415DE0000B405133D +:0415DF0000440713AA +:0415E00007B3996153 +:0415E100D3F140E51D +:0415E2008F09943E9B +:0415E300BF75C018F8 +:0415E400B7C5C2D8ED +:0415E500404086A25A +:0415E6000413BF85A6 +:0415E70098710035C2 +:0415E800FC8503E398 +:0415E90040A405B362 +:0415EA00C03E853E3C +:0415EB00577D279D64 +:0415EC001AE3478235 +:0415ED00BFBDFAE59F +:0415EE000085A303CE +:0415EF00C8261111E8 +:0415F000CA22CC0639 +:0415F10084AEC232D0 +:0415F2000866E36341 +:0415F30000C5D60356 +:0415F400480677131B +:0415F50082AACB3DBE +:0415F600470D48C88D +:0415F70007334080F6 +:0415F800498C02A771 +:0415F90007B34509E6 +:0415FA00C03E40B4FB +:0415FB0002A74433CC +:0415FC00001685133D +:0415FD007363953E41 +:0415FE00842A00A497 +:0415FF004006761319 +:04160000C63DC636E7 +:0416010085A2851623 +:04160200F0EFC4162B +:0416030042A2ECFF14 +:04160400473146B272 +:041605004602CD2D9F +:04160600C636488C10 +:04160700F0EFC42A12 +:04160800D603C5FF41 +:04160900432200C4B4 +:04160A00761346B25B +:04160B006613B7F6B5 +:04160C009623080613 +:04160D00478200C44C +:04160E000064A823A9 +:04160F00933EC8C07E +:04161000A0238C1D6A +:04161100C48000642D +:04161200F3638336C5 +:0416130083360066B4 +:041614004088459233 +:04161500C01A861A57 +:0416160044982701CC +:041617004501430244 +:0416180040670733ED +:041619004098C49899 +:04161A00A023933A3C +:04161B00A80D0064B2 +:04161C008622851687 +:04161D002F05C416BB +:04161E0042A2832A37 +:04161F00FD4546B28D +:041620008516488C57 +:04162100F0EFC01610 +:041622004282DA5FC7 +:04162300A023473188 +:04162400D70300E206 +:04162500557D00C42B +:04162600040767133B +:0416270000E4962322 +:04162800445240E206 +:04162900017144C245 +:04162A00D7038082E0 +:04162B00011300C5E2 +:04162C00C122F7815F +:04162D000793DEA69B +:04162E00C30601F1FD +:04162F00C02EC22ADD +:04163000080777131D +:04163100F41384B278 +:04163200CB1DFF8746 +:04163300EB0D4998DA +:041634000400059316 +:04163500F0EFC636D6 +:041636004782E03FC8 +:04163700C38846B26C +:04163800E919CB8859 +:0416390047B147125C +:04163A00C31C557DFB +:04163B00440A409A83 +:04163C00011354F64C +:04163D00808208811E +:04163E0007134782C5 +:04163F00CBD8040000 +:04164000020007138A +:0416410000E40CA312 +:041642000300071387 +:0416430000042A2352 +:0416440000E40D238E +:041645008726CA36F4 +:041646000250061335 +:0416470000074683CF +:041648009863C29948 +:0416490003330AC697 +:04164A000263409760 +:04164B0045820203CF +:04164C00869A451223 +:04164D00C83A8626EB +:04164E00F0EFC61AD9 +:04164F0056FDE7FF5E +:041650001CD5026340 +:041651004332485484 +:04165200969A4742DB +:041653004683C854AE +:04165400896300079F +:0416550004931A06DA +:04165600577D0017A5 +:041657000004202348 +:041658000004262341 +:041659002423C0582E +:04165A0001A30004E4 +:04165B002C23040434 +:04165C00C58304043A +:04165D0077B7000457 +:04165E00461500012C +:04165F005A8785130E +:0416600087132B7D44 +:04166100401400141D +:04166200F613E5395D +:04166300C6090106AD +:041664000200061367 +:0416650004C401A315 +:041666000086F613F1 +:041667000613C60997 +:0416680001A302B028 +:04166900C58304C46D +:04166A00061300045F +:04166B00816302A0F5 +:04166C00445404C519 +:04166D004581872606 +:04166E0042A9452523 +:04166F000007460327 +:041670000017031349 +:04167100FD06061359 +:0416720006C57963CD +:04167300C454C995FD +:041674000705A805B9 +:0416750077B7B7A1EB +:0416760086130001D6 +:0416770006335A8755 +:04167800450540C51F +:0416790000C5153360 +:04167A00C0148EC941 +:04167B00B75184BA25 +:04167C00059346523A +:04167D0042100046D1 +:04167E004963CA2EC4 +:04167F00C45002064B +:041680000007460316 +:0416810002E00693EA +:0416820006D6116314 +:041683000017460303 +:0416840002A0069327 +:0416850002D61B630B +:04168600070946D238 +:041687000046861380 +:04168800CA3242948C +:041689000206C16331 +:04168A00A081C05427 +:04168B0040C0063322 +:04168C000026E693BB +:04168D00C014C45071 +:04168E0086B3B7E187 +:04168F004585025635 +:0416900096B2871A6D +:0416910056FDBFA59E +:041692000705B7C5CC +:04169300000422230A +:0416940046814581C5 +:0416950042A94525FC +:041696000007460300 +:041697000017031322 +:04169800FD06061332 +:0416990006C57763A8 +:04169A004583F1E1B2 +:04169B0074B7000719 +:04169C00460D0001F6 +:04169D005B04851352 +:04169E0021D1C63A56 +:04169F00CD01473200 +:0416A0005B048493D0 +:0416A10006938D051A +:0416A20096B30400F7 +:0416A300400800A655 +:0416A4008D55070554 +:0416A5004583C008B1 +:0416A600753700078D +:0416A70046190001DF +:0416A8005B45051386 +:0416A900001704938F +:0416AA0000B40C2359 +:0416AB00C52D2949D7 +:0416AC0000000737FC +:0416AD000007071318 +:0416AE004014E70DF0 +:0416AF00F693475215 +:0416B000CE911006C1 +:0416B100CA3A071119 +:0416B20047A24858AB +:0416B300C858973E3E +:0416B40086B3B599AB +:0416B500458502560F +:0416B60096B2871A47 +:0416B7000721BFB593 +:0416B8004602B7DD52 +:0416B90056B74512C9 +:0416BA0008580001CB +:0416BB007B86869311 +:0416BC00009785A26C +:0416BD0000E7000042 +:0416BE00C42A00003A +:0416BF00577D47A26A +:0416C000FCE794E3CC +:0416C100557D47828A +:0416C20000C7D70383 +:0416C300040777138E +:0416C400DC071EE33E +:0416C500BBD94848FD +:0416C6004512460281 +:0416C700000156B711 +:0416C80086930858A5 +:0416C90085A27B86F5 +:0416CA00BFC12A056D +:0416CB00CA26110119 +:0416CC0084B683322B +:0416CD004994459067 +:0416CE00CE06CC2256 +:0416CF0087AE842A34 +:0416D00000C6D3631A +:0416D100202386B29A +:0416D200C60300D378 +:0416D300C601043711 +:0416D4002023068544 +:0416D500439400D367 +:0416D6000206F6937F +:0416D7002683C6910F +:0416D800068900037C +:0416D90000D32023F7 +:0416DA000007A283E0 +:0416DB00019783935D +:0416DC000062F29323 +:0416DD00060283631B +:0416DE00C6834390EC +:0416DF007613043743 +:0416E00036B3020615 +:0416E100EA4100D00A +:0416E2000437861330 +:0416E300852285A631 +:0416E400C23EC41A24 +:0416E5009702C03A6E +:0416E600086356FD42 +:0416E700479206D54B +:0416E8004511432243 +:0416E90026034394FD +:0416EA0047CC0003E6 +:0416EB0043018A9994 +:0416EC0097634702B7 +:0416ED00833300A69D +:0416EE00536340C53D +:0416EF0043010003B0 +:0416F0004B90479440 +:0416F10000D6546368 +:0416F20093368E910C +:0416F30007E9428140 +:0416F4000653126324 +:0416F500A81D4501E6 +:0416F60047D402854E +:0416F70000032603C3 +:0416F800DBE38E9111 +:0416F9004685F8D258 +:0416FA0085A6861E1D +:0416FB00C81A852262 +:0416FC00C416C63E0C +:0416FD00C03AC21E0F +:0416FE0056FD9702FC +:0416FF0043924702C9 +:0417000047B242A208 +:0417010019E3434263 +:04170200557DFCD540 +:04170300446240F20A +:04170400610544D265 +:0417050085B38082A6 +:04170600051300D7F0 +:0417070081A30300B7 +:04170800C58304A5EC +:0417090086130457E8 +:04170A00963E0016F1 +:04170B0001A30689A7 +:04170C00BF9904B6C7 +:04170D00863E468549 +:04170E00852285A605 +:04170F00C41AC6161C +:04171000C03AC23EDB +:0417110056FD9702E8 +:04171200FCD501E31E +:04171300432242B279 +:041714000285479271 +:04171500BFAD47021B +:04171600CC221101CF +:04171700C232842E28 +:0417180001844603FF +:04171900CE06CA2608 +:04171A00C02A84B6A7 +:04171B0006E006934B +:04171C000435859378 +:04171D001ED6086369 +:04171E0006C6E463B4 +:04171F0006300693F7 +:041720000AD604637E +:0417210000C6ED63AE +:041722002006046336 +:0417230005800693A4 +:0417240016D60C6366 +:041725000424031382 +:0417260004C40123D3 +:041727000693A87904 +:04172800066306400E +:04172900069300D64D +:04172A0015E306902D +:04172B004014FED692 +:04172C00000723038C +:04172D000806F613A1 +:04172E00004305135C +:04172F002683C24902 +:04173000C3080003E7 +:041731000001763706 +:041732000006D86372 +:0417330002D00713C6 +:0417340040D006B3E8 +:0417350004E401A324 +:041736005BC6061375 +:04173700A07D472921 +:0417380007300693DD +:041739001AD60A634F +:04173A0000C6EF6393 +:04173B0006F006931B +:04173C0006D600636A +:04173D000700069308 +:04173E00F8D61EE3D8 +:04173F00E6934014D9 +:04174000C0140206C9 +:041741000693A8095A +:0417420003630750E6 +:04174300069304D62F +:0417440011E3078026 +:041745000693F8D639 +:04174600763707806B +:0417470002A30001F8 +:04174800061304D4AC +:04174900A0FD5D069C +:04174A00031343142E +:04174B0086130424D9 +:04174C00C310004680 +:04174D00012342989A +:04174E00470504E463 +:04174F00F613AABD26 +:0417500026830406E2 +:04175100C3080003C6 +:0417520006C2DE35B8 +:04175300BF9D86C1EF +:0417540043144008F2 +:04175500080572937E +:0417560000468313B3 +:0417570000028663A3 +:0417580000672023E3 +:04175900A80142940D +:04175A0004057513FA +:04175B0000672023E0 +:04175C00D683D975E2 +:04175D00051300066A +:04175E00773706F0E3 +:04175F000D63000115 +:0417600006130CA6BA +:0417610047295BC7F2 +:04176200040401A3D7 +:04176300C40840482E +:0417640000054863D1 +:041765000004230356 +:04176600FFB3731347 +:0417670000642023D7 +:04176800832EE29951 +:04176900832ECD11ED +:04176A0002E6F5336B +:04176B009532137D23 +:04176C00000545032C +:04176D0000A30023B2 +:04176E0002E6D53387 +:04176F000AE6F26331 +:041770001E6346A10D +:04177100401800D745 +:04177200CB118B0507 +:04177300481840547E +:0417740000D74763F0 +:041775000300071353 +:04177600FEE30FA3DC +:0417770085B3137DA6 +:04177800C80C4065F4 +:04177900450246924D +:04177A0008108726A6 +:04177B00C41A85A265 +:04177C00D3DFF0EFD8 +:04177D004322577D2F +:04177E000CE51563FE +:04177F0040F2557D62 +:0417800044D24462A9 +:0417810080826105FC +:0417820004C402A3F6 +:0417830000017637B4 +:041784005BC6061327 +:0417850023034008F2 +:041786007293000753 +:0417870026830805A8 +:041788000311000346 +:041789000202816374 +:04178A0000672023B1 +:04178B0000157713BB +:04178C006513C70119 +:04178D00C008020589 +:04178E00F6B9474120 +:04178F007513400886 +:04179000C008FDF59B +:041791007293B79107 +:041792002023040507 +:041793008FE3006779 +:0417940006C2FC028B +:04179500BFD982C175 +:041796005BC7061314 +:04179700B72D472102 +:04179800B79986AACD +:0417990043144010A5 +:04179A0072934848B6 +:04179B0083130806A6 +:04179C008763004619 +:04179D002023000203 +:04179E004298006706 +:04179F00A809C308CA +:0417A000006720239B +:0417A10004067613B1 +:0417A200DA6D429822 +:0417A30000A7102368 +:0417A40000042823F2 +:0417A500B7B9832E1F +:0417A6004581431422 +:0417A700004686135F +:0417A800A303C310C4 +:0417A90040500006A6 +:0417AA00C41A851ABE +:0417AB004322284964 +:0417AC000533C5013B +:0417AD00C04840658B +:0417AE00C8184058BF +:0417AF00040401A38A +:0417B0004814B7150D +:0417B1004502459216 +:0417B2009482861A7D +:0417B30007E3577D74 +:0417B4004018F2E502 +:0417B500EB158B099C +:0417B600444847421A +:0417B700F2E551E323 +:0417B800BF31853A7E +:0417B900450245920E +:0417BA00861A4685C0 +:0417BB00C41AC63A4C +:0417BC0056FD9482C0 +:0417BD00F0D504E37C +:0417BE004322473249 +:0417BF004454070582 +:0417C0008E9146427E +:0417C100FED740E32C +:0417C2004701BFC15B +:0417C3000194031377 +:0417C4001151B7FD0B +:0417C500C026C22256 +:0417C60084AA64612C +:0417C700C406852EA1 +:0417C80070042E2358 +:0417C90057FD20FDAB +:0417CA0000F51663AD +:0417CB0071C427833B +:0417CC00C09CC39169 +:0417CD00441240A2E0 +:0417CE00013144821F +:0417CF00F59380828C +:0417D000962A0FF551 +:0417D10000C51463D8 +:0417D20080824501CB +:0417D3000005478343 +:0417D400FEB78DE3EC +:0417D500B7FD050552 +:0417D60004A5F36310 +:0417D70000C586B310 +:0417D80002D57F6354 +:0417D900FFF645933F +:0417DA0017FD47812F +:0417DB0000F593631F +:0417DC00873380824D +:0417DD00430300F6CC +:0417DE008733000746 +:0417DF00972A00C77E +:0417E000006700237B +:0417E1008733B7DDB6 +:0417E200468300F545 +:0417E30007330007C1 +:0417E400078500F580 +:0417E50000D7002306 +:0417E600FEF617E311 +:0417E7004781808234 +:0417E8008082BFE557 +:0417E900E581808294 +:0417EA00F06F85B265 +:0417EB001131F2EFD7 +:0417EC00C622C80643 +:0417ED00EA11C42613 +:0417EE00E72FF0EF02 +:0417EF0040C247812C +:0417F00044A2443299 +:0417F1000151853EDF +:0417F200842E80823F +:0417F30084AAC032D2 +:0417F4004602203D4C +:0417F50074E387A270 +:0417F60085B2FEC5F5 +:0417F700C23285264F +:0417F800EF8FF0EF90 +:0417F900DD6187AA7D +:0417FA0085A246126C +:0417FB00F0EFC02A21 +:0417FC0085A2C8EF0B +:0417FD00F0EF85265E +:0417FE004782E34FEC +:0417FF00A783B7C93C +:041800008513FFC588 +:04180100D563FFC7E5 +:0418020095AA00079C +:04180300953E419C31 +:04180400114180828C +:041805006461C42234 +:04180600160427831A +:04180700C226C60629 +:041808000413832A18 +:04180900EB85160451 +:04180A0045814501CE +:04180B0046814601CB +:04180C0002934701FB +:04180D0000730D60F7 +:04180E0084AA0000A8 +:04180F0000055C6311 +:0418100004B3288174 +:04181100C10440903E +:0418120040B2557D0E +:041813004492442295 +:04181400808201418C +:041815004008C008BF +:0418160046014581C1 +:041817004681951A57 +:0418180047814701BC +:041819000D600293C9 +:04181A000000007357 +:04181B00596384AADF +:04181C00C01A0005E9 +:04181D004302283129 +:04181E00409004B33F +:04181F0054FDC104AF +:04182000557D401C96 +:0418210092E3933E7D +:04182200C004FC649E +:04182300BF75853ECA +:04182400A50367E1D0 +:0418250080820D8729 :0418260000000000BE :0418270000000000BD :0418280000000000BC @@ -6200,24 +6200,24 @@ :0418370000010500A7 :0418380000010504A2 :041839000001050A9B -:04183A000001268EF5 -:04183B000001278AF7 -:04183C000001278AF6 -:04183D000001279EE1 -:04183E000001279EE0 -:04183F00000127AAD3 -:04184000000127AECE -:04184100000127BCBF -:04184200000127BCBE -:04184300000127D4A5 -:041844000001293640 -:041845000001293C39 -:041846000001293C38 -:04184700000129363D -:041848000001294032 -:04184900000127D49F -:04184A00000129363A -:04184B000001293C33 +:04183A0000012690F3 +:04183B000001278CF5 +:04183C000001278CF4 +:04183D00000127A0DF +:04183E00000127A0DE +:04183F00000127ACD1 +:04184000000127B0CC +:04184100000127BEBD +:04184200000127BEBC +:04184300000127D6A3 +:04184400000129383E +:041845000001293E37 +:041846000001293E36 +:04184700000129383B +:041848000001294230 +:04184900000127D69D +:04184A000001293838 +:04184B000001293E31 :04184C000000000197 :04184D00020503008D :04184E000000000294 @@ -6317,41 +6317,41 @@ :0418AC000101010035 :0418AD000000010036 :0418AE000000000036 -:0418AF000001000034 -:0418B00044060B00DF -:0418B1000001000032 +:0418AF000100000034 +:0418B000060B000023 +:0418B10001000044EE :0418B2000000000032 -:0418B3000C00010024 -:0418B40080808000B0 -:0418B500081A1A1AD9 -:0418B6000000000A24 -:0418B70000012BFE03 -:0418B80000012C946B -:0418B90000012C9C62 -:0418BA0000012C8C71 -:0418BB0000012C9864 -:0418BC0000012CA05B -:0418BD0000012C906A -:0418BE0000012CCE2B -:0418BF0000012CA454 -:0418C00000012CA84F -:0418C10000012CAC4A -:0418C20000012CCE27 -:0418C30000012CCE26 -:0418C40000012CCE25 -:0418C50000012CCE24 -:0418C60000012CCE23 -:0418C700000130806C -:0418C80000012CD817 -:0418C90000012DB23B -:0418CA0000012DC22A -:0418CB0000012DDC0F -:0418CC0000012E1ACF -:0418CD0000012DFEEB -:0418CE0000012E30B7 -:0418CF0000012F16CF -:0418D00000012F687C -:0418D10000012F8A59 +:0418B3000001000030 +:0418B4008080000C24 +:0418B5001A1A1A8061 +:0418B60000000A081C +:0418B70000012C0000 +:0418B80000012C9669 +:0418B90000012C9E60 +:0418BA0000012C8E6F +:0418BB0000012C9A62 +:0418BC0000012CA259 +:0418BD0000012C9268 +:0418BE0000012CD029 +:0418BF0000012CA652 +:0418C00000012CAA4D +:0418C10000012CAE48 +:0418C20000012CD025 +:0418C30000012CD024 +:0418C40000012CD023 +:0418C50000012CD022 +:0418C60000012CD021 +:0418C700000130826A +:0418C80000012CDA15 +:0418C90000012DB439 +:0418CA0000012DC428 +:0418CB0000012DDE0D +:0418CC0000012E1CCD +:0418CD0000012E00E8 +:0418CE0000012E32B5 +:0418CF0000012F18CD +:0418D00000012F6A7A +:0418D10000012F8C57 :0418D200656E6F448C :0418D3000000000011 :0418D4006C69614694 @@ -6390,7 +6390,7 @@ :0418F50000016494F6 :0418F60000016498F1 :0418F7000001649CEC -:0418F80000016F3C40 +:0418F80000016F84F8 :0418F900000164A0E6 :0418FA00000164A8DD :0418FB00000164ACD8 @@ -6570,22 +6570,22 @@ :0419A90064707520D1 :0419AA00646574619B :0419AB000000000038 -:0419AC0000013910ED -:0419AD00000138F00D -:0419AE00000138CA32 -:0419AF00000138E219 -:0419B000000139C237 -:0419B100000139C236 -:0419B20000013A8472 -:0419B30000013AAA4B -:0419B40000013AB63E -:0419B50000013AF201 -:0419B60000013AC62C -:0419B700000139D61C -:0419B800000139D61B -:0419B90000013A1AD5 -:0419BA0000013A549A -:0419BB0000013A5499 +:0419AC0000013912EB +:0419AD00000138F20B +:0419AE00000138CC30 +:0419AF00000138E417 +:0419B000000139C435 +:0419B100000139C434 +:0419B20000013A8670 +:0419B30000013AAC49 +:0419B40000013AB83C +:0419B50000013AF4FF +:0419B60000013AC82A +:0419B700000139D81A +:0419B800000139D819 +:0419B90000013A1CD3 +:0419BA0000013A5698 +:0419BB0000013A5697 :0419BC00642064251A :0419BD0000000042E4 :0419BE00252E752538 @@ -6596,18 +6596,18 @@ :0419C3000000000020 :0419C4006C206F4ED6 :0419C500006B6E69DC -:0419C6000001810893 +:0419C6000001818417 :0419C700000000021A -:0419C800000137CA19 -:0419C90000016DC8E4 -:0419CA0000016DD4D7 -:0419CB0000016DDCCE +:0419C800000137CC17 +:0419C90000016E109B +:0419CA0000016E1C8E +:0419CB0000016E2485 :0419CC0070207525ED :0419CD006C65786964 :0419CE0000000073A2 -:0419CF000001810A88 +:0419CF00000181860C :0419D0000000000E05 -:0419D1000001380ECB +:0419D10000013810C9 :0419D200706D653C93 :0419D300003E7974E5 :0419D400203A75251B @@ -6760,803 +6760,803 @@ :041A670073616D201A :041A68000000006B0F :041A69006B73614DED -:041A6A00697262201B -:041A6B006E746867C6 -:041A6C00007373652B -:041A6D0065766552E3 -:041A6E00206573720A -:041A6F000046504C91 -:041A70005949443C50 -:041A710074616C2010 -:041A72006574202E49 -:041A7300003E74734A -:041A74006E616353E9 -:041A7500656E696CC5 -:041A760000000073F9 -:041A7700202E6C535E -:041A780065727473AC -:041A79006874676EB8 -:041A7A000000000068 -:041A7B00202E6C535A -:041A7C0072627968B1 -:041A7D007320646905 -:041A7E00002E727450 -:041A7F00202E6C5356 -:041A80006874656DB4 -:041A81000000646F8E +:041A6A006C6F63201A +:041A6B000000726F96 +:041A6C006B73614DEA +:041A6D006972622018 +:041A6E006E746867C3 +:041A6F000073736528 +:041A700065766552E0 +:041A71002065737207 +:041A72000046504C8E +:041A73005949443C4D +:041A740074616C200D +:041A75006574202E46 +:041A7600003E747347 +:041A77006E616353E6 +:041A7800656E696CC2 +:041A790000000073F6 +:041A7A00202E6C535B +:041A7B0065727473A9 +:041A7C006874676EB5 +:041A7D000000000065 +:041A7E00202E6C5357 +:041A7F0072627968AE +:041A80007320646902 +:041A8100002E72744D :041A8200202E6C5353 -:041A830065746C61B9 -:041A840074616E72A9 -:041A850000676E691F -:041A8600202E6C534F -:041A870067696C61BE -:041A88006E656D6EAC -:041A890000000074E5 -:041A8A00202E6C534B -:041A8B0020746C61F6 -:041A8C0065746E69A6 -:041A8D006C617672A0 -:041A8E000000000054 -:041A8F00202E6C5346 -:041A90006570797490 +:041A83006874656DB1 +:041A84000000646F8B +:041A8500202E6C5350 +:041A860065746C61B6 +:041A870074616E72A6 +:041A880000676E691C +:041A8900202E6C534C +:041A8A0067696C61BB +:041A8B006E656D6EA9 +:041A8C0000000074E2 +:041A8D00202E6C5348 +:041A8E0020746C61F3 +:041A8F0065746E69A3 +:041A90006C6176729D :041A91000000000051 -:041A92004320203C91 -:041A93006F74737584 -:041A94006C53206D02 -:041A95003E20202EA1 -:041A9600000000004C -:041A97007030343245 -:041A98003838322F79 -:041A990072702070D7 -:041A9A000000636F76 -:041A9B007034383338 -:041A9C003030342F83 -:041A9D0072702070D3 -:041A9E000000636F72 -:041A9F00693038343E -:041AA0003637352F71 -:041AA10072702069D6 -:041AA2000000636F6E -:041AA3007030383433 -:041AA4003637352F6D -:041AA50072702070CB -:041AA6000000636F6A -:041AA7006930363933 -:041AA8003830312F72 -:041AA9007020693010 -:041AAA0000636F72F4 -:041AAB00656E694CAF -:041AAC006D207832FF -:041AAD000065646FFD +:041A9200202E6C5343 +:041A9300657079748D +:041A9400000000004E +:041A95004320203C8E +:041A96006F74737581 +:041A97006C53206DFF +:041A98003E20202E9E +:041A99000000000049 +:041A9A007030343242 +:041A9B003838322F76 +:041A9C0072702070D4 +:041A9D000000636F73 +:041A9E007034383335 +:041A9F003030342F80 +:041AA00072702070D0 +:041AA1000000636F6F +:041AA200693038343B +:041AA3003637352F6E +:041AA40072702069D3 +:041AA5000000636F6B +:041AA6007030383430 +:041AA7003637352F6A +:041AA80072702070C8 +:041AA9000000636F67 +:041AAA006930363930 +:041AAB003830312F6F +:041AAC00702069300D +:041AAD0000636F72F1 :041AAE00656E694CAC -:041AAF006D207833FB +:041AAF006D207832FC :041AB0000065646FFA :041AB100656E694CA9 -:041AB2006D207834F7 +:041AB2006D207833F8 :041AB3000065646FF7 :041AB400656E694CA6 -:041AB5006D207835F3 +:041AB5006D207834F4 :041AB6000065646FF4 :041AB700656E694CA3 -:041AB80066207835F7 -:041AB900616D726F7A -:041ABA0000000074B4 -:041ABB007836353212 -:041ABC002030343270 -:041ABD00657073617C -:041ABE00000074634D -:041ABF006D205854EA -:041AC0000065646FEA -:041AC100494D4448FF -:041AC2004354492020 -:041AC300000000001F -:041AC4006C616E41A2 -:041AC5007320676FB4 -:041AC60020636E79B2 -:041AC7000046504C39 -:041AC8006C616E419E -:041AC9007320676FB0 -:041ACA0020636E79AE -:041ACB0000687456E5 -:041ACC006E79734874 -:041ACD006F742063AF -:041ACE006172656C70 -:041ACF000065636EDD -:041AD0006E79735662 -:041AD10068742063B2 -:041AD200687365725E -:041AD30000646C6FD0 -:041AD4004C502D48FD -:041AD5007250204CDF -:041AD6006F432D65C8 -:041AD70000747361C3 -:041AD8004C502D48F9 -:041AD9006F50204CDE -:041ADA00432D7473B1 -:041ADB007473616F50 -:041ADC000000000006 -:041ADD0070303834F9 -:041ADE00206E6920ED -:041ADF00706D617352 -:041AE0000072656CBF -:041AE10070303034FD -:041AE200206E6920E9 -:041AE300706D61734E -:041AE4000072656CBB -:041AE5006F6C6C4175 -:041AE60056542077BB -:041AE70050482050F3 -:041AE80078324C4CB8 -:041AE90000000000F9 -:041AEA006F6C6C4170 -:041AEB00707520777B -:041AEC00706D617345 -:041AED007832656C7A -:041AEE0000000000F4 -:041AEF007664413C9C -:041AF0006974202EC7 -:041AF100676E696D46 -:041AF2003E20202052 -:041AF30000000000EF -:041AF4006564695666 -:041AF500504C206FC2 -:041AF60000000046A6 -:041AF7005062505990 -:041AF8006E69207281 -:041AF9006C6F4320AB -:041AFA0000617053C4 -:041AFB0072502F52A4 -:041AFC0066666F208B -:041AFD000074657399 -:041AFE0020592F47F5 -:041AFF007366666F35 -:041B00000000746508 -:041B010062502F42BD -:041B020066666F2084 -:041B03000074657392 -:041B040072502F529A -:041B0500696167208B -:041B06000000006E6D -:041B070020592F47EB -:041B08006E6961673A -:041B090000000000D8 -:041B0A0062502F42B4 -:041B0B006961672085 -:041B0C000000006E67 -:041B0D002D65725080 -:041B0E0020434441EB -:041B0F006E69614753 -:041B100000000000D1 -:041B11002D62755379 -:041B1200656E696C27 -:041B130073203120EA -:041B140000007274E7 -:041B15002D62755375 -:041B1600656E696C23 -:041B170073203220E5 -:041B180000007274E3 -:041B19002D62755371 -:041B1A00656E696C1F -:041B1B0073203320E0 -:041B1C0000007274DF -:041B1D002D6275536D -:041B1E00656E696C1B -:041B1F0073203420DB -:041B200000007274DB -:041B21002D62755369 -:041B2200656E696C17 -:041B230073203520D6 -:041B240000007274D7 -:041B25002D62755365 -:041B2600756C6F6308 -:041B270031206E6D8E -:041B28007274732040 -:041B290000000000B8 -:041B2A002D62755360 -:041B2B00756C6F6303 -:041B2C0032206E6D88 -:041B2D00727473203B -:041B2E0000000000B3 -:041B2F002D6275535B -:041B3000756C6F63FE -:041B310033206E6D82 -:041B32007274732036 -:041B330000000000AE -:041B34002D62755356 -:041B3500756C6F63F9 -:041B360034206E6D7C -:041B37007274732031 -:041B380000000000A9 -:041B39002D62755351 -:041B3A00756C6F63F4 -:041B3B0035206E6D76 -:041B3C00727473202C -:041B3D0000000000A4 -:041B3E002D6275534C -:041B3F00756C6F63EF -:041B400036206E6D70 -:041B41007274732027 -:041B4200000000009F -:041B430073202E4895 -:041B44006C706D61F3 -:041B450074617265F0 -:041B46000000006536 -:041B470073202E4891 -:041B48007461722E24 -:041B4900646120654E -:041B4A000000006A2D -:041B4B0073202E488D -:041B4C006C636E79DF -:041B4D0000006E65C1 -:041B4E0062202E489B -:041B4F00706B6361F3 -:041B50006863726FE5 -:041B51000000000090 -:041B520061202E4898 -:041B530076697463D8 -:041B54000000006528 -:041B550073202E5675 -:041B56006C636E79D5 -:041B570000006E65B7 -:041B580062202E5683 -:041B5900706B6361E9 -:041B5A006863726FDB -:041B5B000000000086 -:041B5C0061202E5680 -:041B5D0076697463CE -:041B5E00000000651E -:041B5F00706D6153F1 -:041B6000676E696CD7 -:041B61006168702027 -:041B620000006573A7 -:041B63000066664F63 -:041B640072727543E1 -:041B650020746E6515 -:041B660075706E69BF -:041B67000000007406 -:041B6800206C6C4140 -:041B690075706E69BC -:041B6A000000737490 -:041B6B004273475228 -:041B6C000000000075 -:041B6D005062505919 -:041B6E000000007201 -:041B6F0000007333CC -:041B7000007330319D -:041B7100007330339A -:041B72002D706F540F -:041B73007466656CC3 -:041B7400000000006D -:041B7500746E6543E2 -:041B76000000726594 -:041B770074746F42D1 -:041B7800722D6D6FEE -:041B790074686769BC -:041B7A000000000067 -:041B7B002066664F2B -:041B7C002073662844 -:041B7D003639203D98 -:041B7E00297A486B0D -:041B7F000000000062 -:041B80002020783277 -:041B8100207366283F -:041B82003834203D96 -:041B8300297A486B08 -:041B8400000000005D -:041B850000706F5429 -:041B860074746F42C2 -:041B870000006D6F7E -:041B880069726F48C7 -:041B8900746E6F7A8D -:041B8A0000006C618A -:041B8B0074726556B5 -:041B8C006C616369BC -:041B8D000000000054 -:041B8E0069726F48C1 -:041B8F002B202E7A5F -:041B90007265562004 -:041B910000002E74AE -:041B920074737543B0 -:041B930000006D6F72 -:041B9400746C754DAB -:041B9500696C70699E -:041B960069746163AA -:041B970000006E6F6D -:041B980074627553AB -:041B9900746361729E -:041B9A00006E6F6901 -:041B9B006F747541AD -:041B9C000000000045 -:041B9D0000006E4F87 -:041B9E00494D444821 -:041B9F004752282061 -:041BA00000002942D6 -:041BA100494D44481E -:041BA200435928205B -:041BA30034724362F3 -:041BA40000293434AC -:041BA5000049564459 -:041BA60000373A3892 -:041BA70073736150A3 -:041BA8007572687476 -:041BA9000000000038 -:041BAA00656E694CAF -:041BAB002820783244 -:041BAC0029626F62D9 -:041BAD000000000034 -:041BAE00656E694CAB -:041BAF000000783288 -:041BB000656E694CA9 -:041BB1003220783234 -:041BB2003378303420 -:041BB30000003036C8 -:041BB400656E694CA5 -:041BB500322078332F -:041BB600337830341C -:041BB70000003036C4 -:041BB800656E694CA1 -:041BB9004720783316 -:041BBA0072656E657D -:041BBB00000063695A +:041AB8006D207835F0 +:041AB9000065646FF1 +:041ABA00656E694CA0 +:041ABB0066207835F4 +:041ABC00616D726F77 +:041ABD0000000074B1 +:041ABE00783635320F +:041ABF00203034326D +:041AC0006570736179 +:041AC100000074634A +:041AC2006D205854E7 +:041AC3000065646FE7 +:041AC400494D4448FC +:041AC500435449201D +:041AC600000000001C +:041AC7006C616E419F +:041AC8007320676FB1 +:041AC90020636E79AF +:041ACA000046504C36 +:041ACB006C616E419B +:041ACC007320676FAD +:041ACD0020636E79AB +:041ACE0000687456E2 +:041ACF006E79734871 +:041AD0006F742063AC +:041AD1006172656C6D +:041AD2000065636EDA +:041AD3006E7973565F +:041AD40068742063AF +:041AD500687365725B +:041AD60000646C6FCD +:041AD7004C502D48FA +:041AD8007250204CDC +:041AD9006F432D65C5 +:041ADA0000747361C0 +:041ADB004C502D48F6 +:041ADC006F50204CDB +:041ADD00432D7473AE +:041ADE007473616F4D +:041ADF000000000003 +:041AE00070303834F6 +:041AE100206E6920EA +:041AE200706D61734F +:041AE3000072656CBC +:041AE40070303034FA +:041AE500206E6920E6 +:041AE600706D61734B +:041AE7000072656CB8 +:041AE8006F6C6C4172 +:041AE90056542077B8 +:041AEA0050482050F0 +:041AEB0078324C4CB5 +:041AEC0000000000F6 +:041AED006F6C6C416D +:041AEE007075207778 +:041AEF00706D617342 +:041AF0007832656C77 +:041AF10000000000F1 +:041AF2007664413C99 +:041AF3006974202EC4 +:041AF400676E696D43 +:041AF5003E2020204F +:041AF60000000000EC +:041AF7006564695663 +:041AF800504C206FBF +:041AF90000000046A3 +:041AFA00506250598D +:041AFB006E6920727E +:041AFC006C6F4320A8 +:041AFD0000617053C1 +:041AFE0072502F52A1 +:041AFF0066666F2088 +:041B00000074657395 +:041B010020592F47F1 +:041B02007366666F31 +:041B03000000746505 +:041B040062502F42BA +:041B050066666F2081 +:041B0600007465738F +:041B070072502F5297 +:041B08006961672088 +:041B09000000006E6A +:041B0A0020592F47E8 +:041B0B006E69616737 +:041B0C0000000000D5 +:041B0D0062502F42B1 +:041B0E006961672082 +:041B0F000000006E64 +:041B10002D6572507D +:041B110020434441E8 +:041B12006E69614750 +:041B130000000000CE +:041B14002D62755376 +:041B1500656E696C24 +:041B160073203120E7 +:041B170000007274E4 +:041B18002D62755372 +:041B1900656E696C20 +:041B1A0073203220E2 +:041B1B0000007274E0 +:041B1C002D6275536E +:041B1D00656E696C1C +:041B1E0073203320DD +:041B1F0000007274DC +:041B20002D6275536A +:041B2100656E696C18 +:041B220073203420D8 +:041B230000007274D8 +:041B24002D62755366 +:041B2500656E696C14 +:041B260073203520D3 +:041B270000007274D4 +:041B28002D62755362 +:041B2900756C6F6305 +:041B2A0031206E6D8B +:041B2B00727473203D +:041B2C0000000000B5 +:041B2D002D6275535D +:041B2E00756C6F6300 +:041B2F0032206E6D85 +:041B30007274732038 +:041B310000000000B0 +:041B32002D62755358 +:041B3300756C6F63FB +:041B340033206E6D7F +:041B35007274732033 +:041B360000000000AB +:041B37002D62755353 +:041B3800756C6F63F6 +:041B390034206E6D79 +:041B3A00727473202E +:041B3B0000000000A6 +:041B3C002D6275534E +:041B3D00756C6F63F1 +:041B3E0035206E6D73 +:041B3F007274732029 +:041B400000000000A1 +:041B41002D62755349 +:041B4200756C6F63EC +:041B430036206E6D6D +:041B44007274732024 +:041B4500000000009C +:041B460073202E4892 +:041B47006C706D61F0 +:041B480074617265ED +:041B49000000006533 +:041B4A0073202E488E +:041B4B007461722E21 +:041B4C00646120654B +:041B4D000000006A2A +:041B4E0073202E488A +:041B4F006C636E79DC +:041B500000006E65BE +:041B510062202E4898 +:041B5200706B6361F0 +:041B53006863726FE2 +:041B5400000000008D +:041B550061202E4895 +:041B560076697463D5 +:041B57000000006525 +:041B580073202E5672 +:041B59006C636E79D2 +:041B5A0000006E65B4 +:041B5B0062202E5680 +:041B5C00706B6361E6 +:041B5D006863726FD8 +:041B5E000000000083 +:041B5F0061202E567D +:041B600076697463CB +:041B6100000000651B +:041B6200706D6153EE +:041B6300676E696CD4 +:041B64006168702024 +:041B650000006573A4 +:041B660063616C4209 +:041B67000000006B0F +:041B680065756C42F1 +:041B69000000000078 +:041B6A0065657247F4 +:041B6B000000006E08 +:041B6C006E617943EA +:041B6D000000000074 +:041B6E000064655258 +:041B6F006567614DF8 +:041B70000061746E2E +:041B71006C6C6559DA +:041B72000000776F89 +:041B730074696857D2 +:041B74000000006508 +:041B75000066664F51 +:041B760072727543CF +:041B770020746E6503 +:041B780075706E69AD +:041B790000000074F4 +:041B7A00206C6C412E +:041B7B0075706E69AA +:041B7C00000073747E +:041B7D004273475216 +:041B7E000000000063 +:041B7F005062505907 +:041B800000000072EF +:041B810000007333BA +:041B8200007330318B +:041B83000073303388 +:041B84002D706F54FD +:041B85007466656CB1 +:041B8600000000005B +:041B8700746E6543D0 +:041B88000000726582 +:041B890074746F42BF +:041B8A00722D6D6FDC +:041B8B0074686769AA +:041B8C000000000055 +:041B8D002066664F19 +:041B8E002073662832 +:041B8F003639203D86 +:041B9000297A486BFB +:041B91000000000050 +:041B92002020783265 +:041B9300207366282D +:041B94003834203D84 +:041B9500297A486BF6 +:041B9600000000004B +:041B970000706F5417 +:041B980074746F42B0 +:041B990000006D6F6C +:041B9A0069726F48B5 +:041B9B00746E6F7A7B +:041B9C0000006C6178 +:041B9D0074726556A3 +:041B9E006C616369AA +:041B9F000000000042 +:041BA00069726F48AF +:041BA1002B202E7A4D +:041BA20072655620F2 +:041BA30000002E749C +:041BA400747375439E +:041BA50000006D6F60 +:041BA600746C754D99 +:041BA700696C70698C +:041BA8006974616398 +:041BA90000006E6F5B +:041BAA007462755399 +:041BAB00746361728C +:041BAC00006E6F69EF +:041BAD006F7475419B +:041BAE000000000033 +:041BAF0000006E4F75 +:041BB000494D44480F +:041BB100475228204F +:041BB20000002942C4 +:041BB300494D44480C +:041BB4004359282049 +:041BB50034724362E1 +:041BB600002934349A +:041BB7000049564447 +:041BB80000373A3880 +:041BB9007373615091 +:041BBA007572687464 +:041BBB000000000026 :041BBC00656E694C9D -:041BBD002820783331 -:041BBE006563616C8E -:041BBF000000296495 +:041BBD002820783232 +:041BBE0029626F62C7 +:041BBF000000000022 :041BC000656E694C99 -:041BC100282078342C -:041BC20029626F62C3 -:041BC300000000001E -:041BC400656E694C95 -:041BC5000000783371 +:041BC1000000783276 +:041BC200656E694C97 +:041BC3003220783222 +:041BC400337830340E +:041BC50000003036B6 :041BC600656E694C93 -:041BC700000078346E -:041BC800656E694C91 -:041BC900000078356B -:041BCA00303239314B -:041BCB003830317805 -:041BCC0000000030E5 -:041BCD00303036314D -:041BCE003032317808 -:041BCF0000000030E2 -:041BD0003032393145 -:041BD1003032317805 -:041BD20000000030DF -:041BD300656E65478F -:041BD40020636972AF -:041BD50000333A346B -:041BD60078323135FB -:041BD7002030343254 -:041BD8006974706F4D -:041BD90000002E6D6D -:041BDA0078343833F0 -:041BDB002030343250 -:041BDC006974706F49 -:041BDD0000002E6D69 -:041BDE0078303233F6 -:041BDF00203034324C -:041BE0006974706F45 -:041BE10000002E6D65 -:041BE20078363532EA -:041BE3002030343248 -:041BE4006974706F41 -:041BE50000002E6D61 -:041BE600656E65477C -:041BE700206369729C -:041BE800393A36311F -:041BE90000000000F8 -:041BEA004D352E3215 -:041BEB0028207A48EC -:041BEC002978616D86 -:041BED0000000000F4 -:041BEE00484D3031FD -:041BEF006D28207AC3 -:041BF00000296465FF -:041BF100484D3333F5 -:041BF2006D28207AC0 -:041BF30000296E69EE -:041BF40020414756EF -:041BF50078303436DA -:041BF6004030303417 -:041BF7000000303783 -:041BF80020414756EB -:041BF90078303237D7 -:041BFA004030303413 -:041BFB00000030377F -:041BFC0020565444D7 -:041BFD0070303834D8 -:041BFE0000000000E3 -:041BFF0041534556B3 -:041C00003034362026 -:041C010030383478CB -:041C02000030364038 -:041C03002E63655295 -:041C04003130362025 -:041C050000000000DB -:041C06002E63655292 -:041C07003930372019 -:041C080000000000D8 -:041C0900484D3539D4 -:041C0A004828207ACC -:041C0B0020565444C7 -:041C0C000029494919 -:041C0D00484D3533D6 -:041C0E004828207AC8 -:041C0F0020565444C3 -:041C1000000029495E -:041C1100484D3631D3 -:041C12004528207AC7 -:041C130029565444B6 -:041C140000000000CC -:041C15007A484D3983 -:041C160044532820EB -:041C170000295654F6 -:041C18006D206425B2 -:041C19000000005671 -:041C1A002020202046 -:041C1B00000075252B -:041C1C000001826ED3 -:041C1D00000000249F -:041C1E00000137B0DA -:041C1F0064206425B4 -:041C200000006765F4 -:041C2100252E7525D2 -:041C22000075322EE9 -:041C230065206425AF -:041C24006972746EFF -:041C250000007365E3 -:041C26006F706D6905 -:041C2700646574720A -:041C280000000000B8 -:041C29006F706D4922 -:041C2A00203F747271 -:041C2B002C593D31C2 -:041C2C004E3D3220D7 -:041C2D0000000000B3 -:041C2E0064616F4C32 -:041C2F0020676E6953 -:041C300074746573F0 -:041C310073676E69FE -:041C320000000000AE -:041C3300445253556F -:041C340000415441D6 -:041C35006573753C22 -:041C360000003E6408 -:041C37000001700C2C -:041C38000000200088 -:041C390020002CE576 -:041C3A00E926F4FDA6 -:041C3B0038BC200091 -:041C3C0000000000A4 -:041C3D00000170181A -:041C3E000000200082 -:041C3F002000323E11 -:041C4000F113FA049E -:041C41003B612000E3 -:041C4200000000009E -:041C430000014D0649 -:041C440000014D0648 -:041C450000014C6CE2 -:041C460000014CFC51 -:041C470000014DFA51 -:041C480000014D0644 -:041C49007830323786 -:041C4A000030303402 -:041C4B007830343683 -:041C4C000030303400 -:041C4D0030303631CC -:041C4E003034327884 -:041C4F00C8000000C9 -:041C500007FE00F09B -:041C51000FCA20C0D6 -:041C520032100396B3 -:041C5300002000026B -:041C540030383231C1 -:041C5500303432787D -:041C5600A0000000EA -:041C5700061800F07B -:041C58000FAA20C0EF -:041C590032100348FA -:041C5A000001020281 -:041C5B00783036396E -:041C5C0000303432EE -:041C5D00780000000B -:041C5E00049200F0FC -:041C5F000F8020C012 -:041C60003210033605 -:041C61000000040279 -:041C6200783231356E -:041C630000303432E7 -:041C6400400000003C -:041C650002AA00F0DF -:041C66000E4D20C03F -:041C67003210033202 -:041C6800004208101E -:041C69007834383360 -:041C6A0000303432E0 -:041C6B003000000045 -:041C6C00020000F082 -:041C6D000E3B20C04A -:041C6E003210032508 -:041C6F0000841020BD -:041C70007830323363 -:041C710000303432D9 -:041C72002800000046 -:041C730001AA00F0D2 -:041C74000E3120C04D -:041C75003210031F07 -:041C76000108204001 -:041C77007836353254 -:041C780000303432D2 -:041C79002000000047 -:041C7A00015500F020 -:041C7B000E2720C050 -:041C7C003210031906 -:041C7D000210408091 -:041C7E00703034325C -:041C7F000000000061 -:041C80005A080000FE -:041C8100035A00F012 -:041C82000F3920C036 -:041C83003210033EDA -:041C84000000000E4E -:041C85003030363194 -:041C8600303432784C -:041C8700C800004C45 -:041C880007FE00F063 -:041C890029CA27003D -:041C8A00321003967B -:041C8B000020000233 -:041C8C003038323189 -:041C8D003838327839 -:041C8E00A0000000B2 -:041C8F000618012012 -:041C90000FAA270070 -:041C910032100348C2 -:041C92000001020249 -:041C93007830363936 -:041C940000383832AA -:041C950078000000D3 -:041C96000492012093 -:041C97000F80270093 -:041C980032100336CD -:041C99000000040241 -:041C9A007832313536 -:041C9B004C30343263 -:041C9C0040000042C2 -:041C9D0002AA00F0A7 -:041C9E00294D2700A5 -:041C9F0032100332CA -:041CA00000420810E6 -:041CA1007834383328 -:041CA2004C3034325C -:041CA30030000042CB -:041CA400020000F04A -:041CA500293B2700B0 -:041CA60032100325D0 -:041CA7000084102085 -:041CA800783032332B -:041CA9004C30343255 -:041CAA0028000042CC -:041CAB0001AA00F09A -:041CAC0029312700B3 -:041CAD003210031FCF -:041CAE0001082040C9 -:041CAF00783635321C -:041CB0004C3034324E -:041CB10020000042CD -:041CB200015500F0E8 -:041CB30029272700B6 -:041CB40032100319CE -:041CB5000210408059 -:041CB6007038383218 -:041CB7000000000029 -:041CB8005A170000B7 -:041CB90003600120A3 -:041CBA0013452700A7 -:041CBB003210033FA1 -:041CBC000000000E16 -:041CBD00783038340F -:041CBE000030363389 -:041CBF003C000000E5 -:041CC000025801685D -:041CC1000A3F2EE0C8 -:041CC20044100326A1 -:041CC3000000000E0F -:041CC400783034320E -:041CC5000030363382 -:041CC60020000000FA -:041CC700012C016883 -:041CC8000A182EE0E8 -:041CC90044100312AE -:041CCA000000810095 -:041CCB007034383306 -:041CCC000000000014 -:041CCD003E000000D5 -:041CCE00028001800F -:041CCF001D3234E0AE -:041CD0004410033E7B -:041CD1000000000E01 -:041CD2003030363147 -:041CD3003030347801 -:041CD400C800000044 -:041CD50007D00190A3 -:041CD6002278382018 -:041CD700501002F0B7 -:041CD8000000020006 -:041CD90078303237F6 -:041CDA000030303472 -:041CDB005A000000AB -:041CDC0003840190EC -:041CDD002240382049 -:041CDE005010026040 -:041CDF000000000CF5 -:041CE00078303436EE -:041CE100003030346B -:041CE20050000000AE -:041CE3000320019049 -:041CE4002230382052 -:041CE5005010026039 -:041CE6000000000CEE -:041CE70078303436E7 -:041CE8000034383359 -:041CE90050000000A7 -:041CEA000320018052 -:041CEB003F303D80C9 -:041CEC005010026032 -:041CED000000000EE5 -:041CEE0069303834ED -:041CEF0000000000F1 -:041CF0005A06000090 -:041CF100035A00F0A2 -:041CF2000F3941A0C5 -:041CF3007210033E2A -:041CF4000001020FDA -:041CF50070303834DF -:041CF60000000000EA -:041CF7005A0200008D -:041CF800035A01E0AA -:041CF9001E3C41A0AC -:041CFA009410063EFE -:041CFB000000000CD9 -:041CFC0078303436D2 -:041CFD000030383447 -:041CFE005001000091 -:041CFF00032001E0DD -:041D0000213041A0AD -:041D010094100260D8 -:041D02000000000CD1 -:041D030078303436CA -:041D04000032313543 -:041D0500500000008A -:041D060003200200B4 -:041D07001C30470045 -:041D080094100260D1 -:041D09000000000CCA -:041D0A0069363735CA -:041D0B0000000000D4 -:041D0C005A15000064 -:041D0D00036001204E -:041D0E0013454E200B -:041D0F007210033F0C -:041D10000001020FBD -:041D110070363735BC -:041D120000000000CD -:041D13005A11000061 -:041D14000360024026 -:041D150027444E20F1 -:041D160084100540F0 -:041D17000000000CBC -:041D180078303038B7 -:041D19000030303630 -:041D1A006400000061 -:041D1B000420025846 -:041D1C0017584E8086 -:041D1D00101004801E -:041D1E0000000004BD -:041D1F0070303237B7 -:041D200000000000BF -:041D2100A00400001A -:041D2200067202D073 -:041D230014DC5DC0AF -:041D24001810052866 -:041D250000000004B6 -:041D260034323031F2 -:041D2700383637789B -:041D28008000000037 -:041D2900054003006E -:041D2A001DA064C0D4 -:041D2B001010068806 -:041D2C0000000004AF -:041D2D0030383231E7 -:041D2E0032303178A6 -:041D2F00A0000034DC -:041D3000069804000D -:041D310026F88540CB -:041D3200101003701A -:041D330000000004A8 -:041D34007830343699 -:041D350069303639A2 -:041D36005000000059 -:041D3700032001E0A4 -:041D38002130834093 -:041D3900B410026080 -:041D3A000000000D98 -:041D3B0030383031DB -:041D3C00000000693A -:041D3D00F0050000AD -:041D3E000898021CE3 -:041D3F0010948CA0D0 -:041D4000B810052CA6 -:041D41000000000D91 -:041D420030383031D4 -:041D4300000000702C -:041D4400F01000009B -:041D450008980438BE -:041D460024948CA0B5 -:041D47001810052C3F -:041D48000000000493 -:041D490030303631CF -:041D4A00303231788A -:041D4B00C80000309C -:041D4C00087004B067 -:041D4D002EFF9C4089 -:041D4E00101003F17D -:041D4F00000000048C -:041D50007665642F21 -:041D51006370652F27 -:041D52006F635F71EB -:041D53006F72746EC9 -:041D540072656C6CDC -:041D5500615F305F3B -:041D56006D5F6C76DB -:041D570000006D65B6 -:041D58002B302D23DC -:041D59000000002066 -:041D5A00004C6C6865 -:041D5B00456766650D -:041D5C0000004746F6 -:041D5D0033323130BC -:041D5E0037363534AB -:041D5F00424139388C -:041D6000464544436D -:041D6100000000007E -:041D620033323130B7 -:041D630037363534A6 -:041D64006261393847 -:041D650066656463E8 -:041D66000000000079 -:041D67001A808080DE -:041D680000081A1A3B -:041D69000000000076 -:041D6A000000000075 -:041D6B0000017540BE -:041D6C000000000073 -:041D6D000000000072 -:041D6E000001035C11 -:041D6F000001041C4F -:041D7000000101CE9F -:041D7100000101EA82 -:041D72000001023634 -:041D730000800000EC -:041D740000800000EB -:041D7500000000006A -:041D76000000000069 -:041D77000000000068 +:041BC700322078331D +:041BC800337830340A +:041BC90000003036B2 +:041BCA00656E694C8F +:041BCB004720783304 +:041BCC0072656E656B +:041BCD000000636948 +:041BCE00656E694C8B +:041BCF00282078331F +:041BD0006563616C7C +:041BD1000000296483 +:041BD200656E694C87 +:041BD300282078341A +:041BD40029626F62B1 +:041BD500000000000C +:041BD600656E694C83 +:041BD700000078335F +:041BD800656E694C81 +:041BD900000078345C +:041BDA00656E694C7F +:041BDB000000783559 +:041BDC003032393139 +:041BDD0038303178F3 +:041BDE0000000030D3 +:041BDF00303036313B +:041BE00030323178F6 +:041BE10000000030D0 +:041BE2003032393133 +:041BE30030323178F3 +:041BE40000000030CD +:041BE500656E65477D +:041BE600206369729D +:041BE70000333A3459 +:041BE80078323135E9 +:041BE9002030343242 +:041BEA006974706F3B +:041BEB0000002E6D5B +:041BEC0078343833DE +:041BED00203034323E +:041BEE006974706F37 +:041BEF0000002E6D57 +:041BF00078303233E4 +:041BF100203034323A +:041BF2006974706F33 +:041BF30000002E6D53 +:041BF40078363532D8 +:041BF5002030343236 +:041BF6006974706F2F +:041BF70000002E6D4F +:041BF800656E65476A +:041BF900206369728A +:041BFA00393A36310D +:041BFB0000000000E6 +:041BFC004D352E3203 +:041BFD0028207A48DA +:041BFE002978616D74 +:041BFF0000000000E2 +:041C0000484D3031EA +:041C01006D28207AB0 +:041C020000296465EC +:041C0300484D3333E2 +:041C04006D28207AAD +:041C050000296E69DB +:041C060020414756DC +:041C070078303436C7 +:041C08004030303404 +:041C09000000303770 +:041C0A0020414756D8 +:041C0B0078303237C4 +:041C0C004030303400 +:041C0D00000030376C +:041C0E0020565444C4 +:041C0F0070303834C5 +:041C100000000000D0 +:041C110041534556A0 +:041C12003034362014 +:041C130030383478B9 +:041C14000030364026 +:041C15002E63655283 +:041C16003130362013 +:041C170000000000C9 +:041C18002E63655280 +:041C19003930372007 +:041C1A0000000000C6 +:041C1B00484D3539C2 +:041C1C004828207ABA +:041C1D0020565444B5 +:041C1E000029494907 +:041C1F00484D3533C4 +:041C20004828207AB6 +:041C210020565444B1 +:041C2200000029494C +:041C2300484D3631C1 +:041C24004528207AB5 +:041C250029565444A4 +:041C260000000000BA +:041C27007A484D3971 +:041C280044532820D9 +:041C290000295654E4 +:041C2A006D206425A0 +:041C2B00000000565F +:041C2C002020202034 +:041C2D000000752519 +:041C2E00000182EA45 +:041C2F00000000248D +:041C3000000137B2C6 +:041C310064206425A2 +:041C320000006765E2 +:041C3300252E7525C0 +:041C34000075322ED7 +:041C3500652064259D +:041C36006972746EED +:041C370000007365D1 +:041C38006F706D69F3 +:041C390064657472F8 +:041C3A0000000000A6 +:041C3B006F706D4910 +:041C3C00203F74725F +:041C3D002C593D31B0 +:041C3E004E3D3220C5 +:041C3F0000000000A1 +:041C400064616F4C20 +:041C410020676E6941 +:041C420074746573DE +:041C430073676E69EC +:041C4400000000009C +:041C4500445253555D +:041C460000415441C4 +:041C47006573753C10 +:041C480000003E64F6 +:041C490000017054D2 +:041C4A000000200076 +:041C4B0020002CE564 +:041C4C00E926F4FD94 +:041C4D0038BC20007F +:041C4E000000000092 +:041C4F0000017060C0 +:041C50000000200070 +:041C51002000323EFF +:041C5200F113FA048C +:041C53003B612000D1 +:041C5400000000008C +:041C550000014D0835 +:041C560000014D0834 +:041C570000014C6ECE +:041C580000014CFE3D +:041C590000014DFC3D +:041C5A0000014D0830 +:041C5B007830323774 +:041C5C0000303034F0 +:041C5D007830343671 +:041C5E0000303034EE +:041C5F0030303631BA +:041C60003034327872 +:041C6100C8000000B7 +:041C620007FE00F089 +:041C63000FCA20C0C4 +:041C640032100396A1 +:041C65000020000259 +:041C660030383231AF +:041C6700303432786B +:041C6800A0000000D8 +:041C6900061800F069 +:041C6A000FAA20C0DD +:041C6B0032100348E8 +:041C6C00000102026F +:041C6D00783036395C +:041C6E0000303432DC +:041C6F0078000000F9 +:041C7000049200F0EA +:041C71000F8020C000 +:041C720032100336F3 +:041C73000000040267 +:041C7400783231355C +:041C750000303432D5 +:041C7600400000002A +:041C770002AA00F0CD +:041C78000E4D20C02D +:041C790032100332F0 +:041C7A00004208100C +:041C7B00783438334E +:041C7C0000303432CE +:041C7D003000000033 +:041C7E00020000F070 +:041C7F000E3B20C038 +:041C800032100325F6 +:041C810000841020AB +:041C82007830323351 +:041C830000303432C7 +:041C84002800000034 +:041C850001AA00F0C0 +:041C86000E3120C03B +:041C87003210031FF5 +:041C880001082040EF +:041C89007836353242 +:041C8A0000303432C0 +:041C8B002000000035 +:041C8C00015500F00E +:041C8D000E2720C03E +:041C8E0032100319F4 +:041C8F00021040807F +:041C9000703034324A +:041C9100000000004F +:041C92005A080000EC +:041C9300035A00F000 +:041C94000F3920C024 +:041C95003210033EC8 +:041C96000000000E3C +:041C97003030363182 +:041C9800303432783A +:041C9900C800004C33 +:041C9A0007FE00F051 +:041C9B0029CA27002B +:041C9C003210039669 +:041C9D000020000221 +:041C9E003038323177 +:041C9F003838327827 +:041CA000A0000000A0 +:041CA1000618012000 +:041CA2000FAA27005E +:041CA30032100348B0 +:041CA4000001020237 +:041CA5007830363924 +:041CA6000038383298 +:041CA70078000000C1 +:041CA8000492012081 +:041CA9000F80270081 +:041CAA0032100336BB +:041CAB00000004022F +:041CAC007832313524 +:041CAD004C30343251 +:041CAE0040000042B0 +:041CAF0002AA00F095 +:041CB000294D270093 +:041CB10032100332B8 +:041CB20000420810D4 +:041CB3007834383316 +:041CB4004C3034324A +:041CB50030000042B9 +:041CB600020000F038 +:041CB700293B27009E +:041CB80032100325BE +:041CB9000084102073 +:041CBA007830323319 +:041CBB004C30343243 +:041CBC0028000042BA +:041CBD0001AA00F088 +:041CBE0029312700A1 +:041CBF003210031FBD +:041CC00001082040B7 +:041CC100783635320A +:041CC2004C3034323C +:041CC30020000042BB +:041CC400015500F0D6 +:041CC50029272700A4 +:041CC60032100319BC +:041CC7000210408047 +:041CC8007038383206 +:041CC9000000000017 +:041CCA005A170000A5 +:041CCB000360012091 +:041CCC001345270095 +:041CCD003210033F8F +:041CCE000000000E04 +:041CCF0078303834FD +:041CD0000030363377 +:041CD1003C000000D3 +:041CD200025801684B +:041CD3000A3F2EE0B6 +:041CD400441003268F +:041CD5000000000EFD +:041CD60078303432FC +:041CD7000030363370 +:041CD80020000000E8 +:041CD900012C016871 +:041CDA000A182EE0D6 +:041CDB00441003129C +:041CDC000000810083 +:041CDD0070343833F4 +:041CDE000000000002 +:041CDF003E000000C3 +:041CE00002800180FD +:041CE1001D3234E09C +:041CE2004410033E69 +:041CE3000000000EEF +:041CE4003030363135 +:041CE50030303478EF +:041CE600C800000032 +:041CE70007D0019091 +:041CE8002278382006 +:041CE900501002F0A5 +:041CEA0000000200F4 +:041CEB0078303237E4 +:041CEC000030303460 +:041CED005A00000099 +:041CEE0003840190DA +:041CEF002240382037 +:041CF000501002602E +:041CF1000000000CE3 +:041CF20078303436DC +:041CF3000030303459 +:041CF400500000009C +:041CF5000320019037 +:041CF6002230382040 +:041CF7005010026027 +:041CF8000000000CDC +:041CF90078303436D5 +:041CFA000034383347 +:041CFB005000000095 +:041CFC000320018040 +:041CFD003F303D80B7 +:041CFE005010026020 +:041CFF000000000ED3 +:041D000069303834DA +:041D010000000000DE +:041D02005A0600007D +:041D0300035A00F08F +:041D04000F3941A0B2 +:041D05007210033E17 +:041D06000001020FC7 +:041D070070303834CC +:041D080000000000D7 +:041D09005A0200007A +:041D0A00035A01E097 +:041D0B001E3C41A099 +:041D0C009410063EEB +:041D0D000000000CC6 +:041D0E0078303436BF +:041D0F000030383434 +:041D1000500100007E +:041D1100032001E0CA +:041D1200213041A09B +:041D130094100260C6 +:041D14000000000CBF +:041D150078303436B8 +:041D16000032313531 +:041D17005000000078 +:041D180003200200A2 +:041D19001C30470033 +:041D1A0094100260BF +:041D1B000000000CB8 +:041D1C0069363735B8 +:041D1D0000000000C2 +:041D1E005A15000052 +:041D1F00036001203C +:041D200013454E20F9 +:041D21007210033FFA +:041D22000001020FAB +:041D230070363735AA +:041D240000000000BB +:041D25005A1100004F +:041D26000360024014 +:041D270027444E20DF +:041D280084100540DE +:041D29000000000CAA +:041D2A0078303038A5 +:041D2B00003030361E +:041D2C00640000004F +:041D2D000420025834 +:041D2E0017584E8074 +:041D2F00101004800C +:041D300000000004AB +:041D310070303237A5 +:041D320000000000AD +:041D3300A004000008 +:041D3400067202D061 +:041D350014DC5DC09D +:041D36001810052854 +:041D370000000004A4 +:041D380034323031E0 +:041D39003836377889 +:041D3A008000000025 +:041D3B00054003005C +:041D3C001DA064C0C2 +:041D3D0010100688F4 +:041D3E00000000049D +:041D3F0030383231D5 +:041D40003230317894 +:041D4100A0000034CA +:041D420006980400FB +:041D430026F88540B9 +:041D44001010037008 +:041D45000000000496 +:041D46007830343687 +:041D47006930363990 +:041D48005000000047 +:041D4900032001E092 +:041D4A002130834081 +:041D4B00B41002606E +:041D4C000000000D86 +:041D4D0030383031C9 +:041D4E000000006928 +:041D4F00F00500009B +:041D50000898021CD1 +:041D510010948CA0BE +:041D5200B810052C94 +:041D53000000000D7F +:041D540030383031C2 +:041D5500000000701A +:041D5600F010000089 +:041D570008980438AC +:041D580024948CA0A3 +:041D59001810052C2D +:041D5A000000000481 +:041D5B0030303631BD +:041D5C003032317878 +:041D5D00C80000308A +:041D5E00087004B055 +:041D5F002EFF9C4077 +:041D6000101003F16B +:041D6100000000047A +:041D62007665642F0F +:041D63006370652F15 +:041D64006F635F71D9 +:041D65006F72746EB7 +:041D660072656C6CCA +:041D6700615F305F29 +:041D68006D5F6C76C9 +:041D690000006D65A4 +:041D6A002B302D23CA +:041D6B000000002054 +:041D6C00004C6C6853 +:041D6D0045676665FB +:041D6E0000004746E4 +:041D6F0033323130AA +:041D70003736353499 +:041D7100424139387A +:041D7200464544435B +:041D7300000000006C +:041D740033323130A5 +:041D75003736353494 +:041D76006261393835 +:041D770066656463D6 :041D78000000000067 -:041D79000000000066 -:041D7A000000000065 +:041D79001A808080CC +:041D7A0000081A1A29 :041D7B000000000064 :041D7C000000000063 -:041D7D000000000062 +:041D7D000001758864 :041D7E000000000061 :041D7F000000000060 -:041D8000000000005F -:041D8100000000005E -:041D8200000000005D -:041D8300000000005C -:041D8400000000005B -:041D8500000000005A -:041D86000000000059 +:041D80000001035CFF +:041D81000001041C3D +:041D8200000101CE8D +:041D8300000101EA70 +:041D84000001023622 +:041D850000800000DA +:041D860000800000D9 :041D87000000000058 :041D88000000000057 :041D89000000000056 @@ -7572,671 +7572,702 @@ :041D9300000000004C :041D9400000000004B :041D9500000000004A -:041D960000010174D3 -:041D970000800000C8 -:041D98000100000046 -:041D99000002010043 -:041D9A0000800000C5 -:041D9B000000000143 -:041D9C0000000080C3 -:041D9D000001000041 -:041D9E000000010040 +:041D96000000000049 +:041D97000000000048 +:041D98000000000047 +:041D99000000000046 +:041D9A000000000045 +:041D9B000000000044 +:041D9C000000000043 +:041D9D000000000042 +:041D9E000000000041 :041D9F000000000040 -:041DA000020E040922 -:041DA1003D0E00C82B -:041DA2003F6E03830A -:041DA3003ED03DAC45 -:041DA40000000383B5 -:041DA500026404B21E -:041DA6003C9300E981 -:041DA7003F56041689 -:041DA8003E9F3D49D4 -:041DA900000004161C -:041DAA00017804E5D3 -:041DAB003CCE0081A9 -:041DAC003FAE0383C0 -:041DAD003F333D493A -:041DAE0000000383AB -:041DAF0001B405B8BE -:041DB0003C49009317 -:041DB1003F9F041636 -:041DB2003F103CD9C9 -:041DB3000000041612 -:041DB40000016D8C31 -:041DB50000016D902C -:041DB60000016DA01B -:041DB70000016210B5 -:041DB80000016220A4 -:041DB9000001622C97 -:041DBA00000162388A -:041DBB00000162447D -:041DBC000001625070 -:041DBD000001625C63 -:041DBE000001626856 -:041DBF000001627449 -:041DC000000162803C -:041DC1000001628C2F -:041DC20000016F4C61 -:041DC30000016F5854 -:041DC40000016F6843 -:041DC50000016F7832 -:041DC60000016F8821 -:041DC70000016F9810 -:041DC80000016F4C5B -:041DC90000016F584E -:041DCA0000016F683D -:041DCB0000016F782C -:041DCC0000016F881B -:041DCD0000016F287A -:041DCE0000016F346D -:041DCF0000016F4060 -:041DD00000016D8C15 -:041DD10000016DBCE4 -:041DD20000016DC0DF -:041DD30000016DC4DA -:041DD40000016D0C91 -:041DD5000000000208 -:041DD6000001827610 -:041DD7000AF0012CE1 -:041DD800000134EEE4 -:041DD90000016D1C7C -:041DDA000000000203 -:041DDB000001827C05 -:041DDC0000130000F0 -:041DDD00000134EEDF -:041DDE0000016D2C67 -:041DDF0000000002FE -:041DE0000001827EFE -:041DE10000FF000AF5 -:041DE200000134EEDA -:041DE30000016D3856 -:041DE40000000002F9 -:041DE5000001826A0D -:041DE60000FF0001F9 -:041DE700000134EED5 -:041DE80000016D4841 -:041DE90000000002F4 -:041DEA0000018274FE -:041DEB00078000C8A5 -:041DEC00000134EED0 -:041DED0000016D5430 -:041DEE0000000002EF -:041DEF0000018270FD -:041DF00000070001E7 -:041DF100000134EECB -:041DF20000016D601F -:041DF30000000002EA -:041DF4000001827AEE -:041DF500003F0001AA -:041DF600000134EEC6 -:041DF70000016D700A -:041DF80000000002E5 -:041DF90000018272F1 -:041DFA0004B000A091 -:041DFB00000134EEC1 -:041DFC0000016D7CF9 -:041DFD0000000002E0 -:041DFE000001826CF2 -:041DFF00001F0000C1 -:041E0000000134EEBB -:041E0100000168FC78 -:041E020000000000DC -:041E03000001821147 -:041E040000010001D8 -:041E05000001801C3C -:041E06000001690C62 -:041E070000000000D7 -:041E08000001821241 -:041E090000010001D3 -:041E0A00000180242F -:041E0B000001691C4D -:041E0C0000000001D1 -:041E0D00000182133B -:041E0E0000180000B8 -:041E0F000001379403 -:041E10000001692C38 -:041E110000000000CD -:041E12000001820C3D -:041E130000010001C9 -:041E14000001802425 -:041E15000001693C23 -:041E160000000000C8 -:041E17000001820D37 -:041E180000010001C4 -:041E19000001802420 -:041E1A00000169500A -:041E1B0000000000C3 -:041E1C000001820E31 -:041E1D0000010001BF -:041E1E00000180241B -:041E1F0000016960F5 -:041E200000000001BD -:041E21000001821426 -:041E2200001F00009D -:041E2300000136C4C0 -:041E240000016974DC -:041E250000000000B9 -:041E26000001820F26 -:041E270000010001B5 -:041E28000001802411 -:041E290000016C4404 -:041E2A0000000001B3 -:041E2B00000181E44D -:041E2C0000100000A2 -:041E2D000001369CDE -:041E2E0000016C54EF -:041E2F0000000001AE -:041E3000000181E547 -:041E3100001000009D -:041E32000001369CD9 -:041E330000016C64DA -:041E340000000001A9 -:041E3500000181E641 -:041E36000010000098 -:041E37000001369CD4 -:041E380000016C74C5 -:041E390000000001A4 -:041E3A00000181E73B -:041E3B000010000093 -:041E3C000001369CCF -:041E3D0000016C84B0 -:041E3E00000000019F -:041E3F00000181E835 -:041E4000001000008E -:041E41000001369CCA -:041E420000016C949B -:041E4300000000019A -:041E4400000181E92F -:041E45000010000089 -:041E46000001369CC5 -:041E470000016CA882 -:041E48000000000195 -:041E4900000181EA29 -:041E4A000010000084 -:041E4B000001369CC0 -:041E4C0000016CBC69 -:041E4D000000000190 -:041E4E00000181EB23 -:041E4F00001000007F -:041E50000001369CBB -:041E510000016CD050 -:041E5200000000018B -:041E5300000181EC1D -:041E5400001000007A -:041E55000001369CB6 -:041E560000016CE437 -:041E57000000000186 -:041E5800000181ED17 -:041E59000010000075 -:041E5A000001369CB1 -:041E5B0000016CF81E -:041E5C000000000181 -:041E5D00000181EE11 -:041E5E000010000070 -:041E5F000001369CAC -:041E600000016760B6 -:041E6100000000037A -:041E6200000180B843 -:041E6300000000007B -:041E6400000000007A -:041E6500000167749D -:041E66000000000375 -:041E6700000180985E -:041E68000000000076 -:041E69000000000075 -:041E6A000001678884 -:041E6B000000000370 -:041E6C00000180B041 -:041E6D000000000071 -:041E6E000000000070 -:041E6F000001679C6B -:041E7000000000036B -:041E71000001808864 -:041E7200000000006C -:041E7300000000006B -:041E7400000167B052 -:041E75000000000366 -:041E7600000180A047 -:041E77000000000067 -:041E78000000000066 -:041E7900000167C439 -:041E7A000000000361 -:041E7B000001809052 -:041E7C000000000062 +:041DA000000000003F +:041DA100000000003E +:041DA200000000003D +:041DA300000000003C +:041DA400000000003B +:041DA500000000003A +:041DA6000000000039 +:041DA7000000000038 +:041DA80000010174C1 +:041DA90000800000B6 +:041DAA000100000034 +:041DAB000002010031 +:041DAC0000800000B3 +:041DAD000000000131 +:041DAE0000000080B1 +:041DAF00000100002F +:041DB000000001002E +:041DB100000000002E +:041DB200020E040910 +:041DB3003D0E00C819 +:041DB4003F6E0383F8 +:041DB5003ED03DAC33 +:041DB60000000383A3 +:041DB700026404B20C +:041DB8003C9300E96F +:041DB9003F56041677 +:041DBA003E9F3D49C2 +:041DBB00000004160A +:041DBC00017804E5C1 +:041DBD003CCE008197 +:041DBE003FAE0383AE +:041DBF003F333D4928 +:041DC0000000038399 +:041DC10001B405B8AC +:041DC2003C49009305 +:041DC3003F9F041624 +:041DC4003F103CD9B7 +:041DC5000000041600 +:041DC60000016DD4D7 +:041DC70000016DD8D2 +:041DC80000016DE8C1 +:041DC90000016210A3 +:041DCA000001622092 +:041DCB000001622C85 +:041DCC000001623878 +:041DCD00000162446B +:041DCE00000162505E +:041DCF000001625C51 +:041DD0000001626844 +:041DD1000001627437 +:041DD200000162802A +:041DD3000001628C1D +:041DD40000016F9407 +:041DD50000016FA0FA +:041DD60000016FB0E9 +:041DD70000016FC0D8 +:041DD80000016FD0C7 +:041DD90000016FE0B6 +:041DDA0000016F9401 +:041DDB0000016FA0F4 +:041DDC0000016FB0E3 +:041DDD0000016FC0D2 +:041DDE0000016FD0C1 +:041DDF0000016F7020 +:041DE00000016F7C13 +:041DE10000016F8806 +:041DE20000016DD4BB +:041DE30000016E0489 +:041DE40000016E0884 +:041DE50000016E0C7F +:041DE60000016D98F3 +:041DE70000016DA0EA +:041DE80000016DA8E1 +:041DE90000016DB0D8 +:041DEA0000016DB8CF +:041DEB0000016DBCCA +:041DEC0000016DC4C1 +:041DED0000016DCCB8 +:041DEE0000016D186B +:041DEF0000000002EE +:041DF000000182F27A +:041DF1000AF0012CC7 +:041DF200000134F0C8 +:041DF30000016D2856 +:041DF40000000002E9 +:041DF500000182F86F +:041DF60000130000D6 +:041DF700000134F0C3 +:041DF80000016D3841 +:041DF90000000002E4 +:041DFA00000182FA68 +:041DFB0000FF000ADB +:041DFC00000134F0BE +:041DFD0000016D4430 +:041DFE0000000002DF +:041DFF00000182E677 +:041E000000FF0001DE +:041E0100000134F0B8 +:041E020000016D541A +:041E030000000002D9 +:041E0400000182F067 +:041E0500078000C88A +:041E0600000134F0B3 +:041E070000016D6009 +:041E080000000002D4 +:041E0900000182EC66 +:041E0A0000070001CC +:041E0B00000134F0AE +:041E0C0000016D6CF8 +:041E0D0000000002CF +:041E0E00000182F657 +:041E0F00003F00018F +:041E1000000134F0A9 +:041E110000016D7CE3 +:041E120000000002CA +:041E1300000182EE5A +:041E140004B000A076 +:041E1500000134F0A4 +:041E160000016D88D2 +:041E170000000002C5 +:041E1800000182E85B +:041E1900001F0000A6 +:041E1A00000134F09F +:041E1B00000168FC5E +:041E1C0000000000C2 +:041E1D000001828EB0 +:041E1E0000010001BE +:041E1F0000018098A6 +:041E20000001690C48 +:041E210000000000BD +:041E22000001828FAA +:041E230000010001B9 +:041E2400000180A099 +:041E25000001691C33 +:041E260000000001B7 +:041E270000018290A4 +:041E2800001800009E +:041E290000013796E7 +:041E2A000001692C1E +:041E2B0000000000B3 +:041E2C0000018289A6 +:041E2D0000010001AF +:041E2E00000180A08F +:041E2F000001693C09 +:041E300000000000AE +:041E31000001828AA0 +:041E320000010001AA +:041E3300000180A08A +:041E340000016950F0 +:041E350000000000A9 +:041E36000001828B9A +:041E370000010001A5 +:041E3800000180A085 +:041E390000016960DB +:041E3A0000000001A3 +:041E3B00000182918F +:041E3C00001F000083 +:041E3D00000136C6A4 +:041E3E0000016974C2 +:041E3F00000000009F +:041E40000001828C8F +:041E4100000100019B +:041E4200000180A07B +:041E430000016C50DE +:041E44000000000199 +:041E450000018260B6 +:041E46000010000088 +:041E47000001369EC2 +:041E480000016C60C9 +:041E49000000000194 +:041E4A0000018261B0 +:041E4B000010000083 +:041E4C000001369EBD +:041E4D0000016C70B4 +:041E4E00000000018F +:041E4F0000018262AA +:041E5000001000007E +:041E51000001369EB8 +:041E520000016C809F +:041E5300000000018A +:041E540000018263A4 +:041E55000010000079 +:041E56000001369EB3 +:041E570000016C908A +:041E58000000000185 +:041E5900000182649E +:041E5A000010000074 +:041E5B000001369EAE +:041E5C0000016CA075 +:041E5D000000000180 +:041E5E000001826598 +:041E5F00001000006F +:041E60000001369EA9 +:041E610000016CB45C +:041E6200000000017B +:041E63000001826692 +:041E6400001000006A +:041E65000001369EA4 +:041E660000016CC843 +:041E67000000000176 +:041E6800000182678C +:041E69000010000065 +:041E6A000001369E9F +:041E6B0000016CDC2A +:041E6C000000000171 +:041E6D000001826886 +:041E6E000010000060 +:041E6F000001369E9A +:041E700000016CF011 +:041E7100000000016C +:041E72000001826980 +:041E7300001000005B +:041E74000001369E95 +:041E750000016D04F7 +:041E76000000000167 +:041E77000001826A7A +:041E78000010000056 +:041E79000001369E90 +:041E7A00000167609C +:041E7B000000000360 +:041E7C0000018134AC :041E7D000000000061 -:041E7E00000167D820 -:041E7F00000000035C -:041E8000000180706D -:041E8100000000005D +:041E7E000000000060 +:041E7F000001677483 +:041E8000000000035B +:041E810000018114C7 :041E8200000000005C -:041E8300000167EC07 -:041E84000000000357 -:041E85000001806870 -:041E86000000000058 +:041E8300000000005B +:041E8400000167886A +:041E85000000000356 +:041E86000001812CAA :041E87000000000057 -:041E880000016800ED -:041E89000000000352 -:041E8A00000180A82B -:041E8B000000000053 +:041E88000000000056 +:041E89000001679C51 +:041E8A000000000351 +:041E8B0000018104CD :041E8C000000000052 -:041E8D0000016A5C8A -:041E8E000000000050 -:041E8F00000181F5D8 -:041E90000004000149 -:041E910000017EFCD2 -:041E920000016A6C75 -:041E9300000000004B -:041E9400000181F6D2 -:041E95000004000144 -:041E960000017F10B8 -:041E970000016A7C60 -:041E98000000000046 -:041E9900000181F7CC -:041E9A000003000140 -:041E9B0000017F249F -:041E9C0000016A8C4B -:041E9D000000000041 -:041E9E00000181F8C6 -:041E9F00000100013D -:041EA0000001803489 -:041EA10000016A9C36 -:041EA200000000003C -:041EA300000181F9C0 -:041EA4000001000138 -:041EA5000001802C8C -:041EA60000016AAC21 -:041EA7000000000037 -:041EA800000181F0C4 -:041EA9000004000130 -:041EAA0000017708B4 -:041EAB0000016AB810 -:041EAC000000000032 -:041EAD00000181F1BE -:041EAE00000500012A -:041EAF000001771C9B -:041EB00000016AC4FF -:041EB100000000002D -:041EB200000181F2B8 -:041EB3000004000126 -:041EB40000017708AA -:041EB50000016AD0EE -:041EB6000000000028 -:041EB700000181F3B2 -:041EB8000004000121 -:041EB90000017708A5 -:041EBA0000016ADCDD -:041EBB000000000023 -:041EBC00000181F4AC -:041EBD00000200011E -:041EBE000001773474 -:041EBF0000016AECC8 -:041EC000000000001E -:041EC100000181FAA1 -:041EC200000100011A -:041EC3000001801486 -:041EC40000016AFCB3 -:041EC5000000000019 -:041EC600000181FE98 -:041EC7000002000114 -:041EC80000017F6C2A -:041EC90000016B04A5 -:041ECA000000000014 -:041ECB00000181FF92 -:041ECC000001000110 -:041ECD00000180246C -:041ECE000001698422 -:041ECF00000000010E -:041ED000000181FB91 -:041ED10000FF00000E -:041ED2000001377E56 -:041ED300000169940D -:041ED4000000000109 -:041ED500000181FC8B -:041ED600003F0000C9 -:041ED7000001377E51 -:041ED800000169A4F8 -:041ED9000000000104 -:041EDA00000181FD85 -:041EDB00000F0000F4 -:041EDC00000136C407 -:041EDD00000169B4E3 -:041EDE0000000001FF -:041EDF00000182106C -:041EE000001F0000DF -:041EE100000136C402 -:041EE200000169C0D2 -:041EE30000000004F7 -:041EE40000012336A0 -:041EE5000001671879 -:041EE60000000000F8 -:041EE70000016B7417 -:041EE80000000000F6 -:041EE9000001820072 -:041EEA0000020001F1 -:041EEB0000017F343F -:041EEC0000016B8402 -:041EED0000000000F1 -:041EEE00000182016C -:041EEF0000010001ED -:041EF0000001804429 -:041EF10000016B94ED -:041EF20000000000EC -:041EF3000001820266 -:041EF40000010001E8 -:041EF5000001802444 -:041EF60000016BA8D4 -:041EF70000000000E7 -:041EF8000001820360 -:041EF90000010001E3 -:041EFA00000180243F -:041EFB0000016BBCBB -:041EFC0000000003DF -:041EFD000001806000 -:041EFE0000017070FF -:041EFF000001347832 -:041F0000000169D0A3 -:041F010000000000DC -:041F0200000181DC7D -:041F030000020001D7 -:041F040000017F4019 -:041F0500000169DC92 -:041F060000000001D6 -:041F0700000181E272 -:041F0800000F0000C6 -:041F09000001375844 -:041F0A00000169EC7D -:041F0B0000000001D1 -:041F0C00000181DE71 -:041F0D00001C0000B4 -:041F0E00000136C0D8 -:041F0F00000169FC68 -:041F100000000000CD -:041F1100000181DF6B -:041F120000010001C9 -:041F130000018054F5 -:041F140000016A0856 -:041F150000000000C8 -:041F1600000181E065 -:041F170000010001C4 -:041F18000001802420 -:041F190000016A1841 -:041F1A0000000000C3 -:041F1B00000181E35D -:041F1C0000010001BF -:041F1D000001804CF3 -:041F1E0000016A282C -:041F1F0000000000BE -:041F2000000181E15A -:041F210000010001BA -:041F22000001802416 -:041F230000016A3C13 -:041F240000000000B9 -:041F2500000181DD59 -:041F260000030001B3 -:041F270000017F4CEA -:041F280000016A4802 -:041F290000000003B1 -:041F2A0000018078BA -:041F2B0000000000B2 -:041F2C0000000000B1 -:041F2D000001681433 -:041F2E0000000004AB -:041F2F000001212C60 -:041F30000001673C09 -:041F310000000000AC -:041F3200000168241E -:041F330000000004A6 -:041F34000001218EF9 -:041F35000001673C04 -:041F360000000000A7 -:041F37000001683409 -:041F380000000004A1 -:041F3900000129DAA0 -:041F3A0000000000A3 -:041F3B0000000000A2 -:041F3C0000016848F0 -:041F3D00000000019F -:041F3E000001821C00 -:041F3F00000A010192 -:041F4000000137E481 -:041F41000001685CD7 -:041F4200000000009B -:041F43000001815EBA -:041F44000001000197 -:041F450000018024F3 -:041F460000016870BE -:041F47000000000096 -:041F4800000181090A -:041F4900000A000189 -:041F4A00000176DC40 -:041F4B0000016880A9 -:041F4C000000000091 -:041F4D00000181D836 -:041F4E00000200018C -:041F4F00000176D047 -:041F50000001689490 -:041F5100000000008C -:041F52000001813CCD -:041F53000001000188 -:041F54000001803CCC -:041F5500000168A47B -:041F56000000000087 -:041F57000001800FF6 -:041F58000001000183 -:041F59000001803CC7 -:041F5A00000168B466 -:041F5B000000000082 -:041F5C0000018110EF -:041F5D00000100017E -:041F5E000001803CC2 -:041F5F00000168C451 -:041F6000000000007D -:041F61000001814AB0 -:041F62000003000177 -:041F630000017740C2 -:041F6400000168D43C -:041F65000000000474 -:041F660000013E88B0 -:041F67000000000076 -:041F68000000000075 -:041F6900000168E823 -:041F6A00000000046F -:041F6B0000013092AF -:041F6C000000000071 -:041F6D000000000070 -:041F6E0000016B10F3 -:041F6F00000000006E -:041F700000018208E2 -:041F71000003000168 -:041F720000017F5C8F -:041F730000016B20DE -:041F74000000000168 -:041F750000018205E0 -:041F7600001F000048 -:041F770000013734FA -:041F780000016B30C9 -:041F79000000000163 -:041F7A0000018206DA -:041F7B0000FF000063 -:041F7C00000136F03A -:041F7D0000016B40B4 -:041F7E00000000015E -:041F7F0000018207D4 -:041F800000C80A008B -:041F8100000136F035 -:041F820000016B509F -:041F83000000000159 -:041F84000001820ACC -:041F85000005000053 -:041F8600000136DA46 -:041F870000016B608A -:041F88000000000154 -:041F89000001820BC6 -:041F8A00000500004E -:041F8B00000136DA41 -:041F8C0000016BD015 -:041F8D000000000050 -:041F8E0000018209C3 -:041F8F000005000148 -:041F900000017F7855 -:041F910000016BDC04 -:041F9200000000004B -:041F930000018204C3 -:041F94000002000146 -:041F950000017F9038 -:041F960000016BECEF -:041F97000000000145 -:041F980000018215AD -:041F990000FF000045 -:041F9A00000136C448 -:041F9B0000016BF8DE -:041F9C000000000140 -:041F9D0000018216A7 -:041F9E0000FF000040 -:041F9F00000136C443 -:041FA00000016C04CC -:041FA100000000013B -:041FA20000018217A1 -:041FA30000FF00003B -:041FA400000136C43E -:041FA50000016C10BB -:041FA6000000000136 -:041FA700000182189B -:041FA80000FF000036 -:041FA900000136C439 -:041FAA0000016C1CAA -:041FAB000000000131 -:041FAC000001821995 -:041FAD0000FF000031 -:041FAE00000136C434 -:041FAF0000016C2899 -:041FB000000000012C -:041FB1000001821A8F -:041FB20000FF00002C -:041FB300000136C42F -:041FB40000016C3488 -:041FB5000000000127 -:041FB6000001821B89 -:041FB700000F000017 -:041FB800000136C42A -:041FB9000001808023 -:041FBA000000000023 -:041FBB000000000022 -:041FBC000000000021 -:041FBD000000000020 -:041FBE00000000001F -:041FBF0000016E9C13 -:041FC00000016EB8F6 -:041FC10000016F109C -:041FC20000016F1893 -:041FC30000016F208A -:041FC40000016E9C0E -:041FC50000016EB8F1 -:041FC60000016EC0E8 -:041FC70000016ED0D7 -:041FC80000016EE0C6 -:041FC90000016E9C09 -:041FCA0000016EA8FC -:041FCB0000016EF0B3 -:041FCC0000016F00A1 -:041FCD0000016E6C35 -:041FCE0000016FF0AF -:041FCF0000016FFCA2 -:041FD00000016D8C13 -:041FD10000016E6C31 -:041FD20000016E7428 -:041FD30000016E207B -:041FD40000016E2C6E -:041FD50000016E3861 -:041FD60000016E4850 -:041FD70000016FA8EE -:041FD80000016FB8DD -:041FD90000016FC4D0 -:041FDA0000016D8C09 -:041FDB0000016E781B -:041FDC0000016E840E -:041FDD0000016E94FD -:041FDE0000016E6C24 -:041FDF0000016D8C04 -:041FE0000001702468 -:041FE1000001703457 -:041FE2000001704446 -:041FE3000001705435 -:041FE4000001700C7C -:041FE500000170186F -:041FE60000016E6C1C -:041FE70000000000F6 -:041FE80000000000F5 -:041FE90000000000F4 -:041FEA0000000000F3 -:041FEB0000000000F2 -:041FEC0000000000F1 -:041FED0000000000F0 -:041FEE0000000000EF -:041FEF0000000000EE -:041FF00000000000ED -:041FF10000000000EC -:041FF20000000000EB -:041FF30000000000EA -:041FF40000000000E9 -:041FF50000000000E8 -:041FF60000000000E7 -:041FF70000000000E6 -:041FF80000000000E5 -:041FF90000000000E4 -:041FFA0000000000E3 -:041FFB0000000000E2 -:041FFC0000000000E1 -:041FFD0000000000E0 -:041FFE0000000000DF -:041FFF000001608EEF -:04200000000180005B -:04200100000180005A -:04200200001080103A -:042003000100800058 -:0420040000022000B6 -:0420050000016F5413 -:0420060000016E98CF -:0420070000016DEC7B -:0420080000016E0065 -:0420090000016D8CD9 -:04200A0000016E74EF -:04200B0000016E9CC6 -:04200C0000016EA8B9 -:04200D0000016E9CC4 -:04200E0000016EB8A7 -:04200F0000016DACB3 -:0420100000016DB4AA -:0420110000016FD08B -:0420120000016FE07A -:0420130000016E1446 -:0420140000016E1841 -:0420150000016E5008 -:0420160000016E60F7 -:0420170000017F9CA9 -:0420180000000009BB -:0420190000017750FB -:04201A0000000003BF -:04201B000001780444 -:04201C0000000005BB -:04201D000001784006 -:04201E000000000BB3 -:04201F00000178A4A0 -:0420200000000009B3 -:0420210000017980C1 -:042022000000000DAD -:0420230000017A340A -:0420240000000005B3 -:0420250000017B3803 -:0420260000000005B1 -:0420270000017B9C9D -:0420280000000009AB -:0420290000017C0036 -:04202A000000000DA5 -:04202B0000017CB480 -:04202C0000000006AA -:04202D0000017DB879 -:04202E0000000009A5 -:04202F0000017E30FE -:04203000C896554BAE +:041E8D000000000051 +:041E8E00000167B038 +:041E8F00000000034C +:041E90000001811CB0 +:041E9100000000004D +:041E9200000000004C +:041E9300000167C41F +:041E94000000000347 +:041E95000001810CBB +:041E96000000000048 +:041E97000000000047 +:041E9800000167D806 +:041E99000000000342 +:041E9A00000180ECD7 +:041E9B000000000043 +:041E9C000000000042 +:041E9D00000167ECED +:041E9E00000000033D +:041E9F00000180E4DA +:041EA000000000003E +:041EA100000000003D +:041EA20000016800D3 +:041EA3000000000338 +:041EA4000001812494 +:041EA5000000000039 +:041EA6000000000038 +:041EA70000016A6864 +:041EA8000000000036 +:041EA9000001827141 +:041EAA00000400012F +:041EAB0000017F783B +:041EAC0000016A784F +:041EAD000000000031 +:041EAE00000182723B +:041EAF00000400012A +:041EB00000017F8C22 +:041EB10000016A883A +:041EB200000000002C +:041EB3000001827335 +:041EB4000003000126 +:041EB50000017FA009 +:041EB60000016A9825 +:041EB7000000000027 +:041EB800000182742F +:041EB9000001000123 +:041EBA00000180B0F3 +:041EBB0000016AA810 +:041EBC000000000022 +:041EBD000001827529 +:041EBE00000100011E +:041EBF00000180A8F6 +:041EC00000016AB8FB +:041EC100000000001D +:041EC2000001826C2D +:041EC3000004000116 +:041EC4000001775052 +:041EC50000016AC4EA +:041EC6000000000018 +:041EC7000001826D27 +:041EC8000005000110 +:041EC9000001776439 +:041ECA0000016AD0D9 +:041ECB000000000013 +:041ECC000001826E21 +:041ECD00000400010C +:041ECE000001775048 +:041ECF0000016ADCC8 +:041ED000000000000E +:041ED1000001826F1B +:041ED2000004000107 +:041ED3000001775043 +:041ED40000016AE8B7 +:041ED5000000000009 +:041ED6000001827015 +:041ED7000002000104 +:041ED8000001777C12 +:041ED90000016AF8A2 +:041EDA000000000004 +:041EDB00000182760A +:041EDC000001000100 +:041EDD0000018090F0 +:041EDE0000016B088C +:041EDF0000000000FF +:041EE0000001827B00 +:041EE10000020001FA +:041EE20000017FE894 +:041EE30000016B107F +:041EE40000000000FA +:041EE5000001827CFA +:041EE60000010001F6 +:041EE700000180A0D6 +:041EE8000001698408 +:041EE90000000001F4 +:041EEA0000018277FA +:041EEB0000FF0000F4 +:041EEC00000137803A +:041EED0000016994F3 +:041EEE0000000001EF +:041EEF0000018278F4 +:041EF000003F0000AF +:041EF1000001378035 +:041EF200000169A4DE +:041EF30000000000EB +:041EF4000001827AED +:041EF50000070000E2 +:041EF60000017798D8 +:041EF700000169B0CD +:041EF80000000001E5 +:041EF90000018279E9 +:041EFA00000F0000D5 +:041EFB00000136C6E6 +:041EFC00000169C0B8 +:041EFD0000000001E0 +:041EFE000001828DD0 +:041EFF00001F0000C0 +:041F0000000136C6E0 +:041F0100000169CCA6 +:041F020000000004D7 +:041F0300000123387E +:041F04000001671859 +:041F050000000000D8 +:041F060000016B80EB +:041F070000000000D6 +:041F08000001827DD5 +:041F090000020001D1 +:041F0A0000017FB0A3 +:041F0B0000016B90D6 +:041F0C0000000000D1 +:041F0D000001827ECF +:041F0E0000010001CD +:041F0F00000180C08D +:041F100000016BA0C1 +:041F110000000000CC +:041F12000001827FC9 +:041F130000010001C8 +:041F1400000180A0A8 +:041F150000016BB4A8 +:041F160000000000C7 +:041F170000018280C3 +:041F180000010001C3 +:041F1900000180A0A3 +:041F1A0000016BC88F +:041F1B0000000003BF +:041F1C00000180DC64 +:041F1D00000170B897 +:041F1E000001347A10 +:041F1F00000169DC78 +:041F200000000000BD +:041F210000018258E1 +:041F220000020001B8 +:041F230000017FBC7E +:041F2400000169E867 +:041F250000000001B7 +:041F26000001825ED6 +:041F2700000F0000A7 +:041F28000001375A23 +:041F2900000169F852 +:041F2A0000000001B2 +:041F2B000001825AD5 +:041F2C00001C000095 +:041F2D00000136C2B7 +:041F2E0000016A083C +:041F2F0000000000AE +:041F30000001825BCF +:041F310000010001AA +:041F3200000180D05A +:041F330000016A142B +:041F340000000000A9 +:041F35000001825CC9 +:041F360000010001A5 +:041F3700000180A085 +:041F380000016A2416 +:041F390000000000A4 +:041F3A000001825FC1 +:041F3B0000010001A0 +:041F3C00000180C858 +:041F3D0000016A3401 +:041F3E00000000009F +:041F3F000001825DBE +:041F4000000100019B +:041F4100000180A07B +:041F420000016A48E8 +:041F4300000000009A +:041F440000018259BD +:041F45000003000194 +:041F460000017FC84F +:041F470000016A54D7 +:041F48000000000392 +:041F4900000180F41F +:041F4A000000000093 +:041F4B000000000092 +:041F4C000001681414 +:041F4D00000000048C +:041F4E000001212E3F +:041F4F000001673CEA +:041F5000000000008D +:041F510000016824FF +:041F52000000000487 +:041F530000012190D8 +:041F54000001673CE5 +:041F55000000000088 +:041F560000016834EA +:041F57000000000482 +:041F5800000129DC7F +:041F59000000000084 +:041F5A000000000083 +:041F5B0000016848D1 +:041F5C000000000180 +:041F5D000001829964 +:041F5E00000A010173 +:041F5F00000137E660 +:041F60000001685CB8 +:041F6100000000007C +:041F6200000181DA1F +:041F63000001000178 +:041F6400000180A058 +:041F6500000168709F +:041F66000000000077 +:041F6700000181856F +:041F6800000A00016A +:041F690000017724D8 +:041F6A00000168808A +:041F6B000000000072 +:041F6C00000182549A +:041F6D00000200016D +:041F6E0000017718DF +:041F6F000001689471 +:041F7000000000006D +:041F7100000181B832 +:041F72000001000169 +:041F7300000180B831 +:041F7400000168A45C +:041F75000000000068 +:041F76000001808B5B +:041F77000001000164 +:041F7800000180B82C +:041F7900000168B447 +:041F7A000000000063 +:041F7B000001818C54 +:041F7C00000100015F +:041F7D00000180B827 +:041F7E00000168C432 +:041F7F00000000005E +:041F8000000181C615 +:041F81000003000158 +:041F8200000177885B +:041F8300000168D41D +:041F84000000000455 +:041F850000013E8A8F +:041F86000000000057 +:041F87000000000056 +:041F8800000168E804 +:041F89000000000450 +:041F8A00000130948E +:041F8B000000000052 +:041F8C000000000051 +:041F8D0000016B1CC8 +:041F8E00000000004F +:041F8F000001828546 +:041F90000003000149 +:041F910000017FD8F4 +:041F920000016B2CB3 +:041F93000000000149 +:041F94000001828244 +:041F9500001F000029 +:041F960000013736D9 +:041F970000016B3C9E +:041F98000000000144 +:041F9900000182833E +:041F9A0000FF000044 +:041F9B00000136F219 +:041F9C0000016B4C89 +:041F9D00000000013F +:041F9E000001828438 +:041F9F0000C80A006C +:041FA000000136F214 +:041FA10000016B5C74 +:041FA200000000013A +:041FA3000001828730 +:041FA4000005000034 +:041FA500000136DC25 +:041FA60000016B6C5F +:041FA7000000000135 +:041FA800000182882A +:041FA900000500002F +:041FAA00000136DC20 +:041FAB0000016BDCEA +:041FAC000000000031 +:041FAD000001828627 +:041FAE000005000129 +:041FAF0000017FF4BA +:041FB00000016BE8D9 +:041FB100000000002C +:041FB2000001828127 +:041FB3000002000127 +:041FB4000001800C9C +:041FB50000016BF8C4 +:041FB6000000000126 +:041FB7000001829211 +:041FB80000FF000026 +:041FB900000136C627 +:041FBA0000016C04B2 +:041FBB000000000121 +:041FBC00000182930B +:041FBD0000FF000021 +:041FBE00000136C622 +:041FBF0000016C10A1 +:041FC000000000011C +:041FC1000001829405 +:041FC20000FF00001C +:041FC300000136C61D +:041FC40000016C1C90 +:041FC5000000000117 +:041FC60000018295FF +:041FC70000FF000017 +:041FC800000136C618 +:041FC90000016C287F +:041FCA000000000112 +:041FCB0000018296F9 +:041FCC0000FF000012 +:041FCD00000136C613 +:041FCE0000016C346E +:041FCF00000000010D +:041FD00000018297F3 +:041FD10000FF00000D +:041FD200000136C60E +:041FD30000016C405D +:041FD4000000000108 +:041FD50000018298ED +:041FD600000F0000F8 +:041FD700000136C609 +:041FD800000180FC88 +:041FD9000000000004 +:041FDA000000000003 +:041FDB000000000002 +:041FDC000000000001 +:041FDD000000000000 +:041FDE0000016EE4AC +:041FDF0000016F008E +:041FE00000016F5835 +:041FE10000016F602C +:041FE20000016F6823 +:041FE30000016EE4A7 +:041FE40000016F0089 +:041FE50000016F0880 +:041FE60000016F186F +:041FE70000016F285E +:041FE80000016EE4A2 +:041FE90000016EF095 +:041FEA0000016F384B +:041FEB0000016F483A +:041FEC0000016EB4CE +:041FED000001703847 +:041FEE00000170443A +:041FEF0000016DD4AC +:041FF00000016EB4CA +:041FF10000016EBCC1 +:041FF20000016E6814 +:041FF30000016E7407 +:041FF40000016E80FA +:041FF50000016E90E9 +:041FF60000016FF087 +:041FF7000001700075 +:041FF8000001700C68 +:041FF90000016DD4A2 +:041FFA0000016EC0B4 +:041FFB0000016ECCA7 +:041FFC0000016EDC96 +:041FFD0000016EB4BD +:041FFE0000016DD49D +:041FFF000001706C01 +:042000000001707CEF +:042001000001708CDE +:042002000001709CCD +:042003000001705414 +:042004000001706007 +:0420050000016EB4B4 +:0420060000000000D6 +:0420070000000000D5 +:0420080000000000D4 +:0420090000000000D3 +:04200A0000000000D2 +:04200B0000000000D1 +:04200C0000000000D0 +:04200D0000000000CF +:04200E0000000000CE +:04200F0000000000CD +:0420100000000000CC +:0420110000000000CB +:0420120000000000CA +:0420130000000000C9 +:0420140000000000C8 +:0420150000000000C7 +:0420160000000000C6 +:0420170000000000C5 +:0420180000000000C4 +:0420190000000000C3 +:04201A0000000000C2 +:04201B0000000000C1 +:04201C0000000000C0 +:04201D0000000000BF +:04201E0000016090CD +:04201F000001807CC0 +:042020000001807CBF +:04202100001080101B +:042022000100800039 +:042023000002200097 +:0420240000016F9CAC +:0420250000016EE068 +:0420260000016E3413 +:0420270000016E48FE +:0420280000016DD472 +:0420290000016EBC88 +:04202A0000016EE45F +:04202B0000016EF052 +:04202C0000016EE45D +:04202D0000016F003F +:04202E0000016DF44C +:04202F0000016DFC43 +:042030000001701823 +:042031000001702812 +:0420320000016E5CDF +:0420330000016E60DA +:0420340000016E98A1 +:0420350000016EA890 +:04203600000180180D +:04203700000000099C +:04203800000177B874 +:0420390000000003A0 +:04203A000001786CBD +:04203B00000000059C +:04203C00000178A87F +:04203D000000000B94 +:04203E000001790C18 +:04203F000000000994 +:04204000000179E83A +:042041000000000D8E +:0420420000017A9C83 +:042043000000000693 +:0420440000017BA07C +:042045000000000592 +:0420460000017C1801 +:04204700000000098C +:0420480000017C7C9B +:042049000000000D86 +:04204A0000017D30E4 +:04204B00000000068B +:04204C0000017E34DD +:04204D000000000986 +:04204E0000017EAC63 +:04204F00C896554B8F :00000001FF diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 7874392..5ebf09e 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -331,6 +331,7 @@ status_t get_status(tvp_sync_input_t syncinput) (tc.h_mask != cm.cc.h_mask) || (tc.v_mask != cm.cc.v_mask) || (tc.mask_br != cm.cc.mask_br) || + (tc.mask_color != cm.cc.mask_color) || (tc.ar_256col != cm.cc.ar_256col) || (tc.reverse_lpf != cm.cc.reverse_lpf) || (tc.panasonic_hack != cm.cc.panasonic_hack)) @@ -527,6 +528,7 @@ void update_sc_config() misc_config.rev_lpf_str = cm.cc.reverse_lpf; misc_config.mask_br = cm.cc.mask_br; + misc_config.mask_color = cm.cc.mask_color; misc_config.panasonic_hack = cm.cc.panasonic_hack; sl_config.sl_l_str_arr = sl_l_str_arr; diff --git a/software/sys_controller/ossc/avconfig.h b/software/sys_controller/ossc/avconfig.h index 68c781b..10f1356 100644 --- a/software/sys_controller/ossc/avconfig.h +++ b/software/sys_controller/ossc/avconfig.h @@ -92,6 +92,7 @@ typedef struct { alt_u8 h_mask; alt_u8 v_mask; alt_u8 mask_br; + alt_u8 mask_color; alt_u8 tx_mode; alt_u8 hdmi_itc; alt_u8 s480p_mode; diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index fade08a..be8897d 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -75,6 +75,7 @@ static const char *lt_desc[] = { "Top-left", "Center", "Bottom-right" }; static const char *lcd_bl_timeout_desc[] = { "Off", "3s", "10s", "30s" }; static const char *rgsb_ypbpr_desc[] = { "RGsB", "YPbPr" }; static const char *auto_input_desc[] = { "Off", "Current input", "All inputs" }; +static const char *mask_color_desc[] = { "Black", "Blue", "Green", "Cyan", "Red", "Magenta", "Yellow", "White" }; static void sync_vth_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%d mV", (v*1127)/100); } static void intclks_to_time_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%u.%.2u us", (unsigned)(((1000000U*v)/(TVP_INTCLK_HZ/1000))/1000), (unsigned)((((1000000U*v)/(TVP_INTCLK_HZ/1000))%1000)/10)); } @@ -184,6 +185,7 @@ MENU(menu_scanlines, P99_PROTECT({ \ MENU(menu_postproc, P99_PROTECT({ \ { LNG("Horizontal mask","スイヘイマスク"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.h_mask, OPT_NOWRAP, 0, H_MASK_MAX, pixels_disp } } }, { LNG("Vertical mask","スイチョクマスク"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.v_mask, OPT_NOWRAP, 0, V_MASK_MAX, pixels_disp } } }, + { "Mask color", OPT_AVCONFIG_SELECTION, { .sel = { &tc.mask_color, OPT_NOWRAP, SETTING_ITEM(mask_color_desc) } } }, { LNG("Mask brightness","マスクアカルサ"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.mask_br, OPT_NOWRAP, 0, HV_MASK_MAX_BR, value_disp } } }, { LNG("Reverse LPF","ギャクLPF"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.reverse_lpf, OPT_NOWRAP, 0, REVERSE_LPF_MAX, value_disp } } }, { LNG("","DIYチエンテスト"), OPT_FUNC_CALL, { .fun = { latency_test, <_arg_info } } },