From ac16008076e563fd676e50e7bb4b089ef0ae821a Mon Sep 17 00:00:00 2001 From: paulb-nl Date: Wed, 27 Sep 2017 22:43:26 +0200 Subject: [PATCH] Add reverse LPF feature reverse LPF can be used to mostly reverse the blur on pre 1-CHIP SNES. For best results use 256x240 optimized mode. --- ossc.qsf | 1 + ossc.sdc | 7 +- rtl/scanconverter.v | 113 +- .../mem_init/sys_onchip_memory2_0.hex | 2250 ++++++++--------- software/sys_controller/ossc/av_controller.c | 10 +- software/sys_controller/ossc/avconfig.h | 2 + software/sys_controller/ossc/menu.c | 1 + software/sys_controller_bsp/libhal_bsp.a | Bin 104226 -> 104130 bytes software/sys_controller_bsp/settings.bsp | 8 +- sys.sopcinfo | 4 +- 10 files changed, 1243 insertions(+), 1153 deletions(-) diff --git a/ossc.qsf b/ossc.qsf index 7cc3751..76e1e09 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -238,4 +238,5 @@ set_global_assignment -name QIP_FILE rtl/pll_2x.qip set_global_assignment -name QIP_FILE rtl/pll_3x.qip set_global_assignment -name CDF_FILE output_files/Chain1.cdf set_global_assignment -name SIGNALTAP_FILE output_files/ossc_la.stp +set_global_assignment -name SEED 27 set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/ossc.sdc b/ossc.sdc index 2c5564c..e287654 100644 --- a/ossc.sdc +++ b/ossc.sdc @@ -61,7 +61,7 @@ set_false_path -from [get_clocks pclk_4x] -to [get_clocks {pclk_sdtv pclk_2x pcl set_false_path -from [get_clocks pclk_5x] -to [get_clocks {pclk_sdtv pclk_2x pclk_3x pclk_4x}] # Ignore paths which would result from pclk_act switchover during postprocess chain -set pclk_act_regs [get_cells {scanconverter:scanconverter_inst|R_out* \ +set pclk_act_regs [get_registers {scanconverter:scanconverter_inst|R_out* \ scanconverter:scanconverter_inst|G_out* \ scanconverter:scanconverter_inst|B_out* \ scanconverter:scanconverter_inst|HSYNC_out* \ @@ -69,7 +69,10 @@ set pclk_act_regs [get_cells {scanconverter:scanconverter_inst|R_out* \ scanconverter:scanconverter_inst|DE_out* \ scanconverter:scanconverter_inst|*_pp1* \ scanconverter:scanconverter_inst|*_pp2* \ - scanconverter:scanconverter_inst|*_pp3*}] + scanconverter:scanconverter_inst|*_pp3* \ + scanconverter:scanconverter_inst|*_pp4* \ + scanconverter:scanconverter_inst|*_pp5* \ + scanconverter:scanconverter_inst|*_pp6*}] set_false_path -from [get_clocks {pclk_sdtv}] -to $pclk_act_regs set_false_path -from [get_clocks {pclk_sdtv}] -to [get_ports HDMI_TX_*] diff --git a/rtl/scanconverter.v b/rtl/scanconverter.v index 4ae1388..2aecda1 100644 --- a/rtl/scanconverter.v +++ b/rtl/scanconverter.v @@ -92,14 +92,17 @@ wire linebuf_rdclock; //RGB signals®isters: 8 bits per component -> 16.7M colors wire [7:0] R_act, G_act, B_act; wire [7:0] R_lbuf, G_lbuf, B_lbuf; -reg [7:0] R_in_L, G_in_L, B_in_L, R_in_LL, G_in_LL, B_in_LL, R_1x, G_1x, B_1x, R_pp3, G_pp3, B_pp3; +reg [7:0] R_in_L, G_in_L, B_in_L, R_in_LL, G_in_LL, B_in_LL, R_1x, G_1x, B_1x; +reg [7:0] R_pp3, G_pp3, B_pp3, R_pp4, G_pp4, B_pp4, R_pp5, G_pp5, B_pp5, R_pp6, G_pp6, B_pp6; +reg [7:0] R_prev_pp2, G_prev_pp2, B_prev_pp2, R_prev_pp3, G_prev_pp3, B_prev_pp3, R_prev_pp4, G_prev_pp4, B_prev_pp4; +reg signed [14:0] R_diff_pp3, G_diff_pp3, B_diff_pp3, R_diff_pp4, G_diff_pp4, B_diff_pp4; //H+V syncs + data enable signals®isters wire HSYNC_act, VSYNC_act, DE_act; reg HSYNC_in_L, VSYNC_in_L; -reg HSYNC_1x, HSYNC_2x, HSYNC_3x, HSYNC_4x, HSYNC_5x, HSYNC_pp1, HSYNC_pp2, HSYNC_pp3; -reg VSYNC_1x, VSYNC_2x, VSYNC_3x, VSYNC_4x, VSYNC_5x, VSYNC_pp1, VSYNC_pp2, VSYNC_pp3; -reg DE_1x, DE_2x, DE_3x, DE_4x, DE_5x, DE_pp1, DE_pp2, DE_pp3, DE_3x_prev4x; +reg HSYNC_1x, HSYNC_2x, HSYNC_3x, HSYNC_4x, HSYNC_5x, HSYNC_pp1, HSYNC_pp2, HSYNC_pp3, HSYNC_pp4, HSYNC_pp5, HSYNC_pp6; +reg VSYNC_1x, VSYNC_2x, VSYNC_3x, VSYNC_4x, VSYNC_5x, VSYNC_pp1, VSYNC_pp2, VSYNC_pp3, VSYNC_pp4, VSYNC_pp5, VSYNC_pp6; +reg DE_1x, DE_2x, DE_3x, DE_4x, DE_5x, DE_pp1, DE_pp2, DE_pp3, DE_pp4, DE_pp5, DE_pp6, DE_3x_prev4x; //registers indicating line/frame change and field type reg FID_cur, FID_prev, FID_1x; @@ -112,16 +115,18 @@ reg [11:0] hcnt_1x, hcnt_2x, hcnt_3x, hcnt_4x, hcnt_5x, hcnt_4x_aspfix, hcnt_2x_ reg [2:0] hcnt_2x_opt_ctr, hcnt_3x_opt_ctr, hcnt_4x_opt_ctr, hcnt_5x_opt_ctr; wire [10:0] vcnt_act; reg [10:0] vcnt_tvp, vcnt_1x, vcnt_2x, vcnt_3x, vcnt_4x, vcnt_5x; //max. 2047 +reg [11:0] linebuf_hoffset_pp1; +reg hoffset_changed_pp1; //other counters wire [2:0] line_id_act, col_id_act; -reg [2:0] line_id_pp1, line_id_pp2, col_id_pp1, col_id_pp2; +reg [2:0] line_id_pp1, line_id_pp2, line_id_pp3, line_id_pp4, line_id_pp5, col_id_pp1, col_id_pp2, col_id_pp3, col_id_pp4, col_id_pp5; reg [11:0] hmax[0:1]; reg line_idx; reg [1:0] line_out_idx_2x, line_out_idx_3x, line_out_idx_4x; reg [2:0] line_out_idx_5x; reg [23:0] warn_h_unstable, warn_pll_lock_lost, warn_pll_lock_lost_3x; -reg mask_enable_pp1, mask_enable_pp2, mask_enable_pp3; +reg mask_enable_pp1, mask_enable_pp2, mask_enable_pp3, mask_enable_pp4, mask_enable_pp5, mask_enable_pp6; //helper registers for sampling at synchronized clock edges reg pclk_1x_prev3x; @@ -152,6 +157,8 @@ reg [2:0] H_OPT_SAMPLE_SEL; reg [9:0] H_L5BORDER; reg [3:0] X_MASK_BR; reg [7:0] X_SCANLINESTR; +reg [5:0] X_REV_LPF_STR; +reg X_REV_LPF_ENABLE; //clk27 related registers reg VSYNC_in_cc_L, VSYNC_in_cc_LL, VSYNC_in_cc_LLL; @@ -197,6 +204,24 @@ function [7:0] apply_mask; end endfunction +function [7:0] apply_reverse_lpf; + input enable; + input [7:0] data; + input [7:0] data_prev; + input signed [14:0] diff; + reg signed [12:0] data_prev_x; + reg signed [10:0] result; + + begin + data_prev_x = (data_prev << 4); + result = (data_prev_x - diff) >>> 4; + if (enable) + apply_reverse_lpf = (result < 0) ? 8'h00 : (result > 255) ? 8'hFF : result; + else + apply_reverse_lpf = data; + end + endfunction + //Mux for active data selection // @@ -368,6 +393,8 @@ begin line_id_pp1 <= line_id_act; col_id_pp1 <= col_id_act; mask_enable_pp1 <= ((hcnt_act < H_AVIDSTART+H_MASK) | (hcnt_act >= H_AVIDSTART+H_ACTIVE-H_MASK) | (vcnt_act < V_AVIDSTART+V_MASK) | (vcnt_act >= V_AVIDSTART+V_ACTIVE-V_MASK)); + linebuf_hoffset_pp1 <= linebuf_hoffset; + hoffset_changed_pp1 <= (linebuf_hoffset_pp1 != linebuf_hoffset); HSYNC_pp2 <= HSYNC_act; VSYNC_pp2 <= VSYNC_act; @@ -375,21 +402,72 @@ begin line_id_pp2 <= line_id_pp1; col_id_pp2 <= col_id_pp1; mask_enable_pp2 <= mask_enable_pp1; + // Optimized modes repeat pixels. Save previous pixel only when linebuffer offset changes. + if (hoffset_changed_pp1) begin + R_prev_pp2 <= R_act; + G_prev_pp2 <= G_act; + B_prev_pp2 <= B_act; + end + - R_pp3 <= apply_scanlines(V_SCANLINEMODE, R_act, X_SCANLINESTR, V_SCANLINEID, line_id_pp2, col_id_pp2, FID_1x); - G_pp3 <= apply_scanlines(V_SCANLINEMODE, G_act, X_SCANLINESTR, V_SCANLINEID, line_id_pp2, col_id_pp2, FID_1x); - B_pp3 <= apply_scanlines(V_SCANLINEMODE, B_act, X_SCANLINESTR, V_SCANLINEID, line_id_pp2, col_id_pp2, FID_1x); + R_pp3 <= R_act; + G_pp3 <= G_act; + B_pp3 <= B_act; HSYNC_pp3 <= HSYNC_pp2; VSYNC_pp3 <= VSYNC_pp2; DE_pp3 <= DE_pp2; + line_id_pp3 <= line_id_pp2; + col_id_pp3 <= col_id_pp2; mask_enable_pp3 <= mask_enable_pp2; - - R_out <= apply_mask(mask_enable_pp3, R_pp3, X_MASK_BR); - G_out <= apply_mask(mask_enable_pp3, G_pp3, X_MASK_BR); - B_out <= apply_mask(mask_enable_pp3, B_pp3, X_MASK_BR); - HSYNC_out <= HSYNC_pp3; - VSYNC_out <= VSYNC_pp3; - DE_out <= DE_pp3; + R_prev_pp3 <= R_prev_pp2; + G_prev_pp3 <= G_prev_pp2; + B_prev_pp3 <= B_prev_pp2; + // Reverse LPF step1 + R_diff_pp3 <= (R_prev_pp2 - R_act); + G_diff_pp3 <= (G_prev_pp2 - G_act); + B_diff_pp3 <= (B_prev_pp2 - B_act); + + R_pp4 <= R_pp3; + G_pp4 <= G_pp3; + B_pp4 <= B_pp3; + HSYNC_pp4 <= HSYNC_pp3; + VSYNC_pp4 <= VSYNC_pp3; + DE_pp4 <= DE_pp3; + line_id_pp4 <= line_id_pp3; + col_id_pp4 <= col_id_pp3; + mask_enable_pp4 <= mask_enable_pp3; + R_prev_pp4 <= R_prev_pp3; + G_prev_pp4 <= G_prev_pp3; + B_prev_pp4 <= B_prev_pp3; + // Reverse LPF step2 + R_diff_pp4 <= (R_diff_pp3 * X_REV_LPF_STR); + G_diff_pp4 <= (G_diff_pp3 * X_REV_LPF_STR); + B_diff_pp4 <= (B_diff_pp3 * X_REV_LPF_STR); + + R_pp5 <= apply_reverse_lpf(X_REV_LPF_ENABLE, R_pp4, R_prev_pp4, R_diff_pp4); + G_pp5 <= apply_reverse_lpf(X_REV_LPF_ENABLE, G_pp4, G_prev_pp4, G_diff_pp4); + B_pp5 <= apply_reverse_lpf(X_REV_LPF_ENABLE, B_pp4, B_prev_pp4, B_diff_pp4); + HSYNC_pp5 <= HSYNC_pp4; + VSYNC_pp5 <= VSYNC_pp4; + DE_pp5 <= DE_pp4; + line_id_pp5 <= line_id_pp4; + col_id_pp5 <= col_id_pp4; + mask_enable_pp5 <= mask_enable_pp4; + + R_pp6 <= apply_scanlines(V_SCANLINEMODE, R_pp5, X_SCANLINESTR, V_SCANLINEID, line_id_pp5, col_id_pp5, FID_1x); + G_pp6 <= apply_scanlines(V_SCANLINEMODE, G_pp5, X_SCANLINESTR, V_SCANLINEID, line_id_pp5, col_id_pp5, FID_1x); + B_pp6 <= apply_scanlines(V_SCANLINEMODE, B_pp5, X_SCANLINESTR, V_SCANLINEID, line_id_pp5, col_id_pp5, FID_1x); + HSYNC_pp6 <= HSYNC_pp5; + VSYNC_pp6 <= VSYNC_pp5; + DE_pp6 <= DE_pp5; + mask_enable_pp6 <= mask_enable_pp5; + + R_out <= apply_mask(mask_enable_pp6, R_pp6, X_MASK_BR); + G_out <= apply_mask(mask_enable_pp6, G_pp6, X_MASK_BR); + B_out <= apply_mask(mask_enable_pp6, B_pp6, X_MASK_BR); + HSYNC_out <= HSYNC_pp6; + VSYNC_out <= VSYNC_pp6; + DE_out <= DE_pp6; end //Generate a warning signal from horizontal instability or PLL sync loss @@ -559,6 +637,9 @@ begin H_OPT_SAMPLE_MULT <= h_info2[12:10]; H_OPT_STARTOFF <= h_info2[9:0]; + X_REV_LPF_ENABLE <= (extra_info[12:8] != 5'b00000); + X_REV_LPF_STR <= (extra_info[12:8] + 6'd16); + X_MASK_BR <= extra_info[7:4]; X_SCANLINESTR <= ((extra_info[3:0]+8'h01)<<4)-1'b1; end diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 6c095c4..5daec1b 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -1,1027 +1,1027 @@ :020000020000FC :2000000000402074084008140800683A0000000000000000000000000000000000000000FE -:2000080006C02074DEE80014068020B4D681DF140080207410A4161400C0207418E5231486 -:2000100010C00326100000151080010410FFFD3608167A4008168840003FFF06DEFFFB04FD +:2000080006C02074DEE80014068020B4D68048140080207410A2761400C0207418E3831462 +:2000100010C00326100000151080010410FFFD360816198008162780003FFF06DEFFFB043F :2000180000BFE084D880000500800084D880004500800344D880008500800484DFC0041543 :20002000D88000C531803FCCD8C005173000022600BFE004000001060080100439C03FCC99 :20002800380E91FAD900018518C000CC39C0021410800214D80B883A01000044D8800105E7 :20003000D9C00145D8C001C5D8000205D8000245D8000285D80002C5D8000305D800034577 -:20003800D8000385D80003C5081073C0DFC00417DEC00504F800283ADEFFFB040080010441 -:20004000D880000500800044D880004500800284D8800085D88000C3DFC0041521003FCC00 -:200048001080020C1080005410800414D88000C5D8800103108000D4D88001052000021EF3 -:200050000080014400000106008000C4D9000103108001CC1085883A00FFF8C420C6703AA4 -:200058001085883A1884B03AD8800105D88001C3D80B883A01000044108001CCD80001858C -:20006000D88001C508108680DFC00417DEC00504F800283ADEFFFC04DC000015040020B4E3 -:2000680084041804DC80021504801304DC400115900B883A2023883A000D883A8009883A18 -:20007000DFC003150816FF0089403FCC8009883A000D883A0817054004400044900B883A65 -:200078008009883A880D883A0816FF00880B883A8009883ADFC00317DC800217DC400117A7 -:20008000DC000017DEC0040408170281DEFFFC04DC000015040020B484041804DC80021568 -:20008800DC4001152025883A2823883A8009883A000D883A01401304DFC003150816FF00CC -:2000900091403FCC8009883A000D883A081705400180004489403FCC8009883ADFC0031759 -:20009800DC800217DC400117DC000017DEC0040408170541DEFFFF0421003FCCDFC00015E1 -:2000A00008101940DFC00017DEC00104F800283ADEFFFF0429403FCC21003FCCDFC00015E8 -:2000A800081020C00005883ADFC00017DEC00104F800283ADEFFFB04DCC00315DC800215C3 -:2000B000DC400115DC000015DFC004152825883A3027883A2023883A2821883A8485C83A12 -:2000B80014C0060E8140000389003FCC84000044081020C08C400044003FF8060005883A14 -:2000C000DFC00417DCC00317DC800217DC400117DC000017DEC00504F800283ADEFFFF0433 -:2000C8000140FA04DFC000150815ED801009883ADFC00017DEC0010408168B01DEFFFF04DD -:2000D000000B883A010003C4DFC0001508102900000B883A01001844DFC00017DEC0010403 -:2000D80008102901DEFFFD04DC000015040020B484242B048140008301000144DFC0021508 -:2000E000DC40011508102900808000838100030300FFFEC41004D1FA20C6703A044001040A -:2000E8001085883A1085883A1884B03A01400F448809883A8080030508102900010000444F -:2000F000081031C08809883A014007440810290001400C04010018440810290001402C84E7 -:2000F800010002440810290001403E04010002840810290001400DC4010002C408102900FB -:20010000000B883A010003C408102900000B883A0100324408102900000B883A0100328400 -:2001080008102900000B883A010032C408102900000B883A0100330408102900000B883A7E -:200110000100334408102900000B883A0100338408102900000B883A010033C4081029004A -:20011800000B883A01003404081029008140010301003844DFC00217DC400117DC0000175F -:20012000DEC0030408102901DEFFF904DCC003152827883A0140FA04DD400515DD000415CD -:20012800DC400115DC000015DFC00615DC800215202B883A3023883A9CC03FCC0815E1C020 -:20013000050000441021883A9D00021E0486000400000106048C000401400044010003C440 -:2001380008102900000B883A01000CC408102900900AD23A01000D04048020B40810290036 -:20014000000B883A01000D440810290081403FCC01000C0408102900800AD23A01000C443A -:2001480094A42B0429403FCC08102900800AD43A01000C8408102900000B883A010003C47C -:2001500008102900000B883A0100314408102900954002159D000226040002840000010688 -:200158000400008400800044940001C5908001058C403FCC050000448D0002260005883A2F -:2001600000000106008003C401003804908001450140300408102900047FFF040100164407 -:200168009D00051E081027408884703A1140005429403FCC00000306081027408884703A2B -:2001700011403FCC0100164408102900010001040810274011403ACC010001040810290054 -:2001780091400103010038440810290001403904010038840810290091400143010038C446 -:20018000294003CC0810290001403A04010039040810290001400044010003C4081029005A -:20018800000B883A0100244408102900000B883A010024840810290001400044010024C4BB -:2001900008102900000B883A0100250408102900017FF004814AB03A29403FCC010026040E -:2001980008102900040A303A29403FCC280A913A01002644294002D429403FCC08102900BE -:2001A000000B883A010003C40810290001403044010038040810290000BFF04490800185AD -:2001A80000800044DFC00617DD400517DD000417DCC00317DC800217DC400117DC00001734 -:2001B000DEC00704F800283ADEFFFE04DC000015040001048009883ADFC0011508102740D4 -:2001B800108002148009883A11403FCC0810290001400C0401001844DFC00117DC00001741 -:2001C000DEC0020408102901DEFFFE04DC000015040001048009883ADFC0011508102740E1 -:2001C8001404B03A11403FCC8009883ADFC00117DC000017DEC0020408102901DEFFFE04FF -:2001D000DFC00115DC00001521003FCC2000021E000B883A00003B062821883A28003D2659 -:2001D80001400044010003C408102900814000C30100160408102900814001030100164479 -:2001E0000810290081400143010016840810290081400183010016C408102900814001C3F7 -:2001E800010017040810290081400203010017840810290081400243010017C408102900D4 -:2001F000814002830100180408102900814002C301001844081029008140030301001884C3 -:2001F8000810290081400343010018C408102900814003830100190408102900814003C354 -:200200000100194408102900808000C4000B883A8400040410C000031080004428CBC83A86 -:20020800143FFC1E29401BC429403FCC0100174408102900000B883A010003C4081029003A -:20021000014000C4010033440810290000800044000001060005883ADFC00117DC000017D4 -:20021800DEC00204F800283ADEFFFE04DFC00115DC00001521003FCC2000021E000B883A0A -:20022000000020062821883A2800222601400044010003C408102900814000C301001A04EC -:20022800081029008140010301001A44081029008140018301001AC408102900814001C326 -:2002300001001B0408102900808000C4000B883A8400020410C000031080004428CBC83A96 -:20023800143FFC1E29401C4429403FCC01001B4408102900000B883A010003C40810290085 -:20024000014000C4010033840810290000800044000001060005883ADFC00117DC00001764 -:20024800DEC00204F800283ADEFFFD04DC000015000B883A2021883A010003C4DFC002157B -:20025000DC40011508102900044030448809883A0810274084003FCC800AC03A10803F8C23 -:200258008809883A114AB03A08102900014000C401003184DFC00217DC400117DC00001713 -:20026000DEC0030408102901DEFFF904DCC00315DC800215DC4001152025883A2823883A50 -:20026800010001040140074404C020B49CE42B04DD000415DC000015DFC006153821883AE1 -:20027000DD4005153029883A0810290098C0030381C0004C00BFFF441884703A39CF883ADE -:2002780011CEB03A99C00305988003171080008C10000226010000440810928001001C0426 -:200280009C0000C308102740108000CC8D4000CC00C00044100B883AA8C0052600C00084D3 -:20028800A8C0041E017FE004114AB03A00000106114010148080020C1000012629400814DD -:200290008080004C10000126294004148080008C10000126294002148400010C80000126D0 -:200298002940011429403FCC01001C0408102900A800131EA50000CC00800044A080082696 -:2002A00000800084A0800E1E88803FCC1080201C10BFE004100050160029883A00004F06A6 -:2002A80088803FCC1080201C10BFE0048D00100C10004A0E00BFE8041528B03A0000470674 -:2002B0000029883A0021883A01001C84081027401080070CA420B03A140AB03A29403FCC73 -:2002B80001001C8408102900988003171080008C100002260140004400000106000B883A65 -:2002C0000100300408102900014005440100010408102900014004040100184408102900F0 -:2002C80094803FCC008000849080081E01402204010018840810290001400404010018C452 -:2002D00008102900014021040000070601400604010018840810290001400404010018C40B -:2002D8000810290001400304010019040810290001000044081031C001000104081027404B -:2002E0001140074C010001040810290001001904081031C001000104081027401140054CC5 -:2002E80001000104081029000400190401000384081027401080040C1000321E0100004442 -:2002F000081031C080BFFFC41021883A10BFFFCC103FF61E00002B06053FE8048C400C0CAE -:2002F800008008048880081E018000C4D16000C401001CC408102B40014020B40180048470 -:20030000296003840000090600800C0488800B1E018000C4D16000C401001CC408102B405F -:200308000140207401800484295FFA8401001D8408102B4004000084003FA30600800404D4 -:200310008880081E018000C4D160000401001CC408102B400140207401800484295FF60461 -:20031800003FF206018000C4D160000401001CC408102B400140207401800484295FFF0447 -:20032000003FEA06000B883A01000304081029000140100401000344081029000140004415 -:200328000100038408102900000B883A0100038408102900081033C000800044DFC00617CB -:20033000DD400517DD000417DCC00317DC800217DC400117DC000017DEC00704F800283A27 -:20033800DEFFFD04DC400115DC0000152823883A2021883A000B883A010003C4DFC0021549 -:200340000810290001001644081027408C403FCC10800BCC8800051E81403FCC280A91BA50 -:2003480029400414114AB03A00000106100B883A29403FCC01001644DFC00217DC40011730 -:20035000DC000017DEC0030408102901008020B410A1A80410C03217D0A2381518000426E8 -:2003580010803517108040180085C83AF800283A00BFFFC4F800283ADEFFFD04DC400115F4 -:20036000280F883A2823883A200B883AD1223817DC000015DFC002153021883A0816D4C0DC -:2003680010000B1E800D883A8463883A34400626308000031005C2721005D63A318000448E -:2003700030BFFFC5003FF9060005883A0000010600BFFFC4DFC00217DC400117DC0000174D -:20037800DEC00304F800283ADEFFFA04DC800315DC400215DC000115DFC00515DCC0041584 -:2003800030803FCC2023883A2825883A3021883A100003268805883A8C89883A00001706FF -:20038800300A923AD12238170816A4001027883A103FF82601402074010020B40180044472 -:20039000295C8204212432040816070001802074010020B4980F883A319C85040140044470 -:20039800212432040815F580008020B410A43A841000000500FFCE0400001D06110006262C -:2003A00010C000031807C2721807D63A1080004410FFFFC5003FF906800AD23A800C923A15 -:2003A800D1223817280A943ADC800015880F883A0816AD400007883A10000D26014020743D -:2003B000010020B401800444295C8804212432040816070001402074010020B4018004446B -:2003B800295C8B0421243A840816070000FFCDC41805883ADFC00517DCC00417DC80031792 -:2003C000DC400217DC000117DEC00604F800283ADEFFF904DD400515DD000415DCC0031537 -:2003C800DC800215DC000015DFC00615DC4001152825883A3029883A3827883A0021883A97 -:2003D0000007883A0540400484800F2E9423C83AAC40012E04404004980D883A880B883A62 -:2003D8008009883A0810D7801000141E800D003A880B883A9809883A0816F0801007883A23 -:2003E00084004004003FF0060005883A1D000C2601802074010020B4319C8D040140044419 -:2003E800212432040815F580008020B410A43A841000000500BFCD440000010600BFCD8426 -:2003F000DFC00617DD400517DD000417DCC00317DC800217DC400117DC000017DEC0070405 -:2003F800F800283ADEFFFD04DC0001152021883A010020B421242F04DFC0021508158CC04C -:2004000010803FCC10000B2601802074010020B4319C920401400444212432040815F5801D -:20040800008020B410A43A8410000005008000440000090600808004010020B4D8800015E0 -:20041000000F883A000D883A800B883A21242F040815AE8010803FCCDFC00217DC000117D5 -:20041800DEC00304F800283A014020B4010020B429643A842124320408143A41014020B469 -:20042000010020B4296450042124364408143A41DEFFFB04DFC00415DC000015DCC0031577 -:200428002021883ADC800215DC40011508107080000B883A0009883A84003FCC08108680BF -:2004300080002B1E040020B484243F048140011701006734213F30040815E1C0810002874F -:20043800014006841023883A0815ED8000C020B418E4700410C5883A1140000B8809883A10 -:20044000044020B40815ED80814004031009883A8C6454440815ED80814003031009883AA3 -:20044800294000440815ED80810003838CC008C38C800883100B883A210000440815ED80DC -:20045000980D883A900B883A1009883A0810488089000883DFC00417DCC00317DC80021714 -:20045800DC400117DC000017DEC0050408100F81DFC00417DCC00317DC800217DC400117F5 -:20046000DC000017DEC00504F800283ADEFFFB04DC0001152021883A01000044DFC00415BA -:20046800DC800315DC4002150810928008106C80000B883A0009883A081073C084003FCC2D -:20047000800F003A000D883A000B883A01000044081098808000121E044020B48C643F0497 -:2004780088C003C3008020B410A4544411C005031025883A18000226888003830000010611 -:200480000005883AD8800015000D883A000B883A0009883A081005C09080050388800AC5F5 -:200488008009883A081109000009883ADFC00417DC800317DC400217DC000117DEC005041C -:2004900008109281DEFFFD04DFC00215DC400115DC00001521003FCC2000271ED0A23A43EA -:2004980000C0020410C01D2600C0040410C0062600C0010410C01C1E0009883A0814CB80A6 -:2004A0000100004400002E06040020B484243F048140011701006734213F30040815E1C039 -:2004A80081000287014006841023883A0815ED8000C020B418E4700410C5883A1140000BE9 -:2004B0008809883A0815ED8000C072B418F0DFC418800236010003C4000001060009883A54 -:2004B8000814CB80000015060009883A0814CB800009883A00001206008020B410A45444ED -:2004C00010C00743044000841021883A1C4002260009883A00000106010003C40814CB80C2 -:2004C8008100074320803FCC8880042E008001441109C83A21003FCC00000106010000C48B -:2004D000DFC00217DC400117DC000017DEC0030408146941DEFFF50400C020B4DFC00A159A -:2004D800DF000915DDC00815DD800715DD400615DD000515DCC00415DC800315DC40021549 -:2004E000DC0001150089C40418C4300419800037054020B4AD4430043000020E10BFFFC4C9 -:2004E800103FFA1E29403FCC21003FCC040020B40815188084243F04102D883A808002C3B1 -:2004F00010000426AC4000378823D43A8C4000CC000001060023883A01000DC4081477C02D -:2004F80001000E041027883A081477C01025883A108003CC1004923A01000E4414E6B03A18 -:200500009004D1BA1080004C80800245081477C001000E841029883A081477C0A8C00037C6 -:20050800010000848C403FCC89000E26010020B421243F04210002C32000021E010063C40F -:2005100024C0082E010000448900041E1827D4BA9CC1FFCC9927883A000005069480080C17 -:200518009000032698C03228054000440000020698C06428002B883A814002C3048020B418 -:20052000B1003FCC94A454442800131E2000212618002026D0E239030140008419003FCC3A -:200528002100201C213FE0042900070E00C020B40100004418E43F04D0223905190002C5AC -:20053000044001040000160618C00044D0E239050023883A000012062000012618000D1EB3 -:20053800D1223903017FFF0421803FCC3180201C31BFE0043140040ED0223905800002C58A -:200540000440010400000506213FFFC4D122390500000106D02239050023883A1800862613 -:20054800108003CC1004923A80C000171528B03A19000044008020B410A43F0424C007360D -:200550009900004420C0053610C00117A0C0031E10C00203A8803FCC18800226D0223DC56E -:2005580000000C06D0E23DC30080004419003FCC208008261887883AD0E23DC518C03FCC11 -:200560001880041E88803FCC00C0008410C0012E1823883A9140028380800843010020B4F8 -:2005680000C020B42124544418E43F042880251E214002C3188008832880221E2140030340 -:20057000188008C328801F1E214003431880090328801C1E21400383188009432880191E4C -:2005780021400143188007032880161E21400183188007432880131E214001C31880078359 -:200580002880101E21400203188007C328800D1E210002431880080320800A1E90C005C301 -:2005880080800B831880071E008020B410A4544410C00843008020B410A43F0410800E0361 -:200590001880042688803FCC00C0008410C0012E1823883A90C0054380800B0318801126C1 -:20059800008020B410A43F0411000287014006840815ED8000C020B418E4724410C5883A2C -:2005A0001080000300C0004410BFFF0410803FCC1880043688803FCC00C0008410C0012E0F -:2005A8001823883AD0A23EC31000202685C0028701400684058020B4B809883A0815ED806E -:2005B000B5A46C8487000403B085883A1140038BE009883A0815ED80173FFFCC80800B839A -:2005B800A0FFFFCC10000A2601400684B809883AD8C000150815ED80B085883A1180060B5B -:2005C000D8C00017300CD07A3180004C00000106000D883A180B883AE009883A08149EC009 -:2005C80088803FCC1000011E0440004484C00015850001158540020591400003810005C36C -:2005D00000C020B4008020B418E4544410A43F042900151E19400043110006032900121E2D -:2005D800194000831100064329000F1E194000C31100068329000C1E19400403110009C331 -:2005E0002900091E1940044311000A032900061E1940048311000A432900031E18C003C37A -:2005E800108009831880032688803FCC1000011E044000449100058380800B4304C020B44D -:2005F0009CE43F0420800626994004030814D5409880044588803FCC1000011E0440004420 -:2005F8009100064380800C03208001260814E6409100068380800C4320800126081497000E -:20060000910006C380800C8320800126081497C09100078380800D432080071E008020B433 -:2006080010A4544410C007C3008020B410A43F0410800D8318800226914007C3081493C0B7 -:200610009100060380800BC320800626014006040815ED8001002074211F29042089883A54 -:200618000814BA009100074380800D0320800126081124409100070380800CC3208001268C -:200620000814D000014020B4010020B40180018429644E0421245D840815F0001000031E9B -:20062800010020B421244E040814988090C0088380800E431880071E008020B410A4544489 -:2006300010C008C3008020B410A43F0410800E8318800226910004C308110900014020B454 -:20063800010020B401800AC429645444212444C40815F3008805883AD0223EC5DFC00A17F8 -:20064000DF000917DDC00817DD800717DD400617DD000517DCC00417DC800317DC400217CF -:20064800DC000117DEC00B04F800283ADEFFEF04DC400815044020B48C643F04DF000F1540 -:200650008F00028701400684DD400C15E009883ADD000B15DCC00A15DFC01015DDC00E1582 -:20065800DD800D15DC800915DC0007150815ED80054020B488C005C3AD646C84A885883AEE -:2006600001000084120005031500030B14C004C31900031E8C8006039480004400000C0664 -:20066800010000441900091E1080060B8C8003031104703A1000032690000426048000C440 -:20067000000003069024C03A000001060025883A88C0050B008020B40100800410A43F049D -:200678001900242620C00D360100040419001A2620C00436010002041900341E14000403D3 -:200680000000350601000804190015260080400418802E1E040000C400002F06010800040C -:200688001900182620C007360102000419000A26010400041900251E1080098304000144C4 -:2006900000000E060110000419001326012000141900162600001D060400010400001E06F5 -:2006980014000983008000C41421C83A8421883A00001906108009830400010480A1C83A59 -:2006A00000001506108008031000101EA53FFA049CC0030400000D061080080310000D1E18 -:2006A800A53FFA049CC0030400000A0610C00983108008030400018480E1C83A1000061EC6 -:2006B000A53FFA049CC0030400000306040000440000010604000144D0E23A43008002048F -:2006B8001880011E4211883A88C0040381803FCCE009883A1DC03FCCB985C83A014006845D -:2006C000D9800615D8C00315DA000215D88000150815ED80A885883A1280028BD900001710 -:2006C800500B883ADA8004150815ED80102CD7FA890009C381403FCCB085883A102DD07A4C -:2006D0000815ED80DA000217B08F883AE009883A01400684443FFFCCD9C005150815ED808B -:2006D800A885883A12C00483D940001782C9883ADAC001150815ED80B085883AB80B883AC7 -:2006E000113FFFCC0815D240D8C00317100B883A19003FCC0815ED8088C00803DAC001176E -:2006E800B809883A1A4000584812977A580B883AD8800215DA4001150815ED80DA8004178F -:2006F0008F000343B809883A500B883A10807FCCE03897BA102C92FA0815ED801081FFCC83 -:2006F800800B883AB809883A1738B03A0815ED8088C00483DA400117B72CB03A10C5C83AAA -:2007000010803FCC1004953AB086B03A008020B41A46B03A10842C0410C000358880044385 -:20070800D9800617D9C005171006937A88800403300C943A380E94FA100492BADA00021743 -:200710001884B03A118CB03A31CCB03A423FFFCC008020B4320CB03A108428041180003587 -:2007180088C0030388800683890002871806977A100496BA014006841886B03A88800A03D5 -:200720001004953A18A0B03A0815ED80A885883A108005431004947A8086B03A900496FAAD -:2007280018A4B03AA0BFFFCC90A4B03A98BFFFCC100492FA90A4B03A008020B410842404D3 -:200730001480003588800A431006913A888006431884B03A00C020B418C420041880003572 -:20073800DFC01017DF000F17DDC00E17DD800D17DD400C17DD000B17DCC00A17DC8009171B -:20074000DC400817DC000717DEC01104F800283ADEFFF304DC000415040020B484243F04CB -:20074800DC4005158440011700800044DFC00C15DDC00B15DD800A15DD400915DD0008158D -:20075000DCC00715DC800615D0A23DC58800162684C000179800142601006734880B883A04 -:20075800213F30040815E1C01025883A8080020301283BF4980B883A212EC0041000042629 -:200760000815E1C0880B883A1009883A000003060815E1C0880B883A1089883A0815E1C056 -:200768001023883A000002060445DC04048F550401000EC4081477C001000F041027883A2C -:20077000081477C08080058300C0207418DCDE041085883A1085883A1885883A11C000176F -:200778008080020380C000171000021E00801A440000010600801C0401802074010020B466 -:20078000D8C00015319C96040140044421243644D88001150815F580014019048809883A4C -:200788000815E1C00140FA049009883A1029883A0815E1C0014019048809883A102B883A92 -:200790000815E8000140FA049009883AD8800215DD0001150815E800014002841009883AA1 -:200798000815E1C001802074010020B4D8800015A80F883A319C9804014004442124500428 -:2007A0000815F580D0A244831000011E081107C081000017D1E23E8381400203A00D883A1E -:2007A800044020B408151F8011003FCC2100201C213FE00400FFFFC48C643F0420C0011EAC -:2007B00000800104888002858480028301400684054020B4D4A23A0594803FCC9480201C84 -:2007B80094BFE0049009883A0815ED80AD646C84A885883AD0E23E831440058385800403BA -:2007C0008500011788E2703AD4623A4515C0038BB1403FCC9CC03FCCB93FFFCC0815ED80A0 -:2007C8009809883A100B883A0815ED80A00B883A1009883A0815E1C0B1003FCCB80B883A00 -:2007D0001027883A0815ED8015BFFFCC80800B838C403FCCA53FFFCC1000082601400684CA -:2007D8009009883A0815ED80A885883A11C0060B380ED07A39C0004C00000106000F883A9E -:2007E00080800C839CC03FCCA00D883AD880031580800D83B00B883A8809883AD880021555 -:2007E80080800D43DCC00015D88001150814F2C081000D030811244081000B43814004030F -:2007F0000814D54080800445081192C080800A83810003831000041E008020B410A43F04F3 -:2007F800114003C300000106000B883A0810CE0080C00DC3008020B410A43F0481000A83A7 -:2008000018000B26DFC00C17DDC00B17DD800A17DD400917DD000817DCC00717DC80061724 -:20080800DC400517DC000417DEC00D04081118C120000B1E10C003C311C00AC31800022643 -:2008100010800383000001060005883AD8800015000D883A000B883A0009883A081005C033 -:20081800DFC00C17DDC00B17DD800A17DD400917DD000817DCC00717DC800617DC4005171D -:20082000DC000417DEC00D04F800283A20803FCC00C003C410C00E2600C0040410C01126B3 -:2008280000C002C4D1223D4310C0271EDEFFFE04DC000015DFC001150813F2801021883A9D -:2008300010000F2601C0207439DCA00400000E06D0A23D4310C03FCC1800072610BFFFC49D -:2008380000000506D0A23D430100020410C03FCC20C0013610800044D0A23D45F800283A88 -:2008400001C0207439DC9E0401802074010020B4319CA3040140044421243A840815F58010 -:20084800081106808000021E010003C40813D6800100023421284804DFC00117DC000017A2 -:20085000DEC0020408168B01200F883A01802074010020B4319CA4040140044421243A845E -:200858000815F58120803FCC00C003C410C00E2600C0040410C0112600C002C4D1223D43EF -:2008600010C0271EDEFFFE04DC000015DFC001150813D6801021883A10000F2601C02074E0 -:2008680039DCA80400000E06D0A23D4310C03FCC1800072610BFFFC400000506D0A23D43FA -:200870000100020410C03FCC20C0013610800044D0A23D45F800283A01C0207439DCA60439 -:2008780001802074010020B4319CA3040140044421243A840815F580081106808000021EA5 -:20088000010003C40813D6800100023421284804DFC00117DC000017DEC0020408168B015B -:20088800200F883A01802074010020B4319CA4040140044421243A840815F58121003FCCB5 -:20089000008003C4208028260080040420802B26DEFFFD04DC000015DFC00215040020B43D -:20089800DC400115008002C484246C8420802A1ED4623A0301400684D4623D858C403FCC3B -:2008A0008809883A0815ED808085883A10C0038B014006848809883AD0E23C8D10C00503C5 -:2008A800D0E23F8D10C00483D0E2398D10C0028BD0E23C0D10C00543D0E23A8D108004C3A3 -:2008B000D0A23E0D0815ED808085883A1080030BD0A23B8DDFC00217DC400117DC00001763 -:2008B800DEC00304F800283AD0A23A0310C03FCC1800072610BFFFC400000506D0A23A0306 -:2008C0000100068410C03FCC20C0013610800044D0A23A05F800283AD1223A0301400684C1 -:2008C8000815ED80010020B401800444808B883A21243A84DFC00217DC400117DC00001739 -:2008D000DEC0030408160701DEFFFA04008020B4DD000415DCC00315DC800215DC400115BF -:2008D800DC000015DFC0051510A43F0410C002C3040020B42029883AD4623D8384246C8459 -:2008E000D4E2398BD4A23E0B180021261080028714401F1E8809883A014006840815ED800E -:2008E8008085883A1100038BD0E23C8B20C0161E11000503D0E23F8B20C0131E11000483BF -:2008F00098C03FCC20C0101E1100028BD0E23C0B20C00D1E11000543D0E23A8B20C00A1EFD -:2008F80010C004C390803FCC1880071E014006848809883A0815ED808085883A10C0030B24 -:20090000D0A23B8B1880022600800044D0A23EC58809883A014006840815ED80D0E23C8B85 -:200908008085883A8809883A10C0038DD0E23F8B14C004850140068410C00505D0E23C0BDE -:2009100010C0028DD0E23A8B148004C510C005450815ED80D0E23B8B01802074010020B48E -:200918008085883AA1FFFFCC319DA9040140044421243A8410C0030DDFC00517DD000417F3 -:20092000DCC00317DC800217DC400117DC000017DEC006040815F581DEFFFE04DC0000155A -:20092800040020B4DFC0011584043804008004448080003580000035008020B410842C0494 -:2009300010000035008020B410842404100000350109C40408168B0000BFF444D0A23B05E9 -:200938000080344480800035010000F42103500408168B00018001B401406734010020B475 -:200940003186A004297F3004210418040816F7C008142BC0081461C0100026260009883ADF -:20094800081477C000C03FC410C024260814DD40010000840810274010803FCC00C004C4FF -:2009500010C0201E08103640081424001000022600800044D0A23F050810D4C01021883A5A -:2009580010001A1E0812AC4001402074010020B401800D84295D4B8421245F040815F30068 -:20096000010003C40813F280D1223D430813F280008020B41084340410800037108000ACFF -:200968001000011E0812B1400009883A081118C000000706043FFF8400000506043FFF4415 -:2009700000000306043FFF0400000106043FFFC48005883ADFC00117DC000017DEC0020476 -:20097800F800283ADEFFFF04DFC000150811D10008148F00008020B410A45444110004C364 -:20098000DFC00017DEC00104081118C1DEFFF504DFC00A15DF000915DDC00815DD80071548 -:20098800DD400615DD000515DCC00415DC800315DC400215DC0001150812498010001B16AE -:200990000080134401802074010020B4000F883A319CAB040140044421243644D880001584 -:200998000815F58001402074010020B401800444295CB704212450040815F300081107C071 -:2009A0000100023421284804058020B408168B00B5A45444B08009030100024410C03FCC1A -:2009A80020C01136D0A23D0500000F0601802074010020B4100F883A319CB004014004446A -:2009B000212436440815F58001402074010020B401800444295DB804212450040816070063 -:2009B800081107C0003FFF0605C020B4070020B4002B883A0021883A0029883A0023883AE7 -:2009C0000025883ABDE43F04E724544400C020B418C434041880003710FFFFCCD0E242154F -:2009C8000086303A1004D63A18C000ECD0E24315D0A244051000062610FFFF8418C03FCCC1 -:2009D000010000C420C0022ED0E2410310C0011ED02242150812CBC0D0A2448310000226EE -:2009D8000009883A08138C80B8C00583D0A23D0304C020B49CE43F041880011ED0223D0515 -:2009E000D0E23D030100020418BFFFC410803FCC20804136100490BA010020742109EB04A6 -:2009E8001105883A108000171000683A008127D0008127E0008127FC008128100081282494 -:2009F0000081283C00812850008128600081287C008003C4D0A23E850021883A0000030673 -:2009F800008003C4D0A23E8504000084050000C4044000440025883A00002906008003C42D -:200A0000040000C4D0A23E858029883A003FF806008003C4D0A23E85040000C40500008464 -:200A080000000406008003C404000084D0A23E858029883A0023883A003FEE0600800404B5 -:200A100004000044D0A23E858029883A00000F06008003C4D0A23E850021883A00000A065A -:200A180004000084008003C4D0A23E85050000448023883A8025883A00000906008003C44F -:200A2000D0A23E85040000C405000044044000848825883A0000020618803FCC1000332625 -:200A280099400D0398C00585980002C528803FCC00C0004489003FCC1880042E00800144AA -:200A3000114BC83A29403FCC00000106014000C4081470000814864008107080D0A23F039E -:200A380010000226A1003FCC0814200081403FCC91003FCC081505009880058300C0207400 -:200A400018DCDE041085883A1085883A1885883A11400017010020B40180044421243644EE -:200A4800980001150816070001402074010020B401800444295CB40421245004081607004D -:200A5000D0A244831000011E081107C0AD403FCCA8000526B0C00903008002841880021E39 -:200A5800010003C40813D68005400044B10004C398800A8320800426081118C0E08004C3BD -:200A6000B8000115B8800A85B08004C310000F1EE1C0050398800AC338800C26B88003C337 -:200A680010000226B8800383000001060005883AD8800015000D883A000B883A0009883AD6 -:200A7000081005C0B080050398800AC5A8803FCC1000342681403FCC91003FCC08113540D7 -:200A780010803FCC00C0008410C0272600C0010410C0032600C0004410C02A1E000026065C -:200A8000988002C310000926D0A23B0300C020B418C4380410800094D0A23B0510803FCC6D -:200A88001880003508125E4000001E06B800011508148640B880058300C0207418DCDE040B -:200A90001085883A1085883A1885883A11400017010020B40180044421243644081607004F -:200A980001402074010020B401800444295CB4042124500408160700D0A244831000091E60 -:200AA000081107C000000706988002C3100005260811D10000000306988002C3100001262A -:200AA800081192C0D0A2431701004B04D0223D05D0A24015D0A24403D0A2410508168B0092 -:200AB000003F120601402074010020B4DEFFFF0401800AC4295CE90421245444DFC00015F3 -:200AB8000815F30001402074010020B40180B604295F350421246C840815F300008000445F -:200AC000D0A23EC50005883ADFC00017DEC00104F800283ADEFFF804DD000415DCC00315A4 -:200AC800DC80021505002074048020B404C020B4DD800615DC000015DFC00715DD400515B7 -:200AD000DC400115002D883A0021883AA51D5904948434049CE45F0401402074010020B406 -:200AD80001800444295CF40421243204081607008405883A1085883AA085883A1140001727 -:200AE000010020B40180044421243A8408160700081106800023883A05400084908000379C -:200AE80010BFFFCCD0A2421590C0003700C6303A18C000ECD0E2431510001A26B080192647 -:200AF0008407883A98C7883A88000A1E01402074010020B401800444295CF604212432045B -:200AF8001880000D08160700081106800440004400000C0618C0000B10C009260140207424 -:200B0000010020B401800444295CF8042124320408160700081106800023883A000001068B -:200B080004400084D0A2401710000F1ED0E243170080007418800C1E8000091E01402074C1 -:200B1000010020B401800D84295D4B8421245F040815F30004400084040006C40000020633 -:200B1800843FFF8404400084D0A24317D5A24217D0A240158D4003260109C40408168B00DB -:200B2000003FC6068400004400800684143FB20E010003C4DFC00717DD800617DD4005178D -:200B2800DD000417DCC00317DC800217DC400117DC000017DEC008040813D681DEFFEF0477 -:200B3000D88009C4D8800115008020B410A456C410C00044D8C003150140207410C0008403 -:200B3800D8800215D8C00415018001C410C000C4295D49C410800104D9000804DFC01015D2 -:200B4000DD400F15DD000E15DCC00D15DC800C15DC400B15DC000A15D80009C5D8C005158A -:200B4800D8C00615D88007150815F300D1224217014020B40005883A29645F040180068433 -:200B50001087883A1947883A18C0000B044020B48C645F04190003261181132610800044DB -:200B5800003FF70600C0068418810F36100490BA00C0207418CB610410C5883A10800017E1 -:200B60001000683A00812DF000812E0C00812E1C00812DF800812E1400812E2400812E04B0 -:200B6800008131A800812E2C00812E3400812E3C008131A8008131A8008131A8008131A802 -:200B7000008131A8008131A800812E6000812EDC00812EFC00812F2800812F7400812F546C -:200B780000812FA00081313C00813164008130B0008000440000010600800084D0A23D0525 -:200B80000000E906008000C4003FFC0600800104003FFA0600800144003FF8060080018416 -:200B8800003FF606008001C4003FF40600800204003FF20600800244003FF006D0A24483A3 -:200B90001007003AD0E244851000031E0100004408138C800000D406081107C00000D2064A -:200B9800008020B410A43F0411000287014006840815ED8001C020B439E46C84018020744C -:200BA000010020B4388F883A319CFC0401400444212432040815F580008020B41084300458 -:200BA80011C0003710800037018020741005D43A39C1FFCC010020B4108000CC39C00044F3 -:200BB000319CFF040140044421243A84D88000150815F580081106800000B306D0A23B03C2 -:200BB80000C020B418C438041080041CD0A23B0510803FCC188000350000AB0600C020B462 -:200BC00018E45444188000030140004411003FCC290002361145883A000001060005883AFE -:200BC800188000050000A00600C020B418E45444188000430140004411003FCC29000236C5 -:200BD0001145883A000001060005883A1880004500009506008020B410A4544410C00083B4 -:200BD80019003FCC2000012618FFFFC410C0008500008D0600C020B418E45444188000838D -:200BE0000140038411003FCC290002361080004400000106008003C41880008500008206E9 -:200BE80001402074010020B401800444295D0304212432040816070001402074010020B4A3 -:200BF00001800444295D070421243A8408160700048020B4050020B4054020B4081106807A -:200BF8009484340404C006C4A5246C84AD643F04908000370021883A10BFFFCC8407883AE1 -:200C00001C47883A18C0000B10C002268400004484FFFA1EA9000287014006840815ED80EA -:200C0800A085883A108005C300C001841880403600C001041C001916D90008042087883ADC -:200C100018C000031C07D83A18C0004C180007261085883A1085883AD8C001041885883AA1 -:200C180010800017140000050000310601802074010020B481C00044319D0A0401400444F1 -:200C200021243A840815F58008110680010002342128480408168B00000025060080030459 -:200C2800808023260109C40408168B00003FD00601402074010020B401800444295D0E04C8 -:200C3000212432040816070001402074010020B401800444295D120421243A8408160700CD -:200C3800040020B40811068084043404048006C404C0024405000304808000370009883AFF -:200C400010BFFFCC2107883A1C47883A18C0000B10C01E1E99002016210000440140028401 -:200C48000815DA80010002C4D0A23D45081208C0081107C0D022448500001B0600C020B428 -:200C500018E45444188005830140078411003FCC290002361080004400000A060005883ADC -:200C58000000080600C020B418E454441880058311003FCC2000022610BFFFC40000010629 -:200C6000008007C418800585000007062100004424BFDC1E00000106253FE5260109C40470 -:200C680008168B00003FD406D0A240171000191ED0E243171880006C10000A26008020B4F6 -:200C700010A43F04108005830100024411403FCC29000226108000440000010600800044C2 -:200C7800D0A23D0518C000AC18000A2600C020B418E45444188000030140004411003FCC78 -:200C8000290002361145883A000001060005883A18800005DFC01017DD400F17DD000E1765 -:200C8800DCC00D17DC800C17DC400B17DC000A17DEC01104F800283ADEFF6C04D900030498 -:200C9000DC008C15DFC09315DD809215DD409115DD009015DCC08F15DC808E15DC408D153A -:200C98000810FE401021883A0815C6408000891E01800104D9400304D9008304081607007E -:200CA0000140207401800104295D7404D90083040816010010000B2601802074010020B431 -:200CA800319D760401400444212432040815F580008020B410A43A8410000005040000442B -:200CB00000007406D8800403DC808484D9400484D8808405D8800443018002049009883AE4 -:200CB800D880844508160700D880068BD8008645D8808B0DD880070BD8808B8DD9408B17C5 -:200CC000280BC232D880078BD9408715D8808B0DD880080BD8808B8DD8808B171005C23280 -:200CC800D8808815D880088BD8808B0DD880090BD8808B8DD8808B171005C232D880891567 -:200CD000D8808217D8808B151005C232D8808A1500C0788428BFF98418800B2E0180207415 -:200CD800010020B4319D7A0401400444212432040815F580008020B410A43A84100000056A -:200CE000043FFFC40000430601800044D90003040816F080D8C08A1710C00A260180207424 -:200CE800010020B4319D7E0401400444212432040815F580008020B410A43A841000000556 -:200CF0000000330601802074010020B4319D820401400444212432040815F580D9C088179F -:200CF80001802074010020B4319D86040140044421243A840815F58008110680DCC08817A2 -:200D0000DD4089170021883A0005883A0580800484C0132E9C23C83AB440012E0440800432 -:200D080085008004A00CD27A010020B4DC400015000F883AD940030421242F040815AE8010 -:200D100010803FCC1000121E800D003A880B883AD90003040816F080A021883A003FEC06AA -:200D1800A880612601802074010020B4319D890401400444212432040815F580008020B4DD -:200D200010A43A8410000005043FFF4400000106043FFF8404C000C40815C640081106808F -:200D2800010003F42110900408168B0000BFCE4480804B0E98004A2601802074010020B429 -:200D3000319D9F0401400444212432040815F5809CFFFFC401402074010020B401800444CB -:200D3800295D970421243A8408160700081106800025883A0580800405004004DC408817BF -:200D40009440202E8CA3C83AB440012E0440800495408004A80DD27A010020B4DC400015F5 -:200D4800000F883AD940030421242F040815AE8014003FCC803FD81E9025D23A880B883AED -:200D5000A440012E01404004900D883AD90003040810DE801021883A103FCF1EA4400236EB -:200D5800A825883A003FE50691800044897FC004D90043040810DE801021883A103FF826AB -:200D6000003FC50601402074010020B401800444295D9B042124320408160700014020745C -:200D6800010020B401800444295D970421243A840816070008110680D9808917D940881734 -:200D7000D9C003040009883A0810F1001021883A103FB11E0815C6400005883A00004306AB -:200D780000BFFFC400004106D8808487D9C08403D8C084431000032600802074109D3D0475 -:200D80000000020600802074109DB80401802074010020B4D8C00015319D8E04014004444E -:200D880021243204DC800215D88001150815F58001402074010020B401800444295DA304BD -:200D900021243A840815F300044020B4040020B4081106808C44340484245F04888000374F -:200D980080C0000B10BFFFCC10C00E2680C0008B10C0091E01402074010020B4018004441D -:200DA000295D9104212432040816070004C000C404000084003F80060109C40408168B0028 -:200DA800003FEE0608148640D0A23B0300C020B418C4380410800094D0A23B0510803FCC49 -:200DB000188000350109C40408168B0001402074010020B401800444295D940421243204CF -:200DB8000816070004C000C4003F7A06DFC09317DD809217DD409117DD009017DCC08F17D5 -:200DC000DC808E17DC408D17DC008C17DEC09404F800283A21C03FCC01802074010020B46D -:200DC800319DA8040140044421243A840815F581DEFFFF040141194421003FCCDFC0001513 -:200DD0000815ED80014019041009883A0815D24001802074010020B4100F883A319DAA04CA -:200DD8000140044421243A84DFC00017DEC001040815F58121C03FCC01802074010020B4AD -:200DE000319DAC040140044421243A840815F581014003F4DEFFFC042950900421003FCC08 -:200DE800DFC00315DC400215DC0001150815ED80014018F4294BA8041009883A1021883A4A -:200DF0000815E1C08009883A014659041023883A0815E1C00140FA041009883A0815E80064 -:200DF800014002841009883A0815E1C001802074010020B4D8800015880F883A319DAF044A -:200E00000140044421243A840815F580DFC00317DC400217DC000117DEC00404F800283AD2 -:200E0800DEFFFF04014119C421003FCCDFC000150815ED80014019041009883A0815D240F9 -:200E100001802074010020B4100F883A319DB2040140044421243A84DFC00017DEC001048E -:200E18000815F58121C03FCC01802074010020B4319DB4040140044421243A840815F581AC -:200E200021003FCCDEFFFF0401409C4421000044DFC000150815ED80014019041009883AA9 -:200E28000815D24001802074010020B4100F883A319DB7040140044421243A84DFC00017E5 -:200E3000DEC001040815F581D0A24217DEFFF604018020B4DCC00315DFC00915DF00081508 -:200E3800DDC00715DD800615DD400515DD000415DC800215DC400115DC00001504C002C41C -:200E400031A45F04014004449CC7883A1987883A18C0000B1880041E21003FCC9823883A04 -:200E48002000051E000003069CC00044997FF61E0000A50610010026D5624443048020B47A -:200E500094A00804AF003FCCE02090FA014005049405883A1500010315C00017A5803FCC24 -:200E5800B009883A0815ED80B8C00117010001041885883A88FFFD0418C03FCC20C02936D6 -:200E6000180690BA01002074210E66041907883A18C000171800683A008139E0008139AC51 -:200E6800008139C400813AD800813AD8B000011EBD000003A53FFFC49421883A850001058E -:200E700000008406B94000039421883AB1000044840001040815DA808080000500007D06E8 -:200E7800E0000326AD7FFFC4D562444500007906D0224485DFC00917DF000817DDC00717F0 -:200E8000DD800617DD400517DD000417DCC00317DC800217DC400117DC000017DEC00A04A9 -:200E8800081107C110C00103014000C4194006261900631E10800217044002C4103EE83A4E -:200E90001021883A000064061080031710000226010002C4103EE83AD462444301400504C5 -:200E98008C003FCC802690FA94C5883A1540001711000103AD0001170815ED80A085883AA1 -:200EA00010C0021718004E2684000044802090FA008020B410A008041405883A11000017B8 -:200EA80019000126100001059421883A94E5883A91000103ACC00117014005040815ED8035 -:200EB0009885883A108002178C400044D46244458080001500003A0610C00103010000841D -:200EB8001900202619000336010000C419002F26000038061180021789C03FCC020003C431 -:200EC0001100030310C0034331400003108003833A000A1E19C03FCC2A003FCC3A00022E76 -:200EC80028BFFFC40000030621003FCC2000011E1805883A308000050000260611C03FCC50 -:200ED0002A003FCC41C0022E288000440000030621003FCC200001261805883A30800005A0 -:200ED800000010061100021789403FCC018003C420C0000B2980061E1080030B197FFFCCE5 -:200EE0001140012E18FFFFC420C0000D000011061080038B197FFFCC2880012E18C0004420 -:200EE80020C0000D0440040400000A06108003171000082699003FCC103EE83A000005069A -:200EF000044002C40000030621003FCC200059260023883A0021883AD0A2444300C020B4AF -:200EF80018E00804100490FA014005041885883A1100010314C000170815ED801025883A0E -:200F000098800117010020B4212432041485883A1140001701800444081607009880011770 -:200F0800010001041485883A10C0010320C03536180690BA01002074210F12041907883A24 -:200F100018C000171800683A00813C5C00813C8C00813C9C00813CAC00813CC010C002178E -:200F1800110004170180044418C0000318C5883A1085883A2085883A11400017010020B44F -:200F200021243A840816070000001E0610C0021710800417190000030000070610C00217BF -:200F2800108004171900000B0000030610800317100006260009883A103EE83A0000110699 -:200F30008C403FCC00C002C488C00426008020B410A43A841000000500000A068000021E47 -:200F380011C003170000010611C0041701802074010020B4319CA3040140044421243A84D1 -:200F40000815F580DFC00917DF000817DDC00717DD800617DD400517DD000417DCC0031726 -:200F4800DC800217DC400117DC000017DEC00A0408110681103FA61EDFC00917DF000817D1 -:200F5000DDC00717DD800617DD400517DD000417DCC00317DC800217DC400117DC000017C9 -:200F5800DEC00A04F800283A21003FCC008003C411005F36009114B4DEFFB6041094D544AD -:200F6000D88000150080107410951044D880011500801344D8800245208002B0DC004015A0 -:200F6800DFC04915DF004815DDC04715DD804615DD404515DD004415DCC04315DC8042152B -:200F7000DC404115D8000205D8800285240004041000151E00800E04D88002C5D0A23D431F -:200F7800014020B401800D84D8800345008020B410A43F041080058329645F04D90003C49F -:200F8000D8000305D88003850815F300800C923A01401144D809883A0810DE801004C03A6C -:200F88000085C83A0000340600BFF604014020B4802A923A01800AC4D88003452964544430 -:200F900000800084D90003C4070020B4D98002C5D8000305D88003850025883A0815F300EB -:200F9800002F883A04400E840580B60405004004E7246C84893FFFCCA10DC83AB0BFFFCC72 -:200FA000D909883AB97FFFCC94C03FCC11800C16E14B883A0815F300A463C83A9D4D883AC5 -:200FA80001404004D809883A8DEF883AB5BFC0040810DE80948000440023883A003FED06A6 -:200FB000100D883A008020B410A46C84114B883A0815F300800C923A01404004D809883A9C -:200FB80034CD883A0810DE800005883A0000020600BFFFC4F800283ADFC04917DF004817F8 -:200FC000DDC04717DD804617DD404517DD004417DCC04317DC804217DC404117DC00401759 -:200FC800DEC04A04F800283ADEFFB704DFC04815DDC04715DD804615DD404515DD004415D1 -:200FD000DCC04315DC804215DC404115DC00401521003FCC008003C41100022E047FFFC4BD -:200FD80000006306240004048008943AD80D883A014040040810D780103FF81E0140207439 -:200FE00001800204295F2604D809883A081601001023883A1000531ED88002031000531EA2 -:200FE800D8C00243008013441880501ED88002831000032600C0004410C0162600004C06B7 -:200FF000D8800303D8C002C31004923A10C4B03A00C00E0410C0461ED880034300C00244DE -:200FF80011003FCC19000136D0A23D45D880038311003FCC19000136D0A23D05010020B4A6 -:2010000001800D84D94003C421245F040815F30000003706D8800317D8C002C311803FCC7E -:20100800300C923A30CCB03A00C00AC430C0301E1004D23A00C0B6041025883A10BFFFCCE3 -:2010100010C02B1E010020B48020923AD94003C42124544405C020B40815F3000027883A17 -:20101800002D883A05400E8405004004BDE46C84A97FFFCCA14DC83A90BFFFCCB13FFFCC60 -:20102000D94B883A11800F16B909883A0815F300990000442027883A21003FCC2409883A7F -:201028002008923AA56BC83AD80D883A01404004ADAD883A94BFC0040810D780002B883AE7 -:20103000003FEB06100D883A008020B410A46C841109883A0815F30000800044D0A23EC574 -:20103800000003060440004400000106044000848805883ADFC04817DDC04717DD80461736 -:20104000DD404517DD004417DCC04317DC804217DC404117DC004017DEC04904F800283A48 -:20104800DEFFFC04DC000015040020B484041804DC80021504801284DC400115900B883A22 -:201050002023883A000D883A8009883ADFC003150816FF0089403FCC8009883A000D883A9F -:201058000817054004400044900B883A8009883A880D883A0816FF00880B883A8009883AD0 -:20106000DFC00317DC800217DC400117DC000017DEC0040408170281DEFFFC04DC00001505 -:20106800040020B484041804DC800215DC4001152025883A2823883A8009883A000D883A19 -:2010700001401284DFC003150816FF0091403FCC8009883A000D883A081705400180004496 -:2010780089403FCC8009883ADFC00317DC800217DC400117DC000017DEC0040408170541D9 -:20108000DEFFFE040080004421003FCCDC0000151120983A01000184DFC0011584003FCCC3 -:20108800800B883A08141980800B883A010001C4DFC00117DC000017DEC00204081419812F -:20109000DEFFFF0401000144DFC000150814120000C0218410C0051E01402404010008046A -:201098000814198000800044000001060005883ADFC00017DEC00104F800283ADEFFFD0460 -:2010A000D9000005010020B4DC000115018000442821883A21041804D80B883ADFC002151F -:2010A80008170D00813FFFCC08168B00DFC00217DC000117DEC00304F800283AD0E23B032D -:2010B000DEFFFC0400BFE7C41884703ADC400115044020B4D0A23B05DFC00315DC8002156D -:2010B800DC00001510803FCC8C44380488800035040005048009883A08168B00800B883AF5 -:2010C00001000E04081427C0800B883A01000E44081427C0800B883A8009883A081427C0BC -:2010C800800B883A01001C44081427C0800B883A01001784081427C0800B883A01001B44BE -:2010D000081427C0800B883A01000304081427C00480C804900B883A01000044081427C0B0 -:2010D800800B883A01000184081427C0900B883A01000084081427C0D0A23B0310801014D9 -:2010E000D0A23B0510803FCC88800035DFC00317DC800217DC400117DC000017DEC004046B -:2010E800F800283AD0E23B03DEFFFA0400BFE7C41884703ADC000015040020B4DC800215D7 -:2010F000DC400115D0A23B05DFC00515DD000415DCC003152025883A2823883A10803FCCEA -:2010F80084043804808000350140C80401000044081427C0D0A23B0310800814D0A23B057C -:2011000010803FCC80800035014004049009883A08160C401029883A10803FCC1000091E24 -:20110800014020749009883A01800444295F2804081607009100000301400504081427C014 -:20111000000009060027883AA5003FCC94C5883A11000003014005049CC00044081427C0FB -:2011180098803FCC153FF936D0E23B0300BFF7C41884703AD0A23B0510803FCC80800035DF -:201120000140050401003004081427C0D0A23B0310800814D0A23B0510803FCC808000354F -:20112800014004048809883A08160C401027883A10803FCC1000091E014020748809883AAE -:2011300001800444295F2804081607008900000301400504081427C0000009060025883A2E -:201138009CC03FCC8C85883A110000030140050494800044081427C090803FCC14FFF93647 -:20114000D0A23B0310801014D0A23B0510803FCC80800035DFC00517DD000417DCC0031740 -:20114800DC800217DC400117DC000017DEC00604F800283ADEFFFB04DC000015040020B444 -:2011500084041804DC80021504800B04DCC00315900B883A2027883A000D883A8009883AA1 -:20115800DFC00415DC4001150816FF0004400044880D883A99403FCC8009883A08170540FE -:20116000900B883A8009883A880D883A0816FF00880B883A8009883ADFC00417DCC0031743 -:20116800DC800217DC400117DC000017DEC0050408170281DEFFFC04DC000015040020B4DC -:2011700084041804DC800215DC4001152025883A2823883A8009883A000D883A01400B0498 -:20117800DFC003150816FF0091403FCC8009883A000D883A081705400180004489403FCC90 -:201180008009883ADFC00317DC800217DC400117DC000017DEC0040408170541010020B4CF -:20118800DEFFFD04000D883A000B883A21041804DFC00215DC400115DC000015081705404F -:201190000100028408168B000400060404400044800B883A8809883A08145B40800B883AD5 -:201198000100008408145B40800B883A010000C408145B408809883A081453401405003ADD -:2011A000DFC00217DC400117DC000017DEC00304F800283ADEFFFD04DC400115DC00001550 -:2011A800044000442021883A84003FCC8809883ADFC00215802090FA0814534000FFF9C46F -:2011B00010C4703A80A0B03A84003FCC800B883A8809883A08145B40800B883A01000084DF -:2011B80008145B40800B883A010000C4DFC00217DC400117DC000017DEC0030408145B4112 -:2011C000DEFFFD04DC4001152023883A01000044DC000015DFC00215043FF6040814534022 -:2011C8001420703A89003FCC008000842080021E84000054000003062008917A2080011408 -:2011D00080A0B03A84003FCC800B883A0100004408145B40800B883A0100008408145B4094 -:2011D800800B883A010000C4DFC00217DC400117DC000017DEC0030408145B41DEFFFB04CD -:2011E000DC000015040020B484041804DC80021504801704DCC00315900B883A2027883A56 -:2011E800000D883A8009883ADFC00415DC4001150816FF0004400044880D883A99403FCC03 -:2011F0008009883A08170540900B883A8009883A880D883A0816FF00880B883A8009883A74 -:2011F800DFC00417DCC00317DC800217DC400117DC000017DEC0050408170281DEFFFC04A5 -:20120000DC000015040020B484041804DC800215DC4001152025883A2823883A8009883A5D -:20120800000D883A01401704DFC003150816FF0091403FCC8009883A000D883A081705406D -:201210000180004489403FCC8009883ADFC00317DC800217DC400117DC000017DEC00404DF -:2012180008170541DEFFFC04DC000015040008848009883ADFC00315DC800215DC4001154C -:20122000081477C0014004C4010005841023883A0489C40408147FC09009883A08168B001F -:20122800014000C4010005C408147FC09009883A08168B00017FE004894AB03A29403FCCE3 -:201230008009883A08147FC00100FA0408168B0089401FCC8009883ADFC00317DC80021723 -:20123800DC400117DC000017DEC0040408147FC1DEFFFE04DC0000150409C4048009883A7D -:20124000DFC0011508168B00014004440100058408147FC08009883A08168B000140008409 -:20124800010005C408147FC08009883ADFC00117DC000017DEC0020408168B01DEFFFE043F -:20125000DC0000152821883A21403FCC01000484DFC0011508147FC081403FCC010004C4E8 -:20125800DFC00117DC000017DEC0020408147FC121403FCC01000F4408147FC121403FCC44 -:201260000100044408147FC1DEFFFE04DFC00115DC000015214000C32021883A0100028496 -:2012680008147FC0814001030100024408147FC0814001430100020408147FC08140000379 -:201270000100034408147FC0814000430100030408147FC081400083010002C4DFC0011792 -:20127800DC000017DEC0020408147FC1DEFFFB04DC400115DC0000152023883A2021883A5C -:2012800001000104DCC00315DC8002153027883A2825883ADFC00415081477C0017FFE046C -:201288009CC03FCC1144703A9800092680FFFFCC0101FFC420C006361140005429403FCCD5 -:201290000100010408147FC08423883A0000030611403FCC0100010408147FC08C7FFFCCD8 -:20129800880AD13A0100004429403FCC08147FC0880A913A0100008429403C0C08147FC097 -:2012A00001006734917FFFCC213F30040815E1C0880B883A1009883A0815ED800140FA046C -:2012A8001009883A0815E1C000E327D41880092E00C0007418C45BC41880082E00C000B46F -:2012B00018C3D5C41885403A00C000C41885C83A000003060005883A0000010600800044D5 -:2012B80014003FCCD0A022041405883A1100000301400A040815ED808808D07A880B883A6A -:2012C0001109883A0815D240100B883A00C001C410803FCC1880012E180B883A29403FCCE6 -:2012C800800491BA280A90FA010000C4288AB03A29403FCCDFC00417DCC00317DC800217C1 -:2012D000DC400117DC000017DEC0050408147FC1DEFFFE04DC0000152021883A0100068476 -:2012D800DFC00115081477C0017FFE8484003FCC00C000441144703A80C0021E10800094D6 -:2012E000000001061080029411403FCC01000684DFC00117DC000017DEC0020408147FC130 -:2012E8002140028BDEFFFE04DC000015280AD23A2021883A010012C4DFC0011508147FC000 -:2012F000814002830100128408147FC08140030B01001344280AD23A08147FC0814003031F -:2012F8000100130408147FC08140038B010013C4280AD23A08147FC08140038301001384C4 -:2013000008147FC08140010B01001444280AD23A08147FC0814001030100140408147FC07A -:201308008140018B010014C4280AD23A08147FC0814001830100148408147FC08140020BFF -:2013100001001544280AD23A08147FC0814002030100150408147FC08140040B010015C4E5 -:20131800280AD23A08147FC0814004030100158408147FC08140048B01001644280AD23A76 -:2013200008147FC0814004830100160408147FC08140050B010016C4280AD23A08147FC04F -:201328008140050301001684DFC00117DC000017DEC0020408147FC1DEFFFD04DC000015C8 -:2013300004000FC4DC4001152023883A8009883ADFC00215081477C000FFFC0410C4703ABE -:20133800888AB03A29403FCC8009883ADFC00217DC400117DC000017DEC0030408147FC1FA -:20134000DEFFFD04DC40011504400684DC0000152021883A8809883ADFC00215081477C05F -:2013480080C03FCC014000C428C7C83A180691BA10800FCC8809883A188AB03A29403FCC1D -:20135000DFC00217DC400117DC000017DEC0030408147FC1DEFFFB04DCC0031504C0010444 -:20135800DC4001152023883A9809883ADFC00415DC800215DC0000152825883A081477C05D -:20136000900B883A8809883A1021883A0815ED80108007CC100A90FA840001CC9809883A85 -:201368002C0AB03A08147FC091403FCC89003FCC0815ED801004D17ADFC00417DCC0031721 -:20137000DC800217DC400117DC000017DEC00504F800283ADEFFFE04DFC00115DC0000153B -:20137800081486400009883A0814B50004000044800B883A01000D4408147FC00100207400 -:20138000211F29040814BA00800B883A010004040814D5400009883A0814CB800009883A86 -:201388000814D0000100018408149700014000C401000D0408147FC001001104081497C025 -:2013900001402204010006C408147FC00140020401000704DFC00117DC000017DEC002040F -:2013980008147FC1DEFFFD04DC0000152021883ADC40011584003FCC044004048809883AA7 -:2013A000802090FADFC00215081477C0108001CC808AB03A29403FCC8809883ADFC002172A -:2013A800DC400117DC000017DEC0030408147FC121003FCC20001A26DEFFFD04DC40011561 -:2013B000010009842823883A01402004DC000015DFC002153021883A08147FC089403FCC34 -:2013B8000080004428800426008002042880041E81401684000003068140024400000106BD -:2013C0008140060429403FCC01000C44DFC00217DC400117DC000017DEC0030400000206F1 -:2013C800000B883A0100098408147FC1DEFFF704DCC003152827883AD9400917DDC00715BF -:2013D000DD800615DD400515DD000415DC800215DC400115DC000015DFC0081524003FCCD7 -:2013D800008000443029883A382B883ADC400A17DC800B17DD800C172DC03FCC8080082690 -:2013E0000080020480800D1E29400C8429403FCC0100014408147FC00140080400000C06CF -:2013E8002940008429403FCC0100014408147FC0014001842809883A000006062940018430 -:2013F00029403FCC0100014408147FC0014004040100018408147FC0B80D883A800B883ACA -:2013F800010000440814EB00B1003FCC081497C0008004048080021E014003040000010663 -:20140000014002040100088408147FC0A17FFFCC993FFFCCA9803FCC08149EC091403FCC85 -:2014080089003FCCDFC00817DDC00717DD800617DD400517DD000417DCC00317DC800217E1 -:20141000DC400117DC000017DEC00904081493C1DEFFFD0429BFFF84DC400115DC0000150E -:20141800DFC0021531803FCC0080004424403FCC2C003FCC11800436008000848880071EDC -:201420008805883A000008068800061E8005003A00C000841885C83A000003060005883A31 -:201428000000010600800084880A913A8C47883A10803FCC100491BA18C7883A28CAB03A30 -:20143000214AB03A288AB03A29403FCC0100064408147FC0008000C48080041E014017448F -:201438000100040408147FC000001206014016040100040408147FC00080008488800D1E22 -:201440000080004414000B368080021E0140148400000106014014C40100038408147FC077 -:201448000100FA0408168B0001000504081477C000000B06014016C40100038408147FC070 -:201450000100FA0408168B0001000504081477C0008000C48080021E0140040400000106C3 -:20145800000B883A0100060408147FC0000B883A01000D84DFC00217DC400117DC00001703 -:20146000DEC0030408147FC1DEFFFD04DC4001152023883A01000504DC000015DFC00215A5 -:201468002821883A081477C08C403FCC00C0008488C00A1E81403FCC00C0004428C0031EA2 -:201470001080240C10802420000006062800031E1080220C10802220000002061004D07A7D -:201478001080004CDFC00217DC400117DC000017DEC00304F800283ADEFFEC04DC000A15D2 -:20148000040020B484243F0400800104D880070D8080070302C00204028010045884983A82 -:2014880000C100040188000412C4B03AD880078D8080074383800B03020020B45084983A6F -:20149000DFC01315DF001215D880080D80800783DDC01115DD8010151884983ADD400F1584 -:20149800DD000E15D880088D808007C3DCC00D15DC800C153084983A2027883ADC400B1577 -:2014A000D880090DD8800984D880001580800844D880011580800884D8800215808008C4EB -:2014A800D880031580800904D8800415D880051580800944D8000985D880061542247204C2 -:2014B0000009883A03C0400407C400040500800404800404054020040580080405C00104A2 -:2014B8000700004440C00043024001842023883A19803FCC408000034980C336301290BA05 -:2014C000030020746314C5044B13883A4A4000174800683A008153780081537800815378A6 -:2014C8000081533000815344008153600081537802401004DA40080D02410004DA40088DED -:2014D00000000D0672403FCC4800021E027FFBC400000606030000844B00071E0000AA06D1 -:2014D80072403FCC4800031E027FFEC44884703A000001064F00A42611C4703A10803FCCDB -:2014E0001000A1263185883A1085883AD885883A108000174240008B108000031085883A89 -:2014E800D885883A1300070B6258703A60BFFFCC100095264A40004C2B403FCC4A40005C55 -:2014F0006A40911E427FFE8B4A4007844CC08E3603400044024020B480000385800003C567 -:2014F80083400405800004858300050D4A643F0413C05E2678800E3614804B269080033698 -:2015000015C0212612C0272600007F0612804A261540512615807C1E008000448080030542 -:2015080000800084808003450080018400005A0617C05D26F8800A3600C1000410C05826ED -:201510000242000412404F2615006F1E0080008480800305808003450080014400004E069D -:2015180003100004130056260360001413405D2600C8000410C0641E008001048080030515 -:201520008000034500005D0618C03F4C18C00060480003054800034548C0038548C003C5A5 -:2015280000005F06008000448080030580800E03014020B429643F041000081E18BFFFC4AC -:2015300010803FCC01C00084388004360080008429C0034528800405000001068000034514 -:2015380001400084008000443140192618FFFF0418C03FCC10C0162E008001843080081E6E -:20154000014006840815ED8000C020B418E4700410C5883A1080000B10812C3000000C0601 -:201548000005883A00000A0600800044488003050080008400000F0600800084488003052B -:2015500048000345008000C43080351E008000448080038500003206008000848080030514 -:20155800008000448080034500002D060080008448800305488003450080010448800405FA -:2015600000002706008000C4808003050080008480800345008001048080040500002006F2 -:20156800008000C4003FE506008000C448800305008000844880034500800144003FEF06D4 -:2015700000800104488003050080008448800345008001444880040500801E044880048569 -:2015780000000F0600800104808003050080008480800345008001848080040500801E04B5 -:20158000808004850000060621000044008007044200068420BF331E00BFFFC40000010641 -:201588008805883ADFC01317DF001217DDC01117DD801017DD400F17DD000E17DCC00D17D5 -:20159000DC800C17DC400B17DC000A17DEC01404F800283A20803FCCDEFFF9041080201C20 -:20159800DC400415DFC00615DC800515DC00031510BFE0042823883A10000A0E2025883AEB -:2015A000000B883A01001DC408156540D880028510C03FCC0100004420C02E3694001FCCF8 -:2015A800000001062021883A0815C640014001040009883A0815C3000815C4808804D63A08 -:2015B000DC000005DC400105D88000458804D43A84003FCCD88000858804D23AD88000C5C0 -:2015B8000080100480800426008012048080041E00BFE1C40000030600BFE5440000010641 -:2015C0000080004401400184D809883AD88001450815C1C0010001440815CC001021883A80 -:2015C8000440004401400044D90002840815C300D8800287100003168000051E0815D1C05C -:2015D000000003060815CFC0147FF626003FFA06D8800283DFC00617DC800517DC40041710 -:2015D800DC000317DEC00704F800283ADEFFF604DC000515000B883A2021883A0100124406 -:2015E000DFC00915DCC00815DC800715DC4006150815654010803FCC100002260005883A6A -:2015E80000004306010001440815CC001023883A0480004404C03FC401400044D900048401 -:2015F0000815C300D880048314C003268800051E0815D1C0000003060815CFC014BFF62622 -:2015F800003FFA06D8C0048300803F8418BFEB1E01400484D809883A0815C3008080010302 -:2016000010C0008C18001326D8800183D8C001C3D9400143108000CC1004923A294003CC14 -:2016080010C8B03AD88002031006D1BA2105883A1085883AD900028310C4B03AD8C00243CA -:201610002008D1FA18C000CC18C7883A20C8B03A000010061080010C10000B26D88001C3A0 -:20161800D9000203000B883A10800FCC1004923A1104B03A1006923AD880024301000444F5 -:201620001884B03A00000306000B883A0009883A0005883A10BFFFCC10C0004421003FCCE2 -:2016280028803FCC2085883A10BFFE441884983ADFC00917DCC00817DC800717DC400617DC -:20163000DC000517DEC00A04F800283ADEFFF60401402074DCC00715018002842027883A28 -:20163800295FEB04D809883ADD000815DC400515DFC00915DC800615DC000415050000C456 -:201640000815F300044000440815C0000815C6400815CA4001400284D809883A0815C1C0C3 -:20164800000B883A98000015010010040815654010803FCC1440511E01406A84010012048D -:201650000815654010803FCC1440271E01400104D90002840815C300D88003031440471EE8 -:20165800D8C0034300802A841880441E0100FA040815CC000815CFC0144003260815CFC0AF -:2016600014400C1E000006060150003401003A440815654010803FCC103FF61E003FF706E0 -:20166800000B883A01001E840815654010803FCC100002260021883A000009060140010425 -:20167000D90002840815C300D88002831080100C1000021E04000104000001060400030447 -:201678000815D1C000002606000B883A01003A440815654010803FCC8880032E040000444E -:2016800004801044000002060400008404BFFA4401003E840815CC0094803FCC0815CFC06A -:20168800144004260815CFC01000081E0021883A00000606000B883A9009883A0815654009 -:2016900010803FCC103FF51E003FF6060815D1C0000B883A01001EC40815654010803FCC47 -:20169800100001260021883A01408004010014040815654010803FCC100001260021883AC3 -:2016A000A0BFFFC41029883A10803FCC84803FCC10000A26903F9C26008000449C0001052C -:2016A800988000159809883A081576C010BFFFC4988002150815C88000000106903FF61E2F -:2016B0000815C4409005003ADFC00917DD000817DCC00717DC800617DC400517DC0004170D -:2016B800DEC00A04F800283A20800217DEFFF804DC000115DFC00715DD400615DD0005159E -:2016C000DCC00415DC800315DC400215DC0008171180313684BFFFCC90002F262080010324 -:2016C8002827883A3823883A1080020C300B883A1000011E300A927A0100144408156540A9 -:2016D00010803FCC100002260400008400001F06010019040815CC000500004405403FC4E2 -:2016D80001400044D809883A0815C300D8800003154005260815D1C0D8C0000300803F8483 -:2016E00018BFF11E000003060815CFC0153FF426003FF806008080841445C83A897FFFCCF2 -:2016E8001421C83A280002260009883A0815C300900B883A9809883A0815C300817FFFCC45 -:2016F0000009883A0815C3000021883A0815C4408005883A00000106008000C4DFC00717DC -:2016F800DD400617DD000517DCC00417DC800317DC400217DC000117DEC00804F800283A45 -:20170000018001B401406734010020B43186A004297F3004210410040816F7C1280D883AA5 -:20170800200B883A010020B42104100408170D01280D883A200B883A010020B421041004A7 -:2017100008170901F800283AD0A23B0300C020B418C4380410801FCCD0A23B0518800035E0 -:20171800F800283AD0E23B0300BFE0041884B03A00C020B4D0A23B0518C4380410803FCC45 -:2017200018800035F800283A01800A7401406734010020B4318CB804297F30042104100444 -:201728000816F7C1018001B401406734010020B43186A004297F3004210410040816F7C19E -:20173000D0A2451710000B1EDEFFFF04015A5E04213FFFCCDFC000150815ED80D0A24515C0 -:2017380008168F800005883ADFC00017DEC00104F800283A00800044F800283ADEFFFF04EC -:20174000DFC0001508169340D0E2451710C5803ADFC00017DEC00104F800283AD022451548 -:20174800F800283A20001B16000F883A28001616200D883A29001A2E0080080400C00044C1 -:201750000000010610000D26294B883A10BFFFC418C7883A293FFB360005883A1800072621 -:201758000005883A31400236314DC83A10C4B03A1806D07A280AD07A183FFA1E3800012611 -:201760000085C83AF800283A014BC83A39C0005C003FE7060109C83A01C00044003FE30680 -:2017680000C00044003FEE0620001716000F883A2005883A280012162900162E01800804DB -:2017700000C000440000010630000A26294B883A31BFFFC418C7883A293FFB361800052688 -:201778001806D07A114001361145C83A280AD07A183FFB1E380001260085C83AF800283ADD -:20178000014BC83A003FEC060109C83A01C00044003FE70600C00044003FF106200D883A64 -:201788002900152E280014160080080400C000440000020610000E2628000516294B883A2E -:2017900010BFFFC418C7883A293FFA36180008260005883A31400236314DC83A10C4B03A7A -:201798001806D07A280AD07A183FFA1EF800283A0005883AF800283A00C00044003FF40626 -:2017A0002005883A2900122E280011160180080400C000440000020630000C26280005164C -:2017A800294B883A31BFFFC418C7883A293FFA36180006261806D07A114001361145C83A73 -:2017B000280AD07A183FFB1EF800283AF800283A00C00044003FF7060005883A2000072625 -:2017B80020C0004C2008D07A180001261145883A294B883A203FFA1EF800283AF800283ABB -:2017C000218D883A218008262080000328C0000310C0022610C5C83AF800283A21000044AE -:2017C80029400044003FF7060005883AF800283A2005883A2007883A218D883A19800526F3 -:2017D0002900000318C0004429400044193FFFC5003FFA06F800283ADEFFF504DFC00915BC -:2017D800DC400815DC000715D9C00A15008020B410A20204144000172800040E008022C4F1 -:2017E0008880001500BFFFC400001C0600C08204D8C0000DD9000415D9000215280002260B -:2017E80028FFFFC4000001060007883AD8C00515D8C003151100001700FFFFC4D8C0008DB6 -:2017F00000C0207418D9A0042821883AD9C00A04D80B883AD8C00115D80006150816154085 -:2017F80000FFFFC410C0020E00C022C488C0001580000226D8C0041718000005DFC00917F5 -:20180000DC400817DC000717DEC00B04F800283A3000152631BFFFC4218D883A208000035B -:2018080028C0000311C03FCC1A003FCC39C0201C4200201C39FFE004423FE0043A00061E42 -:2018100021800426380003262100004429400044003FF2061007883A18C03FCC10803FCCEC -:2018180010C5C83AF800283A0005883AF800283A2005883A200F883A30000C262A000003F7 -:2018200038C0004431BFFFC43A00000542003FCC4200201C423FE00429400044180F883AB4 -:20182800403FF51E198D883A00000106F800283A30C003261800000518C00044003FFC06B2 -:20183000F800283A214B883A2005883A1140021E1105C83AF800283A10C00007183FFC26F6 -:2018380010800044003FF906DEFFFB04DC800315DC400215DC000115DFC004152025883A4A -:201840002823883AD98000053821883A04000A0E8880011701C00044D80D883A880B883AC5 -:201848009009883A103EE83A843FFFC4103FF72600BFFFC4000001060005883ADFC00417B9 -:20185000DC800317DC400217DC000117DEC00504F800283ADEFFE504D8C00804DDC01815A4 -:20185800DD801715DD401615DD001515DCC01415DC801315DC401215DC001115DFC01A153C -:20186000DF0019152029883A2823883A382D883AD9800F150021883AD8000E15D8000A15CA -:20186800002B883A0027883A0025883AD8000C15D8000B15002F883AD8C00915D8C00F174D -:201870001900000320803FCC1080201C10BFE00410011E2600C00044B8C014261DC0021612 -:20187800B80006260001150601400084B9401D26014000C4B9402B2600011006014009445B -:201880001140FC2688800117D900000501C00044D80D883A880B883AA009883A103EE83AFB -:201888001000D81E840000440001040601400C041140FA260140094411400A1ED880000541 -:2018900088800117B80F883AD80D883A880B883AA009883A103EE83A1000CA1E84000044F8 -:201898000000F50625FFF404BDC03FCC00C002441DC0093600BFFFC49080042601400284EC -:2018A0009009883A0815ED80000001060005883AB8A5883A0000E20601400B841140E42643 -:2018A80005C00084213FF40427003FCC00C002441F00093600BFFFC498800426014002845E -:2018B0009809883A0815ED80000001060005883AE0A7883A0000D90600C01B0410C0D2268E -:2018B800013FFFC499000226D8000B150000010604C0004401001A441100162620800916DA -:2018C000010018C4110088260100190411001126010016041100C81E00C00044D8C00E1535 -:2018C8000000150601001CC4110098262080041601001BC41100C01E0540020400000F064C -:2018D00001001D4411000D2601001E0411000A260000B906D8C00A17B70001041800072675 -:2018D800DF000D15B5C00017B800080E05EFC83A024000440000060605400404B0C001044B -:2018E000D8C00D15B5C00017D8000A150013883AD839883AB8001726A80B883AB809883A16 -:2018E800DA4010150815E1C0A80B883A1009883A102D883A0815ED80B885C83A00C00244C5 -:2018F000DA4010171880021610800C0400000506D8C00E171800022610800DC400000106D7 -:2018F800108015C4E0800005B02F883AE7000044003FE806E6EFC83A9DC5C83A0080090E37 -:20190000E085883A01400C04D8C00917E009883AE0C0032EE700004421400005E0BFFA1ED3 -:20190800E6EFC83AD8C00B174DD1883A922DC83A1800162648000A2600800B44D88008058D -:201910008880011701C00044D9800804880B883AA009883A103EE83A10004A1E84000044BD -:201918000580070EB00F883A01800C04880B883AA009883A08160E801000421E85A1883A3F -:20192000E02D883ABF2FC83A000020060580090EB00F883A01800804880B883AA009883A58 -:20192800DA40101508160E80DA4010171000351E85A1883A483FF22600800B44D880080555 -:201930008880011701C00044D9800804880B883AA009883A103EE83A10002A1E84000044BD -:20193800003FE706B5BFFFC4B080000301C00044D9800804D880080588800117880B883AB5 -:20194000A009883A103EE83A10001E1E8585C83AB5C9883AE085883A013FF2161021883AB2 -:20194800DD800D1700004406008000441480080E95FFFFC4B80F883A01800804880B883A84 -:20195000A009883A08160E8010000E1E85E1883AB080001701C00044D80D883AD8800005AC -:2019580088800117880B883AA009883AB5C00104103EE83A1000031E84000044B82D883A05 -:2019600000002D0600BFFFC400003106B5C00017B7000104B809883A081678809091C83A77 -:20196800102D883A0200090E400F883A01800804880B883AA009883ADA00101508160E803E -:20197000DA001017103FEF1E8221883A88800117B00F883AB80D883A880B883AA009883AAD -:20197800103EE83A103FE71E85A1883AE02D883A0000110600C0004404FFFFC4D8000E15F8 -:20198000D8C00A15054002849825883AD8000C15D8000B15182F883A00000806DDC00B1581 -:2019880005C000840000050600C00044D8C00C1505C000C400000106002F883AD8C00F17EF -:2019900018C00044D8C00F15003EDC068005883ADFC01A17DF001917DDC01817DD801717C2 -:20199800DD401617DD001517DCC01417DC801317DC401217DC001117DEC01B04F800283A2F -:2019A0002880000B10C0020C1800202628C0008FDEFFFD04DC000015DFC00215DC4001150A -:2019A8002821883A1800150E10C0800C180013262C40051789C0030E10C0200C180003260D -:2019B00000000E063C40010E3823883A81000417300B883A880D883A08167280808005173F -:2019B8001445C83A80800515808004171463883A844004150005883A0000060610801014E2 -:2019C0008080000D00BFFFC40000020600BFFFC4F800283ADFC00217DC400117DC000017B5 -:2019C800DEC00304F800283A2005883A218F883A290002361007883A00000C062987883AE9 -:2019D00020FFFC2E380B883A30CDC83A1989883A2000052618FFFFC419000003297FFFC49A -:2019D80029000005003FF906F800283A19C005262900000318C0004429400044193FFFC514 -:2019E000003FFA06F800283A2005883A10C000071800022610800044003FFC061105C83A23 -:2019E800F800283ADEFFFF0401002074014020B4DFC00015211FF604296206042140061EF3 -:2019F000010020740140207421000804294008042140121E00000B0600C020B418E2060491 -:2019F8001907C83A0005883A10FFF526114F883A39C00017110D883A1080010431C000150F -:201A0000003FF9060100207401402074211C8204295C82042140101E00000B0600C020745C -:201A080018C008041907C83A0005883A10FFF526114F883A39C00017110D883A1080010420 -:201A100031C00015003FF906081718C0DFC00017DEC0010408171FC100C0207418DFF604DE -:201A18001907C83A0005883A18BFF726114F883A39C00017110D883A1080010431C0001524 -:201A2000003FF906DEFFFF040009883ADFC0001508168B4008168D40D1A24617D1624717D4 -:201A2800D1224817DFC00017DEC00104081260C1081710C1DEFFFF04DFC0001508172000F5 -:201A3000008000441001703ADFC00017DEC00104F800283A008020B410840004D0A24A15A7 -:201A3800010020B40080673410BF30042121A804D0A249150816D9C1D0E24917D0A24A1740 -:201A400018000A2610C001040100020419000035013FFFD4110002351100033500800104EB -:201A4800188000350005883AF800283A00BFFFC4F800283AD0A2491710000926D0E24A1790 -:201A500018800404100000351080003718C005371806943A10BFFFCC1884303AF800283AD0 -:201A580000BFFFC4F800283AD0A24917F800283A20001D262804923A20C03017DEFFFD0406 -:201A6000DC400115DC000015DFC002152823883A2021883A108000D41880033580C03017C2 -:201A6800188000371080004C1000032601000044081710C0003FF906198000373007D0BA77 -:201A70003009D0FA18C001CC2100020C1908B03A3007D07A18C0040C1906B03A88C0042690 -:201A780000BFF4840000020600BFFA84F800283ADFC00217DC400117DC000017DEC00304F4 -:201A8000F800283A20000A26280009263000082620800C173080001520800C171000062660 -:201A880021000D04290000150005883AF800283A00BFFA84F800283A00BFFEC4F800283A3B -:201A90002005883A20001D262809883A28001B1610C0311728C0192E1140341728FFFFC4D3 -:201A98001906703A1800151EDEFFFE04DC000015DFC001151021883A0815E1C01004923A04 -:201AA00000C0403418FFC00410C4703A80C03017108000941880033580C0301718C00404B7 -:201AA800188000371080004C10000626008000441880003500BFFEC40000020600BFFA84E0 -:201AB000F800283ADFC00117DC000017DEC00204F800283ADEFFF504DC000115DFC00A158E -:201AB800DF000915DDC00815DD800715DD400615DD000515DCC00415DC800315DC40021553 -:201AC000DC000B1728003A163023883A300038162027883A20003626382B883A38003426C1 -:201AC800208031173080322E2880312E208034173147C83A10C7C83A1C002D3680002C1680 -:201AD00010BFFFC4114A703A2800291E0029883A05BFFFC405C000C4070001048000222621 -:201AD800DD800015890000CC20000626E105C83A8025883A1400012E1025883A8923C83AA4 -:201AE00000000406BC0002368025883A0000010604800104AD0B883A900D883AD909883A74 -:201AE8000815F30098802E17D8C00017A4A9883A84A1C83A8885883A10C000359880301759 -:201AF0001080040410C0003718C0008C1800042600C0008410C0003500BFFEC400000506BC -:201AF8008C400104003FDD060005883A0000010600BFFA84DFC00A17DF000917DDC0081755 -:201B0000DD800717DD400617DD000517DCC00417DC800317DC400217DC000117DEC00B0414 -:201B0800F800283A20001626DEFFF604DD000515DFC00915DDC00815DD800715DD40061511 -:201B1000DCC00415DC800315DC400215DC0001153029883A30000C262021883A20802E1702 -:201B180080C02F172823883A2885883A382B883A3889883A10C0042E20C0053600000206DE -:201B200000BFFA84F800283A00BFFA8400002406814034178809883A002D883A0815E1C09B -:201B2800102F883A80803317B8801C2EA8001B2684C0341704E7C83A9C66703A8CC002264B -:201B30008CE5C83A000001060025883A980B883A8009883A0816A4001000111E8080341738 -:201B380014A5C83AAC80012EA825883ADC800015A58F883A880D883A980B883A8009883A7A -:201B40000816AD401000061EACABC83AB4AD883A8CA3883ABDC00044003FE2060005883A2A -:201B4800DFC00917DDC00817DD800717DD400617DD000517DCC00417DC800317DC400217F2 -:201B5000DC000117DEC00A04F800283A200008263007883A3000062620802E17288B883A7E -:201B580020802F17394D883A2880012E3080023600BFFA84F800283ADEFFFF04380D883A02 -:201B60001809883ADFC000150815F3000005883ADFC00017DEC00104F800283A20004E26B0 -:201B68002080301710004E2620C03217DEFFFB04DFC00415DCC00315DC800215DC400115DC -:201B7000DC00001518001E1E1480023700C0030494803FCC90BFFAC418804336100490BAE1 -:201B780000C0207418DB7D0410C5883A108000171000683A00816E6000816E7000816E7880 -:201B800000816E6800816E2800816EE800816EE800816EE800816EE800816EE800816EE8CD -:201B880000816E3000816E38044080040000130604410004000011060442000400000F0657 -:201B9000148001370080058494803FCC90800A260080060490800426008005049080221E64 -:201B98000440080400000506044040040000030604401004000001060440200424C034174B -:201BA0002021883A8809883A980B883A0815ED8080C0311710C0021E80C0331788C002266E -:201BA80080000C150000100600C000448480361580C00C1580000D1580800E1584400F1505 -:201BB00084C01015D16025048009883A081719000005883A0000050600BFFA84F800283A66 -:201BB80000BFFB44F800283A00BFFB44DFC00417DCC00317DC800217DC400117DC000017AB -:201BC000DEC00504F800283A300001260005C03200FFFF042005883A28C6703A110DC83A15 -:201BC80030C0042E11800017300DC0F210800104003FFA06294000CC00C000C428C0041EAD -:201BD00010C0000B1807C0B2108000830000080600C0008428C0031E1080000B1005C0B2F9 -:201BD8000000040600C0004428C0021E108000031005C0720005C132F800283ADEFFFD04CD -:201BE000DC400115DC0000152823883A2021883A014001443009883ADFC002150815ED80F1 -:201BE800100B883A8809883A0815E1C010BFFFC480C00204180000350100004481000435CB -:201BF00011003FCC810000351004D23A10803FCC808001350080200418800035DFC00217E9 -:201BF800DC400117DC000017DEC00304F800283A3180004C294B883A298B883A2140033565 -:201C0000210004040080240420800035208000371080008C103FFD1E208000371004D1FA0B -:201C08001080004CF800283A20C004042800022600801A0400000106008008041880003550 -:201C1000188000371080008C103FFD1E20800337F800283A29403FCC2140033521000404F5 -:201C18003000022600801404000001060080040420800035208000371080008C103FFD1EFB -:201C2000208000371004D1FA1080004CF800283A21C004042805883A02000B0421000304A7 -:201C28001147C83A1980090E3A00003538C0003718C0008C183FFD1E2800022620C00037B7 -:201C300010C0000510800044003FF506F800283A21C003042805883A21000404020007044A -:201C38001147C83A1980080E10C0000338C000352200003520C0003718C0008C183FFD1E3F -:201C400010800044003FF606F800283A014AAAF4DEFFFE04296AAA84DC000015DFC00115EC -:201C48002021883A0815E1C010000F2601600034013555740007883A297FFFC4211555849F -:201C5000297FFFC4283FFE1E18C000448121883A18BFFB168405883A1421883A843FFFC456 -:201C5800803FFE1E000004068405883A1421883A843FFFC4043FFE160005883ADFC00117EA -:201C6000DC000017DEC00204F800283AF800283A20000226208002171000101ED0A024172F -:201C680010000926DEFFFF04DFC00015103EE83A00C0058410C0001500BFFA84DFC00017F8 -:201C7000DEC00104F800283AD0A24B0400C0058410C0001500BFFA84F800283A2880001712 -:201C780021400115208000152880001711000115290000150005883AF800283AF800283A81 -:201C8000000170FAF800283A73616C4672652068006573616F72726564252072000000008E -:201C880073616C4672772068006574696F7272650000007273616C4665762068206669728F -:201C90006C6961660000000053206F4E6163204464206472002E7465252073250063257505 -:201C9800252E75256B75322E25207A482E252E757A4875320000000064616F4C0000646550 -:201CA00064616F4C696166200064656C00007325746F6C5300752520657661530000006438 -:201CA80065766153696166200064656C4353534F776620207525202E322E252E0000617542 -:201CB00074696E49727265202020726F000064252020202053204F4E00434E59343130322C -:201CB8003130322D6D202037737172610000000074736554746170206E72657400000000F3 -:201CC0003A31564142475220000000533A31564173475220000000423A3156416250592017 -:201CC800000072503A32564162505920000072503A32564173475220000000423A335641D5 -:201CD00042475220000056483A33564142475220000000533A335641734752200000004297 -:201CD8003A33564162505920000072507473614C6573752000000064008172F000817300BF -:201CE0000081730C0081731800817324008173300081733C00817348008173540081736094 -:201CE8000081736C00000000000100000101000000010001000000000110000044060B0011 -:201CF000000100030001000080808000001A1A1A7365725000000073666E6F43006D726926 -:201CF8006D73694D686374616572202C00797274646F4D567325203A00000000203A434CC3 -:201D000056207525203A4D5300007525656E694C746C756D646F6D2000003A65736572701C -:201D08002D312073000000352078752575736E75726F707000646574666F725020656C69A9 -:201D100064616F6C0000003A736572702D3020730000003900000031000000320000003360 -:201D180000000034000000360000003700000038554E454D0000000000004B4F4B434142F2 -:201D200000000000000050554E574F44000000005446454C0000000048474952000000541D -:201D28004F464E49000000005F44434C4B4341424847494C000000544E414353454E494C67 -:201D3000444F4D5F000000454E414353454E494C5059545F000000454E414353454E494CD4 -:201D3800544E495F0000002B4E414353454E494C544E495F0000002D454E494C544C554DE8 -:201D4000444F4D5F000000455341485000002B455341485000002D45464F52505F454C4955 -:201D48004B544F4801005945030F031F3E2903033E693EA93E193EE93E593E993E393ED9FD -:201D50003E4D3EC93EED3E1D3ECD3E2D3E6D3EAD3E013E651C181C481CD01C505E581CC8E3 -:201D58003EB95ED800817454008174580081745C0081746000817424008174640081746833 -:201D60000081746C0081745000817BB000817470008174780081747C0081748400817488D8 -:201D68000081749000817498008174A0008174A8008174B8008174C8008174D8008174E803 -:201D7000008174F80081750800817510008175184353534F0000000061766E492064696C35 -:201D780067616D690000006561766E492064696C646165680000726561766E492064696C11 -:201D80002072646800435243696C6156697461646420676E0061746162207525736574796F -:201D88000000000061766E492064696C617461644352432000000000252E75252575322EDB -:201D900000732573636E6143656C6C650000006461647055676E69740057462061656C7012 -:201D9800772065732E74696100002E2E697265566E6979666C662067006873617274655276 -:201DA000676E6979647075200065746161647055203F65742C593D314E3D32200000000037 -:201DA800202020200000752564206425000067656C20752573656E6900000000252E752566 -:201DB0002075322E000073756D20642500000056702075256C657869000000732525752597 -:201DB80000000000656469566E69206F6F7270203E20206300000000706D6153676E696C90 -:201DC00074706F203E20202E00000000636E795374706F202020202E3E20202000000000A8 -:201DC8007074754F6F207475202E74703E2020200000000074736F506F72702D20202E6316 -:201DD0003E20202000000000706D6F436269746174696C693E2020790000000069647541FA -:201DD800706F206F6E6F69743E20207300000000616F4C3C727020646C69666F003E2065A7 -:201DE0007661533C727020656C69666F003E20657365523C73207465697474653E73676E9B -:201DE80000000000657365526F6420740000656E2E77463C64707520206574613E2020208A -:201DF000000000002D204B4F736C70207365722074726174000000006C69616600006465F3 -:201DF8006E776F446D61732D6E696C70000000677061775366656C2069722F7400746867F8 -:201E00006C6C75462058542075746573000000702033564165746E6963616C72786966652A -:201E0800000000006E616353656E696C000000736E616353656E696C727473200000002E46 -:201E10006E616353656E696C70797420000000656E616353656E696C696C61202E6D6E67B0 -:201E18000000000069726F48746E6F7A6D206C61006B7361747265566C61636973616D2019 -:201E20000000006B6B73614D697262206E74686700737365703034323838322F7270207009 -:201E28000000636F703438336F72702000000063693038343637352F727020690000636FD2 -:201E3000703038343637352F727020700000636F693036393830312F7020693000636F7234 -:201E3800656E694C6D2078320065646F656E694C6D2078330065646F656E694C6D207834DA -:201E40000065646F656E694C6D2078350065646F656E694C66207835616D726F0000007472 -:201E4800783635322030343265707361000074636D2058540065646F494D4448435449209C -:201E50000000000074696E49206C616975706E69000000746C616E417320676F20636E7909 -:201E58000046504C6C616E417320676F20636E79006874566E7973486F7420636172656C5B -:201E60000065636E6E797356687420636873657200646C6F4C502D487250204C6F432D65A9 -:201E6800007473614C502D486F50204C432D74737473616F00000000706D6153676E696C8D -:201E7000616870200000657370303834206E6920706D61730072656C6F6C6C415654207741 -:201E78005048205078324C4C000000007664413C6974202E676E696D3E2020200000000035 -:201E800065646956504C206F00000046506250596E6920726C6F43200061705372502F52E0 -:201E880066666F200074657320592F477366666F0000746562502F4266666F200074657353 -:201E900072502F52696167200000006E20592F476E6961670000000062502F42696167202E -:201E98000000006E73202E486C706D61746172650000006573202E486C636E7900006E6566 -:201EA00062202E48706B63616863726F0000000061202E48766974630000006573202E56B6 -:201EA8006C636E7900006E6562202E56706B63616863726F0000000061202E567669746385 -:201EB000000000652066664F207366283639203D297A486B00000000202078322073662824 -:201EB8003834203D297A486B0000000000706F5474746F4200006D6F69726F48746E6F7AE6 -:201EC00000006C61747265566C6163690000000065746C4174616E7200676E690066664F67 -:201EC8006F74754100000000756E614D00006C61494D4448000000000049564400373A3855 -:201ED000737361507572687400000000656E694C00007832656E694C2820783229626F6290 -:201ED80000000000656E694C282078336563616C00002964656E694C2820783429626F6275 -:201EE00000000000656E694C00007833656E694C00007834656E694C00007835303239317A -:201EE800383031780000003030303631303231780000003030323931303231780000003090 -:201EF000656E65472063697200333A3478303233203034326974706F00002E6D7836353225 -:201EF800203034326974706F00002E6D656E654720636972393A363100000000484D33330B -:201F00006D28207A00296E69484D30316D28207A002964654D352E3228207A482978616D20 -:201F08000000000020565444703038340000000041534556303436203038347800303640FC -:201F10002E63655231303620000000002E6365523930372000000000484D35394828207A9D -:201F18002056544400294949484D35334828207A2056544400002949484D36314528207A56 -:201F200029565444000000007A484D39445328200029565400006E4F4452535500415441BF -:201F28000000002000817C400000200020002CE5E926F4FD38BC20000000000000817C4C8E -:201F3000000020002000323EF113FA043B6120000000000036333531303432780600000040 -:201F380007FE00F00FEA01060112039632312002327830380000303400F0050001060618D3 -:201F400003480FAA04420112783036390030343203C00000049200F00F800106011203364C -:201F480032330082343278300000003000F00140010601AA031F0E3149100112783635328F -:201F50000030343201000000015500F00E2701060112031934329220000070300000000071 -:201F580000F002D00106035A033E0F39000E011236333531303432780600004C07FE00F075 -:201F600029EA013801120396323120023278303800003838012005000138061803480FAAE1 -:201F680004420112783036390038383203C00000049201200F8001380112033632330082D2 -:201F70003432783000424C3000F00140013801AA031F293149100112783635324C30343291 -:201F780001000042015500F02927013801120319383292200000703800000000012002D051 -:201F800001380360033F1345000E0112703438330000000001F00000028001801D3201A7F0 -:201F88000204033E3436000E38337830000000340180028001EC032002603F30000E02102F -:201F9000693038340000000002D00000035A00F00F39020D0312033E3834044F0000703001 -:201F98000000000001E002D0020D035A063E1E3C000C041478303436003038340280000018 -:201FA000032001E02130020D051402603436000C31357830000000320200028002380320AB -:201FA80002601C3000040010693637350000000002D0000003600120134502710312033FD4 -:201FB0003735044F0000703600000000024002D00271036005402744000C040478303038EE -:201FB80000303036032000000420025817580274001004803237000400007030000000004C -:201FC00002D0050002EE0672052814DC000400183432303138363778040000000540030059 -:201FC8001DA003260010068832310004317830380034323004000500042A0698037026F801 -:201FD00000040010783034366930363902800000032001E02130041A061402603031000DE4 -:201FD8000069303800000000021C078004650898052C1094000D0618303830310000007031 -:201FE0000780000008980438249404650018052C36310004317830300030303204B0064014 -:201FE80004E2087003F12EFF00040010FFFFFFFFFFFFFFFF0000FFFF7665642F6370652F7B -:201FF0006F635F716F72746E72656C6C615F305F6D5F6C7600006D650000000000000000EE +:20003800D8000385D80003C5081042C0DFC00417DEC00504F800283ADEFFFC04DC00001505 +:20004000040020B484041804DC80021504801304DC400115900B883A2023883A000D883AB3 +:200048008009883ADFC0031508169E4089403FCC8009883A000D883A0816A48004400044E2 +:20005000900B883A8009883A880D883A08169E40880B883A8009883ADFC00317DC800217C7 +:20005800DC400117DC000017DEC004040816A1C1DEFFFC04DC000015040020B484041804F1 +:20006000DC800215DC4001152025883A2823883A8009883A000D883A01401304DFC003159E +:2000680008169E4091403FCC8009883A000D883A0816A4800180004489403FCC8009883A60 +:20007000DFC00317DC800217DC400117DC000017DEC004040816A481DEFFFF0421003FCC26 +:20007800DFC0001508100F80DFC00017DEC00104F800283ADEFFFF0429403FCC21003FCCDA +:20008000DFC00015081017000005883ADFC00017DEC00104F800283ADEFFFB04DCC0031573 +:20008800DC800215DC400115DC000015DFC004152825883A3027883A2023883A2821883AD2 +:200090008485C83A14C0060E8140000389003FCC84000044081017008C400044003FF806C1 +:200098000005883ADFC00417DCC00317DC800217DC400117DC000017DEC00504F800283A74 +:2000A000DEFFFF040140FA04DFC0001508158CC01009883ADFC00017DEC0010408162A4147 +:2000A800DEFFFF04000B883A010003C4DFC0001508101F40000B883A01001844DFC00017B8 +:2000B000DEC0010408101F41DEFFFD04DC000015040020B484228B048140008301000144AF +:2000B800DFC00215DC40011508101F40808000838100030300FFFEC41004D1FA20C6703A8F +:2000C000044001041085883A1085883A1884B03A01400F448809883A8080030508101F403D +:2000C80001000044081028008809883A0140074408101F4001400C040100184408101F4018 +:2000D00001402C840100024408101F4001403E040100028408101F4001400DC4010002C407 +:2000D80008101F40000B883A010003C408101F40000B883A0100324408101F40000B883AFD +:2000E0000100328408101F40000B883A010032C408101F40000B883A0100330408101F401B +:2000E800000B883A0100334408101F40000B883A0100338408101F40000B883A010033C47B +:2000F00008101F40000B883A0100340408101F408140010301003844DFC00217DC400117CE +:2000F800DC000017DEC0030408101F41DEFFFE04DC000015040001048009883ADFC00115FF +:2001000008101D80108002148009883A11403FCC08101F4001400C0401001844DFC0011701 +:20010800DC000017DEC0020408101F41DEFFFE04DFC00115DC00001521003FCC2000021ED7 +:20011000000B883A00003B062821883A28003D2601400044010003C408101F40814000C3E3 +:200118000100160408101F40814001030100164408101F40814001430100168408101F4087 +:2001200081400183010016C408101F40814001C30100170408101F408140020301001784AE +:2001280008101F4081400243010017C408101F40814002830100180408101F40814002C387 +:200130000100184408101F40814003030100188408101F4081400343010018C408101F40A5 +:20013800814003830100190408101F40814003C30100194408101F40808000C4000B883ADE +:200140008400040410C000031080004428CBC83A143FFC1E29401BC429403FCC01001744F2 +:2001480008101F40000B883A010003C408101F40014000C40100334408101F40008000445C +:20015000000001060005883ADFC00117DC000017DEC00204F800283ADEFFFD04DC0000154A +:20015800000B883A2021883A010003C4DFC00215DC40011508101F40044030448809883A85 +:2001600008101D8084003FCC800AC03A10803F8C8809883A114AB03A08101F40014000C448 +:2001680001003184DFC00217DC400117DC000017DEC0030408101F41DEFFF904DCC0031537 +:20017000DC800215DC4001152025883A2823883A010001040140074404C020B49CE28B047F +:20017800DD000415DC000015DFC006153821883ADD4005153029883A08101F4098C0030384 +:2001800081C0004C00BFFF441884703A39CF883A11CEB03A99C00305988003171080008C48 +:2001880010000226010000440810558001001C049C0000C308101D80108000CC8D4000CCC3 +:2001900000C00044100B883AA8C0052600C00084A8C0041E017FE004114AB03A000001065D +:20019800114010148080020C10000126294008148080004C10000126294004148080008C78 +:2001A00010000126294002148400010C800001262940011429403FCC01001C0408101F40C7 +:2001A800A800131EA50000CC00800044A080082600800084A0800E1E88803FCC1080201CAC +:2001B00010BFE004100050160029883A00004F0688803FCC1080201C10BFE0048D00100C8B +:2001B80010004A0E00BFE8041528B03A000047060029883A0021883A01001C8408101D807C +:2001C0001080070CA420B03A140AB03A29403FCC01001C8408101F40988003171080008CEC +:2001C800100002260140004400000106000B883A0100300408101F4001400544010001044A +:2001D00008101F40014004040100184408101F4094803FCC008000849080081E01402204BB +:2001D8000100188408101F4001400404010018C408101F4001402104000007060140060498 +:2001E0000100188408101F4001400404010018C408101F40014003040100190408101F4071 +:2001E80001000044081028000100010408101D801140074C0100010408101F400100190478 +:2001F000081028000100010408101D801140054C0100010408101F4004001904010003842C +:2001F80008101D801080040C1000321E010000440810280080BFFFC41021883A10BFFFCC1E +:20020000103FF61E00002B06053FE8048C400C0C008008048880081E018000C4D16000C442 +:2002080001001CC4081021800140207401800484295E71840000090600800C0488800B1E12 +:20021000018000C4D16000C401001CC4081021800140207401800484295E688401001D8407 +:200218000810218004000084003FA306008004048880081E018000C4D160000401001CC48C +:20022000081021800140207401800484295E6404003FF206018000C4D160000401001CC4A6 +:20022800081021800140207401800484295E6D04003FEA06000B883A0100030408101F40AC +:20023000014010040100034408101F40014000440100038408101F40000B883A01000384C1 +:2002380008101F4008102A0000800044DFC00617DD400517DD000417DCC00317DC80021711 +:20024000DC400117DC000017DEC00704F800283ADEFFFD04DC400115DC0000152823883A66 +:200248002021883A000B883A010003C4DFC0021508101F400100164408101D808C403FCCEA +:2002500010800BCC8800051E81403FCC280A91BA29400414114AB03A00000106100B883A89 +:2002580029403FCC01001644DFC00217DC400117DC000017DEC0030408101F41008020B467 +:2002600010A0110410C03217D0A22F151800042610803517108040180085C83AF800283A03 +:2002680000BFFFC4F800283ADEFFFD04DC400115280F883A2823883A200B883AD1222F175E +:20027000DC000015DFC002153021883A0816740010000B1E800D883A8463883A3440062651 +:20027800308000031005C2721005D63A3180004430BFFFC5003FF9060005883A0000010691 +:2002800000BFFFC4DFC00217DC400117DC000017DEC00304F800283ADEFFFA04DC800315AF +:20028800DC400215DC000115DFC00515DCC0041530803FCC2023883A2825883A3021883AE1 +:20029000100003268805883A8C89883A00001706300A923AD1222F17081643401027883AF9 +:20029800103FF82601402074010020B401800444295AFF04212292040815A64001802074EF +:2002A000010020B4980F883A319B02040140044421229204081594C0008020B410A29A8437 +:2002A8001000000500FFCE0400001D061100062610C000031807C2721807D63A10800044C7 +:2002B00010FFFFC5003FF906800AD23A800C923AD1222F17280A943ADC800015880F883A2C +:2002B80008164C800007883A10000D2601402074010020B401800444295B05042122920457 +:2002C0000815A64001402074010020B401800444295B080421229A840815A64000FFCDC424 +:2002C8001805883ADFC00517DCC00417DC800317DC400217DC000117DEC00604F800283A24 +:2002D000DEFFF904DD400515DD000415DCC00315DC800215DC000015DFC00615DC40011503 +:2002D8002825883A3029883A3827883A0021883A0007883A0540400484800F2E9423C83A8C +:2002E000AC40012E04404004980D883A880B883A8009883A08109A801000141E800D003A19 +:2002E800880B883A9809883A08168FC01007883A84004004003FF0060005883A1D000C26E5 +:2002F00001802074010020B4319B0A040140044421229204081594C0008020B410A29A8433 +:2002F8001000000500BFCD440000010600BFCD84DFC00617DD400517DD000417DCC0031747 +:20030000DC800217DC400117DC000017DEC00704F800283ADEFFFD04DC0001152021883A6B +:20030800010020B421228F04DFC0021508152C0010803FCC10000B2601802074010020B465 +:20031000319B0F040140044421229204081594C0008020B410A29A8410000005008000441E +:200318000000090600808004010020B4D8800015000F883A000D883A800B883A21228F04AD +:2003200008154DC010803FCCDFC00217DC000117DEC00304F800283A014020B4010020B463 +:2003280029629A84212292040813D1C1014020B4010020B42962B004212296440813D1C193 +:20033000DEFFFC04DC0001152021883A01000044DFC00315DC4002150810558008103EC0A9 +:20033800000B883A0009883A081042C084003FCC800F003A000D883A000B883A01000044BA +:2003400008105B8080000D1E040020B484229F04044020B4808003C38C62B44489C00503C9 +:20034800D8800015000D883A000B883A0009883A081005C08880050380800AC50009883A3A +:20035000DFC00317DC400217DC000117DEC0040408105581DEFFFD04DFC00215DC40011551 +:20035800DC00001521003FCC2000271ED0A2314300C0020410C01D2600C0040410C0062680 +:2003600000C0010410C01C1E0009883A081463000100004400002E06040020B484229F04CA +:200368008140011701006734213F30040815810081000287014006841023883A08158CC09B +:2003700000C020B418E2D00410C5883A1140000B8809883A08158CC000C072B418F0DFC4CB +:2003780018800236010003C4000001060009883A08146300000015060009883A0814630017 +:200380000009883A00001206008020B410A2B44410C00783044000841021883A1C400226E3 +:200388000009883A00000106010003C4081463008100078320803FCC8880042E0080014487 +:200390001109C83A21003FCC00000106010000C4DFC00217DC400117DC000017DEC00304B5 +:20039800081400C1DEFFF50400C020B4DFC00A15DF000915DDC00815DD800715DD400615D8 +:2003A000DD000515DCC00415DC800315DC400215DC0001150089C40418C4300419800037C7 +:2003A800054020B4AD4430043000020E10BFFFC4103FFA1E29403FCC21003FCC040020B446 +:2003B0000814B00084229F04102D883A808002C310000426AC4000378823D43A8C4000CCA6 +:2003B800000001060023883A01000DC408140F4001000E041027883A08140F401025883A8E +:2003C000108003CC1004923A01000E4414E6B03A9004D1BA1080004C8080024508140F40FA +:2003C80001000E841029883A08140F40A8C00037010000848C403FCC89000E26010020B48F +:2003D00021229F04210002C32000021E010063C424C0082E010000448900041E1827D4BA02 +:2003D8009CC1FFCC9927883A000005069480080C9000032698C0322805400044000002062C +:2003E00098C06428002B883A814002C3048020B4B1003FCC94A2B4442800131E20002126A4 +:2003E80018002026D0E230030140008419003FCC2100201C213FE0042900070E00C020B456 +:2003F0000100004418E29F04D0223005190002C5044001040000160618C00044D0E230059C +:2003F8000023883A000012062000012618000D1ED1223003017FFF0421803FCC3180201C1C +:2004000031BFE0043140040ED0223005800002C50440010400000506213FFFC4D122300578 +:2004080000000106D02230050023883A18008726108003CC1004923A80C000171528B03A3F +:2004100019000044008020B410A29F0424C007369900004420C0053610C00117A0C0031E44 +:2004180010C00203A8803FCC18800226D02234C500000C06D0E234C30080004419003FCC6E +:20042000208008261887883AD0E234C518C03FCC1880041E88803FCC00C0008410C0012EEF +:200428001823883A9140028380800843010020B400C020B42122B44418E29F042880281EE7 +:20043000214002C3188008832880251E21400303188008C32880221E21400343188009037B +:2004380028801F1E214003831880094328801C1E21400143188007032880191E2140018307 +:20044000188007432880161E214001C3188007832880131E21400203188007C32880101E20 +:20044800210002431880080320800D1E914005C381000B8300C020B4008020B418E2B4443E +:2004500010A29F042900061E1940060311000BC32900031E18C0088310800E431880042659 +:2004580088803FCC00C0008410C0012E1823883A90C0054380800B0318800F26008020B46A +:2004600010A29F04110002870140068408158CC000C020B418E2D08410C5883A10C0000B05 +:20046800008083441880041E88803FCC00C0008410C0012E1823883AD0A235C31000202660 +:2004700085C0028701400684058020B4B809883A08158CC0B5A2CC8487000403B085883A62 +:200478001140038BE009883A08158CC0173FFFCC80800B83A0FFFFCC10000A26014006844D +:20048000B809883AD8C0001508158CC0B085883A1180060BD8C00017300CD07A3180004CF8 +:2004880000000106000D883A180B883AE009883A0814364088803FCC1000011E0440004492 +:2004900084C00015850001158540020591400003810005C300C020B4008020B418E2B44495 +:2004980010A29F042900181E19400043110006032900151E19400083110006432900121EEF +:2004A000194000C31100068329000F1E19400403110009C329000C1E1940044311000A03E2 +:2004A8002900091E1940048311000A432900061E194003C3110009832900031E18C008C3B0 +:2004B00010800E831880032688803FCC1000011E044000449100058380800B4304C020B481 +:2004B8009CE29F04208006269940040308146CC09880044588803FCC1000011E04400044E4 +:2004C0009100068380800C432080012608147DC0910006C380800C832080012608142E8019 +:2004C8009100070380800CC32080012608142F40910007C380800D832080071E008020B454 +:2004D00010A2B44410C00803008020B410A29F0410800DC3188002269140080308142B405B +:2004D8009100064380800C03208006260140060408158CC001002074211D97042089883AC2 +:2004E000081451809100078380800D43208001260810D5409100074380800D0320800126FE +:2004E80008146780014020B4010020B4018001842962AE042122BD8408158F401000031E23 +:2004F000010020B42122AE0408143000014020B4010020B401800AC42962B4442122A4C46F +:2004F800081592408805883AD02235C5DFC00A17DF000917DDC00817DD800717DD4006178A +:20050000DD000517DCC00417DC800317DC400217DC000117DEC00B04F800283ADEFFF004B4 +:20050800DC400715044020B48C629F048A40028701400684DF000E154809883ADD400B1582 +:20051000DD000A15DCC00915DA400215DFC00F15DDC00D15DD800C15DC800815DC00061574 +:2005180008158CC0054020B488C005C3AD62CC84A885883A010000848F00040312C00503EE +:200520001500030B14C004C3DA4002171900031E8C8006039480004400000E0601000044CA +:2005280019000B1E10C0060B1906703A890003031800032620000626048000C40000050658 +:2005300020000326148005C394800118000001060025883A88C0050B008020B40102000438 +:2005380010A29F0419001B2620C00D360100080419001A2620C003360080040418801526F7 +:200540000000310601004004190019260100800419002D1E1080098304000104000016069D +:20054800010800041900162620C00536010400041900251E108009830400014400000E0638 +:200550000110000419001326012000141900162600001D060400010400001E0614000983AA +:20055800008000C41421C83A8421883A00001906040000C40000170680A1C83A000015065F +:20056000108008031000101EA53FFA049CC0030400000D061080080310000D1EA53FFA0492 +:200568009CC0030400000A0610C00983108008030400018480E1C83A1000061EA53FFA0407 +:200570009CC0030400000306E021883A0000010604000144D0E23143008002041880011E89 +:200578005AD7883A81803FCCE5C03FCCB985C83A4809883A01400684D9800515DA400215F2 +:20058000DAC00115D880001508158CC0A885883A1280028BD9000017500B883ADA80031548 +:2005880008158CC0102CD7FA890009C381403FCCB085883A102DD07A08158CC0DA400217A2 +:20059000DAC00117B08F883A4809883A01400684D9C004155C3FFFCC08158CC0A885883A4A +:2005980012C00483D940001782C9883ADAC0011508158CC0B085883AB80B883A113FFFCCFD +:2005A00008157180E1003FCC100B883A08158CC088C00803DAC00117B809883A1A400058C1 +:2005A8004812977A580B883AD8800115DA40021508158CC0DA8003178F000343B809883ACF +:2005B000500B883A10807FCCE03897BA102C92FA08158CC01081FFCC800B883AB809883A6D +:2005B8001738B03A08158CC088C00483DA400217B72CB03A10C5C83A10803FCC1004953A5D +:2005C000B086B03A008020B41A46B03A10842C0410C0003588800443D9800517D9C004171C +:2005C8001006937A88800403300C943A380E94FA100492BADA0001171884B03A118CB03AA4 +:2005D00031CCB03A423FFFCC008020B4320CB03A108428041180003588C0030388800683F7 +:2005D800890002871806977A100496BA014006841886B03A88800A031004953A18A0B03A71 +:2005E00008158CC0A885883A108005431004947A8086B03A900496FA18A4B03AA0BFFFCCC5 +:2005E80090A4B03A98BFFFCC100492FA90A4B03A008020B4108424041480003588800E8383 +:2005F0001006923A88800A431004913A1884B03A88C0064310C4B03A00C020B418C420046C +:2005F80018800035DFC00F17DF000E17DDC00D17DD800C17DD400B17DD000A17DCC0091713 +:20060000DC800817DC400717DC000617DEC01004F800283ADEFFF304DC000415040020B47F +:2006080084229F04DC4005158440011700800044DFC00C15DDC00B15DD800A15DD4009157F +:20061000DD000815DCC00715DC800615D0A234C58800162684C000179800142601006734A9 +:20061800880B883A213F3004081581001025883A8080020301283BF4980B883A212EC0046F +:200620001000042608158100880B883A1009883A0000030608158100880B883A1089883A5B +:20062800081581001023883A000002060445DC04048F550401000EC408140F4001000F04B0 +:200630001027883A08140F408080058300C0207418DB5B041085883A1085883A1885883A0B +:2006380011C000178080020380C000171000021E00801A440000010600801C040180207494 +:20064000010020B4D8C00015319B13040140044421229644D8800115081594C00140190452 +:200648008809883A081581000140FA049009883A1029883A08158100014019048809883ABD +:20065000102B883A081587400140FA049009883AD8800215DD000115081587400140028402 +:200658001009883A0815810001802074010020B4D8800015A80F883A319B150401400444CB +:200660002122B004081594C0D0A23B831000011E0810CAC081000017D1E235838140020348 +:20066800A00D883A044020B40814B70011003FCC2100201C213FE00400FFFFC48C629F0408 +:2006700020C0011E00800104888002858480028301400684054020B4D4A2310594803FCC1F +:200678009480201C94BFE0049009883A08158CC0AD62CC84A885883AD0E235831440058383 +:20068000858004038500011788E2703AD462314515C0038BB1403FCC9CC03FCCB93FFFCC68 +:2006880008158CC09809883A100B883A08158CC0A00B883A1009883A08158100B1003FCC9E +:20069000B80B883A1027883A08158CC015BFFFCC80800B838C403FCCA53FFFCC1000082672 +:20069800014006849009883A08158CC0A885883A11C0060B380ED07A39C0004C0000010606 +:2006A000000F883A80800CC39CC03FCCA00D883AD880031580800DC3B00B883A8809883AB4 +:2006A800D880021580800D83DCC00015D880011508148A4081000D430810D54081000B4361 +:2006B0008140040308146CC080800445081141C080800A83810003831000051E008020B49C +:2006B80010A29F04114003C3290B003A00000106000B883A0810910080C00E03008020B426 +:2006C00010A29F0481000A8318000B26DFC00C17DDC00B17DD800A17DD400917DD00081736 +:2006C800DCC00717DC800617DC400517DC000417DEC00D040810CC012000061E11C00AC33A +:2006D000108003C3000D883A000B883AD8800015081005C0DFC00C17DDC00B17DD800A17CF +:2006D800DD400917DD000817DCC00717DC800617DC400517DC000417DEC00D04F800283A5E +:2006E00020803FCC00C003C410C00E2600C0040410C0112600C002C4D122344310C0271EF0 +:2006E800DEFFFE04DC000015DFC0011508139FC01021883A10000F2601C0207439DB1D0431 +:2006F00000000E06D0A2344310C03FCC1800072610BFFFC400000506D0A234430100020440 +:2006F80010C03FCC20C0013610800044D0A23445F800283A01C0207439DB1B04018020743A +:20070000010020B4319B20040140044421229A84081594C00810C9808000021E010003C4F0 +:20070800081383C00100023421284804DFC00117DC000017DEC0020408162A41200F883ADF +:2007100001802074010020B4319B21040140044421229A84081594C120803FCC00C003C460 +:2007180010C00E2600C0040410C0112600C002C4D122344310C0271EDEFFFE04DC00001519 +:20072000DFC00115081383C01021883A10000F2601C0207439DB250400000E06D0A23443DF +:2007280010C03FCC1800072610BFFFC400000506D0A234430100020410C03FCC20C0013612 +:2007300010800044D0A23445F800283A01C0207439DB230401802074010020B4319B200426 +:200738000140044421229A84081594C00810C9808000021E010003C4081383C001000234E8 +:2007400021284804DFC00117DC000017DEC0020408162A41200F883A01802074010020B452 +:20074800319B21040140044421229A84081594C121003FCC008003C420802826008004045B +:2007500020802B26DEFFFD04DC000015DFC00215040020B4DC400115008002C48422CC84CD +:2007580020802A1ED462310301400684D46234858C403FCC8809883A08158CC08085883A1B +:2007600010C0038B014006848809883AD0E2338D10C00503D0E2368D10C00483D0E2308D78 +:2007680010C0028BD0E2330D10C00543D0E2318D108004C3D0A2350D08158CC08085883A5F +:200770001080030BD0A2328DDFC00217DC400117DC000017DEC00304F800283AD0A2310316 +:2007780010C03FCC1800072610BFFFC400000506D0A231030100068410C03FCC20C0013681 +:2007800010800044D0A23105F800283AD12231030140068408158CC0010020B4018004448A +:20078800808B883A21229A84DFC00217DC400117DC000017DEC003040815A641DEFFFA04C0 +:20079000008020B4DD000415DCC00315DC800215DC400115DC000015DFC0051510A29F04A7 +:2007980010C002C3040020B42029883AD46234838422CC84D4E2308BD4A2350B1800212660 +:2007A0001080028714401F1E8809883A0140068408158CC08085883A1100038BD0E2338B32 +:2007A80020C0161E11000503D0E2368B20C0131E1100048398C03FCC20C0101E1100028BD9 +:2007B000D0E2330B20C00D1E11000543D0E2318B20C00A1E10C004C390803FCC1880071EF0 +:2007B800014006848809883A08158CC08085883A10C0030BD0A2328B188002260080004442 +:2007C000D0A235C58809883A0140068408158CC0D0E2338B8085883A8809883A10C0038D3C +:2007C800D0E2368B14C004850140068410C00505D0E2330B10C0028DD0E2318B148004C582 +:2007D00010C0054508158CC0D0E2328B01802074010020B48085883AA1FFFFCC319C260404 +:2007D8000140044421229A8410C0030DDFC00517DD000417DCC00317DC800217DC40011725 +:2007E000DC000017DEC00604081594C1DEFFFE04DC000015040020B4DFC0011584043804CB +:2007E800008004448080003580000035008020B410842C0410000035008020B410842404D2 +:2007F000100000350109C40408162A4000BFF444D0A232050080344480800035010000F488 +:2007F8002103500408162A40018001B401406734010020B43186A004297F30042104180482 +:20080000081697000813C3400813F940100022260009883A08140F4000C03FC410C020264A +:20080800081474C00100008408101D8010803FCC00C004C410C01C1E08102C80081097C0E6 +:200810001021883A10001A1E0812578001402074010020B401800D84295BC8842122BF040A +:2008180008159240010003C408139FC0D122344308139FC0008020B41084340410800037C4 +:20082000108000AC1000011E08125E800009883A0810CC0000000706043FFF8400000506C8 +:20082800043FFF4400000306043FFF0400000106043FFFC48005883ADFC00117DC000017DD +:20083000DEC00204F800283ADEFFFF04DFC000150811814008142680008020B410A2B4447C +:20083800110004C3DFC00017DEC001040810CC01DEFFF504DFC00A15DF000915DDC008153F +:20084000DD800715DD400615DD000515DCC00415DC800315DC400215DC0001150811F8C0D1 +:2008480010001B160080138401802074010020B4000F883A319B28040140044421229644DF +:20085000D8800015081594C001402074010020B401800444295B34042122B0040815924095 +:200858000810CAC00100023421284804058020B408162A40B5A2B444B0800903010002445F +:2008600010C03FCC20C01136D0A2340500000F0601802074010020B4100F883A319B2D04EE +:200868000140044421229644081594C001402074010020B401800444295C35042122B00431 +:200870000815A6400810CAC0003FFF06054020B40700207405C020B40029883A0023883A62 +:200878000021883A0025883AAD629F04E71B5B04BDE2B44400C020B418C434041880003775 +:2008800010FFFFCCD0E239150086303A1004D63A18C000ECD0E23A15D0A23B0510000626B7 +:2008880010FFFF8418C03FCC010000C420C0022ED0E2380310C0011ED02239150812790057 +:20089000D0A23B83100002260009883A081339C0A8C00583D0A2340304C020B49CE29F04AF +:200898001880011ED0223405D0E234030100020418BFFFC410803FCC20803A36100490BACB +:2008A000010020742108A5041105883A108000171000683A008122B8008122C8008122E057 +:2008A800008122F0008123000081231400812324008123340081234C008003C4D0A235853E +:2008B0000023883A00000306008003C4D0A2358504400084040000440025883A00002306A7 +:2008B800008003C4D0A23585044000C4003FF906008003C4D0A23585044000C400000306E3 +:2008C000008003C4D0A23585044000840021883A003FF10600800404D0A235850440004488 +:2008C80000000D06008003C4D0A235850023883A0000090604400084008003C4D0A235855B +:2008D0008821883A8825883A00000806008003C4D0A23585044000C4040000848025883AB6 +:2008D8000000020618803FCC10002C2699400D4398C00585980002C528803FCC00C00044D2 +:2008E00081003FCC1880042E00800144114BC83A29403FCC00000106014000C4081407805C +:2008E80008141DC089403FCC91003FCC08149C8098800583010020B4018004441085883ABA +:2008F0001085883AE085883A1140001721229644980001150815A64001402074010020B48A +:2008F80001800444295B31042122B0040815A640D0A23B831000011E0810CAC0A5003FCCB3 +:20090000A0000526B0C00903008002841880021E010003C4081383C005000044B0C004C32C +:2009080098800A8318800B26000F883A000D883A000B883A0009883AD8000015081005C05F +:20091000B90004C30810CC00B88004C3A8000115A8800A85B08004C310000B1EB9C005033E +:2009180098800AC338800826A88003C3000D883A000B883AD88000150009883A081005C055 +:20092000B8800503A8800AC5A0803FCC1000322689403FCC91003FCC0810E64010803FCCA4 +:2009280000C0008410C0252600C0010410C0032600C0004410C0281E00002406988002C371 +:2009300010000926D0A2320300C020B418C4380410800094D0A2320510803FCC18800035E0 +:2009380008120C8000001C06A800011508141DC0A8800583010020B4018004441085883A7B +:200940001085883AE085883A11400017212296440815A64001402074010020B4018004441E +:20094800295B31042122B0040815A640D0A23B831000091E0810CAC000000706988002C3E9 +:20095000100005260811814000000306988002C310000126081141C0D0A23A1701004B0428 +:20095800D0223405D0A23715D0A23B03D0A2380508162A40003F1F0600C020B418E2B444C5 +:2009600001402074DEFFFF041809883A01800AC4295B6604DFC00015081592401007883A26 +:20096800008020B41084340410800037014020741004D4BA010020B40180B6041080004C25 +:20097000295DA3042122CC84188004C50815924000800044D0A235C50005883ADFC00017AA +:20097800DEC00104F800283ADEFFF804DD000415DCC00315DC80021505002074048020B47B +:2009800004C020B4DD800615DC000015DFC00715DD400515DC400115002D883A0021883A60 +:20098800A51BD604948434049CE2BF0401402074010020B401800444295B710421229204DF +:200990000815A6408405883A1085883AA085883A11400017010020B40180044421229A8454 +:200998000815A6400810C9800023883A054000849080003710BFFFCCD0A2391590C0003705 +:2009A00000C6303A18C000ECD0E23A1510001A26B08019268407883A98C7883A88000A1E65 +:2009A80001402074010020B401800444295B7304212292041880000D0815A6400810C980DF +:2009B0000440004400000C0618C0000B10C0092601402074010020B401800444295B75043B +:2009B800212292040815A6400810C9800023883A0000010604400084D0A2371710000F1E31 +:2009C000D0E23A170080007418800C1E8000091E01402074010020B401800D84295BC8842B +:2009C8002122BF040815924004400084040006C400000206843FFF8404400084D0A23A17AB +:2009D000D5A23917D0A237158D4003260109C40408162A40003FC606840000440080068455 +:2009D800143FB20E010003C4DFC00717DD800617DD400517DD000417DCC00317DC80021791 +:2009E000DC400117DC000017DEC00804081383C1DEFFEF04D88009C4D8800115008020B410 +:2009E80010A2B6C410C00044D8C003150140207410C00084D8800215D8C00415018001C470 +:2009F00010C000C4295BC6C410800104D9000804DFC01015DD400F15DD000E15DCC00D1508 +:2009F800DC800C15DC400B15DC000A15D80009C5D8C00515D8C00615D880071508159240BD +:200A0000D1223917014020B40005883A2962BF04018006841087883A1947883A18C0000B00 +:200A0800044020B48C62BF04190003261181132610800044003FF70600C0068418810F36C0 +:200A1000100490BA00C0207418CA160410C5883A108000171000683A008128C4008128E032 +:200A1800008128F0008128CC008128E8008128F8008128D800812C7C008129000081290878 +:200A20000081291000812C7C00812C7C00812C7C00812C7C00812C7C00812C7C0081293428 +:200A2800008129B0008129D0008129FC00812A4800812A2800812A7400812C1000812C38AD +:200A300000812B84008000440000010600800084D0A234050000E906008000C4003FFC0688 +:200A380000800104003FFA0600800144003FF80600800184003FF606008001C4003FF4061A +:200A400000800204003FF20600800244003FF006D0A23B831007003AD0E23B851000031EBA +:200A480001000044081339C00000D4060810CAC00000D206008020B410A29F04110002879E +:200A50000140068408158CC001C020B439E2CC8401802074010020B4388F883A319B790496 +:200A58000140044421229204081594C0008020B41084300411C000371080003701802074AB +:200A60001005D43A39C1FFCC010020B4108000CC39C00044319B7C040140044421229A84EA +:200A6800D8800015081594C00810C9800000B306D0A2320300C020B418C438041080041C73 +:200A7000D0A2320510803FCC188000350000AB0600C020B418E2B4441880000301400044FE +:200A780011003FCC290002361145883A000001060005883A188000050000A00600C020B424 +:200A800018E2B444188000430140004411003FCC290002361145883A000001060005883AA1 +:200A88001880004500009506008020B410A2B44410C0008319003FCC2000012618FFFFC440 +:200A900010C0008500008D0600C020B418E2B444188000830140038411003FCC2900023678 +:200A98001080004400000106008003C4188000850000820601402074010020B40180044404 +:200AA000295B8004212292040815A64001402074010020B401800444295B840421229A8472 +:200AA8000815A640048020B4050020B4054020B40810C9809484340404C006C4A522CC848B +:200AB000AD629F04908000370021883A10BFFFCC8407883A1C47883A18C0000B10C0022663 +:200AB8008400004484FFFA1EA90002870140068408158CC0A085883A108005C300C0014411 +:200AC0001880403600C001041C001916D90008042087883A18C000031C07D83A18C0004C76 +:200AC800180007261085883A1085883AD8C001041885883A10800017140000050000310628 +:200AD00001802074010020B481C00044319B87040140044421229A84081594C00810C98084 +:200AD800010002342128480408162A400000250600800304808023260109C40408162A4055 +:200AE000003FD00601402074010020B401800444295B8B04212292040815A64001402074AA +:200AE800010020B401800444295B8F0421229A840815A640040020B40810C98084043404DC +:200AF000048006C404C0024405000304808000370009883A10BFFFCC2107883A1C47883AD7 +:200AF80018C0000B10C01E1E990020162100004401400284081579C0010002C4D0A23445EC +:200B00000811B8000810CAC0D0223B8500001B0600C020B418E2B44418800583014007841D +:200B080011003FCC290002361080004400000A060005883A0000080600C020B418E2B44411 +:200B10001880058311003FCC2000022610BFFFC400000106008007C418800585000007062E +:200B18002100004424BFDC1E00000106253FE5260109C40408162A40003FD406D0A23717D2 +:200B20001000191ED0E23A171880006C10000A26008020B410A29F0410800583010002441F +:200B280011403FCC29000226108000440000010600800044D0A2340518C000AC18000A26EA +:200B300000C020B418E2B444188000030140004411003FCC290002361145883A0000010663 +:200B38000005883A18800005DFC01017DD400F17DD000E17DCC00D17DC800C17DC400B17B1 +:200B4000DC000A17DEC01104F800283ADEFF6C04D9000304DC008C15DFC09315DD80921596 +:200B4800DD409115DD009015DCC08F15DC808E15DC408D150810C1401021883A081565803D +:200B50008000891E01800104D9400304D90083040815A6400140207401800104295BF10481 +:200B5800D90083040815A04010000B2601802074010020B4319BF3040140044421229204D0 +:200B6000081594C0008020B410A29A84100000050400004400007406D8800403DC80848446 +:200B6800D9400484D8808405D8800443018002049009883AD88084450815A640D880068B5D +:200B7000D8008645D8808B0DD880070BD8808B8DD9408B17280BC232D880078BD940871577 +:200B7800D8808B0DD880080BD8808B8DD8808B171005C232D8808815D880088BD8808B0DBF +:200B8000D880090BD8808B8DD8808B171005C232D8808915D8808217D8808B151005C2328E +:200B8800D8808A1500C0788428BFF98418800B2E01802074010020B4319BF704014004442B +:200B900021229204081594C0008020B410A29A8410000005043FFFC40000430601800044AE +:200B9800D900030408168FC0D8C08A1710C00A2601802074010020B4319BFB040140044479 +:200BA00021229204081594C0008020B410A29A84100000050000330601802074010020B48F +:200BA800319BFF040140044421229204081594C0D9C0881701802074010020B4319C030495 +:200BB0000140044421229A84081594C00810C980DCC08817DD4089170021883A0005883AC7 +:200BB8000580800484C0132E9C23C83AB440012E0440800485008004A00CD27A010020B40D +:200BC000DC400015000F883AD940030421228F0408154DC010803FCC1000121E800D003A51 +:200BC800880B883AD900030408168FC0A021883A003FEC06A880612601802074010020B41E +:200BD000319C06040140044421229204081594C0008020B410A29A8410000005043FFF449C +:200BD80000000106043FFF8404C000C4081565800810C980010003F42110900408162A4000 +:200BE00000BFCE4480804B0E98004A2601802074010020B4319C1C0401400444212292048A +:200BE800081594C09CFFFFC401402074010020B401800444295C140421229A840815A640AA +:200BF0000810C9800025883A0580800405004004DC4088179440202E8CA3C83AB440012E1A +:200BF8000440800495408004A80DD27A010020B4DC400015000F883AD940030421228F04EE +:200C000008154DC014003FCC803FD81E9025D23A880B883AA440012E01404004900D883AC9 +:200C0800D90003040810A1801021883A103FCF1EA4400236A825883A003FE506918000445A +:200C1000897FC004D90043040810A1801021883A103FF826003FC50601402074010020B48B +:200C180001800444295C1804212292040815A64001402074010020B401800444295C140466 +:200C200021229A840815A6400810C980D9808917D9408817D9C003040009883A0810B40007 +:200C28001021883A103FB11E081565800005883A0000430600BFFFC400004106D88084875D +:200C3000D9C08403D8C084431000032600802074109BBA040000020600802074109C35046E +:200C380001802074010020B4D8C00015319C0B040140044421229204DC800215D8800115E6 +:200C4000081594C001402074010020B401800444295C200421229A8408159240044020B49F +:200C4800040020B40810C9808C4434048422BF048880003780C0000B10BFFFCC10C00E26BA +:200C500080C0008B10C0091E01402074010020B401800444295C0E04212292040815A640DC +:200C580004C000C404000084003F80060109C40408162A40003FEE0608141DC0D0A232037A +:200C600000C020B418C4380410800094D0A2320510803FCC188000350109C40408162A4039 +:200C680001402074010020B401800444295C1104212292040815A64004C000C4003F7A063C +:200C7000DFC09317DD809217DD409117DD009017DCC08F17DC808E17DC408D17DC008C174A +:200C7800DEC09404F800283A21C03FCC01802074010020B4319C25040140044421229A8416 +:200C8000081594C1DEFFFF040141194421003FCCDFC0001508158CC0014019041009883AE1 +:200C88000815718001802074010020B4100F883A319C27040140044421229A84DFC00017DB +:200C9000DEC00104081594C121C03FCC01802074010020B4319C29040140044421229A8475 +:200C9800081594C1014003F4DEFFFC042950900421003FCCDFC00315DC400215DC000115A0 +:200CA00008158CC0014018F4294BA8041009883A1021883A081581008009883A0146590403 +:200CA8001023883A081581000140FA041009883A08158740014002841009883A081581005B +:200CB00001802074010020B4D8800015880F883A319C2C040140044421229A84081594C01C +:200CB800DFC00317DC400217DC000117DEC00404F800283ADEFFFF04014119C421003FCC0F +:200CC000DFC0001508158CC0014019041009883A0815718001802074010020B4100F883AE5 +:200CC800319C2F040140044421229A84DFC00017DEC00104081594C121C03FCC0180207456 +:200CD000010020B4319C31040140044421229A84081594C121003FCCDEFFFF0401409C44A4 +:200CD80021000044DFC0001508158CC0014019041009883A0815718001802074010020B449 +:200CE000100F883A319C34040140044421229A84DFC00017DEC00104081594C1D0A2391797 +:200CE800DEFFF604018020B4DCC00315DFC00915DF000815DDC00715DD800615DD400515EB +:200CF000DD000415DC800215DC400115DC00001504C002C431A2BF04014004449CC7883A8A +:200CF8001987883A18C0000B1880041E21003FCC9823883A2000051E000003069CC0004448 +:200D0000997FF61E0000A50610010026D5623B4304802074949E7604AF003FCCE02090FA08 +:200D0800014005049405883A1500010315C00017A5803FCCB009883A08158CC0B8C001177D +:200D1000010001041885883A88FFFD0418C03FCC20C02936180690BA01002074210D1B046A +:200D18001907883A18C000171800683A008134B40081348000813498008135AC008135AC81 +:200D2000B000011EBD000003A53FFFC49421883A8500010500008406B94000039421883A7E +:200D2800B100004484000104081579C08080000500007D06E0000326AD7FFFC4D5623B45A0 +:200D300000007906D0223B85DFC00917DF000817DDC00717DD800617DD400517DD0004174F +:200D3800DCC00317DC800217DC400117DC000017DEC00A040810CAC110C00103014000C421 +:200D4000194006261900631E10800217044002C4103EE83A1021883A00006406108003174A +:200D480010000226010002C4103EE83AD4623B43014005048C003FCC802690FA94C5883A3C +:200D50001540001711000103AD00011708158CC0A085883A10C0021718004E2684000044B0 +:200D5800802090FA00802074109E76041405883A1100001719000126100001059421883A45 +:200D600094E5883A91000103ACC001170140050408158CC09885883A108002178C400044D4 +:200D6800D4623B458080001500003A0610C00103010000841900202619000336010000C491 +:200D700019002F26000038061180021789C03FCC020003C41100030310C00343314000034F +:200D7800108003833A000A1E19C03FCC2A003FCC3A00022E28BFFFC40000030621003FCC81 +:200D80002000011E1805883A308000050000260611C03FCC2A003FCC41C0022E2880004426 +:200D88000000030621003FCC200001261805883A30800005000010061100021789403FCC27 +:200D9000018003C420C0000B2980061E1080030B197FFFCC1140012E18FFFFC420C0000DFB +:200D9800000011061080038B197FFFCC2880012E18C0004420C0000D0440040400000A0667 +:200DA000108003171000082699003FCC103EE83A00000506044002C40000030621003FCCED +:200DA800200059260023883A0021883AD0A23B4300C0207418DE7604100490FA0140050428 +:200DB0001885883A1100010314C0001708158CC01025883A98800117010020B42122920486 +:200DB8001485883A11400017018004440815A64098800117010001041485883A10C0010327 +:200DC00020C03536180690BA01002074210DC7041907883A18C000171800683A008137305F +:200DC8000081376000813770008137800081379410C00217110004170180044418C000038E +:200DD00018C5883A1085883A2085883A11400017010020B421229A840815A64000001E06E1 +:200DD80010C0021710800417190000030000070610C00217108004171900000B000003067D +:200DE00010800317100006260009883A103EE83A000011068C403FCC00C002C488C00426EC +:200DE800008020B410A29A841000000500000A068000021E11C003170000010611C0041724 +:200DF00001802074010020B4319B20040140044421229A84081594C0DFC00917DF000817F1 +:200DF800DDC00717DD800617DD400517DD000417DCC00317DC800217DC400117DC00001723 +:200E0000DEC00A040810C981103FA61EDFC00917DF000817DDC00717DD800617DD40051786 +:200E0800DD000417DCC00317DC800217DC400117DC000017DEC00A04F800283A21003FCC4E +:200E1000008003C411005F36009114B4DEFFB6041094D544D88000150080107410951044BE +:200E1800D880011500801384D8800245208002B0DC004015DFC04915DF004815DDC04715E1 +:200E2000DD804615DD404515DD004415DCC04315DC804215DC404115D8000205D880028576 +:200E2800240004041000151E00800E04D88002C5D0A23443014020B401800D84D8800345DA +:200E3000008020B410A29F04108005832962BF04D90003C4D8000305D88003850815924044 +:200E3800800C923A01401144D809883A0810A1801004C03A0085C83A0000340600BFF60448 +:200E4000014020B4802A923A01800AC4D88003452962B44400800084D90003C4070020B416 +:200E4800D98002C5D8000305D88003850025883A08159240002F883A04400E840580B604CE +:200E500005004004E722CC84893FFFCCA10DC83AB0BFFFCCD909883AB97FFFCC94C03FCC5D +:200E580011800C16E14B883A08159240A463C83A9D4D883A01404004D809883A8DEF883ACF +:200E6000B5BFC0040810A180948000440023883A003FED06100D883A008020B410A2CC845D +:200E6800114B883A08159240800C923A01404004D809883A34CD883A0810A1800005883A1A +:200E70000000020600BFFFC4F800283ADFC04917DF004817DDC04717DD804617DD40451713 +:200E7800DD004417DCC04317DC804217DC404117DC004017DEC04A04F800283ADEFFB704F2 +:200E8000DFC04815DDC04715DD804615DD404515DD004415DCC04315DC804215DC404115DF +:200E8800DC00401521003FCC008003C41100022E047FFFC400006306240004048008943A34 +:200E9000D80D883A0140400408109A80103FF81E0140207401800204295D9404D809883A62 +:200E98000815A0401023883A1000531ED88002031000531ED8C00243008013841880501EEF +:200EA000D88002831000032600C0004410C0162600004C06D8800303D8C002C31004923A1F +:200EA80010C4B03A00C00E0410C0461ED880034300C0024411003FCC19000136D0A234456B +:200EB000D880038311003FCC19000136D0A23405010020B401800D84D94003C42122BF0460 +:200EB8000815924000003706D8800317D8C002C311803FCC300C923A30CCB03A00C00AC407 +:200EC00030C0301E1004D23A00C0B6041025883A10BFFFCC10C02B1E010020B48020923A4F +:200EC800D94003C42122B44405C020B4081592400027883A002D883A05400E84050040046F +:200ED000BDE2CC84A97FFFCCA14DC83A90BFFFCCB13FFFCCD94B883A11800F16B909883A3B +:200ED80008159240990000442027883A21003FCC2409883A2008923AA56BC83AD80D883A5D +:200EE00001404004ADAD883A94BFC00408109A80002B883A003FEB06100D883A008020B4B8 +:200EE80010A2CC841109883A0815924000800044D0A235C500000306044000440000010655 +:200EF000044000848805883ADFC04817DDC04717DD804617DD404517DD004417DCC0431771 +:200EF800DC804217DC404117DC004017DEC04904F800283ADEFFFD04D9000005010020B4A8 +:200F0000DC000115018000442821883A21041804D80B883ADFC002150816AC40813FFFCCDE +:200F080008162A40DFC00217DC000117DEC00304F800283AD0E23203DEFFFC0400BFE7C468 +:200F10001884703ADC400115044020B4D0A23205DFC00315DC800215DC00001510803FCCD2 +:200F18008C44380488800035040005048009883A08162A40800B883A01000E040813BF4016 +:200F2000800B883A01000E440813BF40800B883A8009883A0813BF40800B883A01001C4497 +:200F28000813BF40800B883A010017840813BF40800B883A01001B440813BF40800B883A78 +:200F3000010003040813BF400480C804900B883A010000440813BF40800B883A01000184A0 +:200F38000813BF40900B883A010000840813BF40D0A2320310801014D0A2320510803FCCE4 +:200F400088800035DFC00317DC800217DC400117DC000017DEC00404F800283AD0E2320318 +:200F4800DEFFFA0400BFE7C41884703ADC000015040020B4DC800215DC400115D0A23205E7 +:200F5000DFC00515DD000415DCC003152025883A2823883A10803FCC840438048080003576 +:200F58000140C804010000440813BF40D0A2320310800814D0A2320510803FCC8080003541 +:200F6000014004049009883A0815AB801029883A10803FCC1000091E014020749009883A88 +:200F680001800444295D96040815A64091000003014005040813BF40000009060027883A8D +:200F7000A5003FCC94C5883A11000003014005049CC000440813BF4098803FCC153FF936D8 +:200F7800D0E2320300BFF7C41884703AD0A2320510803FCC808000350140050401003004BA +:200F80000813BF40D0A2320310800814D0A2320510803FCC80800035014004048809883ACF +:200F88000815AB801027883A10803FCC1000091E014020748809883A01800444295D960425 +:200F90000815A64089000003014005040813BF40000009060025883A9CC03FCC8C85883A1E +:200F98001100000301400504948000440813BF4090803FCC14FFF936D0A2320310801014B1 +:200FA000D0A2320510803FCC80800035DFC00517DD000417DCC00317DC800217DC400117A6 +:200FA800DC000017DEC00604F800283ADEFFFB04DC000015040020B484041804DC80021578 +:200FB00004800B04DCC00315900B883A2027883A000D883A8009883ADFC00415DC40011570 +:200FB80008169E4004400044880D883A99403FCC8009883A0816A480900B883A8009883A25 +:200FC000880D883A08169E40880B883A8009883ADFC00417DCC00317DC800217DC40011705 +:200FC800DC000017DEC005040816A1C1DEFFFC04DC000015040020B484041804DC80021532 +:200FD000DC4001152025883A2823883A8009883A000D883A01400B04DFC0031508169E409E +:200FD80091403FCC8009883A000D883A0816A4800180004489403FCC8009883ADFC0031724 +:200FE000DC800217DC400117DC000017DEC004040816A481010020B4DEFFFD04000D883AEA +:200FE800000B883A21041804DFC00215DC400115DC0000150816A4800100028408162A40B1 +:200FF0000400060404400044800B883A8809883A0813F2C0800B883A010000840813F2C03F +:200FF800800B883A010000C40813F2C08809883A0813EAC01405003ADFC00217DC400117A3 +:20100000DC000017DEC00304F800283ADEFFFD04DC400115DC000015044000442021883A52 +:2010080084003FCC8809883ADFC00215802090FA0813EAC000FFF9C410C4703A80A0B03AFD +:2010100084003FCC800B883A8809883A0813F2C0800B883A010000840813F2C0800B883AD8 +:20101800010000C4DFC00217DC400117DC000017DEC003040813F2C1DEFFFD04DC40011591 +:201020002023883A01000044DC000015DFC00215043FF6040813EAC01420703A89003FCC4B +:20102800008000842080021E84000054000003062008917A2080011480A0B03A84003FCC82 +:20103000800B883A010000440813F2C0800B883A010000840813F2C0800B883A010000C490 +:20103800DFC00217DC400117DC000017DEC003040813F2C1DEFFFB04DC000015040020B4A1 +:2010400084041804DC80021504801704DCC00315900B883A2027883A000D883A8009883AA6 +:20104800DFC00415DC40011508169E4004400044880D883A99403FCC8009883A0816A48052 +:20105000900B883A8009883A880D883A08169E40880B883A8009883ADFC00417DCC0031775 +:20105800DC800217DC400117DC000017DEC005040816A1C1DEFFFC04DC000015040020B40F +:2010600084041804DC800215DC4001152025883A2823883A8009883A000D883A014017049D +:20106800DFC0031508169E4091403FCC8009883A000D883A0816A4800180004489403FCCE4 +:201070008009883ADFC00317DC800217DC400117DC000017DEC004040816A481DEFFFC04FA +:20107800DC000015040008848009883ADFC00315DC800215DC40011508140F40014004C4BC +:20108000010005841023883A0489C404081417409009883A08162A40014000C4010005C457 +:20108800081417409009883A08162A40017FE004894AB03A29403FCC8009883A081417409F +:201090000100FA0408162A4089401FCC8009883ADFC00317DC800217DC400117DC00001765 +:20109800DEC0040408141741DEFFFE04DC0000150409C4048009883ADFC0011508162A40F1 +:2010A0000140044401000584081417408009883A08162A4001400084010005C408141740D5 +:2010A8008009883ADFC00117DC000017DEC0020408162A41DEFFFE04DC0000152821883A2B +:2010B00021403FCC01000484DFC001150814174081403FCC010004C4DFC00117DC000017C4 +:2010B800DEC002040814174121403FCC01000F440814174121403FCC0100044408141741A3 +:2010C000DEFFFE04DFC00115DC000015214000C32021883A010002840814174081400103A5 +:2010C800010002440814174081400143010002040814174081400003010003440814174050 +:2010D00081400043010003040814174081400083010002C4DFC00117DC000017DEC0020428 +:2010D80008141741DEFFFB04DC400115DC0000152023883A2021883A01000104DCC00315C3 +:2010E000DC8002153027883A2825883ADFC0041508140F40017FFE049CC03FCC1144703A4A +:2010E8009800092680FFFFCC0101FFC420C006361140005429403FCC010001040814174064 +:2010F0008423883A0000030611403FCC01000104081417408C7FFFCC880AD13A01000044E1 +:2010F80029403FCC08141740880A913A0100008429403C0C0814174001006734917FFFCC74 +:20110000213F300408158100880B883A1009883A08158CC00140FA041009883A081581004C +:2011080000E327D41880092E00C0007418C45BC41880082E00C000B418C3D5C41885403A1E +:2011100000C000C41885C83A000003060005883A000001060080004414003FCCD0A020044E +:201118001405883A1100000301400A0408158CC08808D07A880B883A1109883A08157180F7 +:20112000100B883A00C001C410803FCC1880012E180B883A29403FCC800491BA280A90FA07 +:20112800010000C4288AB03A29403FCCDFC00417DCC00317DC800217DC400117DC000017C6 +:20113000DEC0050408141741DEFFFE04DC0000152021883A01000684DFC0011508140F4006 +:20113800017FFE8484003FCC00C000441144703A80C0021E10800094000001061080029452 +:2011400011403FCC01000684DFC00117DC000017DEC00204081417412140028BDEFFFE0419 +:20114800DC000015280AD23A2021883A010012C4DFC0011508141740814002830100128479 +:20115000081417408140030B01001344280AD23A08141740814003030100130408141740E2 +:201158008140038B010013C4280AD23A081417408140038301001384081417408140010B80 +:2011600001001444280AD23A081417408140010301001404081417408140018B010014C4EE +:20116800280AD23A081417408140018301001484081417408140020B01001544280AD23AFF +:20117000081417408140020301001504081417408140040B010015C4280AD23A081417403E +:201178008140040301001584081417408140048B01001644280AD23A0814174081400483DE +:2011800001001604081417408140050B010016C4280AD23A08141740814005030100168400 +:20118800DFC00117DC000017DEC0020408141741DEFFFD04DC00001504000FC4DC400115AD +:201190002023883A8009883ADFC0021508140F4000FFFC0410C4703A888AB03A29403FCCE1 +:201198008009883ADFC00217DC400117DC000017DEC0030408141741DEFFFD04DC400115E4 +:2011A00004400684DC0000152021883A8809883ADFC0021508140F4080C03FCC014000C4A9 +:2011A80028C7C83A180691BA10800FCC8809883A188AB03A29403FCCDFC00217DC40011723 +:2011B000DC000017DEC0030408141741DEFFFB04DCC0031504C00104DC4001152023883A83 +:2011B8009809883ADFC00415DC800215DC0000152825883A08140F40900B883A8809883A6E +:2011C0001021883A08158CC0108007CC100A90FA840001CC9809883A2C0AB03A0814174065 +:2011C80091403FCC89003FCC08158CC01004D17ADFC00417DCC00317DC800217DC400117B6 +:2011D000DC000017DEC00504F800283ADEFFFE04DFC00115DC00001508141DC00009883AC2 +:2011D80008144C8004000044800B883A01000D440814174001002074211D9704081451805A +:2011E000800B883A0100040408146CC00009883A081463000009883A0814678001000184B3 +:2011E80008142E80014000C401000D04081417400100110408142F4001402204010006C4C0 +:2011F000081417400140020401000704DFC00117DC000017DEC0020408141741DEFFFD0479 +:2011F800DC0000152021883ADC40011584003FCC044004048809883A802090FADFC00215A3 +:2012000008140F40108001CC808AB03A29403FCC8809883ADFC00217DC400117DC0000176C +:20120800DEC003040814174121003FCC20001A26DEFFFD04DC400115010009842823883A76 +:2012100001402004DC000015DFC002153021883A0814174089403FCC0080004428800426C2 +:20121800008002042880041E814016840000030681400244000001068140060429403FCCB5 +:2012200001000C44DFC00217DC400117DC000017DEC0030400000206000B883A0100098476 +:2012280008141741DEFFF704DCC003152827883AD9400917DDC00715DD800615DD400515F4 +:20123000DD000415DC800215DC400115DC000015DFC0081524003FCC008000443029883A48 +:20123800382B883ADC400A17DC800B17DD800C172DC03FCC808008260080020480800D1E5F +:2012400029400C8429403FCC01000144081417400140080400000C062940008429403FCCA8 +:201248000100014408141740014001842809883A000006062940018429403FCC0100014460 +:2012500008141740014004040100018408141740B80D883A800B883A010000440814828092 +:20125800B1003FCC08142F40008004048080021E0140030400000106014002040100088464 +:2012600008141740A17FFFCC993FFFCCA9803FCC0814364091403FCC89003FCCDFC0081779 +:20126800DDC00717DD800617DD400517DD000417DCC00317DC800217DC400117DC000017AE +:20127000DEC0090408142B41DEFFFD0429BFFF84DC400115DC000015DFC0021531803FCC4D +:201278000080004424403FCC2C003FCC11800436008000848880071E8805883A0000080693 +:201280008800061E8005003A00C000841885C83A000003060005883A000001060080008425 +:20128800880A913A8C47883A10803FCC100491BA18C7883A28CAB03A214AB03A288AB03AEC +:2012900029403FCC0100064408141740008000C48080041E0140174401000404081417408E +:20129800000012060140160401000404081417400080008488800D1E0080004414000B36F7 +:2012A0008080021E0140148400000106014014C401000384081417400100FA0408162A4093 +:2012A8000100050408140F4000000B06014016C401000384081417400100FA0408162A4003 +:2012B0000100050408140F40008000C48080021E0140040400000106000B883A010006041D +:2012B80008141740000B883A01000D84DFC00217DC400117DC000017DEC00304081417414C +:2012C000DEFFFD04DC4001152023883A01000504DC000015DFC002152821883A08140F40D2 +:2012C8008C403FCC00C0008488C00A1E81403FCC00C0004428C0031E1080240C108024200E +:2012D000000006062800031E1080220C10802220000002061004D07A1080004CDFC002171F +:2012D800DC400117DC000017DEC00304F800283ADEFFEC04DC400B15044020B48C629F041E +:2012E00000800104D880060D8880070302C00204028010045884983A00C10004018800048E +:2012E80012C4B03AD880068D88800743020020B4DFC013155084983ADDC01115DD80101561 +:2012F000D880070D88800783DD400F15DD000E151884983ADCC00D15DC800C15D880078D0A +:2012F800888007C3203F883ADF0012153084983ADC000A15D8000885D880080DD8800884A6 +:20130000D880001588800844D880011588800884D8800215888008C4D88003158880090442 +:20130800D880041588800944D880051588800B034222D0840009883AD88009050340400407 +:20131000038400040482000404C0800403C0040405002004054008040580010405C0834405 +:20131800418001C3024001442021883A30C03FCC4080018348C0E136181290BA030020743D +:20132000631324044B13883A4A4000174800683A00814D0000814D0000814D0000814CA885 +:2013280000814CBC00814D0002401004DA40070D02410004DA40078D000011064240000B31 +:201330004DC00F1E473FFB43030000C4DA400903E300051E4800021E027FFBC400000406FA +:2013380003000084000005064800031E027FFEC44884703A00000206030000444B00BF2662 +:2013400011C4703A10803FCC1000BC2618C5883A1085883AD885883A108000174240020B36 +:20134800108000031085883AD885883A1300060B6258703A60BFFFCC1000B0264A40004C4E +:201350002F003FCC4A40005CE240AC1E4240000B4A4007844FC0A93607000044024020B480 +:2013580088000385880003C58F000405880004858B00050D4A629F0413406C2668800E366F +:2013600013C05326788003361580202612C02E2600009A061280522615005F261540971EA1 +:2013680000800044888003050080008488800345008001840000680613807826708009366A +:20137000018100041180662614805E2614C08B1E00800084888003058880034500800144FC +:2013780000005D060310000413007226072000141700792600C8000410C0801E0080010480 +:20138000888003058800034500007906480003054800034531803F4C0080004430807D1EC3 +:2013880089000BC300C020B418E29F042000032601000084190004050000010618800385A6 +:20139000888003C500007306014000448940030589400E43008020B410A29F042800071E8E +:2013980031BFFFC431803FCC01400084298003361140034511400405000001068800034555 +:2013A0000080008418800C260080010418800A260080014418805F1E0140068408158CC004 +:2013A80000C020B418E2D00410C5883A1080000B00C12BC41880573688C00BC3008020B452 +:2013B00010A29F04180032261000034500002D06008000444880030500800084000015061A +:2013B800008000844880030548000345010000C41900481E89000BC300C020B418E29F04E5 +:2013C000200002261880040500004206008000441880038500003F0600800084888003059F +:2013C800008000448880034500003A06008000844880030548800345008001044880040577 +:2013D00000003406008000C4888003050080008488800345008001048880040500002D0652 +:2013D800008000C44880030548000345008000C41880281E88C00BC3008020B410A29F0470 +:2013E0001800032600C0008410C004050000210600C0004410C0038500001E06008000C4A4 +:2013E80048800305008000844880034500800144003FE206008001044880030500800084BC +:2013F00048800345008001444880040500801E044880048500000F0600800104888003059A +:2013F8000080008488800345008001848880040500801E04888004850000060621000044C7 +:20140000008007044200068420BF151E00BFFFC4000001068005883ADFC01317DF001217C2 +:20140800DDC01117DD801017DD400F17DD000E17DCC00D17DC800C17DC400B17DC000A17BC +:20141000DEC01404F800283A20803FCCDEFFF9041080201CDC400415DFC00615DC800515F6 +:20141800DC00031510BFE0042823883A10000A0E2025883A000B883A01001DC40815048081 +:20142000D880028510C03FCC0100004420C02E3694001FCC000001062021883A08156580DE +:20142800014001040009883A08156240081563C08804D63ADC000005DC400105D880004558 +:201430008804D43A84003FCCD88000858804D23AD88000C50080100480800426008012048D +:201438008080041E00BFE1C40000030600BFE544000001060080004401400184D809883AE9 +:20144000D8800145081561000100014408156B401021883A0440004401400044D900028403 +:2014480008156240D8800287100003168000051E081571000000030608156F00147FF62646 +:20145000003FFA06D8800283DFC00617DC800517DC400417DC000317DEC00704F800283AFC +:20145800DEFFF604DC000515000B883A2021883A01001244DFC00915DCC00815DC80071592 +:20146000DC4006150815048010803FCC100002260005883A000043060100014408156B40A3 +:201468001023883A0480004404C03FC401400044D900048408156240D880048314C003265F +:201470008800051E081571000000030608156F0014BFF626003FFA06D8C0048300803F84FE +:2014780018BFEB1E01400484D809883A081562408080010310C0008C18001326D8800183BC +:20148000D8C001C3D9400143108000CC1004923A294003CC10C8B03AD88002031006D1BA5F +:201488002105883A1085883AD900028310C4B03AD8C002432008D1FA18C000CC18C7883AD4 +:2014900020C8B03A000010061080010C10000B26D88001C3D9000203000B883A10800FCC44 +:201498001004923A1104B03A1006923AD8800243010004441884B03A00000306000B883A31 +:2014A0000009883A0005883A10BFFFCC10C0004421003FCC28803FCC2085883A10BFFE4495 +:2014A8001884983ADFC00917DCC00817DC800717DC400617DC000517DEC00A04F800283A8B +:2014B000DEFFF60401402074DCC00715018002842027883A295E5904D809883ADD00081527 +:2014B800DC400515DFC00915DC800615DC000415050000C4081592400440004408155F40B9 +:2014C000081565800815698001400284D809883A08156100000B883A98000015010010048D +:2014C8000815048010803FCC1440511E01406A84010012040815048010803FCC1440271EEA +:2014D00001400104D900028408156240D88003031440471ED8C0034300802A841880441E7B +:2014D8000100FA0408156B4008156F001440032608156F0014400C1E000006060150003489 +:2014E00001003A440815048010803FCC103FF61E003FF706000B883A01001E840815048081 +:2014E80010803FCC100002260021883A0000090601400104D900028408156240D8800283DE +:2014F0001080100C1000021E0400010400000106040003040815710000002606000B883A5E +:2014F80001003A440815048010803FCC8880032E0400004404801044000002060400008430 +:2015000004BFFA4401003E8408156B4094803FCC08156F001440042608156F001000081E54 +:201508000021883A00000606000B883A9009883A0815048010803FCC103FF51E003FF606D3 +:2015100008157100000B883A01001EC40815048010803FCC100001260021883A0140800462 +:20151800010014040815048010803FCC100001260021883AA0BFFFC41029883A10803FCC8C +:2015200084803FCC10000A26903F9C26008000449C000105988000159809883A08151600A2 +:2015280010BFFFC498800215081567C000000106903FF61E081563809005003ADFC0091726 +:20153000DD000817DCC00717DC800617DC400517DC000417DEC00A04F800283A208002177E +:20153800DEFFF804DC000115DFC00715DD400615DD000515DCC00415DC800315DC40021582 +:20154000DC0008171180313684BFFFCC90002F26208001032827883A3823883A1080020C35 +:20154800300B883A1000011E300A927A010014440815048010803FCC1000022604000084BC +:2015500000001F060100190408156B400500004405403FC401400044D809883A08156240F8 +:20155800D88000031540052608157100D8C0000300803F8418BFF11E0000030608156F00B1 +:20156000153FF426003FF806008080841445C83A897FFFCC1421C83A280002260009883ABC +:2015680008156240900B883A9809883A08156240817FFFCC0009883A081562400021883AED +:20157000081563808005883A00000106008000C4DFC00717DD400617DD000517DCC0041722 +:20157800DC800317DC400217DC000117DEC00804F800283A018001B401406734010020B4C9 +:201580003186A004297F30042104100408169701280D883A200B883A010020B42104100433 +:201588000816AC41280D883A200B883A010020B4210410040816A841F800283AD0A232033E +:2015900000C020B418C4380410801FCCD0A2320518800035F800283AD0E2320300BFE004BA +:201598001884B03A00C020B4D0A2320518C4380410803FCC18800035F800283A01800A7497 +:2015A00001406734010020B4318CB804297F30042104100408169701018001B40140673424 +:2015A800010020B43186A004297F30042104100408169701D0A23C1710000B1EDEFFFF044A +:2015B000015A5E04213FFFCCDFC0001508158CC0D0A23C1508162EC00005883ADFC00017CA +:2015B800DEC00104F800283A00800044F800283ADEFFFF04DFC0001508163280D0E23C178F +:2015C00010C5803ADFC00017DEC00104F800283AD0223C15F800283A20001B16000F883A0A +:2015C80028001616200D883A29001A2E0080080400C000440000010610000D26294B883A3F +:2015D00010BFFFC418C7883A293FFB360005883A180007260005883A31400236314DC83A33 +:2015D80010C4B03A1806D07A280AD07A183FFA1E380001260085C83AF800283A014BC83A54 +:2015E00039C0005C003FE7060109C83A01C00044003FE30600C00044003FEE0620001716AD +:2015E800000F883A2005883A280012162900162E0180080400C000440000010630000A2676 +:2015F000294B883A31BFFFC418C7883A293FFB36180005261806D07A114001361145C83A2D +:2015F800280AD07A183FFB1E380001260085C83AF800283A014BC83A003FEC060109C83A1C +:2016000001C00044003FE70600C00044003FF106200D883A2900152E280014160080080426 +:2016080000C000440000020610000E2628000516294B883A10BFFFC418C7883A293FFA362E +:20161000180008260005883A31400236314DC83A10C4B03A1806D07A280AD07A183FFA1E73 +:20161800F800283A0005883AF800283A00C00044003FF4062005883A2900122E280011165B +:201620000180080400C000440000020630000C2628000516294B883A31BFFFC418C7883AE2 +:20162800293FFA36180006261806D07A114001361145C83A280AD07A183FFB1EF800283A38 +:20163000F800283A00C00044003FF7060005883A2000072620C0004C2008D07A180001260F +:201638001145883A294B883A203FFA1EF800283AF800283A218D883A218008262080000337 +:2016400028C0000310C0022610C5C83AF800283A2100004429400044003FF7060005883A61 +:20164800F800283A2005883A2007883A218D883A198005262900000318C00044294000442F +:20165000193FFFC5003FFA06F800283ADEFFF504DFC00915DC400815DC000715D9C00A1549 +:20165800008020B410A06904144000172800040E008022C48880001500BFFFC400001C0635 +:2016600000C08204D8C0000DD9000415D90002152800022628FFFFC4000001060007883A93 +:20166800D8C00515D8C003151100001700FFFFC4D8C0008D00C0207418D81D042821883A81 +:20167000D9C00A04D80B883AD8C00115D80006150815B48000FFFFC410C0020E00C022C4D4 +:2016780088C0001580000226D8C0041718000005DFC00917DC400817DC000717DEC00B04DC +:20168000F800283A3000152631BFFFC4218D883A2080000328C0000311C03FCC1A003FCCD3 +:2016880039C0201C4200201C39FFE004423FE0043A00061E2180042638000326210000441F +:2016900029400044003FF2061007883A18C03FCC10803FCC10C5C83AF800283A0005883A07 +:20169800F800283A2005883A200F883A30000C262A00000338C0004431BFFFC43A00000543 +:2016A00042003FCC4200201C423FE00429400044180F883A403FF51E198D883A0000010663 +:2016A800F800283A30C003261800000518C00044003FFC06F800283A214B883A2005883AC6 +:2016B0001140021E1105C83AF800283A10C00007183FFC2610800044003FF906DEFFFB04F9 +:2016B800DC800315DC400215DC000115DFC004152025883A2823883AD98000053821883A34 +:2016C00004000A0E8880011701C00044D80D883A880B883A9009883A103EE83A843FFFC47C +:2016C800103FF72600BFFFC4000001060005883ADFC00417DC800317DC400217DC000117ED +:2016D000DEC00504F800283ADEFFE504D8C00804DDC01815DD801715DD401615DD001515ED +:2016D800DCC01415DC801315DC401215DC001115DFC01A15DF0019152029883A2823883A71 +:2016E000382D883AD9800F150021883AD8000E15D8000A15002B883A0027883A0025883AB4 +:2016E800D8000C15D8000B15002F883AD8C00915D8C00F171900000320803FCC1080201CF9 +:2016F00010BFE00410011E2600C00044B8C014261DC00216B8000626000115060140008462 +:2016F800B9401D26014000C4B9402B2600011006014009441140FC2688800117D900000531 +:2017000001C00044D80D883A880B883AA009883A103EE83A1000D81E840000440001040614 +:2017080001400C041140FA260140094411400A1ED880000588800117B80F883AD80D883A4B +:20171000880B883AA009883A103EE83A1000CA1E840000440000F50625FFF404BDC03FCC2A +:2017180000C002441DC0093600BFFFC490800426014002849009883A08158CC00000010641 +:201720000005883AB8A5883A0000E20601400B841140E42605C00084213FF40427003FCCDD +:2017280000C002441F00093600BFFFC498800426014002849809883A08158CC000000106DF +:201730000005883AE0A7883A0000D90600C01B0410C0D226013FFFC499000226D8000B1547 +:201738000000010604C0004401001A441100162620800916010018C411008826010019045D +:2017400011001126010016041100C81E00C00044D8C00E150000150601001CC411009826A5 +:201748002080041601001BC41100C01E0540020400000F0601001D4411000D2601001E04CF +:2017500011000A260000B906D8C00A17B700010418000726DF000D15B5C00017B800080E64 +:2017580005EFC83A024000440000060605400404B0C00104D8C00D15B5C00017D8000A15EA +:201760000013883AD839883AB8001726A80B883AB809883ADA40101508158100A80B883A82 +:201768001009883A102D883A08158CC0B885C83A00C00244DA4010171880021610800C0448 +:2017700000000506D8C00E171800022610800DC400000106108015C4E0800005B02F883A7A +:20177800E7000044003FE806E6EFC83A9DC5C83A0080090EE085883A01400C04D8C00917F7 +:20178000E009883AE0C0032EE700004421400005E0BFFA1EE6EFC83AD8C00B174DD1883A14 +:20178800922DC83A1800162648000A2600800B44D88008058880011701C00044D9800804F6 +:20179000880B883AA009883A103EE83A10004A1E840000440580070EB00F883A01800C041D +:20179800880B883AA009883A0815ADC01000421E85A1883AE02D883ABF2FC83A00002006AA +:2017A0000580090EB00F883A01800804880B883AA009883ADA4010150815ADC0DA401017B5 +:2017A8001000351E85A1883A483FF22600800B44D88008058880011701C00044D980080479 +:2017B000880B883AA009883A103EE83A10002A1E84000044003FE706B5BFFFC4B080000333 +:2017B80001C00044D9800804D880080588800117880B883AA009883A103EE83A10001E1EA6 +:2017C0008585C83AB5C9883AE085883A013FF2161021883ADD800D170000440600800044CC +:2017C8001480080E95FFFFC4B80F883A01800804880B883AA009883A0815ADC010000E1E64 +:2017D00085E1883AB080001701C00044D80D883AD880000588800117880B883AA009883AA1 +:2017D800B5C00104103EE83A1000031E84000044B82D883A00002D0600BFFFC4000031067B +:2017E000B5C00017B7000104B809883A081617C09091C83A102D883A0200090E400F883ADD +:2017E80001800804880B883AA009883ADA0010150815ADC0DA001017103FEF1E8221883A49 +:2017F00088800117B00F883AB80D883A880B883AA009883A103EE83A103FE71E85A1883A45 +:2017F800E02D883A0000110600C0004404FFFFC4D8000E15D8C00A15054002849825883A25 +:20180000D8000C15D8000B15182F883A00000806DDC00B1505C000840000050600C00044AB +:20180800D8C00C1505C000C400000106002F883AD8C00F1718C00044D8C00F15003EDC06D0 +:201810008005883ADFC01A17DF001917DDC01817DD801717DD401617DD001517DCC0141721 +:20181800DC801317DC401217DC001117DEC01B04F800283A2880000B10C0020C18002026DB +:2018200028C0008FDEFFFD04DC000015DFC00215DC4001152821883A1800150E10C0800CD8 +:20182800180013262C40051789C0030E10C0200C1800032600000E063C40010E3823883A74 +:2018300081000417300B883A880D883A081611C0808005171445C83A80800515808004170D +:201838001463883A844004150005883A00000606108010148080000D00BFFFC4000002065C +:2018400000BFFFC4F800283ADFC00217DC400117DC000017DEC00304F800283A2005883AE7 +:20184800218F883A290002361007883A00000C062987883A20FFFC2E380B883A30CDC83A03 +:201850001989883A2000052618FFFFC419000003297FFFC429000005003FF906F800283AA2 +:2018580019C005262900000318C0004429400044193FFFC5003FFA06F800283A2005883ADB +:2018600010C000071800022610800044003FFC061105C83AF800283ADEFFFF040100207455 +:20186800014020B4DFC00015211E640429606D042140061E010020740140207421000804DA +:20187000294008042140121E00000B0600C020B418E06D041907C83A0005883A10FFF52631 +:20187800114F883A39C00017110D883A1080010431C00015003FF9060100207401402074FB +:20188000211AFF04295AFF042140101E00000B0600C0207418C008041907C83A0005883AC3 +:2018880010FFF526114F883A39C00017110D883A1080010431C00015003FF9060816B80055 +:20189000DFC00017DEC001040816BF0100C0207418DE64041907C83A0005883A18BFF72672 +:20189800114F883A39C00017110D883A1080010431C00015003FF906DEFFFF040009883A9A +:2018A000DFC0001508162A8008162C80D1A23D17D1623E17D1223F17DFC00017DEC00104F1 +:2018A80008120F010816B001DEFFFF04DFC000150816BF40008000441001703ADFC0001741 +:2018B000DEC00104F800283A008020B410840004D0A24115010020B40080673410BF300474 +:2018B80021201104D0A2401508167901D0E24017D0A2411718000A2610C001040100020464 +:2018C00019000035013FFFD4110002351100033500800104188000350005883AF800283AA3 +:2018C80000BFFFC4F800283AD0A2401710000926D0E2411718800404100000351080003766 +:2018D00018C005371806943A10BFFFCC1884303AF800283A00BFFFC4F800283AD0A2401759 +:2018D800F800283A20001D262804923A20C03017DEFFFD04DC400115DC000015DFC002155D +:2018E0002823883A2021883A108000D41880033580C03017188000371080004C1000032639 +:2018E800010000440816B000003FF906198000373007D0BA3009D0FA18C001CC2100020C27 +:2018F0001908B03A3007D07A18C0040C1906B03A88C0042600BFF4840000020600BFFA846D +:2018F800F800283ADFC00217DC400117DC000017DEC00304F800283A20000A2628000926F1 +:201900003000082620800C173080001520800C171000062621000D04290000150005883AAB +:20190800F800283A00BFFA84F800283A00BFFEC4F800283A2005883A20001D262809883AB6 +:2019100028001B1610C0311728C0192E1140341728FFFFC41906703A1800151EDEFFFE049E +:20191800DC000015DFC001151021883A081581001004923A00C0403418FFC00410C4703A0B +:2019200080C03017108000941880033580C0301718C00404188000371080004C10000626DE +:20192800008000441880003500BFFEC40000020600BFFA84F800283ADFC00117DC00001744 +:20193000DEC00204F800283ADEFFF504DC000115DFC00A15DF000915DDC00815DD800715E3 +:20193800DD400615DD000515DCC00415DC800315DC400215DC000B1728003A163023883A79 +:20194000300038162027883A20003626382B883A38003426208031173080322E2880312ECE +:20194800208034173147C83A10C7C83A1C002D3680002C1610BFFFC4114A703A2800291EFA +:201950000029883A05BFFFC405C000C40700010480002226DD800015890000CC2000062695 +:20195800E105C83A8025883A1400012E1025883A8923C83A00000406BC0002368025883AD3 +:201960000000010604800104AD0B883A900D883AD909883A0815924098802E17D8C000175F +:20196800A4A9883A84A1C83A8885883A10C00035988030171080040410C0003718C0008CF3 +:201970001800042600C0008410C0003500BFFEC4000005068C400104003FDD060005883A86 +:201978000000010600BFFA84DFC00A17DF000917DDC00817DD800717DD400617DD000517E2 +:20198000DCC00417DC800317DC400217DC000117DEC00B04F800283A20001626DEFFF604B7 +:20198800DD000515DFC00915DDC00815DD800715DD400615DCC00415DC800315DC400215C4 +:20199000DC0001153029883A30000C262021883A20802E1780C02F172823883A2885883ADE +:20199800382B883A3889883A10C0042E20C005360000020600BFFA84F800283A00BFFA848E +:2019A00000002406814034178809883A002D883A08158100102F883A80803317B8801C2E44 +:2019A800A8001B2684C0341704E7C83A9C66703A8CC002268CE5C83A000001060025883A39 +:2019B000980B883A8009883A081643401000111E8080341714A5C83AAC80012EA825883A97 +:2019B800DC800015A58F883A880D883A980B883A8009883A08164C801000061EACABC83A2A +:2019C000B4AD883A8CA3883ABDC00044003FE2060005883ADFC00917DDC00817DD8007174E +:2019C800DD400617DD000517DCC00417DC800317DC400217DC000117DEC00A04F800283A70 +:2019D000200008263007883A3000062620802E17288B883A20802F17394D883A2880012EF5 +:2019D8003080023600BFFA84F800283ADEFFFF04380D883A1809883ADFC000150815924003 +:2019E0000005883ADFC00017DEC00104F800283A20004E262080301710004E2620C0321745 +:2019E800DEFFFB04DFC00415DCC00315DC800215DC400115DC00001518001E1E14800237E0 +:2019F00000C0030494803FCC90BFFAC418804336100490BA00C0207418D9FA0410C5883A9B +:2019F800108000171000683A00816854008168640081686C0081685C0081681C008168DC88 +:201A0000008168DC008168DC008168DC008168DC008168DC008168240081682C0440800403 +:201A08000000130604410004000011060442000400000F06148001370080058494803FCCF2 +:201A100090800A260080060490800426008005049080221E044008040000050604404004F6 +:201A18000000030604401004000001060440200424C034172021883A8809883A980B883AF4 +:201A200008158CC080C0311710C0021E80C0331788C0022680000C150000100600C0004410 +:201A28008480361580C00C1580000D1580800E1584400F1584C01015D16023048009883A35 +:201A30000816B8400005883A0000050600BFFA84F800283A00BFFB44F800283A00BFFB44C1 +:201A3800DFC00417DCC00317DC800217DC400117DC000017DEC00504F800283A300001262A +:201A40000005C03200FFFF042005883A28C6703A110DC83A30C0042E11800017300DC0F235 +:201A480010800104003FFA06294000CC00C000C428C0041E10C0000B1807C0B21080008368 +:201A50000000080600C0008428C0031E1080000B1005C0B20000040600C0004428C0021EE3 +:201A5800108000031005C0720005C132F800283ADEFFFD04DC400115DC0000152823883A34 +:201A60002021883A014001443009883ADFC0021508158CC0100B883A8809883A08158100F5 +:201A680010BFFFC480C0020418000035010000448100043511003FCC810000351004D23A48 +:201A700010803FCC808001350080200418800035DFC00217DC400117DC000017DEC0030490 +:201A7800F800283A3180004C294B883A298B883A214003352100040400802404208000350C +:201A8000208000371080008C103FFD1E208000371004D1FA1080004CF800283A20C0040415 +:201A88002800022600801A04000001060080080418800035188000371080008C103FFD1E9B +:201A900020800337F800283A29403FCC2140033521000404300002260080140400000106D5 +:201A98000080040420800035208000371080008C103FFD1E208000371004D1FA1080004CE2 +:201AA000F800283A21C004042805883A02000B04210003041147C83A1980090E3A00003542 +:201AA80038C0003718C0008C183FFD1E2800022620C0003710C0000510800044003FF506CF +:201AB000F800283A21C003042805883A21000404020007041147C83A1980080E10C00003D3 +:201AB80038C000352200003520C0003718C0008C183FFD1E10800044003FF606F800283A34 +:201AC000014AAAF4DEFFFE04296AAA84DC000015DFC001152021883A0815810010000F26F1 +:201AC80001600034013555740007883A297FFFC421155584297FFFC4283FFE1E18C000441D +:201AD0008121883A18BFFB168405883A1421883A843FFFC4803FFE1E000004068405883AB2 +:201AD8001421883A843FFFC4043FFE160005883ADFC00117DC000017DEC00204F800283AAB +:201AE000F800283A20000226208002171000101ED0A0221710000926DEFFFF04DFC00015D1 +:201AE800103EE83A00C0058410C0001500BFFA84DFC00017DEC00104F800283AD0A2420498 +:201AF00000C0058410C0001500BFFA84F800283A2880001721400115208000152880001767 +:201AF80011000115290000150005883AF800283AF800283A000170FAF800283A73616C46A3 +:201B000072652068006573616F727265642520720000000073616C46727720680065746921 +:201B08006F7272650000007273616C4665762068206669726C6961660000000053206F4E7D +:201B10006163204464206472002E74652520732500632575252E75256B75322E25207A481E +:201B18002E252E757A4875320000000064616F4C0000646564616F4C696166200064656C00 +:201B200000007325746F6C5300752520657661530000006465766153696166200064656CAA +:201B28004353534F776620207525202E322E252E0000007574696E49727265202020726F1A +:201B3000000064252020202053204F4E00434E59343130323130322D6D2020377371726170 +:201B38000000000074736554746170206E726574000000003A31564142475220000000537F +:201B40003A31564173475220000000423A31564162505920000072503A32564162505920F8 +:201B4800000072503A32564173475220000000423A33564142475220000056483A335641A9 +:201B500042475220000000533A33564173475220000000423A3356416250592000007250C4 +:201B58007473614C657375200000006400816CE400816CF400816D0000816D0C00816D1868 +:201B600000816D2400816D3000816D3C00816D4800816D5400816D60000000000001000044 +:201B680001010000000100010000000001100000060B00000100034400000000808080006F +:201B7000001A1A1A7365725000000073666E6F43006D72696D73694D686374616572202CD3 +:201B780000797274646F4D567325203A00000000203A434C56207525203A4D5300007525F9 +:201B8000656E694C746C756D646F6D2000003A65736572702D3120730000003520787525EA +:201B880075736E75726F707000646574666F725020656C6964616F6C0000003A73657270EF +:201B90002D30207300000039000000310000003200000033000000340000003600000037D5 +:201B980000000038554E454D0000000000004B4F4B43414200000000000050554E574F4438 +:201BA000000000005446454C0000000048474952000000544F464E49000000005F44434C1E +:201BA8004B4341424847494C000000544E414353454E494C444F4D5F000000454E4143539E +:201BB000454E494C5059545F000000454E414353454E494C544E495F0000002B4E41435365 +:201BB800454E494C544E495F0000002D454E494C544C554D444F4D5F000000455341485054 +:201BC00000002B455341485000002D45464F52505F454C494B544F4801005945030F031F7E +:201BC8003E2903033E693EA93E193EE93E593E993E393ED93E4D3EC93EED3E1D3ECD3E2DFA +:201BD0003E6D3EAD3E013E651C181C481CD01C505E581CC83EB95ED800816E4800816E4C54 +:201BD80000816E5000816E5400816E1800816E5800816E5C00816E6000816E4400817568F2 +:201BE00000816E6400816E6C00816E7000816E7800816E7C00816E8400816E8C00816E9495 +:201BE80000816E9C00816EAC00816EBC00816ECC00816EDC00816EEC00816EFC00816F04CC +:201BF00000816F0C4353534F0000000061766E492064696C67616D690000006561766E4929 +:201BF8002064696C646165680000726561766E492064696C2072646800435243696C615662 +:201C0000697461646420676E0061746162207525736574790000000061766E492064696CCB +:201C0800617461644352432000000000252E75252575322E00732573636E6143656C6C6521 +:201C10000000006461647055676E69740057462061656C70772065732E74696100002E2E7E +:201C1800697265566E6979666C6620670068736172746552676E69796470752000657461D4 +:201C200061647055203F65742C593D314E3D322000000000202020200000752564206425EB +:201C2800000067656C20752573656E6900000000252E75252075322E000073756D2064251B +:201C300000000056702075256C657869000000732525752500000000656469566E69206F1D +:201C38006F7270203E20206300000000706D6153676E696C74706F203E20202E00000000E0 +:201C4000636E795374706F202020202E3E202020000000007074754F6F207475202E7470F6 +:201C48003E2020200000000074736F506F72702D20202E633E20202000000000706D6F43BC +:201C50006269746174696C693E20207900000000616F4C3C727020646C69666F003E206500 +:201C58007661533C727020656C69666F003E20657365523C73207465697474653E73676E24 +:201C600000000000657365526F6420740000656E2E77463C64707520206574613E20202013 +:201C6800000000002D204B4F736C70207365722074726174000000006C696166000064657C +:201C70006C6C75462058542075746573000000702033564165746E6963616C7278696665BC +:201C7800000000006E616353656E696C000000736E616353656E696C727473200000002ED8 +:201C80006E616353656E696C70797420000000656E616353656E696C696C61202E6D6E6742 +:201C88000000000069726F48746E6F7A6D206C61006B7361747265566C61636973616D20AB +:201C90000000006B6B73614D697262206E7468670073736565766552206573720046504C06 +:201C9800703034323838322F727020700000636F703438336F727020000000636930383429 +:201CA0003637352F727020690000636F703038343637352F727020700000636F69303639ED +:201CA8003830312F7020693000636F72656E694C6D2078320065646F656E694C6D20783330 +:201CB0000065646F656E694C6D2078340065646F656E694C6D2078350065646F656E694C61 +:201CB80066207835616D726F00000074783635322030343265707361000074636D20585432 +:201CC0000065646F494D4448435449200000000074696E49206C616975706E690000007490 +:201CC8006C616E417320676F20636E790046504C6C616E417320676F20636E79006874564A +:201CD0006E7973486F7420636172656C0065636E6E797356687420636873657200646C6F12 +:201CD8004C502D487250204C6F432D65007473614C502D486F50204C432D74737473616FD7 +:201CE00000000000706D6153676E696C616870200000657370303834206E6920706D6173A4 +:201CE8000072656C6F6C6C41565420775048205078324C4C000000006F6C6C417075207782 +:201CF000706D61737832656C000000007664413C6974202E676E696D3E20202000000000DD +:201CF80065646956504C206F00000046506250596E6920726C6F43200061705372502F526A +:201D000066666F200074657320592F477366666F0000746562502F4266666F2000746573DC +:201D080072502F52696167200000006E20592F476E6961670000000062502F4269616720B7 +:201D10000000006E73202E486C706D61746172650000006573202E486C636E7900006E65EF +:201D180062202E48706B63616863726F0000000061202E48766974630000006573202E563F +:201D20006C636E7900006E6562202E56706B63616863726F0000000061202E56766974630E +:201D28000000006500706F5474746F4200006D6F69726F48746E6F7A00006C6174726556C3 +:201D30006C6163690000000065746C4174616E7200676E690066664F6F74754100000000CD +:201D3800756E614D00006C61494D4448000000000049564400373A38737361507572687425 +:201D400000000000656E694C2820783229626F6200000000656E694C00007832656E694CF3 +:201D4800282078336563616C00002964656E694C2820783429626F6200000000656E694C06 +:201D500000007833656E694C00007834656E694C0000783530323931383031780000003052 +:201D5800303036313032317800000030303239313032317800000030656E65472063697285 +:201D600000333A3478303233203034326974706F00002E6D78363532203034326974706F21 +:201D680000002E6D656E654720636972393A363100000000484D33336D28207A00296E69DF +:201D7000484D30316D28207A002964654D352E3228207A482978616D0000000020565444D3 +:201D78007030383400000000415345563034362030383478003036402E636552313036209D +:201D8000000000002E6365523930372000000000484D35394828207A205654440029494965 +:201D8800484D35334828207A2056544400002949484D36314528207A29565444000000009A +:201D90007A484D39445328200029565400006E4F445253550041544100000020008175F85A +:201D98000000200020002CE5E926F4FD38BC20000000000000817604000020002000323E1B +:201DA000F113FA043B6120000000000036333531303432780600000007FE00F00FEA01068D +:201DA8000112039632312002327830380000303400F005000106061803480FAA04420112FD +:201DB000783036390030343203C00000049200F00F80010601120336323300823432783046 +:201DB8000000003000F00140010601AA031F0E31491001127836353200303432010000007F +:201DC000015500F00E2701060112031934329220000070300000000000F002D00106035A74 +:201DC800033E0F39000E011236333531303432780600004C07FE00F029EA01380112039635 +:201DD000323120023278303800003838012005000138061803480FAA0442011278303639FB +:201DD8000038383203C00000049201200F80013801120336323300823432783000424C3008 +:201DE00000F00140013801AA031F293149100112783635324C30343201000042015500F066 +:201DE8002927013801120319383292200000703800000000012002D001380360033F134536 +:201DF000000E0112703438330000000001F00000028001801D3201A70204033E3436000EF9 +:201DF80038337830000000340180028001EC032002603F30000E021069303834000000007B +:201E000002D00000035A00F00F39020D0312033E3834044F000070300000000001E002D0E4 +:201E0800020D035A063E1E3C000C0414783034360030383402800000032001E02130020DF8 +:201E1000041402603436000C3135783000000032020002800238032002601C30000C0414CF +:201E1800693637350000000002D0000003600120134502710312033F3735044F00007036C2 +:201E200000000000024002D00271036005402744000C04047830303800303036032000002B +:201E280004200258175802740010048032370004000070300000000002D0050002EE067257 +:201E3000052814DC00040018343230313836377804000000054003001DA0032600100688A5 +:201E380032310004317830380034323004000500042A0698037026F80004001078303436F0 +:201E40006930363902800000032001E02130041A051402603031000D0069303800000000CB +:201E4800021C078004650898052C1094000D05183038303100000070078000000898043831 +:201E5000249404650018052C36310004317830300030303204B0064004E2087003F12EFF89 +:201E580000040010FFFFFFFFFFFFFFFF0000FFFF7665642F6370652F6F635F716F72746E26 +:201E600072656C6C615F305F6D5F6C7600006D6500000000000000000000000000000000E4 +:201E680000000000000000000000000000000000000000000000000000000000000000005A +:201E7000000000000000000000000000000000000000000000000000000000000000000052 +:201E780000000000000000000000000000000000000000000000000000000000000000004A +:201E8000000000000000000000000000000000000000000000000000000000000000000042 +:201E880000000000000000000000000000000000000000000000000000000000000000003A +:201E9000000000000000000000000000000000000000000000000000000000000000000032 +:201E980000000000000000000000000000000000000000000000000000000000000000002A +:201EA000000000000000000000000000000000000000000000000000000000000000000022 +:201EA80000000000000000000000000000000000000000000000000000000000000000001A +:201EB000000000000000000000000000000000000000000000000000000000000000000012 +:201EB80000000000000000000000000000000000000000000000000000000000000000000A +:201EC000000000000000000000000000000000000000000000000000000000000000000002 +:201EC8000000000000000000000000000000000000000000000000000000000000000000FA +:201ED0000000000000000000000000000000000000000000000000000000000000000000F2 +:201ED8000000000000000000000000000000000000000000000000000000000000000000EA +:201EE0000000000000000000000000000000000000000000000000000000000000000000E2 +:201EE8000000000000000000000000000000000000000000000000000000000000000000DA +:201EF0000000000000000000000000000000000000000000000000000000000000000000D2 +:201EF8000000000000000000000000000000000000000000000000000000000000000000CA +:201F00000000000000000000000000000000000000000000000000000000000000000000C1 +:201F08000000000000000000000000000000000000000000000000000000000000000000B9 +:201F10000000000000000000000000000000000000000000000000000000000000000000B1 +:201F18000000000000000000000000000000000000000000000000000000000000000000A9 +:201F20000000000000000000000000000000000000000000000000000000000000000000A1 +:201F2800000000000000000000000000000000000000000000000000000000000000000099 +:201F3000000000000000000000000000000000000000000000000000000000000000000091 +:201F3800000000000000000000000000000000000000000000000000000000000000000089 +:201F4000000000000000000000000000000000000000000000000000000000000000000081 +:201F4800000000000000000000000000000000000000000000000000000000000000000079 +:201F5000000000000000000000000000000000000000000000000000000000000000000071 +:201F5800000000000000000000000000000000000000000000000000000000000000000069 +:201F6000000000000000000000000000000000000000000000000000000000000000000061 +:201F6800000000000000000000000000000000000000000000000000000000000000000059 +:201F7000000000000000000000000000000000000000000000000000000000000000000051 +:201F7800000000000000000000000000000000000000000000000000000000000000000049 +:201F8000000000000000000000000000000000000000000000000000000000000000000041 +:201F8800000000000000000000000000000000000000000000000000000000000000000039 +:201F9000000000000000000000000000000000000000000000000000000000000000000031 +:201F9800000000000000000000000000000000000000000000000000000000000000000029 +:201FA000000000000000000000000000000000000000000000000000000000000000000021 +:201FA800000000000000000000000000000000000000000000000000000000000000000019 +:201FB000000000000000000000000000000000000000000000000000000000000000000011 +:201FB800000000000000000000000000000000000000000000000000000000000000000009 +:201FC000000000000000000000000000000000000000000000000000000000000000000001 +:201FC8000000000000000000000000000000000000000000000000000000000000000000F9 +:201FD0000000000000000000000000000000000000000000000000000000000000000000F1 +:201FD8000000000000000000000000000000000000000000000000000000000000000000E9 +:201FE0000000000000000000000000000000000000000000000000000000000000000000E1 +:201FE8000000000000000000000000000000000000000000000000000000000000000000D9 +:201FF0000000000000000000000000000000000000000000000000000000000000000000D1 :201FF8000000000000000000000000000000000000000000000000000000000000000000C9 :202000000000000000000000000000000000000000000000000000000000000000000000C0 :202008000000000000000000000000000000000000000000000000000000000000000000B8 @@ -1036,124 +1036,124 @@ :20205000000000000000000000000000000000000000000000000000000000000000000070 :20205800000000000000000000000000000000000000000000000000000000000000000068 :20206000000000000000000000000000000000000000000000000000000000000000000060 -:20206800000000000000000000000000000000000000000000000000000000000000000058 -:20207000000000000000000000000000000000000000000000000000000000000000000050 -:20207800000000000000000000000000000000000000000000000000000000000000000048 -:20208000000000000000000000000000000000000000000000000000000000000000000040 -:20208800000000000000000000000000000000000000000000000000000000000000000038 -:20209000000000000000000000000000000000000000000000000000000000000000000030 -:20209800000000000000000000000000000000000000000000000000000000000000000028 -:2020A000000000000000000000000000000000000000000000000000000000000000000020 -:2020A800000000000000000000000000000000000000000000000000000000000000000018 -:2020B000000000000000000000000000000000000000000000000000000000000000000010 -:2020B800000000000000000000000000000000000000000000000000000000000000000008 -:2020C000000000000000000000000000000000000000000000000000000000000000000000 -:2020C8000000000000000000000000000000000000000000000000000000000000000000F8 -:2020D0000000000000000000000000000000000000000000000000000000000000000000F0 -:2020D8000000000000000000000000000000000000000000000000000000000000000000E8 -:2020E0000000000000000000000000000000000000000000000000000000000000000000E0 -:2020E8000000000000000000000000000000000000000000000000000000000000000000D8 -:2020F0000000000000000000000000000000000000000000000000000000000000000000D0 -:2020F8000000000000000000000000000000000000000000000000000000000000000000C8 -:202100000000000000000000000000000000000000000000000000000000000000000000BF -:202108000000000000000000000000000000000000000000000000000000000000000000B7 -:202110000000000000000000000000000000000000000000000000000000000000000000AF -:202118000000000000000000000000000000000000000000000000000000000000000000A7 -:2021200000000000000000000000000000000000000000000000000000000000000000009F -:20212800000000000000000000000000000000000000000000000000000000000000000097 -:2021300000000000000000000000000000000000000000000000000000000000000000008F -:20213800000000000000000000000000000000000000000000000000000000000000000087 -:2021400000000000000000000000000000000000000000000000000000000000000000007F -:20214800000000000000000000000000000000000000000000000000000000000000000077 -:2021500000000000000000000000000000000000000000000000000000000000000000006F -:20215800000000000000000000000000000000000000000000000000000000000000000067 -:2021600000000000000000000000000000000000000000000000000000000000000000005F -:20216800000000000000000000000000000000000000000000000000000000000000000057 -:2021700000000000000000000000000000000000000000000000000000000000000000004F -:20217800000000000000000000000000000000000000000000000000000000000000000047 -:2021800000000000000000000000000000000000000000000000000000000000000000003F -:20218800000000000000000000000000000000000000000000000000000000000000000037 -:2021900000000000000000000000000000000000000000000000000000000000000000002F -:20219800000000000000000000000000000000000000000000000000000000000000000027 -:2021A00000000000000000000000000000000000000000000000000000000000000000001F -:2021A800000000000000000000000000000000000000000000000000000000000000000017 -:2021B00000000000000000000000000000000000000000000000000000000000000000000F -:2021B800000000000000000000000000000000000000000000000000000000000000000007 -:2021C0000000000000000000000000000000000000000000000000000000000000000000FF -:2021C8000000000000000000000000000000000000000000000000000000000000000000F7 -:2021D0000000000000000000000000000000000000000000000000000000000000000000EF -:2021D8000000000000000000000000000000000000000000000000000000000000000000E7 -:2021E0000000000000000000000000000000000000000000000000000000000000000000DF +:202068000000000000000000000000000000000000000000017804E53CCE00813FAE0383F8 +:202070003F333D4905B80383009301B404163C493CD93F9F04163F10020E04093D0E00C8A2 +:202078003F6E03833ED03DAC04B2038300E9026404163C933D493F5604163E9F008181286E +:202080000000000000000000000000000000000000000000008170D800000003008181581A +:202088000000000000000000008170EC000000030081815000000000000000000081710014 +:20209000000000030081814800000000000000000081711400000003008181400000000098 +:202098000000000000817128000000030081813800000000000000000081713C00000003A0 +:2020A00000818130000000000000000000817150000000030000000000811B80000000008D +:2020A80000817160000000030000000000811C58000000000081717000000004008125784A +:2020B00000817184008170D4008171900000000400812D0C008171A4008171B8008171C0A3 +:2020B8000000000000818AF20001000100818198008171D00000000000818AF300010001AD +:2020C00000818198008171E40000000000818AD10002000100817EAC008171F00000000123 +:2020C80000818AD3000F000000813354008172000000000000818AD20002000100817EA091 +:2020D000008172100000000000818AD40001000100818168008172240000000100818AE19E +:2020D800003F000000813338008172340000000100818AE2003F0000008133380081724446 +:2020E0000000000100818AE3000F0000008131E8008172540000000100818AF4001F0000E2 +:2020E800008131E8008172600000000000818ADB0004000100817EC80081727000000000D6 +:2020F00000818ADC00010001008181880081727C0000000000818ADD0003000100817EB84B +:2020F8000081728C0000000000818ADE00010001008181880081729C0000000000818ADF5B +:202100000001000100818180008172AC0000000000818AD60002000100817EE8008172B826 +:202108000000000000818AD70003000100817EF4008172C40000000000818AD80002000141 +:2021100000817EE8008172D00000000000818AD90002000100817EE8008172DC0000000068 +:2021180000818ADA0002000100817EDC008172EC0000000000818AE000010001008181789E +:20212000008172FC0000000000818AE40001000100818170008173040000000000818AE565 +:202128000001000100818198008173100000000000818AF5000A000100817F3800817320A0 +:202130000000000000818AEE0003000100817F04008173300000000100818AEB001F000054 +:20213800008132F4008173400000000100818AEC00FF000000813264008173500000000159 +:2021400000818AED00C80A0000813264008173600000000100818AF000050000008132484E +:20214800008173700000000100818AF10005000000813248008173840000000100818AE7AB +:20215000001F000100813204008173940000000000818AE60002000100817F14008173A470 +:202158000000000000818AE80001000100818198008173B80000000000818AE90001000136 +:2021600000818198008173CC000000030081816000811D3000000000008173E000000000FE +:2021680000818AEF0005000100817F20008173EC0000000000818AEA0001000100818190CE +:20217000008173FC0000000100818AF600FF0000008131E8008174080000000100818AF7C4 +:2021780000FF0000008131E8008174140000000100818AF800FF0000008131E800817420F3 +:202180000000000100818AF900FF0000008131E80081742C0000000100818AFA00FF00007B +:20218800008131E8008174380000000100818AFB00FF0000008131E8008174440000000295 +:20219000008189EE08FC012C00811E3C0081745400000002008189FA00FF000A00811E3CF8 +:202198000081746000000002008189E200FF000100811E3C0081747000000002008189ECAC +:2021A000078000C800811E3C0081747C00000002008189E60007000100811E3C0081748832 +:2021A80000000002008189F4003F000100811E3C0081749800000002008189EA04B000C8FD +:2021B00000811E3C008174B0008174BC008174C8008174D4008174D8008174E0008174F8C9 +:2021B800008175040081751C0081752C008174F8008175140081753C008175440081754C34 +:2021C00000817554008175600081756C008175780081758400817594008175A40081757883 +:2021C8000081758400817594008174D4008175B4008175C0008175CC008174D8008175DC69 +:2021D000008175E8008174D8008174D40081761000817620008176300081764000816CE42E +:2021D80000816CF400816D0000816D0C00816D1800816D2400816D3000816D3C00816D4888 +:2021E00000816D5400816D6000000000008180240081802C008180340000000000000000C8 :2021E8000000000000000000000000000000000000000000000000000000000000000000D7 :2021F0000000000000000000000000000000000000000000000000000000000000000000CF :2021F8000000000000000000000000000000000000000000000000000000000000000000C7 -:20220000000000000000000000000000000000000000000000000000017804E53CCE0081D1 -:202208003FAE03833F333D4905B80383009301B404163C493CD93F9F04163F10020E0409A8 -:202210003D0E00C83F6E03833ED03DAC04B2038300E9026404163C933D493F5604163E9FEB -:20221800008187840000000000000000000000000000000000000000008176E4000000033C -:20222000008187BC0000000000000000008176F800000003008187B400000000000000002C -:202228000081770C00000003008187AC00000000000000000081772000000003008187A414 -:20223000000000000000000000817734000000030081879C0000000000000000008177487B -:20223800000000030081879400000000000000000081775C000000030081878C00000000FC -:20224000000000000081777000000003000000000081208C0000000000817780000000036B -:20224800000000000081216400000000008177900000000400812AC4008177A4008176E002 -:20225000008177B00000000400813238008177C4008177D8008177E00000000000819173EE -:2022580000010001008187CC008177F0000000000081917400010001008187FC0081780023 -:20226000000000000081917100010001008187FC0081781000000000008191720001000146 -:20226800008187FC0081782400000000008191510002000100818508008178300000000197 -:2022700000819153000F00000081388000817840000000000081915200020001008184FC00 -:2022780000817850000000000081915400010001008187D4008178640000000100819161E8 -:20228000003F000000813864008178740000000100819162003F0000008138640081788427 -:202288000000000100819163000F00000081371400817894000000000081915B00040001E6 -:2022900000818524008178A4000000000081915C00010001008187EC008178B0000000005A -:202298000081915D0003000100818514008178C0000000000081915E00010001008187EC7A -:2022A000008178D0000000000081915F0003000100818514008178E0000000000081915685 -:2022A8000002000100818544008178EC00000000008191570003000100818550008178F830 -:2022B0000000000000819158000200010081854400817904000000000081915900020001EB -:2022B8000081854400817910000000000081915A00020001008185380081792000000000EB -:2022C0000081916000010001008187E400817930000000000081916400010001008187DC18 -:2022C80000817938000000000081916500010001008187FC00817944000000000081917582 -:2022D000000A00010081859400817954000000000081916D000300010081856000817964B4 -:2022D800000000010081916A001F00000081382000817974000000010081916B00FF000086 -:2022E0000081379000817984000000010081916C00C80A00008137900081799400000001F0 -:2022E8000081916F0005000000813774008179A400000001008191700005000000813774D2 -:2022F000008179B80000000100819167001F000100813730008179C8000000000081916660 -:2022F8000002000100818570008179D8000000000081916800010001008187FC008179EC15 -:2023000000000003008187C40081223C0000000000817A00000000000081916E000500018E -:202308000081857C00817A0C000000000081916900010001008187F400817A1C000000019B -:202310000081917600FF00000081371400817A28000000010081917700FF000000813714E2 -:2023180000817A34000000010081917800FF00000081371400817A40000000010081917959 -:2023200000FF00000081371400817A4C000000010081917A00FF00000081371400817A58E0 -:20232800000000010081917B00FF00000081371400817A64000000020081906E08FC012C2B -:202330000081234800817A74000000020081907A00FF000A0081234800817A800000000233 -:202338000081906200FF00010081234800817A90000000020081906C078000C800812348E1 -:2023400000817A9C0000000200819066000700010081234800817AA800000002008190744F -:20234800003F00010081234800817AB8000000020081906A04B000C80081234800817AF8BE -:2023500000817B0400817B1000817B1C00817B2000817B2800817B4000817B5400817B641D -:2023580000817B7400817B4000817B4C00817B8400817B8C00817B9400817B9C00817BA89D -:2023600000817BB400817BC000817BCC00817BDC00817BEC00817BC000817BCC00817BDC0D -:2023680000817B1C00817BFC00817C0800817C1400817B2000817C2400817C3000817B20A9 -:2023700000817B1C00817C5800817C6800817C7800817C88008172F0008173000081730CAA -:202378000081731800817324008173300081733C0081734800817354008173600081736C95 -:20238000000000000081868000818688008186900000000000000000000000000000000090 +:202200000000000000000000000000000000000000000000000000000000000000000000BE +:202208000000000000000000000000000000000000000000000000000000000000000000B6 +:20221000000000000000000000000004000000000001000A000000000002000A0000000093 +:2022180000000000000000000000000000000000008179700000000000000000008166183D +:2022200000816740008163F800816434008164C80000000000800000000000000000000054 +:20222800000000000000000000000000000000000000000000000000000000000000000096 +:2022300000000000000000000000000000000000000000000000000000000000000000008E +:20223800000000000000000000000000000000000000000000000000000000000000000086 +:20224000000000000000000000000000000000000000000000000000000000000081636436 +:20224800000000000080000000821020008000000000000100000080000100000000010041 +:202250000000000000108010000000800000000A008179F00000000200817AB8000000089D +:2022580000817AE00000000E00817B800000000600817C980000000500817D10000000084B +:2022600000817D740000000700817E14008174A4008174A8008174E8008174F00081758064 +:20226800008174F4008174F800817504008174F800817514008175F800817604008174D4DD +:202270000081764CC896554B00817F6400000000008181AC008181AC00000000000000004D +:20227800000000000000000000000000000000000000000000000000000000000000000046 +:2022800000000000000000000000000000000000000000000000000000000000000000003E +:20228800000000000000000000000000000000000000000000000000000000000000000036 +:2022900000000000000000000000000000000000000000000000000000000000000000002E +:20229800000000000000000000000000000000000000000000000000000000000000000026 +:2022A00000000000000000000000000000000000000000000000000000000000000000001E +:2022A800000000000000000000000000000000000000000000000000000000000000000016 +:2022B00000000000000000000000000000000000000000000000000000000000000000000E +:2022B800000000000000000000000000000000000000000000000000000000000000000006 +:2022C0000000000000000000000000000000000000000000000000000000000000000000FE +:2022C8000000000000000000000000000000000000000000000000000000000000000000F6 +:2022D0000000000000000000000000000000000000000000000000000000000000000000EE +:2022D8000000000000000000000000000000000000000000000000000000000000000000E6 +:2022E0000000000000000000000000000000000000000000000000000000000000000000DE +:2022E8000000000000000000000000000000000000000000000000000000000000000000D6 +:2022F0000000000000000000000000000000000000000000000000000000000000000000CE +:2022F8000000000000000000000000000000000000000000000000000000000000000000C6 +:202300000000000000000000000000000000000000000000000000000000000000000000BD +:202308000000000000000000000000000000000000000000000000000000000000000000B5 +:202310000000000000000000000000000000000000000000000000000000000000000000AD +:202318000000000000000000000000000000000000000000000000000000000000000000A5 +:2023200000000000000000000000000000000000000000000000000000000000000000009D +:20232800000000000000000000000000000000000000000000000000000000000000000095 +:2023300000000000000000000000000000000000000000000000000000000000000000008D +:20233800000000000000000000000000000000000000000000000000000000000000000085 +:2023400000000000000000000000000000000000000000000000000000000000000000007D +:20234800000000000000000000000000000000000000000000000000000000000000000075 +:2023500000000000000000000000000000000000000000000000000000000000000000006D +:20235800000000000000000000000000000000000000000000000000000000000000000065 +:2023600000000000000000000000000000000000000000000000000000000000000000005D +:20236800000000000000000000000000000000000000000000000000000000000000000055 +:2023700000000000000000000000000000000000000000000000000000000000000000004D +:20237800000000000000000000000000000000000000000000000000000000000000000045 +:2023800000000000000000000000000000000000000000000000000000000000000000003D :20238800000000000000000000000000000000000000000000000000000000000000000035 :2023900000000000000000000000000000000000000000000000000000000000000000002D :20239800000000000000000000000000000000000000000000000000000000000000000025 :2023A00000000000000000000000000000000000000000000000000000000000000000001D :2023A800000000000000000000000000000000000000000000000000000000000000000015 -:2023B00000000004000000000001000A000000000002000A000000000000000000000000F2 -:2023B800000000000000000000817FB8000000000000000000816C2400816D4C00816A0413 -:2023C00000816A4000816AD400000000008000000000000000000000000000000000000093 +:2023B00000000000000000000000000000000000000000000000000000000000000000000D +:2023B800000000000000000000000000000000000000000000000000000000000000000005 +:2023C0000000000000000000000000000000000000000000000000000000000000000000FD :2023C8000000000000000000000000000000000000000000000000000000000000000000F5 :2023D0000000000000000000000000000000000000000000000000000000000000000000ED :2023D8000000000000000000000000000000000000000000000000000000000000000000E5 -:2023E000000000000000000000000000000000000000000000816970000000000080000003 -:2023E800008210200080000000000001000000800001000000000100000000000010801080 -:2023F000000000800000000B008180380000000200818114000000020081813C00000007AA -:2023F800008181640000000E008181F00000000600818308000000040081838000000008BD -:20240000008183D0000000070081847000817AC400817AD800817AEC00817AF000817B30DC -:2024080000817B3800817BC800817B3C00817B4000817B4C00817C4000817C4C00817B1C62 -:2024100000817C94C896554B008185C0000000000081881000818810000000000000000025 +:2023E0000000000000000000000000000000000000000000000000000000000000000000DD +:2023E8000000000000000000000000000000000000000000000000000000000000000000D5 +:2023F0000000000000000000000000000000000000000000000000000000000000000000CD +:2023F8000000000000000000000000000000000000000000000000000000000000000000C5 +:202400000000000000000000000000000000000000000000000000000000000000000000BC +:202408000000000000000000000000000000000000000000000000000000000000000000B4 +:202410000000000000000000000000000000000000000000000000000000000000000000AC :202418000000000000000000000000000000000000000000000000000000000000000000A4 :2024200000000000000000000000000000000000000000000000000000000000000000009C :20242800000000000000000000000000000000000000000000000000000000000000000094 diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index b64fe1c..2e923ef 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -306,7 +306,8 @@ status_t get_status(tvp_input_t input, video_format format) (tc.h_mask != cm.cc.h_mask) || (tc.v_mask != cm.cc.v_mask) || (tc.mask_br != cm.cc.mask_br) || - (tc.ar_256col != cm.cc.ar_256col)) + (tc.ar_256col != cm.cc.ar_256col) || + (tc.reverse_lpf != cm.cc.reverse_lpf)) status = (status < INFO_CHANGE) ? INFO_CHANGE : status; if (tc.sampler_phase != cm.cc.sampler_phase) { @@ -364,8 +365,8 @@ status_t get_status(tvp_input_t input, video_format format) // v_info: [31:29] [28:27] [26] [25:20] [19:17] [16:11] [10:0] // | V_MULTMODE[2:0] | V_SCANLINEMODE[1:0] | V_SCANLINEID | V_MASK[5:0] | V_SYNCLEN[2:0] | V_BACKPORCH[5:0] | V_ACTIVE[10:0] | // -// extra: [31:8] [7:4] [3:0] -// | | H_MASK_BR[3:0] | H_SCANLINESTR[3:0] | +// extra: [31:13] [12:8] [7:4] [3:0] +// | | X_REV_LPF_STR | H_MASK_BR[3:0] | H_SCANLINESTR[3:0] | // void set_videoinfo() { @@ -460,7 +461,8 @@ void set_videoinfo() (video_modes[cm.id].v_synclen<<17) | (v_backporch<<11) | v_active); - IOWR_ALTERA_AVALON_PIO_DATA(PIO_6_BASE, (cm.cc.mask_br<<4) | + IOWR_ALTERA_AVALON_PIO_DATA(PIO_6_BASE, (cm.cc.reverse_lpf<<8) | + (cm.cc.mask_br<<4) | cm.cc.sl_str); } diff --git a/software/sys_controller/ossc/avconfig.h b/software/sys_controller/ossc/avconfig.h index 127b7fb..a032793 100644 --- a/software/sys_controller/ossc/avconfig.h +++ b/software/sys_controller/ossc/avconfig.h @@ -33,6 +33,7 @@ #define VSYNC_THOLD_MAX 200 #define SD_SYNC_WIN_MAX 255 #define PLL_COAST_MAX 5 +#define REVERSE_LPF_MAX 31 #define SL_MODE_MAX 2 #define SL_TYPE_MAX 2 @@ -77,6 +78,7 @@ typedef struct { alt_u8 post_coast; alt_u8 full_tx_setup; alt_u8 vga_ilace_fix; + alt_u8 reverse_lpf; #ifdef ENABLE_AUDIO alt_u8 audio_dw_sampl; alt_u8 audio_swap_lr; diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index fc9e2cc..b2f4fe8 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -135,6 +135,7 @@ MENU(menu_postproc, P99_PROTECT({ \ { LNG("Horizontal mask","スイヘイマスク"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.h_mask, OPT_NOWRAP, 0, HV_MASK_MAX, pixels_disp } } }, { LNG("Vertical mask","スイチョクマスク"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.v_mask, OPT_NOWRAP, 0, HV_MASK_MAX, pixels_disp } } }, { LNG("Mask brightness","マスクアカルサ"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.mask_br, OPT_NOWRAP, 0, HV_MASK_MAX_BR, value_disp } } }, + { "Reverse LPF", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.reverse_lpf, OPT_NOWRAP, 0, REVERSE_LPF_MAX, value_disp } } }, })) MENU(menu_compatibility, P99_PROTECT({ \ diff --git a/software/sys_controller_bsp/libhal_bsp.a b/software/sys_controller_bsp/libhal_bsp.a index 6db8f32a9acbf1b7e52d29a45ee40e5fd88a9fdb..a69563f9f8765446d315e08baf2b706a8d7c6610 100644 GIT binary patch delta 7761 zcmbVR4^&iT7XR*pp>#zjQ$X?02*SvqG&3;6-~j|Q(m)yflW2ntT7XJuLSSZurEDst zaf4UkYI#njrAEE#wQ8-aX@!4EcWG0%j_szMbUSj^{_Lvd-Zuk0@_o~0u z3B50CXKeACj8#r&Y}qNsYF=gRp?Qq0{F1TyLn^KQg|R2-Sz`=iPaR{di7;C3WbE00 zsI-|dy6MqZav9rBo9rMVdQ%%cd+Xnf?b*-Rz9z;#AOVlg57Kdgv5uG^eNIB0y3E*_ zU5tJG6k`|Z`K7+Url0yVrqF*+1PItE2BEtd^cl|{g#k_m1K(#5QNv)Uz+l*`3@j%Y zjNZ>+4E=E2c?R}n43fXpQksoHI{jusJA)j;$bFf?lqLrG#P5Bc!HnrD`EnTarUkng zEZ9}fpn|}cG%#33uQi)hT0sIR^ayRNM{6?~G+swfZ)Kn*X{B&Szu{f3ccfY@c8l^C zTpf11+wI0P{f~#ICfO}H4=${@*WyZZp}iqW9B~tPrFip`!i~A5PX_|%uw$LmEZsHe zJp%}|M}DM17!nkqGU`bo=7!>1EN+Jz|2#YabEB;qm?%6p#fz2O24m}pep1M=O>kp% zu)Z+|e;Ix&A4qB#aS%osiQ~q7!)@4NnZO4T`#%6TOVdXkH4sHgj~yv!ASO#~afd^U zOyWtg2TXD(VS@&t%eCz`myutFjeALln>@Qv+LE;G|3{GOoVSD+_+p3aIi3Bb-R`MI zd+T%KZnsBTG=8vQWI0?895pUMY)Akzo=UHVGPL+cV{FDPw@e!ETT)fEz*n`Tw7Rxr z*?m4dHs2$CkufR+X5zK10$7a&*@s;|yA}@Zln>=>>wkid!OLVL2YAr%&LeBGvIa zhITseg>)ONmdfV5D?mAgshg{q2Tx~2OZ$E15TQC0$Ck$9<$JtPk9qz?sK8o(EHq-P z-wL+*Gg7EDJd{6s(M+;(xe%Tn`!|)hAuFNKnf<7)(Tky=KJGKtt84K zMGqlgz)k*m!36k$KOQW2F*{OG1XkkWGOOts>LmhtkkR+hXES|{!A}+sX)6C+&#A-} zm8#Wxl*%);u`F)3flu5V1Ea-PCqbOFXQ4+uqxe|niu<%Uf$Tb8v0beXTxO}QvQW43 zRz~%c9$WgHVN#@Z)y~qY*R_HKOYpkt58Gc-m zU}Q>rWD+iV7#m+OqRaM+!qqZSef$Bc8w4Z@mLi*@hoAc$s(yvd`XQWE{v4*+#21iP>SE=);zWE;6t=+ zo~3QP0&PFPr>Zi z1dQ3Ko8!5=&KkNWEj5_qHE*ofdM9{P)V$fN*XK%u-nVs=eBC?W8Uz?_{9~bZZ;I_g zY4+|N2G*1;d#CC|MwWEqJurw0?A-T;cJH9x5&PE|n$PKU;fv`B@HKw(K?@XP-N8g| zg$}kJO@~ZU*rB&VsRi8AULbS|*zfQ(;i`bX!<(rUymB}ZO3->F7RF-U5i3Mt6|Ht` zrPYfEX!YZTBi8Wx5XL4`NsJ^KgdXx z6n8SWZ1cVO=~0eN$RB=U&?cT#J~nLC#rKdWcZ_7mHCLP3XnW5+BlH*Gx+&%~Lf z<)5V*0UY_fSPLLcJNa|Zgva06VsWI1_FAw>EvHB71e8~@ebLbqP<$L5_SN;Zq4O)X zHt?CGt6w{G_uP7}IJCQY(P1BU;dIY=!T*WH;z|w9(>dS8Y7G=fw$tA|+mmd36x?`G zccwqqsm}C#Zi^+&9c=kuzEq%4^v6#l(@=_u6vG%*U@4P?!IgYl(65 z>6`Aq?nz=MJ)lOqXC$2291B+XdvhMGol5K4ybjE;y15msrcH$Y`@no)ZoWXD&rs`X zqt-Q2Oro2Z8~Y!9Yu1bZ1W4DIDp{EitG8bp|wek;7h=l9BY4T4oF zKTSm1b5PkoM|4N(+ae+gdL5>GkouvZ6X)`@Xiz&1Czo9wGaO7De+Dv5-Z}!FHd4Yn z3feVB7%Kk32E{UsL~D%rv*O@I4S&E1S#oYX{2+8+eee~aL)nRzaW>_u=8_girVe&z z&#jprgv%2+bmW#K$S{(()2=74R}OPRngPG;OM%<<430^~Bjgk}%nmV9ngpi1M)9*W zcwDP=(0Rwk!~JR^alKF7YFePT6B{ysbHx$_iphjNzX2#vJH(nSeV~Ea5N==|Wylsk zoCr6ZvSpgGiw)y~3Q7G>&W)UWt`Lr@6-@`n>2xa7091(AX21`0Sy^{5 zS~Smso46G%(2a)6m9ro}6jqCS=D-%=YKZ8Y3)5hb_}W}3fU)A0xsV7&qV;Zwg-K%G z-Bh$H#VX~cUTjrf%Ebf9%QmHw4S)W+TGvR0&rZ*7B{C~Ls%bAh*{M{x@Ql1A568b02Is^rWjR*&@n8r@@k6FNbZ0o;1c031^5rb1`gC!<(yA z%l)uZtCP|t$uX7CVJM0_cyc1$^NN}GLtoLi6f$%G>E9n6M&eWf?;3)VHA@~}4IOH- zakUZ0*22fy-Gj-tXgPdr#P59=3bp*oa3POg35f>maz!0{Ytr%u_itYfXVos9FaMFX sz?qT*?g(2C>Lrd&xF2bx`la1pPTBxxjbyhs!B;w|;*}eoflb2y0H#EqLjV8( delta 7780 zcmbtZ4OEm>8ouw~P$1%j2&jl7BaA@8{4vZB5aKRoB@AW?h&3qwqmU@1CYpx3Dw*;s zU#3URb1F+L>pS|jwzcF6ZT_v=p;hyg{b-y0$o8Xq)NtQ#28H;(nd|P(Iq&_3%lE$b z{k`vV?;P9{xbyYE^#g)zPMb5;YPMK5#4cR+GGjSJxwE}ACY~{w9$v#({#%R{1v6H< zld&1s7@PA2WAj!pRz-8wXBk_xj z^kM?Nn4HeQbdZ59SxL^t3^M7R?12nMk&N6c490xHU@Y;wE-`pyXBU;w_wH0i@0W$n zWKe#JLB&M|^XXaL(M3y0h)lIvzG?L~2K7CtLBl{v;!~Q@A%ky)-mKS~O(s*f(QdQh z`OuSn?8#<*&ca#cWqPyI-mof4I35TtF<$qq#y_)oA`C#C8*42(aYFdJ90_QO{98`} z1H8-6i+WZQ>sNQZ-fp(z`-2lOcaX8Clqh^}j0>}N^~WtSA!0!ER`72Ny6SVVV{kuJ zIpV6A78uG?#*WQ{6R}Z$zp4!3^-8!~95?hBM-ef@FhtW+!UN*oxFZ3vHiy-mmgcZJ zx&_f2Pzt49@35IX?r2STuBU*`m>y0{Sp!T#RK-Sw6Z@3_-4ifc854Sy+0+CKLO;`RI6}LEhGpX8$gF=GZsK~L&-2SWZt7s znOQM+TFTtg`EwRlrHra5o0d{hRW&uGs$%+rn$r2xQgBU60#=sv$5kca;>FCn1E35q zXXnF4ynkd;9BqfUKhXK@F?#kQ%I^Go2R=8_2r($p=)litJb`!R7~!9oLSrFLq%jJY zb|5%hKjpJ{gvwuduKxJ&rMQDlIu&HF#06$eCjYcJXu-Wro$Cu zA{Bzf_r@IKYLaI23iX zEi~h(iAizPz;1tTg>yg6bPs1c;zVOYZw+JAf^L6qy?vHuZhe>ksmCuT8X+8e7s{cw z(&)lTg+}dO5_to+M;PFbxSM95$Bzn!^IUE*+k}(VkO1}K=%TkZP}5LizY`nf1n!F+ z*TcmZN^}A66z+b!hSoK>lVAzvyA71gRc>SA_yESjZ(G!5#}1n7Zqv*FJU=o5&$@MR z2)}h3wdcr~H#&{EuT(a4YUywTwMBcw$Cx&h})AT#GAbW+@6l$V-G0Aukq&3B|vIIC1SP2XBu(KWCakjHe(El)uXB zFU#i@_-fkoqC&)Rm7BSR-VB=bDghJkXvNbmWK$hbe^14;j_4W z+oKAw=D}K7=)M>%=DgTH@c#v|V%DyAd1c@ayyB{u{^Hfw_EMwvXNn9dZ8*}9fIl|* zs_KZ>FL0~cndYtP+&9-M;^N&Ka^K<}3-tSZm38s{Z+MC2{!@WsWym~BXepHVv02<3!LlJ2C*Wr!^;`+5;b zTyi*H!vgT=;XF-907f6#Mqu%sBS}z+Umr10^Xq-o2u8Hhn2nQYbmK}ID{(iC>+z$b z#=z6m*9QUah=>h(o;sqQC<^<&GDP#X2A4NQB&XQyR(-dR*>6JO`eRCOP#oN1>v0*% z`%6YNoxI?~lWR`B%42r5J*r@)g&)K7aXQiQaT<@m{`6!8zZiPvS2_av+fJy0Y{H3Z zNE9c0GDJO#T;ip3ZM-wkx$`|*fVlT_xd-@LTm17^W?xHAxj4BOhx^>6Pq;WfHO+h2 z)_iYJc*j#-TfTpuQ(ox0?CZF{uAP6}ciO#&fayQwD>U$wnde~R`1xy&voPaokwO5O z;1YxXeTM4?b6P469gzSwG38njz}$us12$a`x|3R!@wE<5WrmAkH7av+n;rtA zwu!|5!GpH-o3_y3?Sxem39CkK`V{(b>hIpVwE_ZU^mNl-q%9gSal5nG;n{qo++@h)7%S{B`B2v-?KgvyW33cogWvn`HP*JqNMEJG!~k9|B6aCH zQds4Ll?sc!VXIAtx!fYOJ3VktuxEnu=EW=LR3-#<$+4*4P1>EF?jgiy`;>Dq8~SiO zOZUZVg_=>|?+`7~A$l@_Er0Eju8tj;eKlRk%>?C*lx$X^^zvxN8#aKuu+&)1lu(sy@VS@kViKe4;4c`WC&Y|Aqi#( z9~6TD#tYvTgAtYr{U*_4y>OrWcv6@uKi;PM&d9+p^k{Eesn?lkt{;&OKRY@|dz5DO z$UUvkX+o#&&U>2>tOy&Vbx^-6lKWd?)uO7$XU&_nAh{xiFj;3^t6DErmcS?nsl3X5cR0%*9D;=#6_BYekA59# z<7zV>RPGz7ShJHht^B=WP^HTr- diff --git a/software/sys_controller_bsp/settings.bsp b/software/sys_controller_bsp/settings.bsp index ea1b61e..8fd7dd6 100644 --- a/software/sys_controller_bsp/settings.bsp +++ b/software/sys_controller_bsp/settings.bsp @@ -2,11 +2,11 @@ hal default - Aug 8, 2017 11:42:37 PM - 1502224957695 - ./ + 27-sep-2017 12:31:17 + 1506508277524 + D:\ossc\software\sys_controller_bsp settings.bsp - ../../sys.sopcinfo + ..\..\sys.sopcinfo default nios2_qsys_0 1.9 diff --git a/sys.sopcinfo b/sys.sopcinfo index 8c89a45..b591e38 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1502312217 + 1506506231 false true false