From bee64c6fbcb30a9eb346edcc9c559608ab4184df Mon Sep 17 00:00:00 2001 From: marqs Date: Mon, 11 Dec 2017 00:51:50 +0200 Subject: [PATCH] allow profile link (to each logical input) via a menu option --- .../mem_init/sys_onchip_memory2_0.hex | 1956 ++++++++--------- software/sys_controller/ossc/av_controller.c | 176 +- software/sys_controller/ossc/av_controller.h | 25 +- software/sys_controller/ossc/controls.c | 24 +- software/sys_controller/ossc/firmware.h | 4 +- software/sys_controller/ossc/menu.c | 22 +- software/sys_controller/ossc/userdata.c | 20 +- software/sys_controller/ossc/userdata.h | 5 +- 8 files changed, 1106 insertions(+), 1126 deletions(-) diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 1eea8cb..7d87cf3 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex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diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 07fdbf9..68c2f11 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -68,14 +68,13 @@ alt_u8 target_type; alt_u8 stable_frames; alt_u8 update_cur_vm; -alt_u8 vm_sel, vm_edit, profile_sel, input_profiles[3], lt_sel, def_input; +alt_u8 vm_sel, vm_edit, profile_sel, input_profiles[AV_LAST], lt_sel, def_input, profile_link; alt_u16 tc_h_samplerate, tc_h_synclen, tc_h_bporch, tc_h_active, tc_v_synclen, tc_v_bporch, tc_v_active; char row1[LCD_ROW_LEN+1], row2[LCD_ROW_LEN+1], menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; extern alt_u8 menu_active; -avinput_t target_mode; -phyinput_t phy_input_sel; +avinput_t target_input; alt_u8 pcm1862_active; @@ -541,7 +540,7 @@ void program_mode() int load_profile() { int retval; - input_profiles[phy_input_sel] = profile_sel; + input_profiles[profile_link ? cm.avinput : AV_TESTPAT] = profile_sel; retval = read_userdata(profile_sel); if (retval == 0) write_userdata(INIT_CONFIG_SLOT); @@ -551,7 +550,7 @@ int load_profile() { int save_profile() { int retval; - input_profiles[phy_input_sel] = profile_sel; + input_profiles[profile_link ? cm.avinput : AV_TESTPAT] = profile_sel; retval = write_userdata(profile_sel); if (retval == 0) write_userdata(INIT_CONFIG_SLOT); @@ -754,12 +753,11 @@ void enable_outputs() int main() { - tvp_input_t target_input = 0; + tvp_input_t target_tvp = 0; ths_input_t target_ths = 0; pcm_input_t target_pcm = 0; video_format target_format = 0; - alt_u8 av_init = 0; status_t status; alt_u32 input_vec; @@ -785,9 +783,6 @@ int main() while (1) {} } - if (def_input < AV_LAST) - target_mode = def_input; - // Mainloop while(1) { // Read remote control and PCB button status @@ -804,89 +799,85 @@ int main() if (menu_active) display_menu(0); - if (target_mode == cm.avinput) - target_mode = AV_KEEP; + if (target_input != cm.avinput) { - switch (target_mode) { - case AV1_RGBs: - target_input = TVP_INPUT1; - target_format = FORMAT_RGBS; - target_typemask = VIDEO_LDTV|VIDEO_SDTV|VIDEO_EDTV|VIDEO_HDTV; - target_ths = THS_INPUT_B; - target_pcm = PCM_INPUT4; - break; - case AV1_RGsB: - target_input = TVP_INPUT1; - target_format = FORMAT_RGsB; - target_typemask = VIDEO_LDTV|VIDEO_SDTV|VIDEO_EDTV|VIDEO_HDTV; - target_ths = THS_INPUT_B; - target_pcm = PCM_INPUT4; - break; - case AV1_YPBPR: - target_input = TVP_INPUT1; - target_format = FORMAT_YPbPr; - target_typemask = VIDEO_LDTV|VIDEO_SDTV|VIDEO_EDTV|VIDEO_HDTV; - target_ths = THS_INPUT_B; - target_pcm = PCM_INPUT4; - break; - case AV2_YPBPR: - target_input = TVP_INPUT1; - target_format = FORMAT_YPbPr; - target_typemask = VIDEO_LDTV|VIDEO_SDTV|VIDEO_EDTV|VIDEO_HDTV; - target_ths = THS_INPUT_A; - target_pcm = PCM_INPUT3; - break; - case AV2_RGsB: - target_input = TVP_INPUT1; - target_format = FORMAT_RGsB; - target_typemask = VIDEO_LDTV|VIDEO_SDTV|VIDEO_EDTV|VIDEO_HDTV; - target_ths = THS_INPUT_A; - target_pcm = PCM_INPUT3; - break; - case AV3_RGBHV: - target_input = TVP_INPUT3; - target_format = FORMAT_RGBHV; - target_typemask = VIDEO_PC; - target_ths = THS_STANDBY; - target_pcm = PCM_INPUT2; - break; - case AV3_RGBs: - target_input = TVP_INPUT3; - target_format = FORMAT_RGBS; - target_typemask = VIDEO_LDTV|VIDEO_SDTV|VIDEO_EDTV|VIDEO_HDTV; - target_ths = THS_STANDBY; - target_pcm = PCM_INPUT2; - break; - case AV3_RGsB: - target_input = TVP_INPUT3; - target_format = FORMAT_RGsB; - target_typemask = VIDEO_LDTV|VIDEO_SDTV|VIDEO_EDTV|VIDEO_HDTV; - target_ths = THS_STANDBY; - target_pcm = PCM_INPUT2; - break; - case AV3_YPBPR: - target_input = TVP_INPUT3; - target_format = FORMAT_YPbPr; - target_typemask = VIDEO_LDTV|VIDEO_SDTV|VIDEO_EDTV|VIDEO_HDTV; - target_ths = THS_STANDBY; - target_pcm = PCM_INPUT2; - break; - default: - break; - } + switch (target_input) { + case AV1_RGBs: + target_tvp = TVP_INPUT1; + target_format = FORMAT_RGBS; + target_typemask = VIDEO_LDTV|VIDEO_SDTV|VIDEO_EDTV|VIDEO_HDTV; + target_ths = THS_INPUT_B; + target_pcm = PCM_INPUT4; + break; + case AV1_RGsB: + target_tvp = TVP_INPUT1; + target_format = FORMAT_RGsB; + target_typemask = VIDEO_LDTV|VIDEO_SDTV|VIDEO_EDTV|VIDEO_HDTV; + target_ths = THS_INPUT_B; + target_pcm = PCM_INPUT4; + break; + case AV1_YPBPR: + target_tvp = TVP_INPUT1; + target_format = FORMAT_YPbPr; + target_typemask = VIDEO_LDTV|VIDEO_SDTV|VIDEO_EDTV|VIDEO_HDTV; + target_ths = THS_INPUT_B; + target_pcm = PCM_INPUT4; + break; + case AV2_YPBPR: + target_tvp = TVP_INPUT1; + target_format = FORMAT_YPbPr; + target_typemask = VIDEO_LDTV|VIDEO_SDTV|VIDEO_EDTV|VIDEO_HDTV; + target_ths = THS_INPUT_A; + target_pcm = PCM_INPUT3; + break; + case AV2_RGsB: + target_tvp = TVP_INPUT1; + target_format = FORMAT_RGsB; + target_typemask = VIDEO_LDTV|VIDEO_SDTV|VIDEO_EDTV|VIDEO_HDTV; + target_ths = THS_INPUT_A; + target_pcm = PCM_INPUT3; + break; + case AV3_RGBHV: + target_tvp = TVP_INPUT3; + target_format = FORMAT_RGBHV; + target_typemask = VIDEO_PC; + target_ths = THS_STANDBY; + target_pcm = PCM_INPUT2; + break; + case AV3_RGBs: + target_tvp = TVP_INPUT3; + target_format = FORMAT_RGBS; + target_typemask = VIDEO_LDTV|VIDEO_SDTV|VIDEO_EDTV|VIDEO_HDTV; + target_ths = THS_STANDBY; + target_pcm = PCM_INPUT2; + break; + case AV3_RGsB: + target_tvp = TVP_INPUT3; + target_format = FORMAT_RGsB; + target_typemask = VIDEO_LDTV|VIDEO_SDTV|VIDEO_EDTV|VIDEO_HDTV; + target_ths = THS_STANDBY; + target_pcm = PCM_INPUT2; + break; + case AV3_YPBPR: + target_tvp = TVP_INPUT3; + target_format = FORMAT_YPbPr; + target_typemask = VIDEO_LDTV|VIDEO_SDTV|VIDEO_EDTV|VIDEO_HDTV; + target_ths = THS_STANDBY; + target_pcm = PCM_INPUT2; + break; + default: + break; + } - if (target_mode != AV_KEEP) { - printf("### SWITCH MODE TO %s ###\n", avinput_str[target_mode]); - - phy_input_sel = avinput_to_phyinput[target_mode]; + printf("### SWITCH MODE TO %s ###\n", avinput_str[target_input]); // The input changed, so load the appropriate profile - if (profile_sel != input_profiles[phy_input_sel]) { - profile_sel = input_profiles[phy_input_sel]; + if (profile_link && (profile_sel != input_profiles[target_input])) { + profile_sel = input_profiles[target_input]; read_userdata(profile_sel); } - cm.avinput = target_mode; + cm.avinput = target_input; cm.sync_active = 0; ths_source_sel(target_ths, (cm.cc.video_lpf > 1) ? (VIDEO_LPF_MAX-cm.cc.video_lpf) : THS_LPF_BYPASS); tvp_disable_output(); @@ -895,18 +886,18 @@ int main() if (pcm1862_active) pcm_source_sel(target_pcm); #endif - tvp_source_sel(target_input, target_format); + tvp_source_sel(target_tvp, target_format); cm.clkcnt = 0; //TODO: proper invalidate strncpy(row1, avinput_str[cm.avinput], LCD_ROW_LEN+1); strncpy(row2, " NO SYNC", LCD_ROW_LEN+1); if (!menu_active) lcd_write_status(); - if (av_init && (def_input == AV_LAST)) + // record last input if it was selected manually + if ((def_input == AV_LAST) && (remote_code || (btn_code & PB0_BIT))) write_userdata(INIT_CONFIG_SLOT); - av_init = 1; } - // Check here to enable regardless of av_init + // Check here to enable regardless of input if (tc.tx_mode != cm.cc.tx_mode) { HDMITX_SetAVIInfoFrame(HDMI_Unkown, 0, 0, 0, 0); TX_enable(tc.tx_mode); @@ -920,8 +911,8 @@ int main() cm.cc.hdmi_itc = tc.hdmi_itc; } - if (av_init) { - status = get_status(target_input, target_format); + if (cm.avinput != AV_TESTPAT) { + status = get_status(target_tvp, target_format); switch (status) { case ACTIVITY_CHANGE: @@ -960,7 +951,6 @@ int main() btn_code_prev = btn_code; remote_rpt_prev = remote_rpt; - target_mode = AV_KEEP; usleep(300); // Avoid executing mainloop multiple times per vsync } diff --git a/software/sys_controller/ossc/av_controller.h b/software/sys_controller/ossc/av_controller.h index 0ea1147..1bbf2cc 100644 --- a/software/sys_controller/ossc/av_controller.h +++ b/software/sys_controller/ossc/av_controller.h @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2016 Markus Hiienkari +// Copyright (C) 2015-2017 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -62,7 +62,7 @@ static const char *avinput_str[] = { "Test pattern", "AV1: RGBS", "AV1: RGsB", "AV1: YPbPr", "AV2: YPbPr", "AV2: RGsB", "AV3: RGBHV", "AV3: RGBS", "AV3: RGsB", "AV3: YPbPr", "Last used" }; typedef enum { - AV_KEEP = 0, + AV_TESTPAT = 0, AV1_RGBs = 1, AV1_RGsB = 2, AV1_YPBPR = 3, @@ -75,27 +75,6 @@ typedef enum { AV_LAST = 10 } avinput_t; -typedef enum { - PHY_AV1 = 0, - PHY_AV2 = 1, - PHY_AV3 = 2, - PHY_INVALID = 3 -} phyinput_t; - -static phyinput_t avinput_to_phyinput[] = { - [AV_KEEP] = PHY_INVALID, - [AV1_RGBs] = PHY_AV1, - [AV1_RGsB] = PHY_AV1, - [AV1_YPBPR] = PHY_AV1, - [AV2_YPBPR] = PHY_AV2, - [AV2_RGsB] = PHY_AV2, - [AV3_RGBHV] = PHY_AV3, - [AV3_RGBs] = PHY_AV3, - [AV3_RGsB] = PHY_AV3, - [AV3_YPBPR] = PHY_AV3, - [AV_LAST] = PHY_INVALID -}; - // In reverse order of importance typedef enum { NO_CHANGE = 0, diff --git a/software/sys_controller/ossc/controls.c b/software/sys_controller/ossc/controls.c index 7e708e1..d099192 100644 --- a/software/sys_controller/ossc/controls.c +++ b/software/sys_controller/ossc/controls.c @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2016 Markus Hiienkari +// Copyright (C) 2015-2017 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -40,7 +40,7 @@ extern char menu_row1[LCD_ROW_LEN+1], menu_row2[LCD_ROW_LEN+1]; extern const mode_data_t video_modes[]; extern avmode_t cm; extern avconfig_t tc; -extern avinput_t target_mode; +extern avinput_t target_input; extern alt_u8 menu_active; extern alt_u16 sys_ctrl; extern alt_u8 profile_sel; @@ -127,15 +127,15 @@ void parse_control() } switch (i) { - case RC_BTN1: target_mode = AV1_RGBs; break; - case RC_BTN4: target_mode = AV1_RGsB; break; - case RC_BTN7: target_mode = AV1_YPBPR; break; - case RC_BTN2: target_mode = AV2_YPBPR; break; - case RC_BTN5: target_mode = AV2_RGsB; break; - case RC_BTN3: target_mode = AV3_RGBHV; break; - case RC_BTN6: target_mode = AV3_RGBs; break; - case RC_BTN9: target_mode = AV3_RGsB; break; - case RC_BTN0: target_mode = AV3_YPBPR; break; + case RC_BTN1: target_input = AV1_RGBs; break; + case RC_BTN4: target_input = AV1_RGsB; break; + case RC_BTN7: target_input = AV1_YPBPR; break; + case RC_BTN2: target_input = AV2_YPBPR; break; + case RC_BTN5: target_input = AV2_RGsB; break; + case RC_BTN3: target_input = AV3_RGBHV; break; + case RC_BTN6: target_input = AV3_RGBs; break; + case RC_BTN9: target_input = AV3_RGsB; break; + case RC_BTN0: target_input = AV3_YPBPR; break; case RC_MENU: menu_active = !menu_active; @@ -228,7 +228,7 @@ void parse_control() Button_Check: if (btn_code_prev == 0) { if (btn_code & PB0_BIT) - target_mode = (cm.avinput == AV3_YPBPR) ? AV1_RGBs : (cm.avinput+1); + target_input = (cm.avinput == AV3_YPBPR) ? AV1_RGBs : (cm.avinput+1); if (btn_code & PB1_BIT) tc.sl_mode = tc.sl_mode < SL_MODE_MAX ? tc.sl_mode + 1 : 0; } diff --git a/software/sys_controller/ossc/firmware.h b/software/sys_controller/ossc/firmware.h index 78204a4..4ec1a18 100644 --- a/software/sys_controller/ossc/firmware.h +++ b/software/sys_controller/ossc/firmware.h @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2016 Markus Hiienkari +// Copyright (C) 2015-2017 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -24,7 +24,7 @@ #include "sysconfig.h" #define FW_VER_MAJOR 0 -#define FW_VER_MINOR 79 +#define FW_VER_MINOR 80 #ifdef ENABLE_AUDIO #define FW_SUFFIX1 "a" diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index e8904b7..11e09b1 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -41,7 +41,7 @@ extern mode_data_t video_modes[]; extern alt_u16 tc_h_samplerate, tc_h_synclen, tc_h_bporch, tc_h_active, tc_v_synclen, tc_v_bporch, tc_v_active; extern alt_u32 remote_code; extern alt_u16 rc_keymap[REMOTE_MAX_KEYS]; -extern alt_u8 vm_sel, profile_sel, lt_sel, def_input; +extern alt_u8 vm_sel, profile_sel, lt_sel, def_input, profile_link; alt_u8 menu_active; @@ -134,7 +134,6 @@ MENU(menu_output, P99_PROTECT({ \ { LNG("256x240 aspect","256x240アスペクト"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.ar_256col, OPT_WRAP, SETTING_ITEM(ar_256col_desc) } } }, { LNG("TX mode","TXモード"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.tx_mode, OPT_WRAP, SETTING_ITEM(tx_mode_desc) } } }, { "HDMI ITC", OPT_AVCONFIG_SELECTION, { .sel = { &tc.hdmi_itc, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, - { LNG("Initial input","ショキニュウリョク"), OPT_AVCONFIG_SELECTION, { .sel = { &def_input, OPT_WRAP, SETTING_ITEM(avinput_str) } } }, })) MENU(menu_postproc, P99_PROTECT({ \ @@ -164,6 +163,15 @@ MENU(menu_audio, P99_PROTECT({ \ #define AUDIO_MENU #endif +MENU(menu_settings, P99_PROTECT({ \ + { LNG("","<プロファイルロード >"), OPT_FUNC_CALL, { .fun = { load_profile, &profile_arg_info } } }, + { LNG("","<プロファイルセーブ >"), OPT_FUNC_CALL, { .fun = { save_profile, &profile_arg_info } } }, + { LNG("","<セッテイオショキカ >"), OPT_FUNC_CALL, { .fun = { set_default_avconfig, NULL } } }, + { LNG("Initial input","ショキニュウリョク"), OPT_AVCONFIG_SELECTION, { .sel = { &def_input, OPT_WRAP, SETTING_ITEM(avinput_str) } } }, + { "Link input/prof", OPT_AVCONFIG_SELECTION, { .sel = { &profile_link, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, + { LNG("","<ファームウェアアップデート>"), OPT_FUNC_CALL, { .fun = { fw_update, NULL } } }, +})) + MENU(menu_main, P99_PROTECT({ \ { LNG("Video in proc >","タイオウエイゾウ >"), OPT_SUBMENU, { .sub = { &menu_vinputproc, NULL, NULL } } }, @@ -173,10 +181,7 @@ MENU(menu_main, P99_PROTECT({ \ { LNG("Post-proc. >","アトショリ >"), OPT_SUBMENU, { .sub = { &menu_postproc, NULL, NULL } } }, { LNG("Compatibility >","ゴカンセイ >"), OPT_SUBMENU, { .sub = { &menu_compatibility, NULL, NULL } } }, AUDIO_MENU - { LNG("","<プロファイルロード >"), OPT_FUNC_CALL, { .fun = { load_profile, &profile_arg_info } } }, - { LNG("","<プロファイルセーブ >"), OPT_FUNC_CALL, { .fun = { save_profile, &profile_arg_info } } }, - { LNG("","<セッテイオショキカ >"), OPT_FUNC_CALL, { .fun = { set_default_avconfig, NULL } } }, - { LNG("","<ファームウェアアップデート>"), OPT_FUNC_CALL, { .fun = { fw_update, NULL } } }, + { "Settings opt >", OPT_SUBMENU, { .sub = { &menu_settings, NULL, NULL } } }, })) // Max 3 levels currently @@ -190,7 +195,7 @@ void display_menu(alt_u8 forcedisp) menuitem_type type; alt_u8 *val, val_wrap, val_min, val_max; alt_u16 *val_u16; - int i, retval = 0; + int i, func_called = 0, retval = 0; for (i=RC_OK; i < RC_INFO; i++) { if (remote_code == rc_keymap[i]) { @@ -235,6 +240,7 @@ void display_menu(alt_u8 forcedisp) break; case OPT_FUNC_CALL: retval = navi[navlvl].m->items[navi[navlvl].mp].fun.f(); + func_called = 1; break; default: break; @@ -312,7 +318,7 @@ void display_menu(alt_u8 forcedisp) menu_row2[0] = 0; break; case OPT_FUNC_CALL: - if (code == OPT_SELECT) + if (func_called) sniprintf(menu_row2, LCD_ROW_LEN+1, "%s", (retval==0) ? "Done" : "Failed"); else if (navi[navlvl].m->items[navi[navlvl].mp].fun.arg_info) navi[navlvl].m->items[navi[navlvl].mp].fun.arg_info->df(*navi[navlvl].m->items[navi[navlvl].mp].fun.arg_info->data); diff --git a/software/sys_controller/ossc/userdata.c b/software/sys_controller/ossc/userdata.c index 1a11901..2a5df24 100644 --- a/software/sys_controller/ossc/userdata.c +++ b/software/sys_controller/ossc/userdata.c @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2016 Markus Hiienkari +// Copyright (C) 2015-2017 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -28,11 +28,11 @@ extern alt_u16 rc_keymap[REMOTE_MAX_KEYS]; extern avmode_t cm; extern avconfig_t tc; extern mode_data_t video_modes[]; -extern avinput_t target_mode; +extern avinput_t target_input; extern alt_u8 update_cur_vm; -extern alt_u8 input_profiles[3]; +extern alt_u8 input_profiles[AV_LAST]; extern alt_u8 profile_sel; -extern alt_u8 def_input; +extern alt_u8 def_input, profile_link; int write_userdata(alt_u8 entry) { @@ -59,6 +59,7 @@ int write_userdata(alt_u8 entry) memcpy(((ude_initcfg*)databuf)->last_profile, input_profiles, sizeof(input_profiles)); ((ude_initcfg*)databuf)->last_input = cm.avinput; ((ude_initcfg*)databuf)->def_input = def_input; + ((ude_initcfg*)databuf)->profile_link = profile_link; memcpy(((ude_initcfg*)databuf)->keys, rc_keymap, sizeof(rc_keymap)); retval = write_flash_page(databuf, sizeof(ude_initcfg), (USERDATA_OFFSET+entry*SECTORSIZE)/PAGESIZE); if (retval != 0) @@ -135,13 +136,16 @@ int read_userdata(alt_u8 entry) switch (((ude_hdr*)databuf)->type) { case UDE_INITCFG: if (((ude_initcfg*)databuf)->data_len == sizeof(ude_initcfg) - offsetof(ude_initcfg, last_profile)) { - for (alt_u8 i = 0; i < sizeof(input_profiles)/sizeof(*input_profiles); ++i) + for (i = 0; i < sizeof(input_profiles)/sizeof(*input_profiles); ++i) if (((ude_initcfg*)databuf)->last_profile[i] <= MAX_PROFILE) input_profiles[i] = ((ude_initcfg*)databuf)->last_profile[i]; - if (((ude_initcfg*)databuf)->last_input < AV_LAST) - target_mode = ((ude_initcfg*)databuf)->last_input; def_input = ((ude_initcfg*)databuf)->def_input; - profile_sel = input_profiles[0]; // Arbitrary default + if (def_input < AV_LAST) + target_input = def_input; + else if (((ude_initcfg*)databuf)->last_input < AV_LAST) + target_input = ((ude_initcfg*)databuf)->last_input; + profile_link = ((ude_initcfg*)databuf)->profile_link; + profile_sel = input_profiles[AV_TESTPAT]; // Global profile memcpy(rc_keymap, ((ude_initcfg*)databuf)->keys, sizeof(rc_keymap)); printf("RC data read (%u bytes)\n", sizeof(rc_keymap)); } diff --git a/software/sys_controller/ossc/userdata.h b/software/sys_controller/ossc/userdata.h index d86d2a2..0ecb431 100644 --- a/software/sys_controller/ossc/userdata.h +++ b/software/sys_controller/ossc/userdata.h @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2016 Markus Hiienkari +// Copyright (C) 2015-2017 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -46,7 +46,8 @@ typedef struct { typedef struct { ude_hdr hdr; alt_u16 data_len; - alt_u8 last_profile[3]; + alt_u8 last_profile[AV_LAST]; + alt_u8 profile_link; avinput_t last_input; avinput_t def_input; alt_u16 keys[REMOTE_MAX_KEYS];