diff --git a/rtl/ossc.v b/rtl/ossc.v index 596b3c1..d3251f5 100644 --- a/rtl/ossc.v +++ b/rtl/ossc.v @@ -87,7 +87,7 @@ reg reset_n_reg = 1'b1; assign LED_R = HSYNC_in; assign LED_G = VSYNC_in; `else -assign LED_R = (pll_lock_lost != 3'b000)|h_unstable; +assign LED_R = videogen_sel ? 1'b0 : ((pll_lock_lost != 3'b000)|h_unstable); assign LED_G = (ir_code == 0); `endif diff --git a/rtl/scanconverter.v b/rtl/scanconverter.v index 8d7e915..05daa17 100644 --- a/rtl/scanconverter.v +++ b/rtl/scanconverter.v @@ -31,6 +31,11 @@ `define LINETRIPLE_M2 2'h2 `define LINETRIPLE_M3 2'h3 +`define SCANLINES_OFF 2'h0 +`define SCANLINES_H 2'h1 +`define SCANLINES_V 2'h2 +`define SCANLINES_ALT 2'h3 + `define VSYNCGEN_LEN 6 `define VSYNCGEN_GENMID_BIT 0 `define VSYNCGEN_CHOPMID_BIT 1 @@ -122,9 +127,8 @@ reg [10:0] H_ACTIVE; //max. 2047 reg [7:0] H_BACKPORCH; //max. 255 reg [10:0] V_ACTIVE; //max. 2047 reg [5:0] V_BACKPORCH; //max. 63 -reg V_SCANLINES; -reg V_SCANLINEDIR; -reg V_SCANLINEID; +reg [1:0] V_SCANLINES; +reg [1:0] V_SCANLINEID; reg [7:0] V_SCANLINESTR; reg [5:0] V_MASK; reg [1:0] H_LINEMULT; @@ -150,17 +154,19 @@ assign pclk_out_3x_h5x = pclk_3x_h5x; //Scanline generation function [8:0] apply_scanlines; - input enable; - input dir; + input [1:0] mode; input [8:0] data; input [8:0] str; input [1:0] actid; input [1:0] lineid; input pixid; + input fid; begin - if (enable & (dir == 1'b0) & (actid == lineid)) + if ((mode == `SCANLINES_H) & (actid == lineid)) apply_scanlines = (data > str) ? (data-str) : 8'h00; - else if (enable & (dir == 1'b1) & (actid == pixid)) + else if ((mode == `SCANLINES_V) & (actid == pixid)) + apply_scanlines = (data > str) ? (data-str) : 8'h00; + else if ((mode == `SCANLINES_ALT) & ((actid[0]^fid) == lineid[0])) apply_scanlines = (data > str) ? (data-str) : 8'h00; else apply_scanlines = data; @@ -371,9 +377,9 @@ begin VSYNC_pp1 <= VSYNC_act; DATA_enable_pp1 <= DATA_enable_act; - R_out <= apply_scanlines(V_SCANLINES, V_SCANLINEDIR, R_pp1, V_SCANLINESTR, {1'b0, V_SCANLINEID}, slid_act, hcnt_act[0]); - G_out <= apply_scanlines(V_SCANLINES, V_SCANLINEDIR, G_pp1, V_SCANLINESTR, {1'b0, V_SCANLINEID}, slid_act, hcnt_act[0]); - B_out <= apply_scanlines(V_SCANLINES, V_SCANLINEDIR, B_pp1, V_SCANLINESTR, {1'b0, V_SCANLINEID}, slid_act, hcnt_act[0]); + R_out <= apply_scanlines(V_SCANLINES, R_pp1, V_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_prev); + G_out <= apply_scanlines(V_SCANLINES, G_pp1, V_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_prev); + B_out <= apply_scanlines(V_SCANLINES, B_pp1, V_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_prev); HSYNC_out <= HSYNC_pp1; VSYNC_out <= VSYNC_pp1; DATA_enable <= DATA_enable_pp1; @@ -439,7 +445,6 @@ begin V_ACTIVE <= 0; V_BACKPORCH <= 0; V_SCANLINES <= 0; - V_SCANLINEDIR <= 0; V_SCANLINEID <= 0; V_SCANLINESTR <= 0; V_MASK <= 0; @@ -495,11 +500,10 @@ begin H_MASK <= h_info[27:22]; V_ACTIVE <= v_info[17:7]; // Vertical active length from by the CPU, 11bits (0...2047) V_BACKPORCH <= v_info[5:0]; // Vertical backporch length from by the CPU, 6bits (0...64) - V_SCANLINES <= v_info[31]; - V_SCANLINEDIR <= v_info[30]; - V_SCANLINEID <= v_info[29]; - V_SCANLINESTR <= ((v_info[28:25]+8'h01)<<4)-1'b1; - V_MASK <= v_info[24:19]; + V_SCANLINES <= v_info[31:30]; + V_SCANLINEID <= v_info[29:28]; + V_SCANLINESTR <= ((v_info[27:24]+8'h01)<<4)-1'b1; + V_MASK <= v_info[23:18]; end prev_hs <= HSYNC_in; diff --git a/software/sys_controller/it6613/it6613_drv.c b/software/sys_controller/it6613/it6613_drv.c index db4340b..3846b7e 100644 --- a/software/sys_controller/it6613/it6613_drv.c +++ b/software/sys_controller/it6613/it6613_drv.c @@ -3207,7 +3207,26 @@ DISABLE_MPG_INFOFRM_PKT() HDMITX_WriteI2C_Byte(REG_TX_MPG_INFOFRM_CTRL,0); } +void HDMITX_SetPixelRepetition(int pixelrep, int set_infoframe) { + BYTE pllpr; + //Switch_HDMITX_Bank(0); + pllpr = HDMITX_ReadI2C_Byte(REG_TX_CLK_CTRL1) & 0x2F; + pixelrep &= 0x3; + + if (set_infoframe) { + HDMITX_WriteI2C_Byte(REG_TX_CLK_CTRL1, pllpr); + Switch_HDMITX_Bank(1); + HDMITX_WriteI2C_Byte(REG_TX_AVIINFO_DB5, pixelrep); + } else { + pllpr |= (1<<4)|(pixelrep<<6); + HDMITX_WriteI2C_Byte(REG_TX_CLK_CTRL1, pllpr); + Switch_HDMITX_Bank(1); + HDMITX_WriteI2C_Byte(REG_TX_AVIINFO_DB5, 0); + } + + Switch_HDMITX_Bank(0); +} ////////////////////////////////////////////////////////////////////// // Function: SetAVIInfoFrame() diff --git a/software/sys_controller/it6613/it6613_drv.h b/software/sys_controller/it6613/it6613_drv.h index f114a4d..f2062f9 100644 --- a/software/sys_controller/it6613/it6613_drv.h +++ b/software/sys_controller/it6613/it6613_drv.h @@ -827,6 +827,7 @@ BOOL EnableAudioInfoFrame(BYTE bEnable,BYTE *pAudioInfoFrame); void SetAVMute(BYTE bEnable) ; void SetOutputColorDepthPhase(BYTE ColorDepth,BYTE bPhase) ; void Get6613Reg(BYTE *pReg) ; +void HDMITX_SetPixelRepetition(int pixelrep, int set_infoframe); //////////////////////////////////////////////////////////////////// // Required Interfance diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 30000bc..96371f5 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex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diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index e124d75..42dd848 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -47,8 +47,6 @@ #define SYNC_LOSS_THOLD 5 #define STATUS_TIMEOUT 10000 -#define HDMITX_MODE_MASK 0x00040000 - // Current mode avmode_t cm; @@ -232,7 +230,9 @@ status_t get_status(tvp_input_t input, video_format format) (tc.sl_str != cm.cc.sl_str) || (tc.sl_id != cm.cc.sl_id) || (tc.h_mask != cm.cc.h_mask) || - (tc.v_mask != cm.cc.v_mask)) + (tc.v_mask != cm.cc.v_mask) || + (tc.edtv_l2x != cm.cc.edtv_l2x) || + (tc.interlace_pt != cm.cc.interlace_pt)) status = (status < INFO_CHANGE) ? INFO_CHANGE : status; if (tc.sampler_phase != cm.cc.sampler_phase) @@ -264,21 +264,20 @@ status_t get_status(tvp_input_t input, video_format format) return status; } -// h_info: [31:30] [29:28] [27:22] [21] [20:10] [7:0] -// | H_LINEMULT[1:0] | H_L3MODE[1:0] | H_MASK[5:0] | | H_ACTIVE[10:0] | H_BACKPORCH[7:0] | +// h_info: [31:30] [29:28] [27:22] [21] [20:10] [9:8] [7:0] +// | H_LINEMULT[1:0] | H_L3MODE[1:0] | H_MASK[5:0] | | H_ACTIVE[10:0] | | H_BACKPORCH[7:0] | // -// v_info: [31] [30] [29] [28:25] [24:19] [18] [17:7] [6] [5:0] -// | V_SCANLINES | V_SCANLINEDIR | V_SCANLINEID | V_SCANLINESTR[3:0] | V_MASK[5:0] | | V_ACTIVE[10:0] | | V_BACKPORCH[5:0] | +// v_info: [31] [30] [29:28] [27:24] [23:18] [17:7] [6] [5:0] +// | V_SCANLINES | V_SCANLINEDIR | V_SCANLINEID | V_SCANLINESTR[3:0] | V_MASK[5:0] | V_ACTIVE[10:0] | | V_BACKPORCH[5:0] | void set_videoinfo() { alt_u8 slid_target; - alt_u8 sl_en_fpga; - alt_u8 sl_mode_fpga = 0; + alt_u8 sl_mode_fpga; if (video_modes[cm.id].flags & MODE_L3ENABLE_MASK) { cm.linemult = 2; - slid_target = cm.cc.sl_id ? 2 : 0; - } else if (video_modes[cm.id].flags & MODE_L2ENABLE) { + slid_target = cm.cc.sl_id ? (cm.cc.sl_type == 1 ? 1 : 2) : 0; + } else if ((video_modes[cm.id].flags & MODE_L2ENABLE) || (cm.cc.edtv_l2x && (video_modes[cm.id].type & VIDEO_EDTV))) { cm.linemult = 1; slid_target = cm.cc.sl_id; } else { @@ -286,20 +285,33 @@ void set_videoinfo() slid_target = cm.cc.sl_id; } - if (cm.cc.sl_mode == 0) { - sl_en_fpga = 0; - } else if (cm.cc.sl_mode == 2) { //manual - sl_en_fpga = 1; - sl_mode_fpga = cm.cc.sl_type; - } else if ((video_modes[cm.id].flags & (MODE_L2ENABLE|MODE_L3ENABLE_MASK)) && !(video_modes[cm.id].flags & MODE_INTERLACED)) { - sl_en_fpga = 1; - sl_mode_fpga = 0; + if (cm.cc.sl_mode == 2) { //manual + sl_mode_fpga = 1+cm.cc.sl_type; + } else if (cm.cc.sl_mode == 1) { //auto + if (video_modes[cm.id].flags & MODE_INTERLACED) + sl_mode_fpga = 3; + else if (video_modes[cm.id].flags & (MODE_L2ENABLE|MODE_L3ENABLE_MASK)) + sl_mode_fpga = 1; + else + sl_mode_fpga = 0; } else { - sl_en_fpga = 0; + sl_mode_fpga = 0; + } + + if ((cm.cc.interlace_pt) && (video_modes[cm.id].flags & MODE_INTERLACED)) { + cm.linemult = 0; + sl_mode_fpga = 0; } IOWR_ALTERA_AVALON_PIO_DATA(PIO_2_BASE, (cm.linemult<<30) | (cm.cc.l3_mode<<28) | (cm.cc.h_mask)<<22 | (video_modes[cm.id].h_active<<10) | video_modes[cm.id].h_backporch); - IOWR_ALTERA_AVALON_PIO_DATA(PIO_3_BASE, (sl_en_fpga<<31) | (sl_mode_fpga<<30) | (slid_target<<29) | (cm.cc.sl_str<<25) | (cm.cc.v_mask<<19) | (video_modes[cm.id].v_active<<7) | video_modes[cm.id].v_backporch); + IOWR_ALTERA_AVALON_PIO_DATA(PIO_3_BASE, (sl_mode_fpga<<30) | (slid_target<<28) | (cm.cc.sl_str<<24) | (cm.cc.v_mask<<18) | (video_modes[cm.id].v_active<<7) | video_modes[cm.id].v_backporch); + + if (video_modes[cm.id].type & VIDEO_EDTV) + HDMITX_SetPixelRepetition(cm.cc.edtv_l2x, 0); + else if (video_modes[cm.id].flags & MODE_INTERLACED) + HDMITX_SetPixelRepetition(cm.cc.interlace_pt, (cm.cc.tx_mode==TX_HDMI)); + else + HDMITX_SetPixelRepetition(0, 0); } // Configure TVP7002 and scan converter logic based on the video mode @@ -410,19 +422,11 @@ int init_hw() // safe? read_userdata(); - // enforce DVI mode on non-DIY boards - if ((IORD_ALTERA_AVALON_PIO_DATA(PIO_1_BASE) & HDMITX_MODE_MASK)) { - cm.cc.tx_mode = TX_DVI; - tc.tx_mode = TX_DVI; - } - if (!(IORD_ALTERA_AVALON_PIO_DATA(PIO_1_BASE) & PB1_BIT)) setup_rc(); // init always in HDMI mode (fixes yellow screen bug) TX_enable(TX_HDMI); - if (tc.tx_mode == TX_DVI) - TX_enable(tc.tx_mode); return 0; } @@ -569,6 +573,7 @@ int main() if (tc.tx_mode != cm.cc.tx_mode) { TX_enable(tc.tx_mode); cm.cc.tx_mode = tc.tx_mode; + cm.clkcnt = 0; //TODO: proper invalidate } if (av_init) { diff --git a/software/sys_controller/ossc/av_controller.h b/software/sys_controller/ossc/av_controller.h index 6389deb..22c0910 100644 --- a/software/sys_controller/ossc/av_controller.h +++ b/software/sys_controller/ossc/av_controller.h @@ -22,6 +22,8 @@ #include "avconfig.h" +#define HDMITX_MODE_MASK 0x00040000 + static const char *avinput_str[] = { "-", "AV1: RGBS", "AV1: RGsB", "AV1: YPbPr", "AV2: YPbPr", "AV2: RGsB", "AV3: RGBHV", "AV3: RGBS", "AV3: RGsB", "AV3: YPbPr" }; typedef enum { diff --git a/software/sys_controller/ossc/avconfig.c b/software/sys_controller/ossc/avconfig.c index e85be9f..65b005a 100644 --- a/software/sys_controller/ossc/avconfig.c +++ b/software/sys_controller/ossc/avconfig.c @@ -18,7 +18,10 @@ // #include +#include "system.h" #include "avconfig.h" +#include "av_controller.h" +#include "altera_avalon_pio_regs.h" #include "tvp7002.h" #define DEFAULT_PRE_COAST 1 @@ -42,6 +45,7 @@ const avconfig_t tc_default = { int set_default_avconfig() { memcpy(&tc, &tc_default, sizeof(avconfig_t)); + tc.tx_mode = !!(IORD_ALTERA_AVALON_PIO_DATA(PIO_1_BASE) & HDMITX_MODE_MASK); return 0; } diff --git a/software/sys_controller/ossc/avconfig.h b/software/sys_controller/ossc/avconfig.h index 12bb06d..8373a10 100644 --- a/software/sys_controller/ossc/avconfig.h +++ b/software/sys_controller/ossc/avconfig.h @@ -32,7 +32,7 @@ #define PLL_COAST_MAX 5 #define SL_MODE_MAX 2 -#define SL_TYPE_MAX 1 +#define SL_TYPE_MAX 2 #define LM_MODE_MAX 1 typedef struct { @@ -55,6 +55,8 @@ typedef struct { alt_u8 en_alc; alt_u8 pre_coast; alt_u8 post_coast; + alt_u8 edtv_l2x; + alt_u8 interlace_pt; } __attribute__((packed)) avconfig_t; int set_default_avconfig(); diff --git a/software/sys_controller/ossc/firmware.h b/software/sys_controller/ossc/firmware.h index 5721947..251f298 100644 --- a/software/sys_controller/ossc/firmware.h +++ b/software/sys_controller/ossc/firmware.h @@ -23,7 +23,7 @@ #include "alt_types.h" #define FW_VER_MAJOR 0 -#define FW_VER_MINOR 70 +#define FW_VER_MINOR 71 #define FW_UPDATE_RETRIES 3 diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index 6f966c8..033f885 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -44,7 +44,7 @@ static const char *sync_lpf_desc[] = { "Off", "33MHz (min)", "10MHz (med)", "2.5 static const char *l3_mode_desc[] = { "Generic 16:9", "Generic 4:3", "320x240 optim.", "256x240 optim." }; static const char *tx_mode_desc[] = { "HDMI", "DVI" }; static const char *sl_mode_desc[] = { "Off", "Auto", "Manual" }; -static const char *sl_type_desc[] = { "Horizontal", "Vertical" }; +static const char *sl_type_desc[] = { "Horizontal", "Vertical", "Alternating" }; static const char *sl_id_desc[] = { "Even", "Odd" }; static void sampler_phase_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%d deg", (v*1125)/100); } @@ -77,7 +77,8 @@ MENU(menu_sync, P99_PROTECT({ \ MENU(menu_output, P99_PROTECT({ \ { "240p/288p lineX3", OPT_AVCONFIG_SELECTION, { .sel = { &tc.linemult_target, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, { "Linetriple mode", OPT_AVCONFIG_SELECTION, { .sel = { &tc.l3_mode, OPT_WRAP, SETTING_ITEM(l3_mode_desc) } } }, - //{ "Interlace passt.", OPT_AVCONFIG_SELECTION, { .sel = { &tc.s480p_mode, SETTING_ITEM(s480p_desc) } } }, + { "480p/576p lineX2", OPT_AVCONFIG_SELECTION, { .sel = { &tc.edtv_l2x, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, + { "480i/576i passtr", OPT_AVCONFIG_SELECTION, { .sel = { &tc.interlace_pt, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, { "TX mode", OPT_AVCONFIG_SELECTION, { .sel = { &tc.tx_mode, OPT_WRAP, SETTING_ITEM(tx_mode_desc) } } }, })) diff --git a/software/sys_controller_bsp/settings.bsp b/software/sys_controller_bsp/settings.bsp index 4e7fce4..54b51b9 100644 --- a/software/sys_controller_bsp/settings.bsp +++ b/software/sys_controller_bsp/settings.bsp @@ -2,8 +2,8 @@ hal default - May 24, 2016 11:37:31 PM - 1464122251538 + Jul 5, 2016 9:45:39 PM + 1467744339754 ./ settings.bsp ../../sys.sopcinfo diff --git a/sys.sopcinfo b/sys.sopcinfo index f96554d..2bb2aae 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1460736934 + 1467742468 false true false