From d41c7522a0b6f352d6183165ef3096bd844c09f7 Mon Sep 17 00:00:00 2001 From: marqs Date: Tue, 24 Jan 2017 00:18:15 +0200 Subject: [PATCH] Misc updates * Fix mask placement and make its brightness adjustable * Line4x --- ossc.sdc | 19 +- rtl/ossc.v | 8 +- rtl/scanconverter.v | 155 +- .../mem_init/sys_onchip_memory2_0.hex | 1986 ++++++++--------- software/sys_controller/ossc/av_controller.c | 28 +- software/sys_controller/ossc/avconfig.h | 2 + software/sys_controller/ossc/menu.c | 6 +- software/sys_controller_bsp/settings.bsp | 4 +- sys.qsys | 2 +- sys.sopcinfo | 4 +- 10 files changed, 1152 insertions(+), 1062 deletions(-) diff --git a/ossc.sdc b/ossc.sdc index baebc7c..0aca1bb 100644 --- a/ossc.sdc +++ b/ossc.sdc @@ -13,18 +13,12 @@ create_clock -period 108MHz -name pclk_hdtv [get_ports PCLK_in] create_clock -period 27MHz -name pclk_ldtv_hs_M0 [get_ports PCLK_in] -add create_clock -period 20MHz -name pclk_ldtv_hs_M1 [get_ports PCLK_in] -add create_clock -period 13.5MHz -name pclk_sdtv [get_ports PCLK_in] -add -create_clock -period 6.7MHz -name pclk_ldtv_M2 [get_ports PCLK_in] -add -create_clock -period 5.4MHz -name pclk_ldtv_M3 [get_ports PCLK_in] -add #derive_pll_clocks create_generated_clock -master_clock pclk_sdtv -source {scanconverter_inst|pll_linedouble|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 2 -duty_cycle 50.00 -name pclk_2x {scanconverter_inst|pll_linedouble|altpll_component|auto_generated|pll1|clk[0]} create_generated_clock -master_clock pclk_ldtv_hs_M0 -source {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 3 -duty_cycle 50.00 -name pclk_3x_M0 {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|clk[0]} create_generated_clock -master_clock pclk_ldtv_hs_M1 -source {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 3 -duty_cycle 50.00 -name pclk_3x_M1 {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|clk[0]} -add create_generated_clock -master_clock pclk_ldtv_hs_M1 -source {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 4 -duty_cycle 50.00 -name pclk_4x_M1 {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|clk[1]} -create_generated_clock -master_clock pclk_ldtv_M2 -source {scanconverter_inst|pll_linetriple_lowfreq|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 3 -duty_cycle 50.00 -name pclk_3x_h1x_M2 {scanconverter_inst|pll_linetriple_lowfreq|altpll_component|auto_generated|pll1|clk[0]} -create_generated_clock -master_clock pclk_ldtv_M2 -source {scanconverter_inst|pll_linetriple_lowfreq|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 12 -duty_cycle 50.00 -name pclk_3x_h4x_M2 {scanconverter_inst|pll_linetriple_lowfreq|altpll_component|auto_generated|pll1|clk[1]} -create_generated_clock -master_clock pclk_ldtv_M3 -source {scanconverter_inst|pll_linetriple_lowfreq|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 3 -duty_cycle 50.00 -name pclk_3x_h1x_M3 {scanconverter_inst|pll_linetriple_lowfreq|altpll_component|auto_generated|pll1|clk[0]} -add -create_generated_clock -master_clock pclk_ldtv_M3 -source {scanconverter_inst|pll_linetriple_lowfreq|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 15 -duty_cycle 50.00 -name pclk_3x_h5x_M3 {scanconverter_inst|pll_linetriple_lowfreq|altpll_component|auto_generated|pll1|clk[2]} derive_clock_uncertainty @@ -34,10 +28,6 @@ set_input_delay -clock pclk_sdtv -min 0 $critinputs set_input_delay -clock pclk_sdtv -max 1.5 $critinputs set_input_delay -clock pclk_hdtv -min 0 $critinputs -add_delay set_input_delay -clock pclk_hdtv -max 1.5 $critinputs -add_delay -set_input_delay -clock pclk_ldtv_M2 -min 0 $critinputs -add_delay -set_input_delay -clock pclk_ldtv_M2 -max 1.5 $critinputs -add_delay -set_input_delay -clock pclk_ldtv_M3 -min 0 $critinputs -add_delay -set_input_delay -clock pclk_ldtv_M3 -max 1.5 $critinputs -add_delay set_input_delay -clock pclk_ldtv_hs_M0 -min 0 $critinputs -add_delay set_input_delay -clock pclk_ldtv_hs_M0 -max 1.5 $critinputs -add_delay set_input_delay -clock pclk_ldtv_hs_M1 -min 0 $critinputs -add_delay @@ -49,8 +39,6 @@ set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_hdtv 0 $critoutputs_hdm set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_2x 0 $critoutputs_hdmi -add_delay set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_3x_M0 0 $critoutputs_hdmi -add_delay set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_4x_M1 0 $critoutputs_hdmi -add_delay -set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_3x_h4x_M2 0 $critoutputs_hdmi -add_delay -set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_3x_h5x_M3 0 $critoutputs_hdmi -add_delay set_false_path -to [remove_from_collection [all_outputs] $critoutputs_hdmi] @@ -61,9 +49,7 @@ set_clock_groups -exclusive \ -group {pclk_hdtv} \ -group {pclk_sdtv pclk_2x} \ -group {pclk_ldtv_hs_M0 pclk_3x_M0} \ --group {pclk_ldtv_hs_M1 pclk_3x_M1 pclk_4x_M1} \ --group {pclk_ldtv_M2 pclk_3x_h1x_M2 pclk_3x_h4x_M2} \ --group {pclk_ldtv_M3 pclk_3x_h1x_M3 pclk_3x_h5x_M3} +-group {pclk_ldtv_hs_M1 pclk_3x_M1 pclk_4x_M1} # Treat CPU clock asynchronous to pixel clocks set_clock_groups -asynchronous -group {clk27} @@ -88,9 +74,6 @@ set_false_path -to [get_cells {scanconverter:scanconverter_inst|line_out_idx*}] set_false_path -from [get_clocks pclk_2x] -to [get_clocks pclk_sdtv] set_false_path -from [get_clocks pclk_3x_M*] -to [get_clocks {pclk_ldtv_hs_M*}] set_false_path -from [get_clocks pclk_4x_M1] -to [get_clocks {pclk_ldtv_hs_M1 pclk_3x_M1}] -set_false_path -from [get_clocks pclk_3x_h4x_M2] -to [get_clocks {pclk_ldtv_M2 pclk_3x_h1x_M2}] -set_false_path -from [get_clocks pclk_3x_h5x_M3] -to [get_clocks {pclk_ldtv_M3 pclk_3x_h1x_M3}] -set_false_path -from [get_clocks pclk_3x_h1x_M*] -to [get_clocks {pclk_ldtv_M*}] ### JTAG Signal Constraints ### diff --git a/rtl/ossc.v b/rtl/ossc.v index 498e99b..9d7d537 100644 --- a/rtl/ossc.v +++ b/rtl/ossc.v @@ -57,9 +57,7 @@ wire [7:0] sys_ctrl; wire h_unstable; wire [2:0] pclk_lock; wire [2:0] pll_lock_lost; -wire [31:0] h_info; -wire [31:0] hscale_info; -wire [31:0] v_info; +wire [31:0] h_info, h_info2, v_info; wire [10:0] lines_out; wire [1:0] fpga_vsyncgen; @@ -200,7 +198,7 @@ sys sys_inst( .pio_2_horizontal_info_out_export (h_info), .pio_3_vertical_info_out_export (v_info), .pio_4_linecount_in_export ({VSYNC_out, 13'h0000, fpga_vsyncgen, 5'h00, lines_out}), - .pio_5_hscale_info_out_export (hscale_info), + .pio_5_horizontal_info2_out_export (h_info2), ); scanconverter scanconverter_inst ( @@ -213,8 +211,8 @@ scanconverter scanconverter_inst ( .G_in (G_in_L), .B_in (B_in_L), .h_info (h_info), + .h_info2 (h_info2), .v_info (v_info), - .hscale_info (hscale_info), .R_out (R_out), .G_out (G_out), .B_out (B_out), diff --git a/rtl/scanconverter.v b/rtl/scanconverter.v index 30276ec..b708391 100644 --- a/rtl/scanconverter.v +++ b/rtl/scanconverter.v @@ -63,8 +63,8 @@ module scanconverter ( input HSYNC_in, input PCLK_in, input [31:0] h_info, + input [31:0] h_info2, input [31:0] v_info, - input [31:0] hscale_info, output reg [7:0] R_out, output reg [7:0] G_out, output reg [7:0] B_out, @@ -88,7 +88,7 @@ wire [1:0] slid_act; wire pclk_2x_lock, pclk_3x_lock; wire HSYNC_act, VSYNC_act; -reg HSYNC_1x, HSYNC_2x, HSYNC_3x, HSYNC_pp1; +reg HSYNC_1x, HSYNC_2x, HSYNC_3x, HSYNC_4x, HSYNC_pp1; reg VSYNC_1x, VSYNC_2x, VSYNC_pp1; reg [11:0] HSYNC_start; @@ -100,28 +100,33 @@ reg DATA_enable_pp1; wire [11:0] linebuf_hoffset; //Offset for line (max. 2047 pixels), MSB indicates which line is read/written wire [11:0] hcnt_act; -reg [11:0] hcnt_1x, hcnt_2x, hcnt_3x, hcnt_4x, hcnt_3x_opt; +reg [11:0] hcnt_1x, hcnt_2x, hcnt_3x, hcnt_4x, hcnt_4x_aspfix, hcnt_3x_opt, hcnt_4x_opt; -reg [2:0] hcnt_3x_opt_ctr; +reg [2:0] hcnt_3x_opt_ctr, hcnt_4x_opt_ctr; wire [10:0] vcnt_act; reg [10:0] vcnt_1x, vcnt_1x_tvp, vcnt_2x, lines_1x, lines_2x; //max. 2047 -reg [9:0] vcnt_3x, vcnt_3x_h1x, lines_3x; //max. 1023 +reg [9:0] vcnt_3x, lines_3x; //max. 1023 +reg [10:0] vcnt_4x, lines_4x; //max. 2047 reg h_enable_3x_prev4x; reg pclk_1x_prev3x; reg [1:0] pclk_3x_cnt; +reg pclk_1x_prev4x; +reg [1:0] pclk_4x_cnt; + // Data enable reg h_enable_1x, v_enable_1x; reg h_enable_2x, v_enable_2x; reg h_enable_3x, v_enable_3x; +reg h_enable_4x, v_enable_4x; reg prev_hs, prev_vs; reg [11:0] hmax[0:1]; reg line_idx; -reg [1:0] line_out_idx_2x, line_out_idx_3x; +reg [1:0] line_out_idx_2x, line_out_idx_3x, line_out_idx_4x; reg [23:0] warn_h_unstable, warn_pll_lock_lost, warn_pll_lock_lost_3x; @@ -135,7 +140,8 @@ reg [7:0] H_SCANLINESTR; reg [5:0] V_MASK; reg [2:0] V_MULTMODE; reg [1:0] H_MULTMODE; -reg [5:0] H_MASK; +reg [9:0] H_MASK; +reg [3:0] H_MASK_BR; reg [9:0] H_OPT_STARTOFF; reg [2:0] H_OPT_SCALE; reg [2:0] H_OPT_SAMPLE_MULT; @@ -182,7 +188,7 @@ function [7:0] apply_mask; input [10:0] vend; begin if (enable & ((hoffset < hstart) | (hoffset >= hend) | (voffset < vstart) | (voffset >= vend))) - apply_mask = 8'h00; + apply_mask = {2'h0, H_MASK_BR, 2'h0}; else apply_mask = data; //apply_mask = (hoffset[0] ^ voffset[0]) ? 8'b11111111 : 8'b00000000; @@ -258,9 +264,9 @@ begin `H_MULTMODE_ASPECTFIX: begin PCLK_out = pclk_4x; linebuf_rdclock = pclk_4x; - linebuf_hoffset = hcnt_4x; + linebuf_hoffset = hcnt_4x_aspfix; pclk_act = pclk_4x; - hcnt_act = hcnt_4x; + hcnt_act = hcnt_4x_aspfix; end `H_MULTMODE_OPTIMIZED: begin PCLK_out = pclk_3x; @@ -278,6 +284,32 @@ begin end endcase end + `V_MULTMODE_4X: begin + R_act = R_lbuf; + G_act = G_lbuf; + B_act = B_lbuf; + HSYNC_act = HSYNC_4x; + VSYNC_act = VSYNC_1x; + DATA_enable_act = (h_enable_4x & v_enable_4x); + lines_out = lines_4x; + slid_act = line_out_idx_4x; + vcnt_act = vcnt_4x/4; + PCLK_out = pclk_4x; + linebuf_rdclock = pclk_4x; + pclk_act = pclk_4x; + hcnt_act = hcnt_4x; + case (H_MULTMODE) + `H_MULTMODE_FULLWIDTH: begin + linebuf_hoffset = hcnt_4x; + end + `H_MULTMODE_OPTIMIZED: begin + linebuf_hoffset = hcnt_4x_opt; + end + default: begin + linebuf_hoffset = hcnt_4x; + end + endcase + end default: begin R_act = R_1x; G_act = G_1x; @@ -305,7 +337,7 @@ pll_2x pll_linedouble ( ); pll_3x pll_linetriple ( - .areset ( (V_MULTMODE != `V_MULTMODE_3X) ), + .areset ( (V_MULTMODE != `V_MULTMODE_3X) & (V_MULTMODE != `V_MULTMODE_4X) ), .inclk0 ( PCLK_in ), .c0 ( pclk_3x ), // sampling clock for 240p: 1280 or 960 samples & MODE0: 1280 output pixels from 1280 input samples (16:9) .c1 ( pclk_4x ), // MODE1: 1280 output pixels from 960 input samples (960 drawn -> 4:3 aspect) @@ -339,7 +371,7 @@ begin begin R_pp1 <= 8'h00; G_pp1 <= 8'h00; - G_pp1 <= 8'h00; + B_pp1 <= 8'h00; HSYNC_pp1 <= 1'b0; VSYNC_pp1 <= 1'b0; DATA_enable_pp1 <= 1'b0; @@ -352,16 +384,16 @@ begin end else begin - R_pp1 <= apply_mask(1, R_act, hcnt_act, H_BACKPORCH+H_MASK, H_BACKPORCH+H_ACTIVE-H_MASK, vcnt_act, V_BACKPORCH+V_MASK, V_BACKPORCH+V_ACTIVE-V_MASK); - G_pp1 <= apply_mask(1, G_act, hcnt_act, H_BACKPORCH+H_MASK, H_BACKPORCH+H_ACTIVE-H_MASK, vcnt_act, V_BACKPORCH+V_MASK, V_BACKPORCH+V_ACTIVE-V_MASK); - B_pp1 <= apply_mask(1, B_act, hcnt_act, H_BACKPORCH+H_MASK, H_BACKPORCH+H_ACTIVE-H_MASK, vcnt_act, V_BACKPORCH+V_MASK, V_BACKPORCH+V_ACTIVE-V_MASK); + R_pp1 <= apply_scanlines(V_SCANLINEMODE, R_act, H_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_1x); + G_pp1 <= apply_scanlines(V_SCANLINEMODE, G_act, H_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_1x); + B_pp1 <= apply_scanlines(V_SCANLINEMODE, B_act, H_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_1x); HSYNC_pp1 <= HSYNC_act; VSYNC_pp1 <= VSYNC_act; DATA_enable_pp1 <= DATA_enable_act; - R_out <= apply_scanlines(V_SCANLINEMODE, R_pp1, H_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_1x); - G_out <= apply_scanlines(V_SCANLINEMODE, G_pp1, H_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_1x); - B_out <= apply_scanlines(V_SCANLINEMODE, B_pp1, H_SCANLINESTR, V_SCANLINEID, slid_act, hcnt_act[0], FID_1x); + R_out <= apply_mask(1, R_pp1, hcnt_act, H_BACKPORCH+H_MASK+2'h2, H_BACKPORCH+H_ACTIVE-H_MASK+2'h2, vcnt_act, V_BACKPORCH+V_MASK, V_BACKPORCH+V_ACTIVE-V_MASK); + G_out <= apply_mask(1, G_pp1, hcnt_act, H_BACKPORCH+H_MASK+2'h2, H_BACKPORCH+H_ACTIVE-H_MASK+2'h2, vcnt_act, V_BACKPORCH+V_MASK, V_BACKPORCH+V_ACTIVE-V_MASK); + B_out <= apply_mask(1, B_pp1, hcnt_act, H_BACKPORCH+H_MASK+2'h2, H_BACKPORCH+H_ACTIVE-H_MASK+2'h2, vcnt_act, V_BACKPORCH+V_MASK, V_BACKPORCH+V_ACTIVE-V_MASK); HSYNC_out <= HSYNC_pp1; VSYNC_out <= VSYNC_pp1; DATA_enable <= DATA_enable_pp1; @@ -428,6 +460,7 @@ begin H_OPT_SAMPLE_MULT <= 0; H_OPT_SAMPLE_SEL <= 0; H_OPT_SCALE <= 0; + H_MASK_BR <= 0; prev_hs <= 0; prev_vs <= 0; HSYNC_start <= 0; @@ -475,24 +508,25 @@ begin end //Read configuration data from CPU - H_MULTMODE <= h_info[27:26]; // Horizontal scaling mode + H_MULTMODE <= h_info[31:30]; // Horizontal scaling mode V_MULTMODE <= v_info[26:24]; // Line multiply mode H_ACTIVE <= h_info[19:9]; // Horizontal active length from by the CPU - 11bits (0...2047) H_BACKPORCH <= h_info[7:0]; // Horizontal backporch length from by the CPU - 8bits (0...255) - H_MASK <= h_info[25:20]; + H_MASK <= h_info[29:20]; V_ACTIVE <= v_info[17:7]; // Vertical active length from by the CPU, 11bits (0...2047) V_BACKPORCH <= v_info[5:0]; // Vertical backporch length from by the CPU, 6bits (0...64) V_MASK <= v_info[23:18]; - H_SCANLINESTR <= ((h_info[31:28]+8'h01)<<4)-1'b1; + H_SCANLINESTR <= ((h_info2[22:19]+8'h01)<<4)-1'b1; V_SCANLINEMODE <= v_info[31:30]; V_SCANLINEID <= v_info[29:28]; - H_OPT_STARTOFF <= hscale_info[9:0]; - H_OPT_SAMPLE_MULT <= hscale_info[12:10]; - H_OPT_SAMPLE_SEL <= hscale_info[15:13]; - H_OPT_SCALE <= hscale_info[18:16]; + H_OPT_STARTOFF <= h_info2[9:0]; + H_OPT_SAMPLE_MULT <= h_info2[12:10]; + H_OPT_SAMPLE_SEL <= h_info2[15:13]; + H_OPT_SCALE <= h_info2[18:16]; + H_MASK_BR <= h_info2[26:23]; end prev_hs <= HSYNC_in; @@ -659,18 +693,81 @@ always @(posedge pclk_4x or negedge reset_n) begin if (!reset_n) begin - hcnt_4x <= 0; + hcnt_4x_aspfix <= 0; h_enable_3x_prev4x <= 0; + hcnt_4x <= 0; + vcnt_4x <= 0; + lines_4x <= 0; + HSYNC_4x <= 0; + h_enable_4x <= 0; + v_enable_4x <= 0; + pclk_4x_cnt <= 0; + pclk_1x_prev4x <= 0; + line_out_idx_4x <= 0; + hcnt_4x_opt <= 0; + hcnt_4x_opt_ctr <= 0; end else begin // Can we sync reliably to h_enable_3x??? if ((h_enable_3x == 1) & (h_enable_3x_prev4x == 0)) - hcnt_4x <= hcnt_3x - 160; + hcnt_4x_aspfix <= hcnt_3x - 160; else - hcnt_4x <= hcnt_4x + 1'b1; - + hcnt_4x_aspfix <= hcnt_4x_aspfix + 1'b1; + h_enable_3x_prev4x <= h_enable_3x; + + + if ((pclk_4x_cnt == 0) & `HSYNC_TRAILING_EDGE) //sync with posedge of pclk_1x + begin + hcnt_4x <= 0; + line_out_idx_4x <= 0; + hcnt_4x_opt <= H_OPT_SAMPLE_SEL; + hcnt_4x_opt_ctr <= 0; + end + else if (hcnt_4x == hmax[~line_idx]) //line_idx_prev? + begin + hcnt_4x <= 0; + line_out_idx_4x <= line_out_idx_4x + 1'b1; + hcnt_4x_opt <= H_OPT_SAMPLE_SEL; + hcnt_4x_opt_ctr <= 0; + end + else + begin + hcnt_4x <= hcnt_4x + 1'b1; + if (hcnt_4x >= H_OPT_STARTOFF) + begin + if (hcnt_4x_opt_ctr == H_OPT_SCALE-1'b1) + begin + hcnt_4x_opt <= hcnt_4x_opt + H_OPT_SAMPLE_MULT; + hcnt_4x_opt_ctr <= 0; + end + else + hcnt_4x_opt_ctr <= hcnt_4x_opt_ctr + 1'b1; + end + end + + if (hcnt_4x == 0) + vcnt_4x <= vcnt_4x + 1'b1; + + if ((pclk_4x_cnt == 0) & `VSYNC_TRAILING_EDGE & !(`FALSE_FIELD)) //sync with posedge of pclk_1x + begin + vcnt_4x <= 0; + lines_4x <= vcnt_4x; + end + + HSYNC_4x <= ~(hcnt_4x >= HSYNC_start); + //TODO: VSYNC_4x + h_enable_4x <= ((hcnt_4x >= H_BACKPORCH) & (hcnt_4x < H_BACKPORCH + H_ACTIVE)); + v_enable_4x <= ((vcnt_4x >= (4*V_BACKPORCH)) & (vcnt_4x < (4*(V_BACKPORCH + V_ACTIVE)))); + + //read pclk_1x to examine when edges are synced (pclk_1x=1 @ 180deg & pclk_1x=0 @ 270deg) + if (((pclk_1x_prev4x == 1'b1) & (pclk_1x == 1'b0)) | (pclk_4x_cnt == 2'h3)) + pclk_4x_cnt <= 0; + else + pclk_4x_cnt <= pclk_4x_cnt + 1'b1; + + pclk_1x_prev4x <= pclk_1x; end end diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 62581d8..e2deb3c 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex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diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index f21cb87..0843413 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -301,6 +301,7 @@ status_t get_status(tvp_input_t input, video_format format) (tc.sl_id != cm.cc.sl_id) || (tc.h_mask != cm.cc.h_mask) || (tc.v_mask != cm.cc.v_mask) || + (tc.mask_br != cm.cc.mask_br) || (tc.l3m3_hmult != cm.cc.l3m3_hmult)) status = (status < INFO_CHANGE) ? INFO_CHANGE : status; @@ -350,21 +351,22 @@ status_t get_status(tvp_input_t input, video_format format) return status; } -// h_info: [31:28] [27:26] [25:20] [19:9] [8] [7:0] -// | H_SCANLINESTR[3:0] | H_MULTMODE[1:0] | H_MASK[5:0] | H_ACTIVE[10:0] | | H_BACKPORCH[7:0] | +// h_info: [31:30] [29:20] [19:9] [8] [7:0] +// | H_MULTMODE[1:0] | H_MASK[9:0] | H_ACTIVE[10:0] | | H_BACKPORCH[7:0] | +// +// h_info2: [31:27] [26:23] [22:19] [18:16] [15:13] [12:10] [9:0] +// | | H_MASK_BR[3:0] | H_SCANLINESTR[3:0] | H_OPT_SCALE[2:0] | H_OPT_SAMPLE_SEL[2:0] | H_OPT_SAMPLE_MULT[2:0] | H_OPT_STARTOFF[9:0] | // // v_info: [31:30] [29:28] [27] [26:24] [23:18] [17:7] [6] [5:0] // | V_SCANLINEMODE[1:0] | V_SCANLINEID | | V_MULTMODE[2:0] | V_MASK[5:0] | V_ACTIVE[10:0] | | V_BACKPORCH[5:0] | // -// hscale_info: [31:19] [18:16] [15:13] [12:10] [9:0] -// | | H_OPT_SCALE[2:0] | H_OPT_SAMPLE_SEL[2:0] | H_OPT_SAMPLE_MULT[2:0] | H_OPT_STARTOFF[9:0] -// void set_videoinfo() { alt_u8 slid_target; alt_u8 sl_mode_fpga; - alt_u8 h_opt_scale = 0; + alt_u8 h_opt_scale = 1; alt_u16 h_opt_startoffs = 0; + alt_u16 h_border, h_mask; if (cm.fpga_vmultmode == FPGA_V_MULTMODE_3X) slid_target = cm.cc.sl_id ? (cm.cc.sl_type == 1 ? 1 : 2) : 0; @@ -391,17 +393,25 @@ void set_videoinfo() case MODE_L3_256_COL: h_opt_scale = cm.cc.l3m3_hmult; break; + case MODE_L4_320_COL: + h_opt_scale = 4; + break; + case MODE_L4_256_COL: + h_opt_scale = 5; + break; default: break; } - h_opt_startoffs = (((cm.sample_mult-h_opt_scale)*video_modes[cm.id].h_active)/2) + ((cm.sample_mult-h_opt_scale)*(cm.sample_mult*video_modes[cm.id].h_backporch) / cm.sample_mult); + h_border = (((cm.sample_mult-h_opt_scale)*video_modes[cm.id].h_active)/2); + h_mask = h_border + h_opt_scale*cm.cc.h_mask; + h_opt_startoffs = h_border + ((cm.sample_mult-h_opt_scale)*(cm.sample_mult*video_modes[cm.id].h_backporch) / cm.sample_mult); h_opt_startoffs = (h_opt_startoffs/cm.sample_mult)*cm.sample_mult; printf("h_opt_startoffs: %u\n", h_opt_startoffs); - IOWR_ALTERA_AVALON_PIO_DATA(PIO_2_BASE, (cm.cc.sl_str<<28) | (cm.fpga_hmultmode<<26) | (cm.cc.h_mask<<20) | ((cm.sample_mult*video_modes[cm.id].h_active)<<9) | cm.sample_mult*video_modes[cm.id].h_backporch); + IOWR_ALTERA_AVALON_PIO_DATA(PIO_2_BASE, (cm.fpga_hmultmode<<30) | (h_mask<<20) | ((cm.sample_mult*video_modes[cm.id].h_active)<<9) | cm.sample_mult*video_modes[cm.id].h_backporch); + IOWR_ALTERA_AVALON_PIO_DATA(PIO_5_BASE, (cm.cc.mask_br<<23) | (cm.cc.sl_str<<19) | (h_opt_scale<<16) | (cm.sample_sel<<13) | (cm.sample_mult<<10) | h_opt_startoffs); IOWR_ALTERA_AVALON_PIO_DATA(PIO_3_BASE, (sl_mode_fpga<<30) | (slid_target<<28) | (cm.fpga_vmultmode<<24) | (cm.cc.v_mask<<18) | (video_modes[cm.id].v_active<<7) | video_modes[cm.id].v_backporch); - IOWR_ALTERA_AVALON_PIO_DATA(PIO_5_BASE, (h_opt_scale<<16) | (cm.sample_sel<<13) | (cm.sample_mult<<10) | h_opt_startoffs); } // Configure TVP7002 and scan converter logic based on the video mode diff --git a/software/sys_controller/ossc/avconfig.h b/software/sys_controller/ossc/avconfig.h index 866f8f1..9e78676 100644 --- a/software/sys_controller/ossc/avconfig.h +++ b/software/sys_controller/ossc/avconfig.h @@ -25,6 +25,7 @@ #define SCANLINESTR_MAX 15 #define HV_MASK_MAX 63 +#define HV_MASK_MAX_BR 15 #define VIDEO_LPF_MAX 5 #define SAMPLER_PHASE_MAX 31 #define SYNC_VTH_MAX 31 @@ -52,6 +53,7 @@ typedef struct { alt_u8 l3m3_hmult; alt_u8 h_mask; alt_u8 v_mask; + alt_u8 mask_br; alt_u8 tx_mode; alt_u8 s480p_mode; alt_u8 sampler_phase; diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index b378898..d956150 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -50,8 +50,7 @@ static const char *s480p_mode_desc[] = { LNG("Auto","ジドウ"), "DTV 480 static const char *sync_lpf_desc[] = { LNG("Off","オフ"), LNG("33MHz (min)","33MHz (サイショウ)"), LNG("10MHz (med)","10MHz (チュウイ)"), LNG("2.5MHz (max)","2.5MHz (サイダイ)") }; static const char *l3_mode_desc[] = { LNG("Generic 16:9","ハンヨウ 16:9"), LNG("Generic 4:3","ハンヨウ 4:3"), LNG("320x240 optim.","320x240 サイテキ."), LNG("256x240 optim.","256x240 サイテキ.") }; static const char *l4_mode_desc[] = { LNG("Generic 4:3","ハンヨウ 4:3"), LNG("320x240 optim.","320x240 サイテキ."), LNG("256x240 optim.","256x240 サイテキ.") }; -//static const char *pm_240p_desc[] = { "Passthru", "Line2x", "Line3x", "Line4x", "Line5x" }; -static const char *pm_240p_desc[] = { "Passthru", "Line2x", "Line3x" }; +static const char *pm_240p_desc[] = { "Passthru", "Line2x", "Line3x", "Line4x" }; static const char *pm_384p_desc[] = { "Passthru", "Line2x" }; static const char *pm_480i_desc[] = { "Passthru", "Line2x" }; static const char *pm_480p_desc[] = { "Passthru", "Line2x" }; @@ -81,7 +80,7 @@ MENU(menu_advtiming, P99_PROTECT({ \ MENU(menu_vinputproc, P99_PROTECT({ \ - { LNG("Video LPF","ビデオ LPF"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.video_lpf, OPT_WRAP, SETTING_ITEM(video_lpf_desc) } } }, + { LNG("Video LPF","ビデオ LPF"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.video_lpf, OPT_WRAP, SETTING_ITEM(video_lpf_desc) } } }, { LNG("YPbPr in ColSpa","イロクウカンニYPbPr"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.ypbpr_cs, OPT_WRAP, SETTING_ITEM(ypbpr_cs_desc) } } }, { LNG("R/Pr offset","R/Pr オフセット"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.col.r_f_off, OPT_NOWRAP, 0, 0xFF, value_disp } } }, { LNG("G/Y offset","G/Y オフセット"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.col.g_f_off, OPT_NOWRAP, 0, 0xFF, value_disp } } }, @@ -126,6 +125,7 @@ MENU(menu_postproc, P99_PROTECT({ \ { LNG("Scanline alignm.","ソウサセンポジション"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.sl_id, OPT_WRAP, SETTING_ITEM(sl_id_desc) } } }, { LNG("Horizontal mask","スイヘイマスク"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.h_mask, OPT_NOWRAP, 0, HV_MASK_MAX, pixels_disp } } }, { LNG("Vertical mask","スイチョクマスク"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.v_mask, OPT_NOWRAP, 0, HV_MASK_MAX, pixels_disp } } }, + { "Mask brightness", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.mask_br, OPT_NOWRAP, 0, HV_MASK_MAX_BR, value_disp } } }, })) #ifdef DIY_AUDIO diff --git a/software/sys_controller_bsp/settings.bsp b/software/sys_controller_bsp/settings.bsp index 5992c00..1aeb0f4 100644 --- a/software/sys_controller_bsp/settings.bsp +++ b/software/sys_controller_bsp/settings.bsp @@ -2,8 +2,8 @@ hal default - Jan 23, 2017 12:33:30 AM - 1485124410921 + Jan 23, 2017 11:53:50 PM + 1485208430382 ./ settings.bsp ../../sys.sopcinfo diff --git a/sys.qsys b/sys.qsys index bcab066..2e62c28 100644 --- a/sys.qsys +++ b/sys.qsys @@ -315,7 +315,7 @@ type="conduit" dir="end" /> diff --git a/sys.sopcinfo b/sys.sopcinfo index 2ff4154..5f610e7 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1485124053 + 1485208293 false true false