diff --git a/ossc.sdc b/ossc.sdc index 8942f5e..baebc7c 100644 --- a/ossc.sdc +++ b/ossc.sdc @@ -2,9 +2,11 @@ create_clock -period 27MHz -name clk27 [get_ports clk27] -set_input_delay -clock clk27 0 [get_ports {sda scl ir_rx HDMI_TX_INT_N SD_CMD SD_DAT* btn* *ALTERA_DATA0}] +set_input_delay -clock clk27 0 [get_ports {sda scl SD_CMD SD_DAT* *ALTERA_DATA0}] +set_false_path -from [get_ports {btn* ir_rx HDMI_TX_INT_N HDMI_TX_MODE}] set_false_path -to {sys:sys_inst|sys_pio_1:pio_1|readdata*} + ### Scanconverter clock constraints ### create_clock -period 108MHz -name pclk_hdtv [get_ports PCLK_in] @@ -27,7 +29,7 @@ create_generated_clock -master_clock pclk_ldtv_M3 -source {scanconverter_inst|pl derive_clock_uncertainty # input delay constraints -set critinputs [get_ports {R_in* G_in* B_in* FID_in HSYNC_in VSYNC_in}] +set critinputs [get_ports {R_in* G_in* B_in* HSYNC_in VSYNC_in FID_in}] set_input_delay -clock pclk_sdtv -min 0 $critinputs set_input_delay -clock pclk_sdtv -max 1.5 $critinputs set_input_delay -clock pclk_hdtv -min 0 $critinputs -add_delay diff --git a/rtl/ir_rcv.v b/rtl/ir_rcv.v index abad469..15bd402 100644 --- a/rtl/ir_rcv.v +++ b/rtl/ir_rcv.v @@ -49,8 +49,6 @@ reg [17:0] leadvrf_cnt; // max. 9.7ms reg [17:0] datarcv_cnt; // max. 9.7ms reg [21:0] rpt_cnt; // max. 155ms -reg ir_rx_r; - // activity when signal is low always @(posedge clk27 or negedge reset_n) begin @@ -58,7 +56,7 @@ begin act_cnt <= 0; else begin - if ((state == `STATE_IDLE) & (~ir_rx_r)) + if ((state == `STATE_IDLE) & (~ir_rx)) act_cnt <= act_cnt + 1'b1; else act_cnt <= 0; @@ -72,7 +70,7 @@ begin leadvrf_cnt <= 0; else begin - if ((state == `STATE_LEADVERIFY) & ir_rx_r) + if ((state == `STATE_LEADVERIFY) & ir_rx) leadvrf_cnt <= leadvrf_cnt + 1'b1; else leadvrf_cnt <= 0; @@ -93,7 +91,7 @@ begin begin if (state == `STATE_DATARCV) begin - if (ir_rx_r) + if (ir_rx) datarcv_cnt <= datarcv_cnt + 1'b1; else datarcv_cnt <= 0; @@ -145,17 +143,15 @@ begin state <= `STATE_IDLE; rpt_cnt <= 0; ir_code_cnt <= 0; - ir_rx_r <= 0; end else begin rpt_cnt <= rpt_cnt + 1'b1; - ir_rx_r <= ir_rx; case (state) `STATE_IDLE: begin - if ((act_cnt >= LEADCODE_LO_THOLD) & ir_rx_r) + if ((act_cnt >= LEADCODE_LO_THOLD) & ir_rx) state <= `STATE_LEADVERIFY; if (rpt_cnt >= RPT_RELEASE_THOLD) ir_code_cnt <= 0; @@ -165,10 +161,10 @@ begin if (leadvrf_cnt == LEADCODE_HI_RPT_THOLD) begin if (ir_code != 0) - ir_code_cnt <= ir_code_cnt + 1; + ir_code_cnt <= ir_code_cnt + 1'b1; rpt_cnt <= 0; end - if (!ir_rx_r) + if (!ir_rx) state <= (leadvrf_cnt >= LEADCODE_HI_THOLD) ? `STATE_DATARCV : `STATE_IDLE; else if (leadvrf_cnt >= LEADCODE_HI_TIMEOUT) state <= `STATE_IDLE; diff --git a/rtl/ossc.v b/rtl/ossc.v index 398e18e..a1f04c6 100644 --- a/rtl/ossc.v +++ b/rtl/ossc.v @@ -18,7 +18,6 @@ // //`define DEBUG -//`define INPUTLATCH `define VIDEOGEN module ossc ( @@ -54,7 +53,6 @@ module ossc ( inout [3:0] SD_DAT ); -wire cpu_reset_n; wire [7:0] sys_ctrl; wire h_unstable; wire [2:0] pclk_lock; @@ -79,27 +77,84 @@ wire VSYNC_out_videogen; wire PCLK_out_videogen; wire DATA_enable_videogen; -reg [3:0] reset_n_ctr; -reg reset_n_reg = 1'b1; -`ifdef INPUTLATCH -reg HSYNC_in_l, VSYNC_in_l, FID_in_l; -reg [7:0] R_in_l, G_in_l, B_in_l; +reg [3:0] cpu_reset_ctr; +reg cpu_reset_n = 1'b1; -always @(posedge PCLK_in) +reg [7:0] R_in_L, G_in_L, B_in_L; +reg HSYNC_in_L, VSYNC_in_L, FID_in_L; + +reg [1:0] btn_L, btn_LL; +reg ir_rx_L, ir_rx_LL, HDMI_TX_INT_N_L, HDMI_TX_INT_N_LL, HDMI_TX_MODE_L, HDMI_TX_MODE_LL; + +// Latch inputs from TVP7002 (synchronized to PCLK_in) +always @(posedge PCLK_in or negedge reset_n) begin - HSYNC_in_l <= HSYNC_in; - VSYNC_in_l <= VSYNC_in; - FID_in_l <= FID_in; - R_in_l <= R_in; - G_in_l <= G_in; - B_in_l <= B_in; + if (!reset_n) + begin + R_in_L <= 8'h00; + G_in_L <= 8'h00; + B_in_L <= 8'h00; + HSYNC_in_L <= 1'b0; + VSYNC_in_L <= 1'b0; + FID_in_L <= 1'b0; + end + else + begin + R_in_L <= R_in; + G_in_L <= G_in; + B_in_L <= B_in; + HSYNC_in_L <= HSYNC_in; + VSYNC_in_L <= VSYNC_in; + FID_in_L <= FID_in; + end end -`endif + +// Insert synchronizers to async inputs (synchronize to CPU clock) +always @(posedge clk27 or negedge cpu_reset_n) +begin + if (!cpu_reset_n) + begin + btn_L <= 2'b00; + btn_LL <= 2'b00; + ir_rx_L <= 1'b0; + ir_rx_LL <= 1'b0; + HDMI_TX_INT_N_L <= 1'b0; + HDMI_TX_INT_N_LL <= 1'b0; + HDMI_TX_MODE_L <= 1'b0; + HDMI_TX_MODE_LL <= 1'b0; + end + else + begin + btn_L <= btn; + btn_LL <= btn_L; + ir_rx_L <= ir_rx; + ir_rx_LL <= ir_rx_L; + HDMI_TX_INT_N_L <= HDMI_TX_INT_N; + HDMI_TX_INT_N_LL <= HDMI_TX_INT_N_L; + HDMI_TX_MODE_L <= HDMI_TX_MODE; + HDMI_TX_MODE_LL <= HDMI_TX_MODE_L; + end +end + +// CPU reset pulse generation (is this really necessary?) +always @(posedge clk27) +begin + if (cpu_reset_ctr == 4'b1000) + cpu_reset_n <= 1'b1; + else + begin + cpu_reset_ctr <= cpu_reset_ctr + 1'b1; + cpu_reset_n <= 1'b0; + end +end + +assign reset_n = sys_ctrl[0]; //HDMI_TX_RST_N in v1.2 PCB + `ifdef DEBUG -assign LED_R = HSYNC_in; -assign LED_G = VSYNC_in; +assign LED_R = HSYNC_in_L; +assign LED_G = VSYNC_in_L; `else assign LED_R = videogen_sel ? 1'b0 : ((pll_lock_lost != 3'b000)|h_unstable); assign LED_G = (ir_code == 0); @@ -110,8 +165,6 @@ assign LCD_CS_N = sys_ctrl[6]; assign LCD_RS = sys_ctrl[5]; assign LCD_BL = sys_ctrl[4]; //reset_n in v1.2 PCB -assign reset_n = sys_ctrl[0]; //HDMI_TX_RST_N in v1.2 PCB - `ifdef VIDEOGEN wire videogen_sel; assign videogen_sel = ~sys_ctrl[1]; @@ -132,19 +185,6 @@ assign HDMI_TX_PCLK = PCLK_out; assign HDMI_TX_DE = DATA_enable; `endif -always @(posedge clk27) -begin - if (reset_n_ctr == 4'b1000) - reset_n_reg <= 1'b1; - else - begin - reset_n_ctr <= reset_n_ctr + 1'b1; - reset_n_reg <= 1'b0; - end -end - -assign cpu_reset_n = reset_n_reg; - sys sys_inst( .clk_clk (clk27), .reset_reset_n (cpu_reset_n), @@ -155,7 +195,7 @@ sys sys_inst( .i2c_opencores_1_export_sda_pad_io (SD_CMD), .i2c_opencores_1_export_spi_miso_pad_i (SD_DAT[0]), .pio_0_sys_ctrl_out_export (sys_ctrl), - .pio_1_controls_in_export ({ir_code_cnt, 5'b00000, HDMI_TX_MODE, btn, ir_code}), + .pio_1_controls_in_export ({ir_code_cnt, 5'b00000, HDMI_TX_MODE_LL, btn_LL, ir_code}), .pio_2_horizontal_info_out_export (h_info), .pio_3_vertical_info_out_export (v_info), .pio_4_linecount_in_export ({VSYNC_out, 13'h0000, fpga_vsyncgen, 5'h00, lines_out}) @@ -164,21 +204,12 @@ sys sys_inst( scanconverter scanconverter_inst ( .reset_n (reset_n), .PCLK_in (PCLK_in), -`ifdef INPUTLATCH - .HSYNC_in (HSYNC_in_l), - .VSYNC_in (VSYNC_in_l), - .FID_in (FID_in_l), - .R_in (R_in_l), - .G_in (G_in_l), - .B_in (B_in_l), -`else - .HSYNC_in (HSYNC_in), - .VSYNC_in (VSYNC_in), - .FID_in (FID_in), - .R_in (R_in), - .G_in (G_in), - .B_in (B_in), -`endif + .HSYNC_in (HSYNC_in_L), + .VSYNC_in (VSYNC_in_L), + .FID_in (FID_in_L), + .R_in (R_in_L), + .G_in (G_in_L), + .B_in (B_in_L), .h_info (h_info), .v_info (v_info), .R_out (R_out), @@ -197,8 +228,8 @@ scanconverter scanconverter_inst ( ir_rcv ir0 ( .clk27 (clk27), - .reset_n (reset_n_reg), - .ir_rx (ir_rx), + .reset_n (cpu_reset_n), + .ir_rx (ir_rx_LL), .ir_code (ir_code), .ir_code_ack (), .ir_code_cnt (ir_code_cnt) @@ -207,7 +238,7 @@ ir_rcv ir0 ( `ifdef VIDEOGEN videogen vg0 ( .clk27 (clk27), - .reset_n (reset_n_reg & videogen_sel), + .reset_n (cpu_reset_n & videogen_sel), .R_out (R_out_videogen), .G_out (G_out_videogen), .B_out (B_out_videogen), diff --git a/rtl/scanconverter.v b/rtl/scanconverter.v index a1065ad..571b8ae 100644 --- a/rtl/scanconverter.v +++ b/rtl/scanconverter.v @@ -341,7 +341,7 @@ pll_3x_lowfreq pll_linetriple_lowfreq ( //TODO: add secondary buffers for interlaced signals with alternative field order linebuf linebuf_rgb ( - .data ( {R_1x, G_1x, B_1x} ), //or *_in? + .data ( {R_1x, G_1x, B_1x} ), .rdaddress ( linebuf_hoffset + (~line_idx << 11) ), .rdclock ( linebuf_rdclock ), .wraddress ( hcnt_1x + (line_idx << 11) ), @@ -469,7 +469,7 @@ begin line_idx <= line_idx ^ 1'b1; vcnt_1x <= vcnt_1x + 1'b1; vcnt_1x_tvp <= vcnt_1x_tvp + 1'b1; - FID_1x <= fpga_vsyncgen[`VSYNCGEN_CHOPMID_BIT] ? 0 : (fpga_vsyncgen[`VSYNCGEN_GENMID_BIT] ? (vcnt_1x > (V_BACKPORCH + V_ACTIVE)) : FID_in); + FID_1x <= fpga_vsyncgen[`VSYNCGEN_CHOPMID_BIT] ? 1'b0 : (fpga_vsyncgen[`VSYNCGEN_GENMID_BIT] ? (vcnt_1x > (V_BACKPORCH + V_ACTIVE)) : FID_in); end else begin diff --git a/rtl/videogen.v b/rtl/videogen.v index 2a275fc..455f4c6 100644 --- a/rtl/videogen.v +++ b/rtl/videogen.v @@ -81,12 +81,12 @@ begin begin //Hsync counter if (h_cnt < H_TOTAL-1 ) - h_cnt <= h_cnt + 1; + h_cnt <= h_cnt + 1'b1; else h_cnt <= 0; //Hsync signal - HSYNC_out <= (h_cnt < H_SYNCLEN) ? 0 : 1; + HSYNC_out <= (h_cnt < H_SYNCLEN) ? 1'b0 : 1'b1; end end @@ -104,12 +104,12 @@ begin begin //Vsync counter if (v_cnt < V_TOTAL-1 ) - v_cnt <= v_cnt + 1; + v_cnt <= v_cnt + 1'b1; else v_cnt <= 0; //Vsync signal - VSYNC_out <= (v_cnt < V_SYNCLEN) ? 0 : 1; + VSYNC_out <= (v_cnt < V_SYNCLEN) ? 1'b0 : 1'b1; end end end @@ -139,7 +139,7 @@ always @(posedge clk27 or negedge reset_n) begin if (!reset_n) begin - ENABLE_out <= 8'h00; + ENABLE_out <= 1'b0; end else begin diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index c99e824..b907082 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -1,904 +1,904 @@ :020000020000FC :2000000000402074084008140800683A0000000000000000000000000000000000000000FE -:2000080006C02074DEE8001406802074D6BE1E140080207410A0641400C0207418E15914CE -:2000100010C00326100000151080010410FFFD3608154940081558C0003FFF06DEFFFB04E0 +:2000080006C02074DEE8001406802074D6BD161400802074109ED41400C0207418DFD614EE +:2000100010C00326100000151080010410FFFD360815800008158E00003FFF06DEFFFB0473 :2000180000BFE084D880000500800084D880004500800344D8800085DFC0041529403FCCD7 :200020000080004428800426008000842880041E008014040000030600800C040000010624 :2000280000800404D88000C5D88000C331803FCC10800094D88000C5300002260080080417 :20003000000001060080040439C03FCC10C002143800022600BFE004000001060080100499 -:200038001884B03AD8800105D90001850080020401000044D80B883AD8800145D80001C5B9 +:200038001884B03AD8800105D900018500800204D80B883A01000044D8800145D80001C5B9 :20004000D8000205D8000245D8000285D80002C5D8000305D8000345D8000385D80003C5A4 :2000480008104640DFC00417DEC00504F800283ADEFFFC04DC000015040020B484041804F5 :20005000DC80021504801304DC400115900B883A2023883A000D883A8009883ADFC003151D -:200058000815E1408009883A89403FCC000D883A0815E780044000448009883A900B883AA8 -:20006000880D883A0815E1408009883A880B883ADFC00317DC800217DC400117DC000017F6 -:20006800DEC004040815E4C1DEFFFC04DC000015040020B484041804DC800215DC40011521 -:200070002025883A2823883A8009883A01401304000D883ADFC003150815E1408009883AAA -:2000780091403FCC000D883A0815E7808009883A89403FCC01800044DFC00317DC80021727 -:20008000DC400117DC000017DEC004040815E781DEFFFF0421003FCCDFC000150810130023 -:20008800DFC00017DEC00104F800283ADEFFFF0421003FCC29403FCCDFC0001508101A80BF +:20005800081604C089403FCC8009883A000D883A08160B0004400044900B883A8009883A5F +:20006000880D883A081604C0880B883A8009883ADFC00317DC800217DC400117DC00001752 +:20006800DEC0040408160841DEFFFC04DC000015040020B484041804DC800215DC4001157C +:200070002025883A2823883A8009883A000D883A01401304DFC00315081604C091403FCC75 +:200078008009883A000D883A08160B000180004489403FCC8009883ADFC00317DC80021713 +:20008000DC400117DC000017DEC0040408160B01DEFFFF0421003FCCDFC00015081013007E +:20008800DFC00017DEC00104F800283ADEFFFF0429403FCC21003FCCDFC0001508101A80BF :200090000005883ADFC00017DEC00104F800283ADEFFFB04DCC00315DC800215DC400115A1 -:20009800DC000015DFC004152827883A3023883A2025883A0021883A8440070E9C05883A53 -:2000A000114000038489883A21003FCC08101A8084000044003FF8060005883ADFC00417B9 +:20009800DC000015DFC004152825883A3027883A2023883A2821883A8485C83A14C0060E74 +:2000A0008140000389003FCC8400004408101A808C400044003FF8060005883ADFC00417A0 :2000A800DCC00317DC800217DC400117DC000017DEC00504F800283ADEFFFF040140FA04C6 -:2000B000DFC000150814BB801009883ADFC00017DEC0010408155CC1DEFFFF04010003C40F -:2000B800000B883ADFC00015081022C001001844000B883ADFC00017DEC00104081022C12F -:2000C000DEFFFD04DC000015040020B4842078048140008301000144DFC00215DC400115E7 +:2000B000DFC000150814F3401009883ADFC00017DEC00104081590C1DEFFFF04000B883ADE +:2000B800010003C4DFC00015081022C0000B883A01001844DFC00017DEC00104081022C134 +:2000C000DEFFFD04DC00001504002074841EE8048140008301000144DFC00215DC400115B9 :2000C800081022C0808000838100030300FFFEC41004D1FA20C6703A044001041085883A44 -:2000D0001085883A1884B03A8809883A01400F4480800305081022C00100004408102B8042 -:2000D8008809883A01400744081022C00100184401400C04081022C00100024401402C844F -:2000E000081022C00100028401403E04081022C0010002C401400DC4081022C0010003C467 -:2000E800000B883A081022C001003244000B883A081022C001003284000B883A081022C075 -:2000F000010032C4000B883A081022C001003304000B883A081022C001003344000B883AEE -:2000F800081022C001003384000B883A081022C0010033C4000B883A081022C00100340477 -:20010000000B883A081022C08140010301003844DFC00217DC400117DC000017DEC0030452 +:2000D0001085883A1884B03A01400F448809883A80800305081022C00100004408102B8042 +:2000D8008809883A01400744081022C001400C0401001844081022C001402C84010002444F +:2000E000081022C001403E0401000284081022C001400DC4010002C4081022C0000B883A62 +:2000E800010003C4081022C0000B883A01003244081022C0000B883A01003284081022C07A +:2000F000000B883A010032C4081022C0000B883A01003304081022C0000B883A01003344EE +:2000F800081022C0000B883A01003384081022C0000B883A010033C4081022C0000B883AE3 +:2001000001003404081022C08140010301003844DFC00217DC400117DC000017DEC00304E6 :20010800081022C1DEFFFE04DC000015040001048009883ADFC00115081021001080021424 -:200110008009883A11403FCC081022C00100184401400C04DFC00117DC000017DEC0020432 -:20011800081022C1DEFFFE04DFC00115DC00001521003FCC2000031E01003344000B883A95 -:2001200000003C062821883A28003D26010003C401400044081022C0814000C30100160401 -:20012800081022C08140010301001644081022C08140014301001684081022C081400183C4 -:20013000010016C4081022C0814001C301001704081022C08140020301001784081022C0E3 -:2001380081400243010017C4081022C08140028301001804081022C0814002C3010018448B -:20014000081022C08140030301001884081022C081400343010018C4081022C08140038322 -:2001480001001904081022C0814003C301001944081022C0808000C4000B883A8400040483 -:2001500010C000031080004428CBC83A143FFC1E29401BC40100174429403FCC081022C074 -:20015800010003C4000B883A081022C001003344014000C4081022C00080004400000106B6 -:200160000005883ADFC00117DC000017DEC00204F800283ADEFFFD04DC000015000B883A74 -:200168002021883A010003C4DFC00215DC400115081022C0044030448809883A0810210086 -:2001700084003FCC800AC03A10803F8C8809883A114AB03A081022C001003184014000C4B4 -:20017800DFC00217DC400117DC000017DEC00304081022C1DEFFF904DCC00315DC800215E7 -:20018000DC4001152025883A2823883A010001040140074404C020B49CE07804DD00041501 -:20018800DC000015DFC006153821883ADD4005153029883A081022C098C0030381C0004C5A -:2001900000BFFF441884703A39CF883A11CEB03A99C00305988003171080008C100002268D -:20019800010000440810594001001C049C0000C308102100108000CC8D4000CC010000445E -:2001A000100B883AA900052601000084A900041E00FFE00410CAB03A00000106114010141B -:2001A8008080020C10000126294008148080004C10000126294004148080008C10000126A6 -:2001B000294002148400010C800001262940011401001C0429403FCC081022C0A800131E92 -:2001B800A50000CC00800044A080082600800084A0800E1E88803FCC1080201C10BFE004C2 -:2001C000100050160029883A00004F0688803FCC1080201C10BFE0048D00100C10004A0EC6 -:2001C80000BFE8041528B03A000047060029883A0021883A01001C84081021001080070CAD -:2001D0008520B03A140AB03A01001C8429403FCC081022C098800317010030041080008CE6 -:2001D800100002260140004400000106000B883A081022C00100010401400544081022C0F2 -:2001E0000100184401400404081022C094803FCC00800084010018849080081E0140220402 -:2001E800081022C0010018C401400404081022C00100190401402104000007060140060401 -:2001F000081022C0010018C401400404081022C00100190401400304081022C00100004430 -:2001F80008102B8001000104081021001140074C01000104081022C00100190408102B8060 -:200200000100010408102100010001041140054C081022C00400190401000384081021001B -:200208001080040C1000321E0100004408102B8080BFFFC41021883A10BFFFCC103FF61EDC -:2002100000002B06053FE8048C400C0C008008048880081E01001CC4D16000C4018000C4B4 -:20021800081025000140207401001D84295C2B840000090600800C0488800B1E01001CC42D -:20022000D16000C4018000C4081025000140207401001D84295C228401800484081025005F -:2002280004000084003FA306008004048880081E01001CC4D1600004018000C408102500F8 -:200230000140207401001D84295C1E04003FF20601001CC4D1600004018000C408102500C1 -:200238000140207401001D84295C2704003FEA0601000304000B883A081022C00100034439 -:2002400001401004081022C00100038401400044081022C001000384000B883A081022C0F9 -:2002480008102D8000800044DFC00617DD400517DD000417DCC00317DC800217DC400117C1 -:20025000DC000017DEC00704F800283ADEFFFD04DC0000152021883A01001644DC40011539 -:20025800DFC002152823883A0810210010800BCC840000CC8800092601001644100B883AE4 -:20026000081022C0010003C401400044081022C001001704800B883A00000A06802091BAD9 -:200268000100164481400414114AB03A081022C0010003C401400044081022C001001704A0 -:20027000000B883A081022C0010003C4000B883ADFC00217DC400117DC000017DEC003048E -:20027800081022C100802074109D720410C03217D0A2471518000426108035171080401847 -:200280000085C83AF800283A00BFFFC4F800283ADEFFFD042005883AD1224717DC40011559 -:200288002823883A880F883A100B883ADC000015DFC002153021883A0815B6C010000B1E8D -:20029000800D883A8463883A34400626308000031005C2721005D63A3180004430BFFFC5ED -:20029800003FF9060005883A0000010600BFFFC4DFC00217DC400117DC000017DEC0030434 -:2002A000F800283ADEFFFA04DC800315DC400215DC000115DFC00515DCC0041530803FCC47 -:2002A8002023883A2825883A3021883A100003268805883A8C89883A00001706D122471742 -:2002B000300A923A081587001027883A103FF826010020B40140207421207F0429594904E1 -:2002B800018004440814D340010020B40180207421207F040140044431994C04980F883A74 -:2002C0000814C380008020B410A087841000000500FFCE0400001D061100062610C0000397 -:2002C8001807C2721807D63A1080004410FFFFC5003FF906800AD23AD1224717800C923A70 -:2002D000280A943ADC800015880F883A081590400007883A10000D26010020B401402074A1 -:2002D80021207F0429594F04018004440814D340010020B4014020742120878429595204A7 -:2002E000018004440814D34000FFCDC41805883ADFC00517DCC00417DC800317DC4002177A -:2002E800DC000117DEC00604F800283ADEFFF904DD400515DD000415DCC00315DC800215D2 -:2002F000DC000015DFC00615DC4001152825883A3029883A3827883A0021883A0007883A1A -:2002F8000540400484800F2E9423C83AAC40012E044040048009883A880B883A980D883AB9 -:200300000810A1001000141E800D003A9809883A880B883A0815D2C01007883A8400400413 -:20030800003FF0060005883A1D000C26010020B40180207421207F04014004443199540431 -:200310000814C380008020B410A087841000000500BFCD440000010600BFCD84DFC00617A7 -:20031800DD400517DD000417DCC00317DC800217DC400117DC000017DEC00704F800283A3F -:20032000DEFFFD04DC0001152021883A010020B421207C04DFC00215081461C010803FCCC6 -:2003280010000B26010020B40180207421207F0401400444319959040814C380008020B463 -:2003300010A0878410000005008000440000090600808004010020B4D880001521207C0403 -:20033800800B883A000D883A000F883A0814838010803FCCDFC00217DC000117DEC00304AD -:20034000F800283A010020B4014020B421207F042960878408134681010020B4014020B435 -:20034800212083442960970408134681DEFFFE04DC0000152021883A01000044DFC00115BA -:2003500008105940081042400009883A000B883A84003FCC081046408021003A010000445D -:20035800000B883A000D883A800F883A08105F408000052601000084000B883A000D883A15 -:20036000000F883A081005C00009883ADFC00117DC000017DEC0020408105941DEFFFC0427 -:20036800008020B4DC800215DC400115DC00001510A08C04DFC003152021883A11000287F7 -:2003700001400704148001170814BB8000C020B418E0A68410C5883A84003FCC1440000BE3 -:2003780080001E1ED0A2494300C0020410C0142600C0040410C0062600C0010410C0131E51 -:200380000009883A0813D780010000440000250601006734213F3004900B883A0814BA80CD -:200388001009883A897FFFCC0814BB8000C072B418F0DFC418800236010003C40000010620 -:200390000009883A0813D780000015060009883A0813D7800009883A00001206008020B481 -:2003980010A09B4410C00403044000841021883A1C4002260009883A00000106010003C406 -:2003A0000813D7808100040320803FCC8880042E008001441109C83A21003FCC000001064A -:2003A800010000C4DFC00317DC800217DC400117DC000017DEC0040408137501DEFFF7040C -:2003B00000C020B4DFC00815DDC00715DD800615DD400515DD000415DCC00315DC80021558 -:2003B800DC400115DC0000150089C40418C420041980003704C020B49CC420043000020E85 -:2003C00010BFFFC4103FFA1E21003FCC29403FCC040020B40814254084208C041025883A00 -:2003C800808002C3100003269C4000378822D43A000001060023883A01000DC408138400EF -:2003D00001000E041029883A08138400110003CC1007883A2008923A1004D1BA2528B03ADD -:2003D8001080004C8080024598800037010000848C403FCC89000E26010020B421208C04D4 -:2003E000210002C32000021E010063C42500082E010000448900041EA108983A10BFFFCC4F -:2003E800213FFF842080053618C0080C18000426A4C0322805C00044000003061029883A3E -:2003F000A4C06428002F883A80C002C390803FCC1800101E10001E2698001D26D0A24803BA -:2003F8000100008410C03FCC18C0201C18FFE00420C0060E008020B400C0004410A08C04EA -:20040000D022480510C002C500000C061080004400000D061000012698000D1ED0A2480356 -:2004080000FFFF0411003FCC2100201C213FE00420C0040ED0224805800002C50440010454 -:200410000000050610BFFFC4D0A2480500000106D02248050023883A01000E440813840053 -:2004180001000E84048020B4102D883A94A09B44081384009800662610C003CC1806923A7B -:20042000808000171DACB03A00C020B418E08C04A080051E18800117B080031E18C00203B5 -:20042800B8803FCC10C00226D0224C0500000C06D0A24C0300C0004411003FCC20C0082635 -:2004300010C5883AD0A24C0510803FCC10C0041E88C03FCC008000841880012E1023883AB2 -:2004380090C00103808004831880071E008020B410A09B4410C00143008020B410A08C0481 -:20044000108004C31880042688C03FCC008000841880012E1023883A90C00243808005C313 -:2004480018800F26008020B410A08C0411000287014007040814BB8000C020B418E0A8843E -:2004500010C5883A1080008B1080600C1000042688C03FCC008000841880012E1023883A91 -:20045800D0A24D431000272684C0028701400704054020B49809883A0814BB80AD60A28406 -:20046000A885883A10C0068B9809883A0140070418C0100C180005260814BB80A885883A00 -:2004680014C0040B9CE7883A000003060814BB80A885883A14C0040B9CFFFFCC980A913A47 -:200470000100008429403C0C08138C00980AD13A0100004429403FCC08138C008100028778 -:20047800014007040814BB80A885883A11400583010001C408138C0088803FCC1000011E4A -:2004800004400044850000158580011585C00205914000038100038300C020B4008020B410 -:2004880018E09B4410A08C042900151E19400043110003C32900121E194000831100040321 -:2004900029000F1E194000C31100044329000C1E19400183110005032900091E194001C3CC -:20049800110005432900061E194004C3110008432900031E18C0050310800883188003261C -:2004A00088803FCC1000011E044000449100028380800603208001260813E14091000303B9 -:2004A80080800683208001260813EE0091000343808006C3208001260813A340910003835F -:2004B00080800703208001260813A40091000443808007C32080071E008020B410A09B4452 -:2004B80010C00483008020B410A08C041080080318800226914004830813A000910002C375 -:2004C0008080064320800626014006040814BB8001002074211B49042089883A0813C600FB -:2004C8009100040380800783208001260810D9C0910003C380800743208001260813DC001B -:2004D000010020B4014020B42120A0C429609504018001840814BE001000031E010020B475 -:2004D800212095040813A4C0010020B4014020B421208F8429609B44018007040814C1009C -:2004E0008805883AD0224D45DFC00817DDC00717DD800617DD400517DD000417DCC003174F -:2004E800DC800217DC400117DC000017DEC00904F800283ADEFFF804DC000015040020B4B1 -:2004F000DCC00315DFC00715DD800615DD400515DD000415DC800215DC40011584208C04FF -:2004F800858002870140070404C020B4B009883A0814BB809CE0A284108006049885883A85 -:2005000010C0008B190003CC2000092680800443048000848480030510001226808003C3E0 -:20050800010000441100101E1025883A00000E061900040C2000051E8100084320000626C0 -:20051000108000031080010C100003260080004480800305000001068000030584800443BC -:20051800000001060025883A80800383010000841100051E008020B410A08C04144003C3E8 -:200520008C40004400000A06010000441100051E1880080C1000051E1C4007CC8822C03A70 -:20052800000003060023883A00000106044000C4808008831000062618C0080C18000426C1 -:20053000008020B410A08C04100003050023883A85000303808004C3B009883AA02897BA34 -:200538001004973A01400704054020B4A0A8B03A0814BB8080C005039885883A1080050311 -:20054000180695BAB009883AA0A8B03A01400704A0E8B03A0814BB809885883A1080028B70 -:2005480000C020B4AD608C04100492BA18C42804A084B03A1880003580C004038080054390 -:20055000858002871806963A100494BAB009883A0140070418A0B03A0814BB801029883AF2 -:200558009885883A10C00543B009883A0140070480E0B03A0814BB809885883A10C0030B67 -:200560009024973A882297BA180691FA008020B41084240480C6B03A1CA4B03A9462B03A88 -:20056800144000359D27883A988006031080010C10000226A900084300000E06B009883AEB -:20057000014007040814BB8000C020B418E0A2841885883A1080068B1080080C10000426B8 -:20057800A9400583A9000883280B003A000002060009883A000B883ADFC00717DD8006177A -:20058000DD400517DD000417DCC00317DC800217DC400117DC000017DEC00804081094C1C1 -:20058800DEFFF404DC000415040020B484208C04DC4005158440011700800044DFC00B15E8 -:20059000DD800A15DD400915DD000815DCC00715DC800615D0A24C058800152684C000178A -:200598009800132601006734213F3004880B883A0814BA801025883A8080020301283BF443 -:2005A000212EC004980B883A100003260814BA801009883A000002060814BA801089883AA0 -:2005A800880B883A0814BA801023883A000002060445DC04048F550401000EC40813840004 -:2005B00001000F041027883A0813840080C00343008020741099950418C7883A18C7883A61 -:2005B80010C7883A8080020319C0001780C000171000021E00801A440000010600801C0489 -:2005C000010020B401802074D8C0001531995D042120834401400444D88001150814C380FB -:2005C8008809883A014019040814BA809009883A0140FA041029883A0814BA809009883AC6 -:2005D0000140FA04102B883A0814BB001009883A014002840814BA808809883A014019044F -:2005D800D8800015DD0001150814BB00010020B401802074D880021521209704014004440E -:2005E00031995F04A80F883A0814C380008020B410A07084108000031000011E0810D180D3 -:2005E800808004838100001781400203D8800015808004C3D1E24D03A00D883AD8800115FA -:2005F000808005C3044020B48C608C04D880021508142C4011003FCC2100201C213FE004DB -:2005F80000FFFFC420C0011E00800104888002858480028301400704058020B4D4A249051C -:2006000094803FCC9480201C94BFE0049009883A0814BB80B5A0A284B087883A1C400603A9 -:20060800D0E24D03B085883A99003FCC88E2703AD46249451140040B054020B4AD608C0447 -:200610000814BB80814001171009883A0814BA8080C0020389403FCCA98000171800011ED9 -:20061800300CD07A10803FCCD8800015808007C39009883AA1C03FCCD8800115808008032A -:20062000D880021580800703D88003150813FA80810007830810D9C0DFC00B17DD800A1741 -:20062800DD400917DD000817DCC00717DC800617DC400517DC000417DEC00C0408113B41D4 -:2006300021003FCC008003C420802A260080040420802D26DEFFFD04DC000015DFC0021547 -:20063800040020B4DC400115008002C48420A28420802F1ED462490301400704D4624BC587 -:200640008C403FCC8809883A0814BB808087883A18C0040B01400704D0E24B0D10C005043A -:2006480080C7883A19000083D1224D8D8089883A2100028B8085883AD1224A8D1080030B78 -:200650008809883AD0A24A0D18800003D0A2488D0814BB808085883A10800543D0A24C8D4B -:20065800DFC00217DC400117DC000017DEC00304F800283AD0A2490310C03FCC18000A26C3 -:2006600010BFFFC40000080600C0207418DE3704D0A2490318C0000311003FCC18FFFFC4C6 -:2006680020C0010E10800044D0A24905F800283AD1224903014007040814BB80010020B4DE -:2006700021208784808B883A01800444DFC00217DC400117DC000017DEC003040814D341D4 -:20067800DEFFFA04008020B4DD000415DCC00315DC800215DC400115DC000015DFC005153A -:2006800010A08C0410C002C3040020B42027883AD4624BC38420A284D522488BD4A24C8B7F -:2006880018002226108002871440201E8809883A014007040814BB808087883A1900040B60 -:20069000D0E24B0B20C0171E10C0050480C7883A19400083D1224D8B2900121E8089883A80 -:200698002140028BD1224A8B29000E1E8085883A1100030BD0A24A0B20800A1E18C00003E7 -:2006A000A0803FCC1880071E8809883A014007040814BB808085883A10C0054390803FCC62 -:2006A8001880022600800044D0A24D458809883A014007040814BB80D1224B0B8087883AA2 -:2006B0001900040DD1224D8B10C0050480C7883A19000085D1624A8B8089883A2005883AFB -:2006B8002140028DD1224A0B014007041100030D1D0000058809883A0814BB80010020B4DC -:2006C000018020748085883A2120878401400444319A320499FFFFCC14800545DFC005176C -:2006C800DD000417DCC00317DC800217DC400117DC000017DEC006040814C381DEFFFE04E1 -:2006D000DC000015040020B4DFC0011584043004008004448080003580000035008020B4CA -:2006D8001084280410000035008020B410842404100000350109C40408155CC000BFF444A6 -:2006E000D0A249850080344480800035010000F42103500408155CC0010020B40140673436 -:2006E800018001B421041804297F30043186A0040815DA000813370008136D8010001926A4 -:2006F0000009883A0813840000C03FC410C017260813E600010000840810210010803FCC56 -:2006F80000C004C410C0131E0810300008109E401021883A1000111E08120F4008131E4007 -:20070000008020B410842C0410800037108000AC1000011E081218C00009883A0810D2C028 -:2007080000000706043FFF8400000506043FFF4400000306043FFF0400000106043FFFC411 -:200710008005883ADFC00117DC000017DEC00204F800283ADEFFFF04DFC0001508116200CB -:2007180008139B40008020B410A09B4411000203DFC00017DEC001040810D2C1DEFFF404F9 -:20072000DFC00B15DF000A15DDC00915DD800815DD400715DD000615DCC00515DC8004156B -:20072800DC400315DC0002150811B3C010001D1600801284010020B401802074000F883AEA -:20073000212083440140044431996504D88000150814C380010020B4014020742120970493 -:2007380029597104018004440814C1000810D180008020B410A09B4410C0054305C020B407 -:20074000070020B4D0E24B850027883A0021883A0023883A0025883ABDE06F04E720700419 -:2007480000004706010020B401802074212083440140044431996A04100F883A0814C38051 -:20075000010020B40140207421209704295A4104018004440814D3400810D180003FFF0696 -:2007580010FFFF8418C03FCC010000C420C0432EA8C0000310C04126050020B408122CC075 -:20076000A5207084A0800003100002260009883A0812C680058020B4B5A08C04D1224B833B -:20076800B08003432080011ED0224B85D1224B830140020420BFFFC410803FCC2880332E2C -:2007700020803FCC10006A1E00C020B418E09B4419000203B1400583008020B410A08C0490 -:2007780021400826D88001150810D2C0010020B421209B44D8800117210002031000011509 -:200780001100058598803FCC1000811E008020B410A06F0410C00017008020B410A06C041A -:2007880010C00015008020B410A070041080000301004B04D0224B85A880000508155CC0E9 -:2007900000C020B418C42C0418800037010020B410FFFFCC21206E0420C000150086303A93 -:200798001004D63A18C000EC054020B4B8C00015E0800005AD606D04103FB91E008020B456 -:2007A00010A06E0410000015003FBB06100490BA014020742947A9041145883A10800017E3 -:2007A8001000683A00811EC800811ED800811EF000811F0000811F1000811F2400811F342A -:2007B00000811F4400811F5C008003C4D0A24D050021883A00000306008003C4D0A24D0547 -:2007B80004000084044000440025883A00002006008003C4D0A24D05040000C4003FF906F3 -:2007C000008003C4D0A24D05040000C400000306008003C4D0A24D05040000840023883AC5 -:2007C800003FF10600800404D0A24D050400004400000D06008003C4D0A24D050021883A46 -:2007D0000000090604000084008003C4D0A24D058023883A8025883A00000506008003C449 -:2007D800D0A24D05040000C4044000848825883AB1400783B1000345B00002C528803FCCA0 -:2007E0000180004489003FCC3080042E00800144114BC83A29403FCC00000106014000C41B -:2007E80008137C400813928091003FCC81403FCC081411C0B08003430100207421199504BA -:2007F0001085883A1085883A2085883A11400017010020B42120834401800444B000011500 -:2007F8000814D340010020B4014020742120970429596E04018004440814D340A08000031D -:200800001000011E0810D18004C00044003F6E0691003FCC81403FCC0810EBC010803FCCBF -:200808000100008411002B26010001041100032601000044113F751E00002A06B0C002C31C -:20081000008020B410A08C0418000926D0A2498300C020B418C4300410800094D0A24985A7 -:2008180010803FCC188000350811C540003F670610000115D880011508139280D88001175D -:2008200000C0207418D9950410800343010020B4212083441085883A1085883A1885883A1A -:2008280011400017018004440814D340010020B4014020742120970429596E04018004440D -:200830000814D340A0800003103F501E0810D180003F4E06B08002C3103F4C26081162006C -:20083800003F4A06B08002C3103F482608113B40003F4606DEFFFE0400C020B4DC000015DC -:2008400018E09B4404000704014020741809883A29599F04800D883ADFC001150814C100F4 -:200848001007883A008020B410842C04108000371004D4BA800B883A1080004C188002056E -:2008500000802074109E3704110000030814BB80010020B4014020742120A284295B550432 -:20085800100D883A0814C100008020B400C0004410A06B4410C000050005883ADFC00117BA -:20086000DC000017DEC00204F800283ADEFFF804DD400515DD000415DCC00315050020B4F4 -:2008680004C0207405402074DC800215DC000015DFC00715DD800615DC4001150021883A93 -:200870000025883A9CD9E504A5042C04AD5C3004010020B40140207421207F042959A60473 -:20087800018004440814D3408405883A14C5883A11400017010020B4212087840180044430 -:200880000814D3400810D0400023883A856D883AA080003710BFFFCCD0A250151000182652 -:200888001480172688000A1E010020B40140207421207F042959A80401800444B080000D2D -:200890000814D3400810D0400440004400000C06B0C0000B10C00926010020B40140207433 -:2008980021207F042959AA04018004440814D3400810D0400023883A000001060440008478 -:2008A00000800084D4A25017888003260109C40408155CC0003FDE068400008400800C0460 -:2008A80080BFCB1EDFC00717DD800617DD400517DD000417DCC00317DC800217DC40011741 -:2008B000DC000017DEC00804F800283AD1225017DEFFFE0401402074DFC001150005883AA7 -:2008B800295C3004018005C41087883A1947883A18C0000B20C00326118098261080004493 -:2008C000003FF90600C005C418809436100490BA00C0207418C8C90410C5883A1080001752 -:2008C8001000683A00812384008123A8008123B80081238C008123B0008123C0008123A062 -:2008D0000081255C008123C8008123D0008123D80081255C0081255C0081255C0081255CA2 -:2008D8000081255C0081255C008124040081247C008124A4008124D00081251C008124FC11 -:2008E0000081254800C000440000010600C00084008020B410A0698410C0000500006F0680 -:2008E80000C000C4003FFA0600C00104003FF80600C00144003FF60600C00184003FF4066D -:2008F00000C001C4003FF20600C00204003FF00600C00244003FEE0600C020B418E0708478 -:2008F800188000031005003A1880000510000326010000440812C680000058060810D180B4 -:2009000000005606008020B410A08C0411000287014007040814BB8001C020B4010020B440 -:200908000180207439E0A28421207F04014004443199AE04388F883A0814C380008020B476 -:200910001084200411C0003710800037010020B41005D43A0180207421208784108000CC8B -:20091800014004443199B10439FFFFCCD88000150814C3800810D0400000380600C020B4EE -:2009200018E06784188000031080041C1880000500C020B410803FCC18C4300418800035E0 -:2009280000002E0600C020B418E09B44188000030140004411003FCC290002361145883A5B -:20093000000001060005883A188000050000230600C020B418E09B44188000430140004448 -:2009380011003FCC290002361145883A000001060005883A1880004500001806008020B4ED -:2009400010A09B4410C0008319003FCC2000012618FFFFC410C000850000100600C020B471 -:2009480018E09B44188000830140038411003FCC290002361080004400000106008003C436 -:20095000188000850000050600C020B418E09B44188001031005003A18800105D0A24E1794 -:2009580010001B1ED0E251171880006C10000C26008020B410A08C04108003430100024425 -:2009600011403FCC29000226108000440000010600800044010020B4212069842080000583 -:2009680018C000AC18000A2600C020B418E09B44188000030140004411003FCC290002369B -:200970001145883A000001060005883A18800005DFC00117DEC00204F800283ADEFF6C04E2 -:20097800D9000304DC008C15DFC09315DD809215DD409115DD009015DCC08F15DC808E1533 -:20098000DC408D150810C8001021883A08149C408000861ED9008304D940030401800104A4 -:200988000814D34001402074D90083042959FD04018001040814CF0010000B26010020B4E1 -:200990000180207421207F04014004443199FF040814C380008020B410A087841000000595 -:200998000400004400007106D8800403DC808484D9400484D8808405D88004439009883A41 -:2009A00001800204D88084450814D340D880068BD8008645D8808B0DD880070BD8808B8D0A -:2009A800D9408B17280BC232D880078BD9408715D8808B0DD880080BD8808B8DD8808B17E9 -:2009B0001005C232D8808815D880088BD8808B0DD880090BD8808B8DD8808B171005C2326F -:2009B800D8808915D8808217D8808B151005C232D8808A1500C0788428BFF98418800B2E4F -:2009C000010020B40180207421207F0401400444319A03040814C380008020B410A08784A0 -:2009C80010000005043FFFC400004006D9000304018000440815D2C0D8C08A17010020B44C -:2009D00021207F040140044410C0072601802074319A07040814C380008020B410A0878464 -:2009D800100000050000300601802074319A0B040814C380D9C08817010020B40180207444 -:2009E0002120878401400444319A0F040814C3800810D040DCC08817DD4089170021883AE2 -:2009E8000005883A0580800484C0132E9C23C83AB440012E0440800485008004A00CD27AED -:2009F000010020B4DC40001521207C04D9400304000F883A0814838010803FCC1000121E35 -:2009F800800D003AD9000304880B883A0815D2C0A021883A003FEC0615406126010020B4CF -:200A00000180207421207F0401400444319A12040814C380008020B410A087841000000510 -:200A0800043FFF4400000106043FFF8404C000C408149C400810D040010003F42110900416 -:200A100008155CC000BFCE4480804B0E98004A26010020B40180207421207F040140044424 -:200A1800319A28040814C3809CFFFFC4010020B40140207421208784295A200401800444A4 -:200A20000814D3400810D0400025883A0580800405004004DC4088179440202E8CA3C83A18 -:200A2800B440012E0440800495408004A80DD27A010020B4DC40001521207C04D940030482 -:200A3000000F883A0814838014003FCC803FD81E9025D23A880B883AA440012E0140400434 -:200A3800D9000304900D883A0810A8401021883A103FCF1EA4400236A825883A003FE5065B -:200A4000D9004304897FC004918000440810A8401021883A103FF826003FC506010020B416 -:200A48000140207421207F04295A2404018004440814D340010020B401402074212087845C -:200A5000295A2004018004440814D3400810D040D9408817D98089170009883AD9C00304A3 -:200A58000810BAC01021883A103FB11E08149C400005883A0000450600BFFFC40000430606 -:200A6000D8808487D9C08403D8C0844310000326008020741099E00400000206008020749E -:200A6800109A4104010020B401802074D8C0001521207F0401400444319A1704D880011547 -:200A7000DC8002150814C380010020B40140207421208784295A2C04018004440814C10045 -:200A7800044020B4040020740810D0408C442C04841C30048880003780C0000B10BFFFCC8E -:200A800010C00E2680C0008B10C0091E010020B40140207421207F04295A1A0401800444B8 -:200A88000814D34004C000C404000084003F80060109C40408155CC0003FEE0608139280DF -:200A900000C020B418E0678418800003108000941880000500C020B410803FCC18C4300434 -:200A9800188000350109C40408155CC0010020B40140207421207F04295A1D04018004448B -:200AA0000814D34004C000C4003F7806DFC09317DD809217DD409117DD009017DCC08F17E8 -:200AA800DC808E17DC408D17DC008C17DEC09404F800283A21C03FCC01802074010020B488 -:200AB0002120878401400444319A31040814C381DEFFFF0421003FCC01411944DFC0001592 -:200AB8000814BB801009883A014019040814AF80010020B401802074212087840140044484 -:200AC000319A3304100F883ADFC00017DEC001040814C38121C03FCC01802074010020B4A4 -:200AC8002120878401400444319A35040814C381014003F4DEFFFC0421003FCC2950900487 -:200AD000DFC00315DC400215DC0001150814BB80014018F41009883A294BA8041021883A98 -:200AD8000814BA808009883A014659041023883A0814BA801009883A0140FA040814BB007D -:200AE0001009883A014002840814BA80010020B401802074D88000152120878401400444D2 -:200AE800319A3804880F883A0814C380DFC00317DC400217DC000117DEC00404F800283A4D -:200AF000DEFFFF0421003FCC014119C4DFC000150814BB801009883A014019040814AF802C -:200AF800010020B4018020742120878401400444319A3B04100F883ADFC00017DEC00104DB -:200B00000814C38121C03FCC01802074010020B42120878401400444319A3D040814C3815E -:200B080021003FCCDEFFFF042100004401409C44DFC000150814BB801009883A01401904F7 -:200B10000814AF80010020B4018020742120878401400444319A4004100F883ADFC0001715 -:200B1800DEC001040814C381008020B410A06E0411400017DEFFFA0401802074DC000015FB -:200B2000DFC00515DD000415DCC00315DC800215DC400115040002C4319C300400C00444DA -:200B28008405883A1185883A1080000B2880101E80BFFD0410803FCC050001048025883A4D -:200B3000A0803A36100490BA00C0207418CB370410C5883A108000171000683A00812D7037 -:200B380000812CFC00812D3800812E7000812E708400004480FFEA1E0000F706D0E252433D -:200B400001002074211C3C04180490FA2087883A18C0010319403FCC2800031E2087883A82 -:200B480018C0001718C0000318FFFFC42085883A10C0010500009406D0E2524301402074F6 -:200B5000295C3C04180490FA140001042885883A108000172C21883A810000031140000304 -:200B5800210000440814B4C08080000500008606D0A2524310C03FCC1800032610BFFFC442 -:200B6000D0A2524500008006D0225285DFC00517DD000417DCC00317DC800217DC4001170B -:200B6800DC000017DEC006040810D181D4225243044020748C5C3C04802490FA0140050465 -:200B70008C85883A1100010314C000170814BB8098C00117010000C41885883A10C00103D3 -:200B7800190006261D00671E10800217048002C4103EE83A1021883A0000640684000044EE -:200B8000802090FA108002178C07883A18C00017188002268C05883A100001058C85883A42 -:200B880011000103014005048C21883A0814BB8098C001178CA3883A1887883A18C0021775 -:200B900080C0001588C0001718C001171885883A1080031710000226010002C4103EE83A29 -:200B9800D0A2524310800044D0A2524500004506D0E2524301002074211C3C04180490FA0F -:200BA000014005042087883A190001031C4000170814BB8088C00117010000841885883A57 -:200BA80010C001031900202619000336010000C419002F26000034061180021782003FCC04 -:200BB00001C003C41100030310C00343314000031080038341C00A1E29C03FCC1A003FCCA4 -:200BB80041C0022E28BFFFC40000030621003FCC2000011E1805883A308000050000220612 -:200BC00029C03FCC12003FCC3A00022E288000440000030621003FCC200001261805883A53 -:200BC80030800005000010061100021781803FCC014003C420C0000B3140061E1080030BE6 -:200BD000197FFFCC1140012E18FFFFC420C0000D00000D061080038B197FFFCC2880012EF0 -:200BD80018C0004420C0000D048004040000060610800317100004268009883A103EE83ABD -:200BE00000000106048002C40021883AD0E2524301002074211C3C04180490FA0140050478 -:200BE8002087883A190001031CC000170814BB801023883A98800117010020B421207F045F -:200BF0001445883A11400017018004440814D34098800117010001041445883A10C0010345 -:200BF80020C03536180690BA01002074210BFF041907883A18C000171800683A008130101A -:200C00000081304000813050008130600081307410C00217110004170180044418C00003F3 -:200C080018C5883A1085883A2085883A11400017010020B4212087840814D34000001E0693 -:200C100010C0021710800417190000030000070610C00217108004171900000B0000030646 -:200C180010800317100006260009883A103EE83A0000110694803FCC00C002C490C0042665 -:200C2000008020B410A087841000000500000A068000021E11C003170000010611C0041702 -:200C2800010020B4018020742120878401400444319A42040814C380DFC00517DD000417CA -:200C3000DCC00317DC800217DC400117DC000017DEC006040810D04121003FCC200002260D -:200C38000025883A003FA806DFC00517DD000417DCC00317DC800217DC400117DC000017C3 -:200C4000DEC00604F800283A009114B4DEFFBF041094D544D8800015008010741095104472 -:200C4800D880011500801284D8800245D809883A00800084014002C401840004DFC040153E -:200C5000D8000205D88002850810A8401000022600BFFFC4000020060140207400800D0480 -:200C5800D9000104295C300401800C04D8000005D8800045D80000C5D80000850814C10003 -:200C6000D809883A01400D04018400440810A840103FEF1E00800044014020B4D880000524 -:200C6800D90001040080080429609B4401800704D8800045D80000C5D80000850814C1009A -:200C7000D809883A01400804018400840810A8401004C03A0085C83ADFC04017DEC04104FD -:200C7800F800283ADEFFB50401000434014002C4D80D883ADFC04A15DD804915DD40481552 -:200C8000DD004715DCC04615DC804515DC404415DC0043150810A10010000226043FFFC4CE -:200C880000003806D9004004D80B883A018002040814D34001402074D9004004295B4604D6 -:200C9000018002040814CF001021883A10002C1ED8800203DD4002830023883AD880420502 -:200C9800D8800243DD40428505800D04D8804245A8803FCC8880230E8CC400449826923ABC -:200CA00001400084D80D883A9809883A0810A100103FE21EDD0000439809883AD80D883A33 -:200CA800A00B883ADC8000030810A100103FDB1E90803FCC1000032600C0004410C007260A -:200CB00000000D06A5800C1E01002074211C3004D940010401800C04000006060080080475 -:200CB800A080051E010020B421209B44D9400104018007040814C1008C400044003FDC062C -:200CC000040000448005883ADFC04A17DD804917DD404817DD004717DCC04617DC80451760 -:200CC800DC404417DC004317DEC04B04F800283ADEFFFD04D9000005010020B4DC00011595 -:200CD000018000442821883A21041804D80B883ADFC002150815EF408009883A08155CC0C8 -:200CD800DFC00217DC000117DEC00304F800283ADEFFFB04DC400115044020B48C60678454 -:200CE00088C0000300BFE7C4DC8002151884703A048020B488800005DFC00415DCC00315B5 -:200CE800DC00001510803FCC9484300490800035040005048009883A08155CC0800B883AF1 -:200CF00001000E0408133300800B883A01000E44081333008009883A800B883A08133300AF -:200CF800800B883A01001C4408133300800B883A0100178408133300800B883A01001B44FC -:200D000008133300800B883A010003040813330004C0C804980B883A01000044081333005A -:200D0800800B883A010001840813330001000084980B883A081333008880000310801014B3 -:200D10008880000510803FCC90800035DFC00417DCC00317DC800217DC400117DC000017CA -:200D1800DEC00504F800283ADEFFF904DC000015040020B48420678480C0000300BFE7C4DB -:200D2000DC4001151884703A044020B4DCC00315DC80021580800005DFC00615DD40051506 -:200D2800DD0004152027883A2825883A10803FCC8C44300488800035010000440140C8046F -:200D30000813330080800003108008148080000510803FCC888000359809883A014004041D -:200D38000814D8001007883A10803FCC1000061E014020749809883A295B4804018004442E -:200D40000814D34000C00044982B883A1D003FCCA900000301400504AD400044081333003E -:200D4800ACC5C83A10803FCC153FF93680C0000300BFF7C41884703A8080000510803FCC57 -:200D50008880003501003004014005040813330080800003108008148080000510803FCC2A -:200D5800888000359009883A014004040814D8001007883A10803FCC1000061E0140207429 -:200D60009009883A295B4804018004440814D34000C000449029883A1CC03FCCA100000346 -:200D680001400504A500004408133300A485C83A10803FCC14FFF93680800003108010142B -:200D70008080000510803FCC88800035DFC00617DD400517DD000417DCC00317DC8002176E -:200D7800DC400117DC000017DEC00704F800283ADEFFFB04DC000015040020B484041804E8 -:200D8000DC80021504800B04DCC00315900B883A2027883A000D883A8009883ADFC0041561 -:200D8800DC4001150815E140044000448009883A99403FCC880D883A0815E7808009883AFE -:200D9000900B883A880D883A0815E1408009883A880B883ADFC00417DCC00317DC800217CC -:200D9800DC400117DC000017DEC005040815E4C1DEFFFC04DC000015040020B48404180461 -:200DA000DC800215DC4001152025883A2823883A8009883A01400B04000D883ADFC0031559 -:200DA8000815E1408009883A91403FCC000D883A0815E7808009883A89403FCC018000448F -:200DB000DFC00317DC800217DC400117DC000017DEC004040815E781010020B4DEFFFD04F0 -:200DB800000D883A000B883A21041804DFC00215DC400115DC0000150815E780010002845A -:200DC00008155CC004000604044000448809883A800B883A08136700800B883A0100008450 -:200DC80008136700800B883A010000C4081367008809883A08135F001405003ADFC002171D -:200DD000DC400117DC000017DEC00304F800283ADEFFFC04DC4001152023883ADC80021556 -:200DD8008C403FCC048000449009883A882290FADFC00315DC00001508135F00043FF9C4AB -:200DE0001404703A1460B03A84003FCC9009883A800B883A08136700800B883A0100008448 -:200DE80008136700010000C4800B883ADFC00317DC800217DC400117DC000017DEC004045C -:200DF00008136701DEFFFD04DC4001152023883A01000044DC000015DFC00215043FF60422 -:200DF80008135F001420703A89003FCC008000842080021E84000054000003062008917A17 -:200E00002080011480A0B03A84003FCC800B883A0100004408136700800B883A010000849E -:200E080008136700010000C4800B883ADFC00217DC400117DC000017DEC00304081367012F -:200E1000DEFFFB04DC000015040020B484041804DC80021504801704DCC00315900B883A56 -:200E18002027883A000D883A8009883ADFC00415DC4001150815E140044000448009883A9C -:200E200099403FCC880D883A0815E7808009883A900B883A880D883A0815E1408009883A6B -:200E2800880B883ADFC00417DCC00317DC800217DC400117DC000017DEC005040815E4C1E0 -:200E3000DEFFFC04DC000015040020B484041804DC800215DC4001152025883A2823883A9F -:200E38008009883A01401704000D883ADFC003150815E1408009883A91403FCC000D883A39 -:200E40000815E7808009883A89403FCC01800044DFC00317DC800217DC400117DC000017D5 -:200E4800DEC004040815E781DEFFFC04DC000015040008848009883ADFC00315DC8002158C -:200E5000DC40011508138400014004C4010005841023883A0489C40408138C009009883AD2 -:200E580008155CC0014000C4010005C408138C009009883A08155CC000FFE00488CAB03A18 -:200E60008009883A29403FCC08138C000100FA0408155CC08009883A89401FCCDFC003171C -:200E6800DC800217DC400117DC000017DEC0040408138C01DEFFFE04DC0000150409C404DB -:200E70008009883ADFC0011508155CC0014004440100058408138C008009883A08155CC0EB -:200E7800010005C40140008408138C008009883ADFC00117DC000017DEC0020408155CC151 -:200E8000DEFFFE04DC0000152821883A21403FCC01000484DFC0011508138C00010004C45D -:200E880081403FCCDFC00117DC000017DEC0020408138C0121403FCC01000F4408138C0120 -:200E900021403FCC0100044408138C01DEFFFE04DC0000152021883A814000C30100028407 -:200E9800DFC0011508138C00814001030100024408138C00814001430100020408138C0078 -:200EA000814000030100034408138C00814000430100030408138C0081400083010002C4C1 -:200EA800DFC00117DC000017DEC0020408138C01DEFFFA04DC0000152021883A010001045F -:200EB000DD000415DCC00315DC8002153829883ADFC00515DC4001152827883A3025883ACF -:200EB8000813840000FFFE04A5003FCC10C4703AA00006261080005411403FCC010001043A -:200EC00008138C008423883A0000040611403FCC010001048023883A08138C008C7FFFCCB4 -:200EC800880AD13A0100004429403FCC08138C00880A913A0100008429403C0C08138C00D3 -:200ED000993FFFCC91403FCC0814BB801009883A880B883A0814BB801009883A0140FA048B -:200ED8000814BA8000E327D41880092E00C0007418C45BC41880082E00C000B418C3D5C412 -:200EE0001885403A00C000C41885C83A000003060005883A000001060080004414003FCCFE -:200EE800D0A018041405883A1100000301400A040814BB808808D07A880B883A1109883ABE -:200EF0000814AF80100B883A00C001C410803FCC1880012E180B883A29403FCC800491BAAB -:200EF800280A90FA010000C4288AB03A29403FCCDFC00517DD000417DCC00317DC8002176B -:200F0000DC400117DC000017DEC0060408138C01DEFFFE04DC0000152021883A01000684FC -:200F0800DFC0011508138400017FFE8484003FCC00C000441144703A80C0021E108000945D -:200F1000000001061080029411403FCC01000684DFC00117DC000017DEC0020408138C01B7 -:200F18002140028BDEFFFE04DC000015280AD23A2021883A010012C4DFC0011508138C0087 -:200F2000814002830100128408138C008140030B01001344280AD23A08138C00814003035A -:200F28000100130408138C008140038B010013C4280AD23A08138C008140038301001384FF -:200F300008138C008140010B01001444280AD23A08138C00814001030100140408138C006A -:200F38008140018B010014C4280AD23A08138C00814001830100148408138C008140020B3B -:200F400001001544280AD23A08138C00814002030100150408138C008140040B010015C421 -:200F4800280AD23A08138C00814004030100158408138C008140048B01001644280AD23AB2 -:200F500008138C00814004830100160408138C008140050B010016C4280AD23A08138C003F -:200F58008140050301001684DFC00117DC000017DEC0020408138C01DEFFFD04DC00001550 -:200F600004000FC4DC4001152023883A8009883ADFC002150813840000FFFC0410C4703A46 -:200F6800888AB03A8009883A29403FCCDFC00217DC400117DC000017DEC0030408138C0182 -:200F7000DEFFFD04DC40011504400684DC0000152021883A8809883ADFC0021508138400E7 -:200F780081403FCC00C000C4194BC83A280A91BA10800FCC8809883A114AB03A29403FCCAF -:200F8000DFC00217DC400117DC000017DEC0030408138C01DEFFFD04DC0000152021883A53 -:200F8800DC40011584003FCC044001048809883A802090FADFC0021508138400108001CC10 -:200F9000140AB03A8809883A29403FCCDFC00217DC400117DC000017DEC0030408138C0146 -:200F9800DEFFFF04DFC00015081392800009883A0813C100000B883A01000D4408138C000B -:200FA00001002074211B49040813C600010004040813E1400009883A0813D7800009883AE5 -:200FA8000813DC00010001840813A340014000C401000D0408138C00010011040813A4001B -:200FB000010006C40140220408138C000100070401400204DFC00017DEC0010408138C01F4 -:200FB800DEFFFD04DC0000152021883ADC40011584003FCC044004048809883A802090FABD -:200FC000DFC0021508138400108001CC140AB03A8809883A29403FCCDFC00217DC400117A4 -:200FC800DC000017DEC0030408138C0121003FCC20001A26DEFFFD04DC400115010009849F -:200FD0002823883A01402004DC000015DFC002153021883A08138C0089403FCC0080004496 -:200FD80028800426008002042880041E81401684000003068140024400000106814006049A -:200FE00001000C4429403FCCDFC00217DC400117DC000017DEC00304000002060100098412 -:200FE800000B883A08138C01DEFFF704D8800917DDC00715DD800615DD400515DD000415C6 -:200FF000DCC00315DC800215DC400115DC000015DFC008152C403FCC00C000442021883A5D -:200FF800302B883A3829883ADCC00A17DC800B17DD800C1715C03FCC88C0092600C00204C1 -:2010000088C00E1E11400C840100014429403FCC08138C00010001840140080400000D0634 -:20100800114000840100014429403FCC08138C0001000184200B883A00000606114001843D -:201010000100014429403FCC08138C00010001840140040408138C0001000044880B883A4F -:20101800B80D883A0813F2C0B1003FCC0813A40000800404010008848880021E0140030464 -:20102000000001060140020484003FCC8400201C08138C00843FE0048009883A014007042E -:20102800044020B40814BB808C60A2848887883A19C0068B1900040BA1803FCC39C0100C83 -:20103000380EC03AA97FFFCC0813AB0099003FCC91403FCC0813A0008009883A01400704D5 -:201038000814BB808885883A11400583010001C4DFC00817DDC00717DD800617DD400517A7 -:20104000DD000417DCC00317DC800217DC400117DC000017DEC0090408138C01DEFFFD0415 -:2010480029BFFF84DC400115DC000015DFC0021531803FCC0080004424403FCC2C003FCC1E -:2010500011800436008000848880071E8805883A000008068800061E8005003A00C0008478 -:201058001885C83A000003060005883A0000010600800084880A913A8C47883A10803FCC71 -:20106000100491BA18CD883A2986B03A20CAB03A288AB03A0100064429403FCC08138C00FB -:20106800008000C4010004048080031E0140174408138C00000011060140160408138C009E -:201070000080008488800D1E0080004414000B36010003848080021E014014840000010688 -:20107800014014C408138C000100FA0408155CC0010005040813840000000C06014016C48A -:201080000100038408138C000100FA0408155CC00100050408138400008000C48080031EDB -:2010880001000604014004040000020601000604000B883A08138C0001000D84000B883A0E -:20109000DFC00217DC400117DC000017DEC0030408138C01DEFFFD04DC4001152023883AFF -:2010980001000504DC000015DFC002152821883A081384008C403FCC00C0008488C00A1E52 -:2010A00081403FCC00C0004428C0031E1080240C10802420000006062800031E1080220CB0 -:2010A80010802220000002061004D07A1080004CDFC00217DC400117DC000017DEC0030490 -:2010B000F800283ADEFFFE04DAC0030302800044DC00001552D6983ADA400403DC00020394 -:2010B800020020B4DC4001154220A8840005883A037FFEC40380008403FFFBC429403FCC3B -:2010C000030006844180008B40C000033440200C880005264800021E78C6703A0000090682 -:2010C8004B80081E000006063440400C880005264800021E68C6703A000002064A80011E67 -:2010D0000007883A19C6703A18C03FCC18000C2630C0080C1807003A28C0091E40FFFE8B4D -:2010D80018C0078419000636318003CC8000032630FFFFCC180001261AC00726300006267B -:2010E00010C000441805883A18C03FCC420007041B3FDC1E00BFFFC4DC400117DC000017D0 -:2010E800DEC00204F800283A20803FCCDEFFF9041080201CDC800515DC400415DC000315FA -:2010F000DFC0061510BFE0042025883A2823883A2021883A1000080E01001DC4000B883A87 -:2010F80008143A80D880028510C03FCC0100004420C02C3694001FCC08149C400009883A7F -:20110000014001040814984008149A008804D63ADC000005DC400105D88000458804D43A09 -:2011080084003FCCD88000858804D23AD88000C50080100480800426008012048080041E30 -:2011100000BFE1C40000030600BFE5440000010600800044D809883A01400184D880014598 -:20111800081496C0010001440814A2801021883A04400044D900028401400044081498406E -:20112000D8800287100003168000051E0814A840000003060814A640147FF626003FFA0605 -:20112800D8800283DFC00617DC800517DC400417DC000317DEC00704F800283ADEFFF6048F -:20113000DC000515000B883A2021883A01001244DFC00915DCC00815DC800715DC4006155D -:2011380008143A8010803FCC100002260005883A00004306010001440814A2801023883A65 -:201140000480004404C03FC4D90004840140004408149840D880048314C003268800051E9F -:201148000814A840000003060814A64014BFF626003FFA06D8C0048300803F8418BFEB1E08 -:20115000D809883A01400484081498408080010310C0008C18001326D8800183D8C001C336 -:20115800D9400243108000CC1004923AD9000143294000CC10C4B03AD8C002031085883AD9 -:201160001085883A1806D1BA294B883A210003CC10C4B03AD8C002831806D1FA28CAB03AA9 -:20116800000010061080010C10000B26D88001C3D90002030140044410800FCC1004923AA5 -:201170001104B03A1006923AD88002430009883A1884B03A000003060009883A000B883AEF -:201178000005883A10BFFFCC10C0004421003FCC28803FCC1105883A10BFFE441884983AAC -:20118000DFC00917DCC00817DC800717DC400617DC000517DEC00A04F800283ADEFFF6044D -:2011880001402074DCC00715295C0B042027883A01800284D809883ADD000815DC40051543 -:20119000DFC00915DC800615DC000415050000C40814C100044000440814950008149C403F -:201198000814A0C0D809883A01400284081496C00100100498000015000B883A08143A807A -:2011A00010803FCC1440511E0100120401406A8408143A8010803FCC1440271ED900028422 -:2011A8000140010408149840D88003031440471ED8C0034300802A841880441E0100FA04D1 -:2011B0000814A2800814A640144003260814A64014400C1E0000060601003A4401500034D2 -:2011B80008143A8010803FCC103FF61E003FF70601001E84000B883A08143A8010803FCC26 -:2011C000100002260021883A00000906D90002840140010408149840D88002831080100CC3 -:2011C8001000021E0400010400000106040003040814A8400000260601003A44000B883A40 -:2011D00008143A8010803FCC8880032E0400004404801044000002060400008404BFFA44A4 -:2011D80001003E840814A28094803FCC0814A640144004260814A6401000081E0021883A3C -:2011E000000006069009883A000B883A08143A8010803FCC103FF51E003FF6060814A840A9 -:2011E80001001EC4000B883A08143A8010803FCC100001260021883A0100140401408004CE -:2011F00008143A8010803FCC100001260021883AA0BFFFC41029883A10803FCC84803FCC8D -:2011F80010000A26903F9C26008000449C000105988000159809883A08144BC010BFFFC457 -:201200009880021508149F0000000106903FF61E081499C09005003ADFC00917DD000817FB -:20120800DCC00717DC800617DC400517DC000417DEC00A04F800283A20800217DEFFF804CC -:20121000DC000115DFC00715DD400615DD000515DCC00415DC800315DC400215DC0008178B -:201218001180313684BFFFCC90002F26208001032827883A3823883A1080020C1000011E2C -:20122000300C927A01001444300B883A08143A8010803FCC100002260400008400001F06BA -:20122800010019040814A2800500004405403FC4D809883A0140004408149840D880000342 -:20123000154005260814A840D8C0000300803F8418BFF11E000003060814A640153FF426DD -:20123800003FF806008080841445C83A897FFFCC1421C83A280002260009883A0814984061 -:201240009809883A900B883A08149840817FFFCC0009883A081498400021883A081499C0F8 -:201248008005883A00000106008000C4DFC00717DD400617DD000517DCC00417DC800317D7 -:20125000DC400217DC000117DEC00804F800283A010020B401406734018001B42104000441 -:20125800297F30043186A0040815DA012005883A010020B4280D883A21040004100B883A8E -:201260000815EF412005883A010020B4280D883A21040004100B883A0815EB41F800283AC5 -:2012680000C020B418E067841880000310801FCC1880000500C020B418C4300418800035CB -:20127000F800283A00C020B418E067841900000300BFE0042084B03A1880000500C020B40F -:2012780010803FCC18C4300418800035F800283A010020B40140673401800A7421040004AB -:20128000297F3004318CB8040815DA01010020B401406734018001B421040004297F300415 -:201288003186A0040815DA01D0A2531710000B1EDEFFFF04213FFFCC015A5E04DFC0001562 -:201290000814BB80D0A25315081570000005883ADFC00017DEC00104F800283A0080004442 -:20129800F800283ADEFFFF04DFC00015081574C0D0E2531710C5803ADFC00017DEC00104F3 -:2012A000F800283AD0225315F800283A2900182E280017160080080400C0004400000206C4 -:2012A8001000112628000516294B883A10BFFFC418C7883A293FFA3618000B260005883A8B -:2012B000214002362149C83A10C4B03A1806D07A280AD07A183FFA1E3000011EF800283A5F -:2012B8002005883AF800283A0005883A003FFA0600C00044003FF106DEFFFE04DFC0011501 -:2012C000DC00001520000B160021883A28000C16000D883A0814A8C00407C83A1884F03A89 -:2012C8001405883ADFC00117DC000017DEC00204F800283A0109C83A04000044283FF40EC6 -:2012D000014BC83A8400005C003FF106DEFFFD04DFC00215DC400115DC00001520000C16A1 -:2012D8000023883A0021883A28000D16018000440814A8C01404F03A8885883ADFC00217D1 -:2012E000DC400117DC000017DEC00304F800283A0109C83A04400044043FFFC4283FF30EC6 -:2012E800014BC83A003FF106000D883A0814A8C1018000440814A8C10005883A20000726B0 -:2012F00020C0004C2008D07A180001261145883A294B883A203FFA1EF800283AF800283A88 -:2012F800218D883A218008262080000328C0000310C0022610C5C83AF800283A210000447B -:2013000029400044003FF7060005883AF800283A2005883A0007883A1980062628C9883A9B -:2013080021C0000310C9883A18C0004421C00005003FF906F800283ADEFFF504DFC0091519 -:20131000DC400815DC000715D9C00A1500802074109E3804144000172800040E008022C4CB -:201318008880001500BFFFC400001C0600C08204D8C0000DD9000415D900021528000226D7 -:2013200028FFFFC4000001060007883AD8C00515D8C003151100001700FFFFC4D8C0008D82 -:2013280000C0207418D4DF042821883AD9C00A04D80B883AD8C00115D80006150814E1008D -:2013300000FFFFC410C0020E00C022C488C0001580000226D8C0041718000005DFC00917C1 -:20133800DC400817DC000717DEC00B04F800283A30000E2631BFFFC4218D883A208000072B -:2013400028C0000710C0011E2180041E2080000328C0000310C5C83AF800283A103FFB26BD -:201348002100004429400044003FF4060005883AF800283A2005883A2007883A1809883A66 -:201350003000092629C0000331BFFFC418C0004421C0000539C03FCC39C0201C39FFE00428 -:2013580029400044383FF51E198D883A198003261800000518C00044003FFC06F800283A40 -:20136000214B883A2005883A1140021E1105C83AF800283A10C00007183FFC261080004451 -:20136800003FF906DEFFFB04DC800315DC400215DC000115DFC004152025883A2823883AE6 -:20137000D98000053821883A04000A0E888001179009883A880B883AD80D883A01C000444C -:20137800103EE83A843FFFC4103FF72600BFFFC4000001060005883ADFC00417DC80031773 -:20138000DC400217DC000117DEC00504F800283ADEFFE304D8C00804DF001B15DDC01A15E0 -:20138800DD801915DD401815DD001715DCC01615DC801515DC401415DC001315DFC01C1501 -:201390002029883A2823883A3839883AD9800F150021883AD8000E15002F883A002B883AB9 -:201398000027883A0025883AD8000C15D8000B15002D883AD8C00915D8C00F1719000003F5 -:2013A00020803FCC1080201C10BFE00410012E2601400044B14014262D800216B00006264D -:2013A8000001250601400084B1401D26014000C4B1402B26000120060140094411410D267F -:2013B00088800117D9000005880B883AA009883AD80D883A01C00044103EE83A1000E81E2D -:2013B800840000440001140601400C0411410A260140094411400A1ED880000588800117DB -:2013C000A009883A880B883AD80D883AB00F883A103EE83A1000DA1E8400004400010506D9 -:2013C80025BFF404B5803FCC00C002441D80093600BFFFC4908004269009883A0140028429 -:2013D0000814BB80000001060005883A15A5883A0000F20601400B841140F4260580008420 -:2013D800213FF40420C03FCC0100024420C00B3600BFFFC4988006269809883A014002845A -:2013E000D8C011150814BB80D8C01117000001060005883A10E7883A0000E70601401B0444 -:2013E8001140E026013FFFC499000226D8000B150000010604C0004401001A441100162617 -:2013F00020800916010018C4110096260100190411001126010016041100D61E00C00044EA -:2013F800D8C00E150000140601001CC41100A6262080041601001BC41100CE1E0540020460 -:2014000000000E0601001D4411000C2601001E04110009260000C706E0800104B800072699 -:20140800D8800D15E7000017E000080E0739C83A020000440000060605400404E0C00104D0 -:20141000D8C00D15E7000017002F883A0011883A002D883ADD87883AE0001A26E009883A60 -:20141800A80B883AD8C01115DA0012150814BA80A809883A100B883AD88010150814BB8006 -:20142000E085C83A01000244D8C01117D9801017DA0012172080021617000C0400000506D1 -:20142800D9400E172800022617000DC400000106170015C41F000005B58000443039883A6F -:20143000003FE4061EC5C83AD8800A159885C83A1839883A00800E0E182D883A1885883AE3 -:2014380001000C04D9400917B007883AB140052EB580004419000005B039883AB0BFF91EE5 -:2014400000000106B039883AB6EDC83ADD800A15D8800A171207883A90EDC83AD8C00B172C -:201448001800172640000A2600800B44D880080588800117A009883A880B883AD980080446 -:2014500001C00044103EE83A10004D1E840000440580070EA009883A880B883A01800C04D9 -:20145800B00F883A0814DA401000451E85A1883AD9000A17E007883A272DC83A0000220641 -:201460000580090EA009883A880B883A01800804B00F883ADA0012150814DA40DA001217C8 -:201468001000371E85A1883A403FF12600800B44D880080588800117A009883A880B883A6D -:20147000D980080401C00044103EE83A10002C1E84000044003FE60618FFFFC418800003C0 -:20147800A009883AD8C01115D880080588800117880B883AD980080401C00044103EE83A77 -:20148000D8C0111710001E1E80C5C83A1D89883AE085883A013FF0161021883ADF000D172E -:2014880000004406010000442480080E95BFFFC4A009883A880B883A01800804B00F883A16 -:201490000814DA4010000E1E85A1883AE0800017A009883A880B883AD8800005888001172E -:20149800D80D883A01C00044E5800104103EE83A1000031E84000044B039883A00002D06D7 -:2014A00000BFFFC400003106E5800017E0C00104D8C00A15B009883A081547809091C83A19 -:2014A8001039883A0200090E400F883AA009883A880B883A01800804DA0012150814DA406B -:2014B000DA001217103FEE1E8221883A88800117A009883A880B883AB00D883AE00F883A49 -:2014B800103EE83A103FE61E8721883ADF000A170000100605C0004404FFFFC4D8000E1507 -:2014C000054002849825883AD8000C15D8000B15B82D883A00000806DD800B150580008496 -:2014C8000000050600C00044D8C00C15058000C400000106002D883AD8C00F1718C0004423 -:2014D000D8C00F15003ECC068005883ADFC01C17DF001B17DDC01A17DD801917DD4018175F -:2014D800DD001717DCC01617DC801517DC401417DC001317DEC01D04F800283A2880000B7F -:2014E000DEFFFD04DC000015DFC00215DC40011510C0020C2821883A1800192628C0008F7E -:2014E8001800150E10C0800C180013262C40051789C0030E10C0200C1800032600000E06C9 -:2014F0003C40010E3823883A81000417300B883A880D883A08154180808005171445C83AEF -:2014F80080800515808004171463883A844004150005883A00000306108010148080000DF8 -:2015000000BFFFC4DFC00217DC400117DC000017DEC00304F800283A2005883A29000D2E20 -:20150800298F883A21C00B2E3007883A017FFFC418FFFFC4194006261989C83A3909883AEC -:201510002200000310C9883A22000005003FF806F800283A0007883A1980062628C9883AFC -:2015180021C0000310C9883A18C0004421C00005003FF906F800283A2005883A10C00007DC -:201520001800022610800044003FFC061105C83AF800283ADEFFFF0401002074014020749A -:20152800DFC00015211C1E04295E41042140061E0100207401402074210008042940080433 -:201530002140141E00000D0600C0207418DE410400BFFF041907C83A1886703A0005883A73 -:2015380010FFF326114F883A39C00017110D883A1080010431C00015003FF90601002074EB -:201540000140207421194904295949042140121E00000D0600C0207418C0080400BFFF04C2 -:201548001907C83A1886703A0005883A10FFF326114F883A39C00017110D883A1080010483 -:2015500031C00015003FF9060815FB00DFC00017DEC001040816034100C0207418DC1E04FA -:2015580000BFFF041907C83A1886703A0005883A10FFF526114F883A39C00017110D883A44 -:201560001080010431C00015003FF906DEFFFF040009883ADFC0001508155D0008155F003D -:2015680001002074211C0E04200B883A200D883A08160D80D1225617D1625517D1A254171B -:20157000DFC00017DEC001040811C7C10815F301DEFFFF04DFC000150816370000800044A3 -:201578001001703ADFC00017DEC00104F800283ADEFFFF0400C020B4008020B4DFC0001569 -:2015800018C4080410A0760410C000150100207400C06734008020B40140207418FF3004F0 -:2015880010A07504211DA904295E3A0410C000150815FBC001002074211D7204DFC00017AE -:20159000DEC001040815BB812005883A2100001711C0021721000A04081567012005883A9B -:201598002100001711C0021721000A0408156CC121000017298D883A2805883A3A10000CA3 -:2015A00011800B2E20C000371A60000C4800032610C0000510800044003FF90611400226F3 -:2015A8001145C83AF800283A403FF52600000106117FFB1E39D0000C3800021E00BFFEC439 -:2015B000F800283A00BFFD44F800283A210000173005883A298D883A21C001042980072EFC -:2015B80038C0003718FFFFEC183FFC2628C0000720C0003529400044003FF806F800283A21 -:2015C00000C020B418E0750418C00017008020B410A076041080001718000A2610C00104D5 -:2015C8000100020419000035013FFFD4110002351100033500800104188000350005883AF1 -:2015D000F800283A00BFFFC4F800283A008020B410A0760410C00017008020B410A07504E3 -:2015D800108000171000082618800404100000351080003718C005371806943A10BFFFCCC8 -:2015E0001884303AF800283A00BFFFC4F800283A008020B410A0750410800017F800283A31 -:2015E80020001D262804923A20C03017DEFFFD04DC400115DC000015DFC002152823883A9D -:2015F0002021883A108000D41880033580C03017188000371080004C1000032601000044F4 -:2015F8000815F300003FF90618C000371809D0FA2140020C1809D0BA1807D07A210001CC1A -:201600002908B03A18C0040C20C6B03A1C40042600BFF4840000020600BFFA84F800283A9B -:20160800DFC00217DC400117DC000017DEC00304F800283A20000A262800092630000826DF -:2016100020800C173080001520800C171000062621000D04290000150005883AF800283AA2 -:2016180000BFFA84F800283A00BFFEC4F800283A2005883A20001D262809883A28001B16AA -:2016200010C0311728C0192E1140341728FFFFC420C6703A1800151EDEFFFE04DC00001532 -:20162800DFC001151021883A0814BA801004923A00C0403418FFC00410C4703A80C03017B0 -:20163000108000941880033580C0301718C00404188000371080004C100006260080004494 -:201638001880003500BFFEC40000020600BFFA84F800283ADFC00117DC000017DEC0020457 -:20164000F800283ADEFFF504DC000115DFC00A15DF000915DDC00815DD800715DD40061542 -:20164800DD000515DCC00415DC800315DC400215DC000B17280039163023883A3000371628 -:201650002027883A20003526382B883A38003326208031173080312E2880302E2080341758 -:201658002987C83A1887883A1C002C3680002B1610BFFFC4288A703A2800281E0029883AD9 -:2016600005BFFFC405C000C40700010480002126DD800015890000CC20000526E125C83A6D -:201668008480012E8025883A8923C83A00000406BC0002368025883A000001060480010425 -:20167000AD0B883AD909883A900D883A0814C10098802E17D8C00017A4A9883A84A1C83ABE -:201678008885883A10C00035988030171080040410C0003718C0008C1800042600C0008496 -:2016800010C0003500BFFEC4000005068C400104003FDE060005883A0000010600BFFA84BA -:20168800DFC00A17DF000917DDC00817DD800717DD400617DD000517DCC00417DC800317EC -:20169000DC400217DC000117DEC00B04F800283ADEFFF604DC000115DFC00915DDC00815CA -:20169800DD800715DD400615DD000515DCC00415DC800315DC4002152021883A20000A26DB -:2016A0003029883A3000082620802E1780C02F172823883A2885883A382B883A11C9883A09 -:2016A80010C0012E20C0023600BFFA84000024062809883A81403417002D883A0814BA8060 -:2016B000102F883A80803317B8801C2EA8001B2684C0341704E7C83A8CE6703A9C400226C8 -:2016B8008CE5C83A000001060025883A8009883A980B883A081587001000111E80C0341793 -:2016C0001CA5C83AAC80012EA825883ADC8000158009883A980B883A880D883AA58F883AEF -:2016C800081590401000061EACABC83AB4AD883A8CA3883ABDC00044003FE2060005883AC5 -:2016D000DFC00917DDC00817DD800717DD400617DD000517DCC00417DC800317DC4002176F -:2016D800DC000117DEC00A04F800283A200007263000062620802E17288B883A20802F170F -:2016E00029C7883A2880012E1880023600BFFA84F800283ADEFFFF043009883A380D883A18 -:2016E800DFC000150814C1000005883ADFC00017DEC00104F800283A20005026208030175A -:2016F0001000502620C03217DEFFFB04DFC00415DCC00315DC800215DC400115DC0000154D -:2016F80018001E1E1480023700C0030494803FCC90BFFAC418804536100490BA00C02074F9 -:2017000018D7040410C5883A108000171000683A00815C7C00815C8C00815C9400815C844E -:2017080000815C4400815D0C00815D0C00815D0C00815D0C00815D0C00815D0C00815C4CFB -:2017100000815C54044080040000130604410004000011060442000400000F06148001371C -:201718000080058494803FCC90800A260080060490800426008005049080241E044008045A -:2017200000000506044040040000030604401004000001060440200424C034172021883A14 -:201728008809883A980B883A0814BB8080C0311710C0021E80C0331788C0022680000C157F -:201730000000120600C0004401402074848036158440331580C00C1580000D1580800E1527 -:2017380084400F1584C010158009883A295E3F040815FBC00005883A0000050600BFFA8444 -:20174000F800283A00BFFB44F800283A00BFFB44DFC00417DCC00317DC800217DC400117C0 -:20174800DC000017DEC00504F800283A300001260005C03200FFFF042005883A28C6703ABE -:20175000110DC83A30C0042E11800017300DC0F210800104003FFA06294000CC00C000C413 -:2017580028C0041E10C0000B1807C0B2108000830000080600C0008428C0031E1080000BF2 -:201760001005C0B20000040600C0004428C0021E108000031005C0720005C132F800283AA0 -:20176800DEFFFD04DC400115DC0000152823883A2021883A014001443009883ADFC0021519 -:201770000814BB808809883A100B883A0814BA8010BFFFC480C00204180000350100004412 -:201778008100043511003FCC810000351004D23A10803FCC80800135008020041880003563 -:20178000DFC00217DC400117DC000017DEC00304F800283A3180004C294B883A298B883AC2 -:2017880021400335210004040080240420800035208000371080008C103FFD1E20800037CE -:201790001004D1FA1080004CF800283A20C004042800022600801A040000010600800804BB -:2017980018800035188000371080008C103FFD1E20800337F800283A29403FCC21400335CE -:2017A000210004043000022600801404000001060080040420800035208000371080008CB9 -:2017A800103FFD1E208000371004D1FA1080004CF800283A21C004042805883A02000B04E2 -:2017B000210003041147C83A1980090E3A00003538C0003718C0008C183FFD1E2800022623 -:2017B80020C0003710C0000510800044003FF506F800283A21C003042805883A21000404BD -:2017C000020007041147C83A1980080E10C0000338C000352200003520C0003718C0008C21 -:2017C800183FFD1E10800044003FF606F800283A014AAAF4DEFFFE04296AAA84DC000015AC -:2017D000DFC001152021883A0814BA8010000F2601600034013555740007883A297FFFC4DE -:2017D80021155584297FFFC4283FFE1E18C000448121883A18BFFB168405883A1421883A4A -:2017E000843FFFC4803FFE1E000004068405883A1421883A843FFFC4043FFE160005883A98 -:2017E800DFC00117DC000017DEC00204F800283AF800283A3005883AF800283A2000022646 -:2017F000208002171000131E00802074109E3E041080001710000926DEFFFF04DFC0001561 -:2017F800103EE83A00C0058410C0001500BFFA84DFC00017DEC00104F800283A008020B4EF -:2018000010A0770400C0058410C0001500BFFA84F800283A288000172140011520800015ED -:201808002880001711000115290000150005883AF800283AF800283ADEFFFC04DC40011512 -:201810002023883A2809883A300B883A01807FC4DC000015DFC00315DC80021508161500B1 -:201818001021883A100016161009883A01400304048020740814BB80949DB4041007883A2D -:201820009085883A108000178009883A8880001590C5883A1080011790C7883A88800115CC -:201828001880021788800215DFC00317DC800217DC400117DC000017DEC004040816314145 -:20183000DFC00317DC800217DC400117DC000017DEC00404F800283ADEFFFB04DC00001576 -:20183800040020742005883A841DB704DC40011504400044DCC00315DC8002152827883AC3 -:201840003025883A100B883A8009883A880D883ADFC0041508160380813FFD04980B883A73 -:20184800000D883A0816038081000304900B883A880D883ADFC00417DCC00317DC800217EF -:20185000DC400117DC000017DEC0050408160381DEFFF504DC4002152823883A014020741D -:20185800295E3A04DD400615DD000515DCC00415DC800315DFC00A15DF000915DDC00815DE -:20186000DD800715DC0001152025883A3027883A08163780102B883A0029883A1000051EED -:201868009009883A08163EC0102B883A1000462605000044A809883A081649801021883ADA -:20187000100044161009883A014003040814BB801007883A0210003400802074109DB404DC -:20187800423FFFC418B9883A8A10703A102F883A18C00204A000062610C7883A1A000015C2 -:20188000A880031710001A1E8005883A0000350610C7883A0080207442100034109E39041E -:201888001A00001510C00017B829883A002D883A8009883A01400304D8C000150814BB8001 -:20189000B885883AA100001710800017D8C000172080031EA08002171000010EA7001F1E2E -:20189800B5800044A50003041DBFF12E003FE406880D883AE009883A900B883A980F883A1F -:2018A000103EE83A1023883A8005883A8800150E8009883A0816314000802074109E3E04F3 -:2018A8001080001710000226103EE83A00000206008020B410A077040463C83A1440001578 -:2018B00000BFFFC400000706047FFB44043FFFC4003FEF061023883A003FED06047FFCC423 -:2018B800003FEB06DFC00A17DF000917DDC00817DD800717DD400617DD000517DCC0041700 -:2018C000DC800317DC400217DC000117DEC00B04F800283A00C000841900140EDEFFFD0405 -:2018C800DC0000150140030404002074DFC00215DC400115841DB4042023883A0814BB8092 -:2018D0008085883A8809883A01400304100002150814BB808085883A10000015DFC0021774 -:2018D800DC400117DC000017DEC00304F800283A000170FAF800283ADEFFFB04DCC0031575 -:2018E000DC800215DC400115DC000015DFC004152027883A2823883A2C000017081547805D -:2018E800148000448440072681000217980B883A900D883A0814BE0010000426840000170A -:2018F000003FF8060005883A000001068005883ADFC00417DCC00317DC800217DC4001176D -:2018F800DC000017DEC00504F800283A00802074DEFFFA04109E3C04DC00001514000017E3 -:20190000DD000415DCC00315DC800215DFC00515DC4001152027883A1025883A05000BC4EB -:2019080084801226844002178809883A081547808887883A18FFFFC71D00011E10BFFFC4F3 -:201910009887883A18C000071D0001261800051E8809883A980B883A100D883A0814BE0007 -:201918001000042684000017003FED060005883A000001068005883ADFC00517DD000417E0 -:20192000DCC00317DC800217DC400117DC000017DEC00604F800283ADEFFFB04DCC00315C3 -:2019280004C02074DC800215DC400115DC000015DFC004152025883A0021883A9CDDB404DE -:20193000044008048009883A014003040814BB809887883A188000171000081E1C800015E6 -:2019380000C0207418DE3904190000178005883A2400050E1C000015000003068400004458 -:20194000847FF01E00BFFA04DFC00417DCC00317DC800217DC400117DC000017DEC0050406 -:20194800F800283A73616C4672652068006573616F727265642520720000000073616C46AE -:2019500072772068006574696F7272650000007273616C4665762068206669726C696166B4 -:201958000000000053206F4E6163204464206472002E74652520732500632575252E7525EF -:201960006B75322E25207A482E252E757A487532000000004353534F776620207525202E84 -:20196800322E252E0000007574696E49727265202020726F000064252020202053204F4E00 -:2019700000434E59343130323130322D6D2020367371726100000000747365547461702047 -:201978006E726574000000003A31564142475220000000533A3156417347522000000042D6 -:201980003A31564162505920000072503A32564162505920000072503A3256417347522039 -:20198800000000423A33564142475220000056483A33564142475220000000533A3356410A -:2019900073475220000000423A3356416250592000007250008165D8008165E8008165F472 -:20199800008166000081660C0081661800816624008166300081663C0081664800000000E2 -:2019A00000000000001000000044060B00000100808000001A1A1A807365725000000073E6 -:2019A800666E6F43006D72696D73694D686374616572202C00797274646F4D567325203A31 -:2019B00000000000203A4F4C56207525203A4D5300007525000000310000003200000033E8 -:2019B80000000034000000350000003600000037000000380000003900000030554E454D63 -:2019C0000000000000004B4F4B43414200000000000050554E574F44000000005446454C54 -:2019C8000000000048474952000000544F464E49000000005F44434C4B4341424847494CEE -:2019D000000000544E414353454E494C444F4D5F000000454E414353454E494C5059545F29 -:2019D800000000454E414353454E494C544E495F0000002B4E414353454E494C544E495F51 -:2019E0000000002D454E494C544C554D444F4D5F00000045008166D4008166D8008166DC8F -:2019E800008166E0008166E4008166E8008166EC008166F0008166F4008166F8008166FC37 -:2019F000008167040081670800816710008167140081671C008167240081672C00816734C7 -:2019F80000816744008167540081676400816774008167844353534F0000000061766E498D -:201A00002064696C67616D690000006561766E492064696C646165680000726561766E498C -:201A08002064696C2072646800435243696C6156697461646420676E006174616220752556 -:201A1000736574790000000061766E492064696C617461644352432000000000252E75258B -:201A18002575322E00732573636E6143656C6C650000006461647055676E69740057462035 -:201A200061656C70772065732E74696100002E2E697265566E6979666C66206700687361EC -:201A280072746552676E6979647075200065746161647055203F65742C593D314E3D322015 -:201A300000000000202020200000752564206425000067656C20752573656E6900000000CE -:201A3800252E75252075322E000073756D20642500000056702075256C6578690000007309 -:201A4000252575250000000000007325656469566E69206F6F7270203E20206300000000CA -:201A4800706D6153676E696C74706F203E20202E00000000636E795374706F202020202E86 -:201A50003E202020000000007074754F6F207475202E74703E2020200000000074736F5042 -:201A58006F72702D20202E633E202020000000002E77463C64707520206574613E202020F9 -:201A6000000000002D204B4F736C70207365722074726174000000006C6961660000646586 -:201A68007365523C73207465697474653E73676E00000000657365526F6420740000656E87 -:201A70007661533C657320656E6974743E2073670000000065766153000000646E61635324 -:201A7800656E696C000000736E616353656E696C727473200000002E6E616353656E696C32 -:201A800070797420000000656E616353656E696C696C61202E6D6E670000000069726F48DF -:201A8800746E6F7A6D206C61006B7361747265566C61636973616D200000006B70303432CE -:201A90003838322F696C20703358656E00000000656E694C706972746D20656C0065646FC5 -:201A9800703038343637352F696C20703258656E00000000693038343637352F617020695F -:201AA00072747373000000006D2058540065646F74696E49206C616975706E6900000074CF -:201AA8006C616E417320676F20636E790046504C6C616E417320676F20636E79006874566C -:201AB0006E7973486F7420636172656C0065636E6E797356687420636873657200646C6F34 -:201AB8004C502D487250204C6F432D65007473614C502D486F50204C432D74737473616FF9 -:201AC00000000000706D6153676E696C616870200000657370303834206E6920706D6173C6 -:201AC8000072656C7664413C6974202E676E696D3E2020200000000065646956504C206F3D -:201AD00000000046506250596E6920726C6F43200061705372502F5266666F2000746573A0 -:201AD80020592F477366666F0000746562502F4266666F200074657372502F52696167201A -:201AE0000000006E20592F476E6961670000000062502F42696167200000006E73202E48FF -:201AE8006C706D61746172650000006573202E486C636E7900006E6561202E4876697463E4 -:201AF0000000006561202E56766974630000006562202E48706B63616863726F000000000E -:201AF80062202E56706B63616863726F0000000000706F5474746F4200006D6F69726F4843 -:201B0000746E6F7A00006C61747265566C6163690000000065746C4174616E7200676E697A -:201B08000066664F6F74754100000000756E614D00006C61494D44480000000000495644A6 -:201B1000656E654720636972393A363100000000656E65472063697200333A347830323373 -:201B1800203034326974706F00002E6D78363532203034326974706F00002E6D484D333383 -:201B20006D28207A00296E69484D30316D28207A002964654D352E3228207A482978616D04 -:201B28000000000020565444703038340000000041534556303436203038347800303640E0 -:201B30002E63655231303620000000002E6365523930372000000000484D35394828207A81 -:201B38002056544400294949484D35334828207A2056544400002949484D36314528207A3A -:201B400029565444000000007A484D39445328200029565400006E4F4452535500415441A3 -:201B48000000002000816CC00000200020002CE5E926F4FD38BC20000000000000816CCC92 -:201B5000000020002000323EF113FA043B61200000000000703034324D334C5F05000030A1 -:201B5800177000F001060618034810AA00410012703034324D334C5F03C00031177000F0DD -:201B6000010604920336108000420012703034324D334C5F01400032177000F0010601AADE -:201B6800031F103100440011703034324D334C5F01000033177000F0010601550319102719 -:201B700000480011703034320000000002D00000177000F00106035A033C10410050001257 -:201B7800703838324D334C5F050000301388012001380618034810AA00410012703838325E -:201B80004D334C5F03C0003113880120013804920336108000420012703838324D334C5F41 -:201B880001400032138800F0013801AA031F293100440011703838324D334C5F0100003319 -:201B9000138800F0013801550319292700480011703838320000000002D0000013880120B6 -:201B980001380360033C104100500012703438330000000001F000001686018001A7028058 -:201BA000033E1D3200500004783034360034383302800000157C018001EC032002603F301B -:201BA80000100010693038340000000002D00000176A00F0020D035A033C10410070001237 -:201BB000703038340000000002D00000176A01E0020D035A063E1E3C008000147830343625 -:201BB8000030383402800000177001E0020D032002602130010000147830343600323135E3 -:201BC00002800000177002000238032002601C3000000010693637350000000002D0000002 -:201BC8001388012002710360033C104100700012703637350000000002D000001388024098 -:201BD00002710360063C204100000004783030380030303603200000177002580274042034 -:201BD8000480175800000010703032370000000005000000176A02D002EE0672052814FFE1 -:201BE00000000008303832313032377805000000177002D002EE0672052814DC000000100E -:201BE800343230313836377804000000177003000326054006881DA00000001030383231D7 -:201BF000323031780500003417700400042A0698037026F800000010303830310000006967 -:201BF80007800000176A043804650898052C109400200008303830310000007007800000C3 -:201C0000176A043804650898052C24BC00000008303239313830317807800030177004388E -:201C080004650898052C249400000010FFFFFFFFFFFFFFFF0000FFFF7665642F61746A2FE8 -:201C100061755F67305F7472000000007665642F6370652F6F635F716F72746E72656C6CBA -:201C1800615F305F6D5F6C7600006D657665642F6C756E2F0000006C000000000000000085 +:200110008009883A11403FCC081022C001400C0401001844DFC00117DC000017DEC0020432 +:20011800081022C1DEFFFE04DFC00115DC00001521003FCC2000021E000B883A00003B06CD +:200120002821883A28003D2601400044010003C4081022C0814000C301001604081022C049 +:200128008140010301001644081022C08140014301001684081022C081400183010016C4E3 +:20013000081022C0814001C301001704081022C08140020301001784081022C081400243B8 +:20013800010017C4081022C08140028301001804081022C0814002C301001844081022C097 +:200140008140030301001884081022C081400343010018C4081022C08140038301001904FE +:20014800081022C0814003C301001944081022C0808000C4000B883A8400040410C00003CE +:200150001080004428CBC83A143FFC1E29401BC429403FCC01001744081022C0000B883A7A +:20015800010003C4081022C0014000C401003344081022C000800044000001060005883ABC +:20016000DFC00117DC000017DEC00204F800283ADEFFFD04DC000015000B883A2021883A38 +:20016800010003C4DFC00215DC400115081022C0044030448809883A0810210084003FCCFA +:20017000800AC03A10803F8C8809883A114AB03A081022C0014000C401003184DFC002178B +:20017800DC400117DC000017DEC00304081022C1DEFFF904DCC00315DC800215DC4001156D +:200180002025883A2823883A010001040140074404C020749CDEE804DD000415DC00001514 +:20018800DFC006153821883ADD4005153029883A081022C098C0030381C0004C00BFFF4449 +:200190001884703A39CF883A11CEB03A99C00305988003171080008C10000226010000444A +:200198000810590001001C049C0000C308102100108000CC8D4000CC00C00044100B883A47 +:2001A000A8C0052600C00084A8C0041E017FE004114AB03A00000106114010148080020CAB +:2001A80010000126294008148080004C10000126294004148080008C100001262940021435 +:2001B0008400010C800001262940011429403FCC01001C04081022C0A800131EA50000CCA0 +:2001B80000800044A080082600800084A0800E1E88803FCC1080201C10BFE00410005016BD +:2001C0000029883A00004F0688803FCC1080201C10BFE0048D00100C10004A0E00BFE80491 +:2001C8001528B03A000047060029883A0021883A01001C84081021001080070CA420B03AAA +:2001D000140AB03A29403FCC01001C84081022C0988003171080008C1000022601400044ED +:2001D80000000106000B883A01003004081022C00140054401000104081022C00140040431 +:2001E00001001844081022C094803FCC008000849080081E0140220401001884081022C051 +:2001E80001400404010018C4081022C001402104000007060140060401001884081022C082 +:2001F00001400404010018C4081022C00140030401001904081022C00100004408102B8067 +:2001F80001000104081021001140074C01000104081022C00100190408102B80010001041D +:20020000081021001140054C01000104081022C00400190401000384081021001080040C81 +:200208001000321E0100004408102B8080BFFFC41021883A10BFFFCC103FF61E00002B064B +:20021000053FE8048C400C0C008008048880081E018000C4D16000C401001CC408102500A8 +:200218000140207401800484295B9F840000090600800C0488800B1E018000C4D16000C437 +:2002200001001CC4081025000140207401800484295B968401001D840810250004000084BD +:20022800003FA306008004048880081E018000C4D160000401001CC40810250001402074AB +:2002300001800484295B9204003FF206018000C4D160000401001CC40810250001402074E7 +:2002380001800484295B9B04003FEA06000B883A01000304081022C00140100401000344DF +:20024000081022C00140004401000384081022C0000B883A01000384081022C008102D8089 +:2002480000800044DFC00617DD400517DD000417DCC00317DC800217DC400117DC00001793 +:20025000DEC00704F800283ADEFFFD04DC0000152021883A01001644DC400115DFC0021576 +:200258002823883A0810210010800BCC840000CC88000826100B883A01001644081022C0A1 +:2002600001400044010003C4081022C0800B883A00000906802091BA0100164481400414BC +:20026800114AB03A081022C001400044010003C4081022C0000B883A01001704081022C00D +:20027000000B883A010003C4DFC00217DC400117DC000017DEC00304081022C10080207446 +:20027800109CDF0410C03217D0A1BF151800042610803517108040180085C83AF800283A92 +:2002800000BFFFC4F800283ADEFFFD04DC400115280F883A2823883A200B883AD121BF17B7 +:20028800DC000015DFC002153021883A0815DA8010000B1E800D883A8463883A3440062654 +:20029000308000031005C2721005D63A3180004430BFFFC5003FF9060005883A0000010679 +:2002980000BFFFC4DFC00217DC400117DC000017DEC00304F800283ADEFFFA04DC80031597 +:2002A000DC400215DC000115DFC00515DCC0041530803FCC2023883A2825883A3021883AC9 +:2002A800100003268805883A8C89883A00001706300A923AD121BF170815A9C01027883A6D +:2002B000103FF82601402074010020740180044429589904211EEF0408150CC00180207440 +:2002B80001002074980F883A31989C0401400444211EEF040814FB4000802074109EF78470 +:2002C0001000000500FFCE0400001D061100062610C000031807C2721807D63A10800044AF +:2002C80010FFFFC5003FF906800AD23A800C923AD121BF17280A943ADC800015880F883A85 +:2002D0000815B3000007883A10000D2601402074010020740180044429589F04211EEF04A9 +:2002D80008150CC00140207401002074018004442958A204211EF78408150CC000FFCDC490 +:2002E0001805883ADFC00517DCC00417DC800317DC400217DC000117DEC00604F800283A0C +:2002E800DEFFF904DD400515DD000415DCC00315DC800215DC000015DFC00615DC400115EB +:2002F0002825883A3029883A3827883A0021883A0007883A0540400484800F2E9423C83A74 +:2002F800AC40012E04404004980D883A880B883A8009883A0810A0801000141E800D003AFB +:20030000880B883A9809883A0815F6401007883A84004004003FF0060005883A1D000C26E6 +:2003080001802074010020743198A40401400444211EEF040814FB4000802074109EF7846B +:200310001000000500BFCD440000010600BFCD84DFC00617DD400517DD000417DCC003172E +:20031800DC800217DC400117DC000017DEC00704F800283ADEFFFD04DC0001152021883A53 +:2003200001002074211EEC04DFC002150814928010803FCC10000B2601802074010020748F +:200328003198A90401400444211EEF040814FB4000802074109EF784100000050080004417 +:20033000000009060080800401002074D8800015000F883A000D883A800B883A211EEC047C +:200338000814B44010803FCCDFC00217DC000117DEC00304F800283A0140207401002074E5 +:20034000295EF784211EEF04081377410140207401002074295F0704211EF3440813774150 +:20034800DEFFFE04DC0000152021883A01000044DFC001150810590008104240000B883AF0 +:200350000009883A0810464084003FCC800F003A000D883A000B883A0100004408105F0074 +:200358008000051E000F883A000D883A000B883A01000084081005C00009883ADFC0011791 +:20036000DC000017DEC0020408105901DEFFFD04DFC00215DC400115DC00001521003FCC91 +:200368002000271ED0A1C14300C0020410C01D2600C0040410C0062600C0010410C01C1E2F +:200370000009883A081408400100004400002E0604002074841EFC04814001170100673416 +:20037800213F30040814E78081000287014007041023883A0814F34000C0207418DF240441 +:2003800010C5883A1140000B8809883A0814F34000C072B418F0DFC418800236010003C49F +:20038800000001060009883A08140840000015060009883A081408400009883A00001206F2 +:2003900000802074109F0B4410C00403044000841021883A1C4002260009883A0000010653 +:20039800010003C4081408408100040320803FCC8880042E008001441109C83A21003FCC9F +:2003A00000000106010000C4DFC00217DC400117DC000017DEC003040813A641DEFFF70413 +:2003A80000C020B4DFC00815DDC00715DD800615DD400515DD000415DCC00315DC80021560 +:2003B000DC400115DC0000150089C40418C4200419800037050020B4A50420043000020E03 +:2003B80010BFFFC4103FFA1E29403FCC21003FCC04002074081455C0841EFC041025883A2A +:2003C000808002C310000326A44000378822D43A000001060023883A01000DC40813B4C0FF +:2003C80001000E041027883A0813B4C010C003CC1806923A1CE6B03A1006D1BA18C0004C40 +:2003D00080C00245A0C00037010000848C403FCC89000E2601002074211EFC04210002C31C +:2003D8002000021E010063C424C0082E010000448900041E9CC9883A18FFFFCC213FFF84A7 +:2003E00020C005361080080C100004269D00322805C00044000003061827883A9D006428D1 +:2003E800002F883A80C002C390803FCC1800101E10001E26A0001D26D0A1C00301000084AE +:2003F00010C03FCC18C0201C18FFE00420C0060E0080207400C00044109EFC04D021C00593 +:2003F80010C002C500000C061080004400000D0610000126A0000D1ED0A1C00300FFFF041D +:2004000011003FCC2100201C213FE00420C0040ED021C005800002C50440010400000506DC +:2004080010BFFFC4D0A1C00500000106D021C0050023883A01000E440813B4C001000E84F5 +:2004100004802074102D883A949F0B440813B4C0A0006626108003CC1004923A80C00017E2 +:2004180015ACB03A00802074109EFC041CC0051E10C00117B0C0031E10C00203B8803FCCC7 +:2004200018800226D021C44500000C06D0A1C44300C0004411003FCC20C0082610C5883AB3 +:20042800D0A1C44510803FCC10C0041E88803FCC00C0008410C0012E1823883A90C0010306 +:20043000808004831880071E00802074109F0B4410C0014300802074109EFC04108004C329 +:200438001880042688803FCC00C0008410C0012E1823883A90C00243808005C318800F2665 +:2004400000802074109EFC0411000287014007040814F34000C0207418DF268410C5883A19 +:200448001080000B1080600C1000042688803FCC00C0008410C0012E1823883AD0A1C543F7 +:2004500010002726850002870140070405402074A009883A0814F340AD5F2004A885883A23 +:200458001080068B01400704A009883A1080100C100005260814F340A885883A1500040B63 +:20046000A529883A000003060814F340A885883A1500040BA53FFFCCA00A913A01000084D8 +:2004680029403C0C0813BCC0A00AD13A0100004429403FCC0813BCC08100028701400704D1 +:200470000814F340A885883A11400583010001C40813BCC088803FCC1000011E044000442E +:2004780084C000158580011585C00205914000038100038300C020740080207418DF0B441B +:20048000109EFC042900151E19400043110003C32900121E194000831100040329000F1E3C +:20048800194000C31100044329000C1E19400183110005032900091E194001C311000543D1 +:200490002900061E194004C3110008432900031E18C00503108008831880032688803FCC6A +:200498001000011E0440004491000283808006032080012608141200910003038080068359 +:2004A0002080012608141EC091000343808006C3208001260813D400910003838080070304 +:2004A800208001260813D4C091000443808007C32080071E00802074109F0B4410C00483EE +:2004B00000802074109EFC041080080318800226914004830813D0C0910002C3808006436D +:2004B80020800626014006040814F34001002074211AC5042089883A0813F6C09100040351 +:2004C00080800783208001260810D8C0910003C3808007432080012608140CC001402074F6 +:2004C8000100207401800184295F0504211F10C40814F5C01000031E01002074211F0504F4 +:2004D0000813D580014020740100207401800704295F0B44211EFF840814F8C08805883AEA +:2004D800D021C545DFC00817DDC00717DD800617DD400517DD000417DCC00317DC800217BA +:2004E000DC400117DC000017DEC00904F800283ADEFFF804DC00001504002074DCC00315BA +:2004E800DFC00715DD800615DD400515DD000415DC800215DC400115841EFC0485800287BF +:2004F00004C0207401400704B009883A0814F3409CDF20049885883A10C0068B190003CCB7 +:2004F8002000092680800443048000848480030510001226808003C3010000441100101EA8 +:200500001025883A00000E061900040C2000051E8100084320000626108006031080010C16 +:20050800100003260080004480800305000001068000030584800443000001060025883A06 +:2005100080800383010000841100051E00802074109EFC04144003C38C40004400000A0690 +:20051800010000441100051E1880080C1000051E1C4007CC8822C03A000003060023883AAA +:2005200000000106044000C4808008831000062618C0080C1800042600802074109EFC04F5 +:20052800100003050023883A85000303808004C3B009883AA02897BA1004973A014007049F +:2005300005402074A0A8B03A0814F34080C005039885883A10800503180695BA01400704D9 +:20053800A0A8B03AB009883AA0E8B03A0814F3409885883A1080028B00C020B4AD5EFC049A +:20054000100492BA18C42804A084B03A1880003580C0040380800543850002871806963ACD +:20054800100494BAA009883A0140070418A0B03A0814F34098AD883AB080054301400704BE +:20055000A009883A80A0B03A0814F3409885883A1080030B9024973A882297BA100491FA2B +:200558008084B03A14A4B03A008020B49462B03A1084240414400035B08006031080010CA4 +:2005600010000326000B883AA900084300000F0601400704A009883A0814F34000C0207412 +:2005680018DF20041885883A1080068B1080080C10000426A9400583A9000883280B003AE8 +:2005700000000206000B883A0009883ADFC00717DD800617DD400517DD000417DCC00317AD +:20057800DC800217DC400117DC000017DEC0080408109481DEFFF504DC000415040020748D +:20058000841EFC04DC4005158440011700800044DFC00A15DD400915DD000815DCC0071538 +:20058800DC800615D0A1C4458800162684C000179800142601006734880B883A213F3004EC +:200590000814E7801025883A8080020301283BF4980B883A212EC004100004260814E7803F +:20059800880B883A1009883A000003060814E780880B883A1089883A0814E7801023883A5F +:2005A000000002060445DC04048F550401000EC40813B4C001000F041027883A0813B4C020 +:2005A8008080034300C0207418D8F2041085883A1085883A1885883A11C0001780800203B9 +:2005B00080C000171000021E00801A440000010600801C040180207401002074D8C00015C8 +:2005B8003198AD0401400444211EF344D88001150814FB40014019048809883A0814E780B1 +:2005C0000140FA049009883A1029883A0814E780014019048809883A102B883A0814EDC08C +:2005C8000140FA049009883AD8800215DD0001150814EDC0014002841009883A0814E78029 +:2005D0000180207401002074D8800015A80F883A3198AF0401400444211F07040814FB40D4 +:2005D800D0A1CA831000011E0810D0C0808005C381000017D1E1C503D8800215808004C35E +:2005E00081400203A00D883AD880011580800483044020748C5EFC04D880001508145CC06A +:2005E80011003FCC2100201C213FE00400FFFFC420C0011E008001048880028584800283D8 +:2005F0000140070405402074D4A1C10594803FCC9480201C94BFE0049009883A0814F3403B +:2005F80000C0207418DF20041885883A14400603D0E1C50399003FCCAD5EFC0488E2703A7C +:20060000D461C1451140040B0814F340814001171009883A0814E78080C0020389403FCCA0 +:20060800A98000171800011E300CD07A80C0070310803FCCD8800015D8C0031580C0080388 +:20061000A1C03FCC9009883AD8C0021580C007C3D8C0011508142B40810007830810D8C05A +:20061800DFC00A17DD400917DD000817DCC00717DC800617DC400517DC000417DEC00B04BA +:200620000811390120803FCC00C003C410C00C2600C0040410C00F2600C002C4D121C3C368 +:2006280010C0211EDEFFFF04DFC00015081347C010000F2601C0207439D8B70400000E0673 +:20063000D0A1C3C310C03FCC1800072610BFFFC400000506D0A1C3C30100020410C03FCC1D +:2006380020C0013610800044D0A1C3C5F800283A01C0207439D8B50401802074010020749B +:200640003198BA0401400444211EF7840814FB400810CF800100023421284804DFC0001790 +:20064800DEC00104081590C1200F883A01802074010020743198BB0401400444211EF7841B +:200650000814FB4120803FCC00C003C410C00C2600C0040410C00F2600C002C4D121C3C333 +:2006580010C0211EDEFFFF04DFC0001508132D8010000F2601C0207439D8BF0400000E0695 +:20066000D0A1C3C310C03FCC1800072610BFFFC400000506D0A1C3C30100020410C03FCCED +:2006680020C0013610800044D0A1C3C5F800283A01C0207439D8BD04018020740100207463 +:200670003198BA0401400444211EF7840814FB400810CF800100023421284804DFC0001760 +:20067800DEC00104081590C1200F883A01802074010020743198BB0401400444211EF784EB +:200680000814FB4121003FCC008003C4208026260080040420802926DEFFFD04DC0000155D +:20068800DFC0021504002074DC400115008002C4841F20042080281ED461C103014007049A +:20069000D461C4058C403FCC8809883A0814F3408085883A10C0040B014007048809883A8E +:20069800D0E1C30D10C00583D0E1C58D10C0028BD0E1C28D10C0030BD0E1C20D1080050313 +:2006A000D0A1C08D0814F3408085883A10800543D0A1C48DDFC00217DC400117DC000017ED +:2006A800DEC00304F800283AD0A1C10310C03FCC1800072610BFFFC400000506D0A1C1030C +:2006B0000100060410C03FCC20C0013610800044D0A1C105F800283AD121C10301400704C6 +:2006B8000814F3400100207401800444808B883A211EF784DFC00217DC400117DC0000170F +:2006C000DEC0030408150CC1DEFFFA0400802074DD000415DCC00315DC800215DC4001154D +:2006C800DC000015DFC00515109EFC0410C002C3040020742029883AD461C403841F2004BF +:2006D000D4E1C08BD4A1C48B18001E261080028714401C1E8809883A014007040814F34055 +:2006D8008085883A1100040BD0E1C30B20C0131E11000583D0E1C58B20C0101E1100028B45 +:2006E000D0E1C28B20C00D1E1100030BD0E1C20B20C00A1E10C0050398803FCC1880071E94 +:2006E800014007048809883A0814F3408085883A10C0054390803FCC188002260080004486 +:2006F000D0A1C5458809883A014007040814F340D0E1C30B8085883A8809883A10C0040D07 +:2006F800D0E1C58B0140070410C00585D0E1C28B10C0028DD0E1C20B14C0050510C0030DA2 +:200700000814F34001802074010020748085883AA1FFFFCC3199AE0401400444211EF784EF +:2007080014800545DFC00517DD000417DCC00317DC800217DC400117DC000017DEC0060446 +:200710000814FB41DEFFFE04DC000015040020B4DFC001158404300400800444808000355B +:2007180080000035008020B41084280410000035008020B410842404100000350109C4048C +:20072000081590C000BFF444D0A1C1850080344480800035010000F421035004081590C097 +:20072800018001B401406734010020B43186A004297F3004210418040815FD80081368C075 +:2007300008139EC0100023260009883A0813B4C000C03FC410C02126081416C0010000842C +:200738000810210010803FCC00C004C410C01D1E0810300008109DC01021883A10001B1E41 +:2007400008121BC00009883A081347C0014020740100207401800D8429595004211F12848F +:200748000814F8C0008020B410842C0410800037108000AC1000021E081222C0000002066E +:20075000010003C4081347C00009883A0810D20000000706043FFF8400000506043FFF4486 +:2007580000000306043FFF0400000106043FFFC48005883ADFC00117DC000017DEC0020490 +:20076000F800283ADEFFFF04DFC0001508115F400813CC0000802074109F0B4411000203C4 +:20076800DFC00017DEC001040810D201DEFFF504DFC00A15DF000915DDC00815DD80071569 +:20077000DD400615DD000515DCC00415DC800315DC400215DC0001150811C4401000211688 +:20077800008012C40180207401002074000F883A3198C20401400444211EF344D880001595 +:200780000814FB400140207401002074018004442958CE04211F07040814F8C005002074C4 +:200788000810D0C0A51F0B44A080054305C020B404C020740580207407002074D0A1C385D0 +:20079000002B883A0021883A0023883A0025883ABDC42C049CDEFC04B598F204E707E3046A +:2007980000003B060180207401002074100F883A3198C70401400444211EF3440814FB408B +:2007A0000140207401002074018004442959BD04211F070408150CC00810D0C0003FFF06A3 +:2007A80010FFFF8418C03FCC010000C420C0312ED0E1C70310C02F2608123D40D0A1CA83C3 +:2007B000100002260009883A0812E84099000343D0A1C38305002074A51EFC042080011E33 +:2007B800D021C385D121C3830140020420BFFFC410803FCC2880212E20803FCC1000561E06 +:2007C00000802074109F0B4411000203A0800583208006260810D20000802074109F0B4481 +:2007C800108002039800011598800585A8803FCC10006F1ED0A1C91701004B04D021C38582 +:2007D000D0A1C615D0A1CA03D0A1C705081590C0B880003710FFFFCCD0E1C8150086303A0E +:2007D8001004D63A18C000ECD0E1C915D0A1CA05103FCB1ED021C815003FCF06100490BAD2 +:2007E0001705883A108000171000683A00811FB000811FC000811FD800811FE800811FF87A +:2007E8000081200C0081201C0081202C00812044008003C4D0A1C5050021883A0000030667 +:2007F000008003C4D0A1C50504000084044000440025883A00002006008003C4D0A1C505C8 +:2007F800040000C4003FF906008003C4D0A1C505040000C400000306008003C4D0A1C50506 +:20080000040000840023883A003FF10600800404D0A1C5050400004400000D06008003C4D0 +:20080800D0A1C5050021883A0000090604000084008003C4D0A1C5058023883A8025883ACD +:2008100000000506008003C4D0A1C505040000C4044000848825883AA1400783A1000345E8 +:20081800A00002C528803FCC0180004489003FCC3080042E00800144114BC83A29403FCCD4 +:2008200000000106014000C40813AD000813C34081403FCC91003FCC08144240A08003435A +:2008280001002074018004441085883A1085883AB085883A11400017211EF344A000011519 +:2008300008150CC00140207401002074018004442958CB04211F070408150CC0D0A1CA834A +:200838001000011E0810D0C005400044003F840691003FCC81403FCC0810E9C010803FCCB3 +:200840000100008411002526010001041100032601000044113F871E00002406A08002C32E +:2008480010000926D0A1C18300C020B418C4300410800094D0A1C18510803FCC18800035B5 +:200850000811D840003F7B06980001150813C3409880034301002074018004441085883AB8 +:200858001085883AB085883A11400017211EF34408150CC001402074010020740180044438 +:200860002958CB04211F070408150CC0D0A1CA83103F681E0810D0C0003F6606A08002C329 +:20086800103F642608115F40003F6206A08002C3103F602608113900003F5E0600C0207435 +:2008700018DF0B4401402074DEFFFF041809883A018007042958FC04DFC000150814F8C0F5 +:200878001007883A008020B410842C0410800037014020741004D4BA010020740180B60461 +:200880001080004C295AD104211F2004188002050814F8C000800044D0A1C5450005883A47 +:20088800DFC00017DEC00104F800283ADEFFF804DD000415DCC00315DC800215050020740E +:20089000048020B404C02074DD800615DC000015DFC00715DD400515DC400115002D883A1C +:200898000021883AA5195E0494842C049CDF12840140207401002074018004442959030428 +:2008A000211EEF0408150CC08405883A1085883AA085883A114000170100207401800444CE +:2008A800211EF78408150CC00810CF800023883A054000849080003710BFFFCCD0A1C81549 +:2008B00090C0003700C6303A18C000ECD0E1C91510001A26B08019268407883A98C7883AF1 +:2008B80088000A1E01402074010020740180044429590504211EEF041880000D08150CC0F2 +:2008C0000810CF800440004400000C0618C0000B10C0092601402074010020740180044402 +:2008C80029590704211EEF0408150CC00810CF800023883A0000010604400084D0A1C617FF +:2008D00010000F1ED0E1C9170080007418800C1E8000091E014020740100207401800D8461 +:2008D80029595004211F12840814F8C004400084040006C400000206843FFF8404400084D4 +:2008E000D0A1C917D5A1C817D0A1C6158D4003260109C404081590C0003FC60684000044FE +:2008E80000800684143FB20E010003C4DFC00717DD800617DD400517DD000417DCC00317ED +:2008F000DC800217DC400117DC000017DEC0080408132D81D121C817DEFFFA040140207458 +:2008F800DFC00515DCC00415DC800315DC400215DC0001150005883A295F128401800684E9 +:200900001087883A1947883A18C0000B04002074841F1284190003261180D4261080004407 +:20090800003FF70600C006841880D036100490BA00C0207418C9110410C5883A10800017C5 +:200910001000683A008124B0008124CC008124DC008124B8008124D4008124E4008124C406 +:200918000081276C008124EC008124F4008124FC0081276C0081276C0081276C0081276C90 +:200920000081276C0081276C0081252000812598008125B8008125E4008126300081261015 +:200928000081265C008126740081269C008126C4008000440000010600800084D0A1C3855B +:200930000000AA06008000C4003FFC0600800104003FFA0600800144003FF80600800184A7 +:20093800003FF606008001C4003FF40600800204003FF20600800244003FF006D0A1CA8370 +:200940001007003AD0E1CA851000031E010000440812E840000095060810D0C000009306B2 +:2009480000802074109EFC0411000287014007040814F34001C0207439DF200401802074F2 +:2009500001002074388F883A31990B0401400444211EEF040814FB40008020B41084200472 +:2009580011C0003710800037018020741005D43A0100207439FFFFCC108000CC31990E04A8 +:2009600001400444211EF784D88000150814FB400810CF8000007506D0A1C18300C020B445 +:2009680018C430041080041CD0A1C18510803FCC1880003500006D0600C0207418DF0B4483 +:20097000188000030140004411003FCC290002361145883A000001060005883A1880000547 +:200978000000620600C0207418DF0B44188000430140004411003FCC290002361145883A68 +:20098000000001060005883A188000450000570600802074109F0B4410C0008319003FCCC6 +:200988002000012618FFFFC410C0008500004F0600C0207418DF0B44188000830140038407 +:2009900011003FCC290002361080004400000106008003C4188000850000440600C02074ED +:2009980018DF0B44188001031005003A1880010500003E0600C0207418DF0B441880028375 +:2009A0000140078411003FCC290002361080004400000A060005883A0000080600C02074E1 +:2009A80018DF0B441880028311003FCC2000022610BFFFC400000106008007C41880028565 +:2009B00000002A0601402074010020740180044429591204211EEF0408150CC0014020743C +:2009B800010020740180044429591604211EF78408150CC0044020B40810CF808C442C0403 +:2009C000048006C404C00244888000370009883A10BFFFCC2107883A1C07883A18C0000B69 +:2009C80010C003262100004424BFFA1E000008069900071601400284210000440814E0408A +:2009D000010002C4D0A1C3C508118840000005068080060B110003260109C404081590C0D1 +:2009D800003FE9060810D0C0D021CA85D0A1C6171000191ED0E1C9171880006C10000A267F +:2009E00000802074109EFC04108003430100024411403FCC29000226108000440000010690 +:2009E80000800044D0A1C38518C000AC18000A2600C0207418DF0B441880000301400044EC +:2009F00011003FCC290002361145883A000001060005883A18800005DFC00517DCC0041775 +:2009F800DC800317DC400217DC000117DEC00604F800283ADEFF6C04D9000304DC008C1594 +:200A0000DFC09315DD809215DD409115DD009015DCC08F15DC808E15DC408D150810C7402A +:200A08001021883A0814CC008000891E01800104D9400304D900830408150CC00140207408 +:200A10000180010429597904D9008304081506C010000B26018020740100207431997B04CA +:200A180001400444211EEF040814FB4000802074109EF78410000005040000440000740698 +:200A2000D8800403DC808484D9400484D8808405D8800443018002049009883AD8808445CF +:200A280008150CC0D880068BD8008645D8808B0DD880070BD8808B8DD9408B17280BC2328D +:200A3000D880078BD9408715D8808B0DD880080BD8808B8DD8808B171005C232D880881544 +:200A3800D880088BD8808B0DD880090BD8808B8DD8808B171005C232D8808915D8808217FD +:200A4000D8808B151005C232D8808A1500C0788428BFF98418800B2E018020740100207403 +:200A480031997F0401400444211EEF040814FB4000802074109EF78410000005043FFFC4D7 +:200A50000000430601800044D90003040815F640D8C08A1710C00A26018020740100207462 +:200A58003199830401400444211EEF040814FB4000802074109EF784100000050000330690 +:200A600001802074010020743199870401400444211EEF040814FB40D9C088170180207418 +:200A68000100207431998B0401400444211EF7840814FB400810CF80DCC08817DD40891787 +:200A70000021883A0005883A0580800484C0132E9C23C83AB440012E044080048500800479 +:200A7800A00CD27A01002074DC400015000F883AD9400304211EEC040814B44010803FCCD5 +:200A80001000121E800D003A880B883AD90003040815F640A021883A003FEC06A880612664 +:200A8800018020740100207431998E0401400444211EEF040814FB4000802074109EF784F9 +:200A900010000005043FFF4400000106043FFF8404C000C40814CC000810CF80010003F40F +:200A980021109004081590C000BFCE4480804B0E98004A2601802074010020743199A404BE +:200AA00001400444211EEF040814FB409CFFFFC401402074010020740180044429599C0471 +:200AA800211EF78408150CC00810CF800025883A0580800405004004DC4088179440202E0E +:200AB0008CA3C83AB440012E0440800495408004A80DD27A01002074DC400015000F883A19 +:200AB800D9400304211EEC040814B44014003FCC803FD81E9025D23A880B883AA440012EC2 +:200AC00001404004900D883AD90003040810A7801021883A103FCF1EA4400236A825883A39 +:200AC800003FE50691800044897FC004D90043040810A7801021883A103FF826003FC506FA +:200AD0000140207401002074018004442959A004211EEF0408150CC0014020740100207428 +:200AD8000180044429599C04211EF78408150CC00810CF80D9808917D9408817D9C00304B8 +:200AE0000009883A0810BA001021883A103FB11E0814CC000005883A0000430600BFFFC4CE +:200AE80000004106D8808487D9C08403D8C084431000032600802074109943040000020680 +:200AF000008020741099BD040180207401002074D8C000153199930401400444211EEF04F5 +:200AF800DC800215D88001150814FB400140207401002074018004442959A804211EF7848B +:200B00000814F8C0044020B4040020740810CF808C442C04841F12848880003780C0000B27 +:200B080010BFFFCC10C00E2680C0008B10C0091E014020740100207401800444295996041E +:200B1000211EEF0408150CC004C000C404000084003F80060109C404081590C0003FEE0663 +:200B18000813C340D0A1C18300C020B418C4300410800094D0A1C18510803FCC1880003503 +:200B20000109C404081590C001402074010020740180044429599904211EEF0408150CC009 +:200B280004C000C4003F7A06DFC09317DD809217DD409117DD009017DCC08F17DC808E178B +:200B3000DC408D17DC008C17DEC09404F800283A21C03FCC01802074010020743199AD04C5 +:200B380001400444211EF7840814FB41DEFFFF040141194421003FCCDFC000150814F34054 +:200B4000014019041009883A0814D8000180207401002074100F883A3199AF0401400444D7 +:200B4800211EF784DFC00017DEC001040814FB4121C03FCC01802074010020743199B1040D +:200B500001400444211EF7840814FB41014003F4DEFFFC042950900421003FCCDFC00315E5 +:200B5800DC400215DC0001150814F340014018F4294BA8041009883A1021883A0814E7804B +:200B60008009883A014659041023883A0814E7800140FA041009883A0814EDC00140028464 +:200B68001009883A0814E7800180207401002074D8800015880F883A3199B4040140044494 +:200B7000211EF7840814FB40DFC00317DC400217DC000117DEC00404F800283ADEFFFF0492 +:200B7800014119C421003FCCDFC000150814F340014019041009883A0814D80001802074CD +:200B800001002074100F883A3199B70401400444211EF784DFC00017DEC001040814FB4166 +:200B880021C03FCC01802074010020743199B90401400444211EF7840814FB4121003FCC69 +:200B9000DEFFFF0401409C4421000044DFC000150814F340014019041009883A0814D800AF +:200B98000180207401002074100F883A3199BC0401400444211EF784DFC00017DEC001048C +:200BA0000814FB41D161C817DEFFF70401802074DC000015DFC00815DDC00715DD80061501 +:200BA800DD400515DD000415DCC00315DC800215DC400115040002C4319F128400C004446F +:200BB0008405883A1185883A1080000B114005268400004480FFFA1E21003FCC2000F326A7 +:200BB8000021883AD521CA4304802074949BA404A5C03FCCB82290FA014005049445883A8F +:200BC00014C00103158000179D403FCCA809883A0814F340B0C00117010001441885883ABA +:200BC80080FFFD4418C03FCC20C08B36180690BA01002074210BD1041907883A18C00017FA +:200BD0001800683A00812FD000812F9000812F5C00812F740081308400813084A800011E2A +:200BD800B4C000039CFFFFC49463883A8CC0010500007906B14000039463883AA900004404 +:200BE0008C4001040814E0408880000500007206B8000326A53FFFC4D521CA4500006E0662 +:200BE800D021CA85DFC00817DDC00717DD800617DD400517DD000417DCC00317DC8002175E +:200BF000DC400117DC000017DEC009040810D0C110C00103010000C4190006260100010486 +:200BF80019005D1E10800217103EE83A1023883A00005A061080031710000226010002C432 +:200C0000103EE83AD4E1CA43014005049C403FCC882890FA9505883A15800017110001031A +:200C0800B54001170814F340A885883A10C00217180049268C400044882290FA00802074B9 +:200C1000109BA4041445883A1100001719000126100001059529883AA1000103B5400117A6 +:200C1800014005040814F340A885883A108002179463883A9CC0004488800015D4E1CA4551 +:200C20000000350610C001030100008419001E2619000336010000C419002B2600002E060E +:200C28001180021781C03FCC020003C41100030310C0034331400003108003833A00081ED6 +:200C300019C03FCC2A003FCC3A00022E28BFFFC400000B0621003FCC2000091E00000706E6 +:200C380011C03FCC2A003FCC41C0022E288000440000030621003FCC200001261805883A13 +:200C400030800005000014061100021781403FCC018003C420C0000B2980051E1080030B32 +:200C4800197FFFCC1140062E18FFFFC4000004061080038B197FFFCC2880012E18C000444C +:200C500020C0000D00000406108003171000022681003FCC103EE83A0023883AD0A1CA434C +:200C580001400504100490FA90A5883A9100010394C000170814F3401025883A9880011727 +:200C600001002074211EEF041485883A114000170180044408150CC0988001170100010402 +:200C68001485883A10C0010320C03536180690BA01002074210C71041907883A18C0001782 +:200C70001800683A008131D80081320800813218008132280081323C10C0021711000417BB +:200C78000180044418C0000318C5883A1085883A2085883A1140001701002074211EF784A4 +:200C800008150CC000001E0610C0021710800417190000030000070610C0021710800417F6 +:200C88001900000B0000030610800317100006260009883A103EE83A0000110684003FCC58 +:200C900000C002C480C0042600802074109EF7841000000500000A068800021E11C003175F +:200C98000000010611C0041701802074010020743198BA0401400444211EF7840814FB407E +:200CA000DFC00817DDC00717DD800617DD400517DD000417DCC00317DC800217DC400117B1 +:200CA800DC000017DEC009040810CF81DFC00817DDC00717DD800617DD400517DD00041702 +:200CB000DCC00317DC800217DC400117DC000017DEC00904F800283A21003FCC008003C45A +:200CB80011005836009114B4DEFFB6041094D544D88000150080107410951044D8800115F8 +:200CC000008012C4D8800245208002B0DC004015DFC04915DF004815DDC04715DD804615B2 +:200CC800DD404515DD004415DCC04315DC804215DC404115D8000205D8800285240004045C +:200CD00010000E1E0140207401800D84295F1284D9000344D98002C5D80003050814F8C0CF +:200CD800800C923A014010C4D809883A0810A7801004C03A0085C83A0000340600BFF60425 +:200CE00001402074802A923A01800704D8800345295F0B4400800084D90003C40700207467 +:200CE800D98002C5D8000305D88003850025883A0814F8C0002F883A04400AC40580B6040F +:200CF00005004004E71F2004893FFFCCA10DC83AB0BFFFCCD909883AB97FFFCC94C03FCCEE +:200CF80011800C16E14B883A0814F8C0A463C83A9D4D883A01404004D809883A8DEF883A4C +:200D0000B5BFC0040810A780948000440023883A003FED06100D883A00802074109F200427 +:200D0800114B883A0814F8C0800C923A01404004D809883A34CD883A0810A7800005883A90 +:200D10000000020600BFFFC4F800283ADFC04917DF004817DDC04717DD804617DD40451774 +:200D1800DD004417DCC04317DC804217DC404117DC004017DEC04A04F800283ADEFFB70453 +:200D2000DFC04815DDC04715DD804615DD404515DD004415DCC04315DC804215DC40411540 +:200D2800DC00401521003FCC008003C41100022E047FFFC400005906240004048008943A9F +:200D3000D80D883A014040040810A080103FF81E0140207401800204295AC204D809883A92 +:200D3800081506C01023883A1000491ED88002031000491ED8C00243008012C41880461E49 +:200D4000D88002831000032600C0004410C00C2600004206D9800303D88002C3300C923AAB +:200D4800308CB03A00800D8430803C1E01002074D9400344211F12840814F8C000003706EE +:200D5000D8800317D8C002C311803FCC300C923A30CCB03A00C0070430C0301E1004D23A01 +:200D580000C0B6041025883A10BFFFCC10C02B1E010020748020923AD94003C4211F0B44E7 +:200D600005C020740814F8C00027883A002D883A05400AC405004004BDDF2004A97FFFCC5F +:200D6800A14DC83A90BFFFCCB13FFFCCD94B883A11800F16B909883A0814F8C099000044D5 +:200D70002027883A21003FCC2409883A2008923AA56BC83AD80D883A01404004ADAD883AF1 +:200D780094BFC0040810A080002B883A003FEB06100D883A00802074109F20041109883A4D +:200D80000814F8C000800044D0A1C545000003060440004400000106044000848805883A91 +:200D8800DFC04817DDC04717DD804617DD404517DD004417DCC04317DC804217DC404117C8 +:200D9000DC004017DEC04904F800283ADEFFFD04D9000005010020B4DC0001150180004483 +:200D98002821883A21041804D80B883ADFC00215081612C0813FFFCC081590C0DFC00217F4 +:200DA000DC000117DEC00304F800283AD0E1C183DEFFFC0400BFE7C41884703ADC4001158C +:200DA800044020B4D0A1C185DFC00315DC800215DC00001510803FCC8C4430048880003565 +:200DB000040005048009883A081590C0800B883A01000E04081364C0800B883A01000E441F +:200DB800081364C0800B883A8009883A081364C0800B883A01001C44081364C0800B883ACB +:200DC00001001784081364C0800B883A01001B44081364C0800B883A01000304081364C0B8 +:200DC8000480C804900B883A01000044081364C0800B883A01000184081364C0900B883A6B +:200DD00001000084081364C0D0A1C18310801014D0A1C18510803FCC88800035DFC003178E +:200DD800DC800217DC400117DC000017DEC00404F800283AD0E1C183DEFFFA0400BFE7C425 +:200DE0001884703ADC000015040020B4DC800215DC400115D0A1C185DFC00515DD000415D9 +:200DE800DCC003152025883A2823883A10803FCC84043004808000350140C8040100004445 +:200DF000081364C0D0A1C18310800814D0A1C18510803FCC80800035014004049009883A18 +:200DF800081512001029883A10803FCC1000091E014020749009883A01800444295AC4049B +:200E000008150CC09100000301400504081364C0000009060027883AA5003FCC94C5883A09 +:200E080011000003014005049CC00044081364C098803FCC153FF936D0E1C18300BFF7C478 +:200E10001884703AD0A1C18510803FCC808000350140050401003004081364C0D0A1C18382 +:200E180010800814D0A1C18510803FCC80800035014004048809883A081512001027883AC3 +:200E200010803FCC1000091E014020748809883A01800444295AC40408150CC0890000032F +:200E280001400504081364C0000009060025883A9CC03FCC8C85883A110000030140050493 +:200E300094800044081364C090803FCC14FFF936D0A1C18310801014D0A1C18510803FCCF3 +:200E380080800035DFC00517DD000417DCC00317DC800217DC400117DC000017DEC00604B8 +:200E4000F800283ADEFFFB04DC000015040020B484041804DC80021504800B04DCC0031535 +:200E4800900B883A2027883A000D883A8009883ADFC00415DC400115081604C004400044B6 +:200E5000880D883A99403FCC8009883A08160B00900B883A8009883A880D883A081604C0F2 +:200E5800880B883A8009883ADFC00417DCC00317DC800217DC400117DC000017DEC0050427 +:200E600008160841DEFFFC04DC000015040020B484041804DC800215DC4001152025883A15 +:200E68002823883A8009883A000D883A01400B04DFC00315081604C091403FCC8009883A33 +:200E7000000D883A08160B000180004489403FCC8009883ADFC00317DC800217DC40011724 +:200E7800DC000017DEC0040408160B01010020B4DEFFFD04000D883A000B883A2104180407 +:200E8000DFC00215DC400115DC00001508160B0001000284081590C00400060404400044C6 +:200E8800800B883A8809883A08139840800B883A0100008408139840800B883A010000C4E0 +:200E9000081398408809883A081390401405003ADFC00217DC400117DC000017DEC003043A +:200E9800F800283ADEFFFD04DC400115DC000015044000442021883A84003FCC8809883A72 +:200EA000DFC00215802090FA0813904000FFF9C410C4703A80A0B03A84003FCC800B883A47 +:200EA8008809883A08139840800B883A0100008408139840800B883A010000C4DFC0021755 +:200EB000DC400117DC000017DEC0030408139841DEFFFD04DC4001152023883A0100004408 +:200EB800DC000015DFC00215043FF604081390401420703A89003FCC008000842080021E15 +:200EC00084000054000003062008917A2080011480A0B03A84003FCC800B883A010000441E +:200EC80008139840800B883A0100008408139840800B883A010000C4DFC00217DC40011754 +:200ED000DC000017DEC0030408139841DEFFFB04DC000015040020B484041804DC800215BA +:200ED80004801704DCC00315900B883A2027883A000D883A8009883ADFC00415DC4001153D +:200EE000081604C004400044880D883A99403FCC8009883A08160B00900B883A8009883A31 +:200EE800880D883A081604C0880B883A8009883ADFC00417DCC00317DC800217DC400117F8 +:200EF000DC000017DEC0050408160841DEFFFC04DC000015040020B484041804DC80021524 +:200EF800DC4001152025883A2823883A8009883A000D883A01401704DFC00315081604C085 +:200F000091403FCC8009883A000D883A08160B000180004489403FCC8009883ADFC0031715 +:200F0800DC800217DC400117DC000017DEC0040408160B01DEFFFC04DC00001504000884FF +:200F10008009883ADFC00315DC800215DC4001150813B4C0014004C4010005841023883A03 +:200F18000489C4040813BCC09009883A081590C0014000C4010005C40813BCC09009883A44 +:200F2000081590C0017FE004894AB03A29403FCC8009883A0813BCC00100FA04081590C061 +:200F280089401FCC8009883ADFC00317DC800217DC400117DC000017DEC004040813BCC117 +:200F3000DEFFFE04DC0000150409C4048009883ADFC00115081590C001400444010005847C +:200F38000813BCC08009883A081590C001400084010005C40813BCC08009883ADFC0011722 +:200F4000DC000017DEC00204081590C1DEFFFE04DC0000152821883A21403FCC01000484BC +:200F4800DFC001150813BCC081403FCC010004C4DFC00117DC000017DEC002040813BCC1C2 +:200F500021403FCC01000F440813BCC121403FCC010004440813BCC1DEFFFE04DFC0011548 +:200F5800DC000015214000C32021883A010002840813BCC081400103010002440813BCC0A0 +:200F600081400143010002040813BCC081400003010003440813BCC081400043010003041F +:200F68000813BCC081400083010002C4DFC00117DC000017DEC002040813BCC1DEFFFA0406 +:200F7000DC400115DC0000152023883A2021883A01000104DD000415DCC00315DC80021513 +:200F78003829883A2825883ADFC005153027883A0813B4C0017FFE04A5003FCC1144703A95 +:200F8000A00006261140005429403FCC010001040813BCC08423883A0000030611403FCC01 +:200F8800010001040813BCC08C7FFFCC880AD13A0100004429403FCC0813BCC0880A913A8C +:200F90000100008429403C0C0813BCC099403FCC913FFFCC0814F340880B883A1009883A76 +:200F98000814F3400140FA041009883A0814E78000E327D41880092E00C0007418C45BC471 +:200FA0001880082E00C000B418C3D5C41885403A00C000C41885C83A000003060005883A71 +:200FA800000001060080004414003FCCD0A018041405883A1100000301400A040814F34026 +:200FB0008808D07A880B883A1109883A0814D800100B883A00C001C410803FCC1880012E5E +:200FB800180B883A29403FCC800491BA280A90FA010000C4288AB03A29403FCCDFC00517A5 +:200FC000DD000417DCC00317DC800217DC400117DC000017DEC006040813BCC1DEFFFE04A8 +:200FC800DC0000152021883A01000684DFC001150813B4C0017FFE8484003FCC00C00044B1 +:200FD0001144703A80C0021E10800094000001061080029411403FCC01000684DFC00117B3 +:200FD800DC000017DEC002040813BCC12140028BDEFFFE04DC000015280AD23A2021883ACB +:200FE000010012C4DFC001150813BCC081400283010012840813BCC08140030B0100134433 +:200FE800280AD23A0813BCC081400303010013040813BCC08140038B010013C4280AD23A39 +:200FF0000813BCC081400383010013840813BCC08140010B01001444280AD23A0813BCC0D9 +:200FF80081400103010014040813BCC08140018B010014C4280AD23A0813BCC08140018324 +:20100000010014840813BCC08140020B01001544280AD23A0813BCC08140020301001504C3 +:201008000813BCC08140040B010015C4280AD23A0813BCC081400403010015840813BCC0B9 +:201010008140048B01001644280AD23A0813BCC081400483010016040813BCC08140050B75 +:20101800010016C4280AD23A0813BCC08140050301001684DFC00117DC000017DEC0020456 +:201020000813BCC1DEFFFD04DC00001504000FC4DC4001152023883A8009883ADFC002153A +:201028000813B4C000FFFC0410C4703A888AB03A29403FCC8009883ADFC00217DC400117F5 +:20103000DC000017DEC003040813BCC1DEFFFD04DC40011504400684DC0000152021883A9E +:201038008809883ADFC002150813B4C080C03FCC014000C428C7C83A180691BA10800FCCEB +:201040008809883A188AB03A29403FCCDFC00217DC400117DC000017DEC003040813BCC121 +:20104800DEFFFD04DC0000152021883ADC40011584003FCC044001048809883A802090FA2F +:20105000DFC002150813B4C0108001CC808AB03A29403FCC8809883ADFC00217DC40011737 +:20105800DC000017DEC003040813BCC1DEFFFF04DFC000150813C3400009883A0813F1C0FF +:20106000000B883A01000D440813BCC001002074211AC5040813F6C0010004040814120019 +:201068000009883A081408400009883A08140CC0010001840813D400014000C401000D04FA +:201070000813BCC0010011040813D4C001402204010006C40813BCC00140020401000704E8 +:20107800DFC00017DEC001040813BCC1DEFFFD04DC0000152021883ADC40011584003FCCD4 +:20108000044004048809883A802090FADFC002150813B4C0108001CC808AB03A29403FCC7D +:201088008809883ADFC00217DC400117DC000017DEC003040813BCC121003FCC20001A264D +:20109000DEFFFD04DC400115010009842823883A01402004DC000015DFC002153021883A76 +:201098000813BCC089403FCC0080004428800426008002042880041E814016840000030683 +:2010A00081400244000001068140060429403FCC01000C44DFC00217DC400117DC000017B3 +:2010A800DEC0030400000206000B883A010009840813BCC1DEFFF704D8800917DDC007157F +:2010B000DD800615DD400515DD000415DCC00315DC800215DC400115DC000015DFC0081575 +:2010B8002C403FCC00C000442021883A3029883A382B883ADC800A17DCC00B17DD800C179F +:2010C00015C03FCC88C0082600C0020488C00D1E11400C8429403FCC010001440813BCC04F +:2010C8000140080400000C061140008429403FCC010001440813BCC0014001842809883ACA +:2010D000000006061140018429403FCC010001440813BCC001400404010001840813BCC067 +:2010D800B80D883A880B883A0100004408142380B1003FCC0813D4C0008004048880021EFD +:2010E00001400304000001060140020484003FCC010008848400201C0813BCC0843FE00440 +:2010E8008009883A01400704044020740814F3408C5F20048885883A11C0068B1100040BCA +:2010F000A9803FCC39C0100C380EC03AA17FFFCC0813DBC099403FCC91003FCC0813D0C08B +:2010F8008009883A014007040814F3408885883A11400583010001C4DFC00817DDC007170B +:20110000DD800617DD400517DD000417DCC00317DC800217DC400117DC000017DEC0090427 +:201108000813BCC1DEFFFD0429BFFF84DC400115DC000015DFC0021531803FCC008000448D +:2011100024403FCC2C003FCC11800436008000848880071E8805883A000008068800061E14 +:201118008005003A00C000841885C83A000003060005883A0000010600800084880A913ADD +:201120008C47883A10803FCC100491BA18C7883A28CAB03A214AB03A288AB03A29403FCC3E +:20112800010006440813BCC0008000C48080041E01401744010004040813BCC0000012060B +:2011300001401604010004040813BCC00080008488800D1E0080004414000B368080021E34 +:201138000140148400000106014014C4010003840813BCC00100FA04081590C00100050409 +:201140000813B4C000000B06014016C4010003840813BCC00100FA04081590C0010005043F +:201148000813B4C0008000C48080021E0140040400000106000B883A010006040813BCC0D5 +:20115000000B883A01000D84DFC00217DC400117DC000017DEC003040813BCC1DEFFFD0426 +:20115800DC4001152023883A01000504DC000015DFC002152821883A0813B4C08C403FCC1E +:2011600000C0008488C00A1E81403FCC00C0004428C0031E1080240C108024200000060642 +:201168002800031E1080220C10802220000002061004D07A1080004CDFC00217DC40011760 +:20117000DC000017DEC00304F800283ADEFFFE04DAC0030302800044DFC0011552D6983A79 +:20117800DA400403DFC0020302002074DC000015421F26040005883A037FFEC4038000846E +:2011800003FFFBC429403FCC030006844180008B40C000033400200C800005264800021ECB +:2011880078C6703A000009064B80081E000006063400400C800005264800021E68C6703AE8 +:20119000000002064A80011E0007883A19C6703A18C03FCC18000C2630C0080C1807003A72 +:2011980028C0091E40FFFE8B18C0078419000636318003CCF800032630FFFFCC18000126CE +:2011A0001AC007263000062610C000441805883A18C03FCC420007041B3FDC1E00BFFFC4D3 +:2011A800DFC00117DC000017DEC00204F800283A20803FCCDEFFF9041080201CDC400415F9 +:2011B000DFC00615DC800515DC00031510BFE0042823883A10000A0E2025883A000B883A3F +:2011B80001001DC408146B00D880028510C03FCC0100004420C02E3694001FCC00000106E5 +:2011C0002021883A0814CC00014001040009883A0814C8C00814CA408804D63ADC000005CC +:2011C800DC400105D88000458804D43A84003FCCD88000858804D23AD88000C500801004F9 +:2011D00080800426008012048080041E00BFE1C40000030600BFE5440000010600800044FD +:2011D80001400184D809883AD88001450814C780010001440814D1C01021883A044000441F +:2011E00001400044D90002840814C8C0D8800287100003168000051E0814D780000003063E +:2011E8000814D580147FF626003FFA06D8800283DFC00617DC800517DC400417DC0003174A +:2011F000DEC00704F800283ADEFFF604DC000515000B883A2021883A01001244DFC009152B +:2011F800DCC00815DC800715DC40061508146B0010803FCC100002260005883A0000430605 +:20120000010001440814D1C01023883A0480004404C03FC401400044D90004840814C8C0CD +:20120800D880048314C003268800051E0814D780000003060814D58014BFF626003FFA0624 +:20121000D8C0048300803F8418BFEB1E01400484D809883A0814C8C08080010310C0008C0C +:2012180018001326D8800183D8C001C3D9400143108000CC1004923A294003CC10C8B03A9A +:20122000D88002031006D1BA2105883A1085883AD900028310C4B03AD8C002432008D1FA85 +:2012280018C000CC18C7883A20C8B03A000010061080010C10000B26D88001C3D9000203A1 +:20123000000B883A10800FCC1004923A1104B03A1006923AD8800243010004441884B03A39 +:2012380000000306000B883A0009883A0005883A10BFFFCC10C0004421003FCC28803FCCA1 +:201240002085883A10BFFE441884983ADFC00917DCC00817DC800717DC400617DC00051783 +:20124800DEC00A04F800283ADEFFF60401402074DCC00715018002842027883A295B8704FD +:20125000D809883ADD000815DC400515DFC00915DC800615DC000415050000C40814F8C0E5 +:20125800044000440814C5C00814CC000814D00001400284D809883A0814C780000B883ADF +:20126000980000150100100408146B0010803FCC1440511E01406A840100120408146B00FA +:2012680010803FCC1440271E01400104D90002840814C8C0D88003031440471ED8C00343F4 +:2012700000802A841880441E0100FA040814D1C00814D580144003260814D58014400C1EAD +:20127800000006060150003401003A4408146B0010803FCC103FF61E003FF706000B883AB8 +:2012800001001E8408146B0010803FCC100002260021883A0000090601400104D9000284BA +:201288000814C8C0D88002831080100C1000021E0400010400000106040003040814D7805B +:2012900000002606000B883A01003A4408146B0010803FCC8880032E04000044048010444B +:20129800000002060400008404BFFA4401003E840814D1C094803FCC0814D5801440042627 +:2012A0000814D5801000081E0021883A00000606000B883A9009883A08146B0010803FCC4E +:2012A800103FF51E003FF6060814D780000B883A01001EC408146B0010803FCC100001260D +:2012B0000021883A014080040100140408146B0010803FCC100001260021883AA0BFFFC4FF +:2012B8001029883A10803FCC84803FCC10000A26903F9C26008000449C000105988000150D +:2012C0009809883A08147C8010BFFFC4988002150814CE4000000106903FF61E0814CA00D8 +:2012C8009005003ADFC00917DD000817DCC00717DC800617DC400517DC000417DEC00A046E +:2012D000F800283A20800217DEFFF804DC000115DFC00715DD400615DD000515DCC0041581 +:2012D800DC800315DC400215DC0008171180313684BFFFCC90002F26208001032827883AB4 +:2012E0003823883A1080020C300B883A1000011E300A927A0100144408146B0010803FCC46 +:2012E800100002260400008400001F06010019040814D1C00500004405403FC40140004420 +:2012F000D809883A0814C8C0D8800003154005260814D780D8C0000300803F8418BFF11E85 +:2012F800000003060814D580153FF426003FF806008080841445C83A897FFFCC1421C83AC8 +:20130000280002260009883A0814C8C0900B883A9809883A0814C8C0817FFFCC0009883A14 +:201308000814C8C00021883A0814CA008005883A00000106008000C4DFC00717DD400617CF +:20131000DD000517DCC00417DC800317DC400217DC000117DEC00804F800283A018001B434 +:2013180001406734010020B43186A004297F3004210400040815FD81280D883A200B883A25 +:20132000010020B421040004081612C1280D883A200B883A010020B42104000408160EC1EF +:20132800F800283AD0A1C18300C020B418C4300410801FCCD0A1C18518800035F800283A99 +:20133000D0E1C18300BFE0041884B03A00C020B4D0A1C18518C4300410803FCC18800035BC +:20133800F800283A01800A7401406734010020B4318CB804297F3004210400040815FD8172 +:20134000018001B401406734010020B43186A004297F3004210400040815FD81D0A1CB1758 +:2013480010000B1EDEFFFF04015A5E04213FFFCCDFC000150814F340D0A1CB15081595403E +:201350000005883ADFC00017DEC00104F800283A00800044F800283ADEFFFF04DFC0001551 +:2013580008159900D0E1CB1710C5803ADFC00017DEC00104F800283AD021CB15F800283ABF +:2013600020001B16000F883A28001616200D883A29001A2E0080080400C000440000010600 +:2013680010000D26294B883A10BFFFC418C7883A293FFB360005883A180007260005883A4D +:2013700031400236314DC83A10C4B03A1806D07A280AD07A183FFA1E380001260085C83A3D +:20137800F800283A014BC83A39C0005C003FE7060109C83A01C00044003FE30600C00044EF +:20138000003FEE0620001716000F883A2005883A280012162900162E0180080400C00044C7 +:201388000000010630000A26294B883A31BFFFC418C7883A293FFB36180005261806D07A10 +:20139000114001361145C83A280AD07A183FFB1E380001260085C83AF800283A014BC83AE3 +:20139800003FEC060109C83A01C00044003FE70600C00044003FF106200D883A2900152E32 +:2013A000280014160080080400C000440000020610000E2628000516294B883A10BFFFC4F4 +:2013A80018C7883A293FFA36180008260005883A31400236314DC83A10C4B03A1806D07A90 +:2013B000280AD07A183FFA1EF800283A0005883AF800283A00C00044003FF4062005883A93 +:2013B8002900122E280011160180080400C000440000020630000C2628000516294B883AE9 +:2013C00031BFFFC418C7883A293FFA36180006261806D07A114001361145C83A280AD07A19 +:2013C800183FFB1EF800283AF800283A00C00044003FF7060005883A2000072620C0004C61 +:2013D0002008D07A180001261145883A294B883A203FFA1EF800283AF800283A218D883A63 +:2013D800218008262080000328C0000310C0022610C5C83AF800283A21000044294000445D +:2013E000003FF7060005883AF800283A2005883A2007883A218D883A198005262900000360 +:2013E80018C0004429400044193FFFC5003FFA06F800283ADEFFF504DFC00915DC4008159B +:2013F000DC000715D9C00A1500802074109D2F04144000172800040E008022C48880001511 +:2013F80000BFFFC400001C0600C08204D8C0000DD9000415D90002152800022628FFFFC42A +:20140000000001060007883AD8C00515D8C003151100001700FFFFC4D8C0008D00C0207437 +:2014080018D5B7042821883AD9C00A04D80B883AD8C00115D800061508151B0000FFFFC42A +:2014100010C0020E00C022C488C0001580000226D8C0041718000005DFC00917DC40081767 +:20141800DC000717DEC00B04F800283A3000152631BFFFC4218D883A2080000328C0000397 +:2014200011C03FCC1A003FCC39C0201C4200201C39FFE004423FE0043A00061E218004264E +:20142800380003262100004429400044003FF2061007883A18C03FCC10803FCC10C5C83ACC +:20143000F800283A0005883AF800283A2005883A200F883A30000C262A00000338C000447E +:2014380031BFFFC43A00000542003FCC4200201C423FE00429400044180F883A403FF51E4A +:20144000198D883A00000106F800283A30C003261800000518C00044003FFC06F800283AD6 +:20144800214B883A2005883A1140021E1105C83AF800283A10C00007183FFC261080004468 +:20145000003FF906DEFFFB04DC800315DC400215DC000115DFC004152025883A2823883AFD +:20145800D98000053821883A04000A0E8880011701C00044D80D883A880B883A9009883A63 +:20146000103EE83A843FFFC4103FF72600BFFFC4000001060005883ADFC00417DC8003178A +:20146800DC400217DC000117DEC00504F800283ADEFFE504D8C00804DDC01815DD8017157D +:20147000DD401615DD001515DCC01415DC801315DC401215DC001115DFC01A15DF001915A4 +:201478002029883A2823883A382D883AD9800F150021883AD8000E15D8000A15002B883AD6 +:201480000027883A0025883AD8000C15D8000B15002F883AD8C00915D8C00F17190000030A +:2014880020803FCC1080201C10BFE00410011E2600C00044B8C014261DC00216B800062636 +:201490000001150601400084B9401D26014000C4B9402B2600011006014009441140FC26B8 +:2014980088800117D900000501C00044D80D883A880B883AA009883A103EE83A1000D81E54 +:2014A000840000440001040601400C041140FA260140094411400A1ED88000058880011713 +:2014A800B80F883AD80D883A880B883AA009883A103EE83A1000CA1E840000440000F50609 +:2014B00025FFF404BDC03FCC00C002441DC0093600BFFFC490800426014002849009883A78 +:2014B8000814F340000001060005883AB8A5883A0000E20601400B841140E42605C000847C +:2014C000213FF40427003FCC00C002441F00093600BFFFC498800426014002849809883A30 +:2014C8000814F340000001060005883AE0A7883A0000D90600C01B0410C0D226013FFFC415 +:2014D00099000226D8000B150000010604C0004401001A441100162620800916010018C4EC +:2014D800110088260100190411001126010016041100C81E00C00044D8C00E1500001506E3 +:2014E00001001CC4110098262080041601001BC41100C01E0540020400000F0601001D44F1 +:2014E80011000D2601001E0411000A260000B906D8C00A17B700010418000726DF000D15C2 +:2014F000B5C00017B800080E05EFC83A024000440000060605400404B0C00104D8C00D157E +:2014F800B5C00017D8000A150013883AD839883AB8001726A80B883AB809883ADA4010157D +:201500000814E780A80B883A1009883A102D883A0814F340B885C83A00C00244DA40101724 +:201508001880021610800C0400000506D8C00E171800022610800DC400000106108015C49A +:20151000E0800005B02F883AE7000044003FE806E6EFC83A9DC5C83A0080090EE085883A64 +:2015180001400C04D8C00917E009883AE0C0032EE700004421400005E0BFFA1EE6EFC83A0F +:20152000D8C00B174DD1883A922DC83A1800162648000A2600800B44D88008058880011730 +:2015280001C00044D9800804880B883AA009883A103EE83A10004A1E840000440580070E2F +:20153000B00F883A01800C04880B883AA009883A081514401000421E85A1883AE02D883A31 +:20153800BF2FC83A000020060580090EB00F883A01800804880B883AA009883ADA401015D4 +:2015400008151440DA4010171000351E85A1883A483FF22600800B44D8800805888001179B +:2015480001C00044D9800804880B883AA009883A103EE83A10002A1E84000044003FE7069D +:20155000B5BFFFC4B080000301C00044D9800804D880080588800117880B883AA009883A62 +:20155800103EE83A10001E1E8585C83AB5C9883AE085883A013FF2161021883ADD800D1788 +:2015600000004406008000441480080E95FFFFC4B80F883A01800804880B883AA009883A86 +:201568000815144010000E1E85E1883AB080001701C00044D80D883AD8800005888001171E +:20157000880B883AA009883AB5C00104103EE83A1000031E84000044B82D883A00002D06DE +:2015780000BFFFC400003106B5C00017B7000104B809883A08157E409091C83A102D883AD2 +:201580000200090E400F883A01800804880B883AA009883ADA00101508151440DA00101763 +:20158800103FEF1E8221883A88800117B00F883AB80D883A880B883AA009883A103EE83A2A +:20159000103FE71E85A1883AE02D883A0000110600C0004404FFFFC4D8000E15D8C00A159D +:20159800054002849825883AD8000C15D8000B15182F883A00000806DDC00B1505C00084DB +:2015A0000000050600C00044D8C00C1505C000C400000106002F883AD8C00F1718C0004408 +:2015A800D8C00F15003EDC068005883ADFC01A17DF001917DDC01817DD801717DD40161780 +:2015B000DD001517DCC01417DC801317DC401217DC001117DEC01B04F800283A2880000BB2 +:2015B80010C0020C1800202628C0008FDEFFFD04DC000015DFC00215DC4001152821883A9E +:2015C0001800150E10C0800C180013262C40051789C0030E10C0200C1800032600000E06F0 +:2015C8003C40010E3823883A81000417300B883A880D883A08157840808005171445C83A1F +:2015D00080800515808004171463883A844004150005883A00000606108010148080000D1C +:2015D80000BFFFC40000020600BFFFC4F800283ADFC00217DC400117DC000017DEC0030409 +:2015E000F800283A2005883A218F883A290002361007883A00000C062987883A20FFFC2E31 +:2015E800380B883A30CDC83A1989883A2000052618FFFFC419000003297FFFC429000005A1 +:2015F000003FF906F800283A19C005262900000318C0004429400044193FFFC5003FFA06EF +:2015F800F800283A2005883A10C000071800022610800044003FFC061105C83AF800283AF4 +:20160000DEFFFF040100207401402074DFC00015211B9204295D33042140061E0100207423 +:201608000140207421000804294008042140121E00000B0600C0207418DD33041907C83A07 +:201610000005883A10FFF526114F883A39C00017110D883A1080010431C00015003FF906DE +:20161800010020740140207421189904295899042140101E00000B0600C0207418C008047C +:201620001907C83A0005883A10FFF526114F883A39C00017110D883A1080010431C00015EA +:20162800003FF90608161E80DFC00017DEC001040816258100C0207418DB92041907C83A8C +:201630000005883A18BFF726114F883A39C00017110D883A1080010431C00015003FF906F4 +:20163800DEFFFF040009883ADFC000150815910008159300D1A1CC17D161CD17D121CE1793 +:20164000DFC00017DEC001040811DAC108161681DEFFFF04DFC00015081625C0008000446D +:201648001001703ADFC00017DEC00104F800283A008020B410840804D0A1D0150100207435 +:201650000080673410BF3004211CDF04D0A1CF150815DF81D0E1CF17D0A1D01718000A2633 +:2016580010C001040100020419000035013FFFD41100023511000335008001041880003552 +:201660000005883AF800283A00BFFFC4F800283AD0A1CF1710000926D0E1D017188004049F +:20166800100000351080003718C005371806943A10BFFFCC1884303AF800283A00BFFFC4DA +:20167000F800283AD0A1CF17F800283A20001D262804923A20C03017DEFFFD04DC400115BD +:20167800DC000015DFC002152823883A2021883A108000D41880033580C030171880003711 +:201680001080004C100003260100004408161680003FF906198000373007D0BA3009D0FA6A +:2016880018C001CC2100020C1908B03A3007D07A18C0040C1906B03A88C0042600BFF48448 +:201690000000020600BFFA84F800283ADFC00217DC400117DC000017DEC00304F800283ABD +:2016980020000A26280009263000082620800C173080001520800C171000062621000D0474 +:2016A000290000150005883AF800283A00BFFA84F800283A00BFFEC4F800283A2005883A72 +:2016A80020001D262809883A28001B1610C0311728C0192E1140341728FFFFC41906703ADD +:2016B0001800151EDEFFFE04DC000015DFC001151021883A0814E7801004923A00C04034C0 +:2016B80018FFC00410C4703A80C03017108000941880033580C0301718C004041880003708 +:2016C0001080004C10000626008000441880003500BFFEC40000020600BFFA84F800283A41 +:2016C800DFC00117DC000017DEC00204F800283ADEFFF504DC000115DFC00A15DF000915D7 +:2016D000DDC00815DD800715DD400615DD000515DCC00415DC800315DC400215DC000B173E +:2016D80028003A163023883A300038162027883A20003626382B883A3800342620803117C3 +:2016E0003080322E2880312E208034173147C83A10C7C83A1C002D3680002C1610BFFFC4C2 +:2016E800114A703A2800291E0029883A05BFFFC405C000C40700010480002226DD8000152D +:2016F000890000CC20000626E105C83A8025883A1400012E1025883A8923C83A00000406F8 +:2016F800BC0002368025883A0000010604800104AD0B883A900D883AD909883A0814F8C096 +:2017000098802E17D8C00017A4A9883A84A1C83A8885883A10C000359880301710800404BC +:2017080010C0003718C0008C1800042600C0008410C0003500BFFEC4000005068C4001046E +:20171000003FDD060005883A0000010600BFFA84DFC00A17DF000917DDC00817DD80071796 +:20171800DD400617DD000517DCC00417DC800317DC400217DC000117DEC00B04F800283A21 +:2017200020001626DEFFF604DD000515DFC00915DDC00815DD800715DD400615DCC00415A2 +:20172800DC800315DC400215DC0001153029883A30000C262021883A20802E1780C02F171D +:201730002823883A2885883A382B883A3889883A10C0042E20C005360000020600BFFA8413 +:20173800F800283A00BFFA8400002406814034178809883A002D883A0814E780102F883AFE +:2017400080803317B8801C2EA8001B2684C0341704E7C83A9C66703A8CC002268CE5C83AC5 +:20174800000001060025883A980B883A8009883A0815A9C01000111E8080341714A5C83A18 +:20175000AC80012EA825883ADC800015A58F883A880D883A980B883A8009883A0815B30051 +:201758001000061EACABC83AB4AD883A8CA3883ABDC00044003FE2060005883ADFC0091762 +:20176000DDC00817DD800717DD400617DD000517DCC00417DC800317DC400217DC000117A9 +:20176800DEC00A04F800283A200008263007883A3000062620802E17288B883A20802F1778 +:20177000394D883A2880012E3080023600BFFA84F800283ADEFFFF04380D883A1809883AF1 +:20177800DFC000150814F8C00005883ADFC00017DEC00104F800283A20004E2620803017D4 +:2017800010004E2620C03217DEFFFB04DFC00415DCC00315DC800215DC400115DC000015BE +:2017880018001E1E1480023700C0030494803FCC90BFFAC418804336100490BA00C020746A +:2017900018D7940410C5883A108000171000683A00815EBC00815ECC00815ED400815EC426 +:2017980000815E8400815F4400815F4400815F4400815F4400815F4400815F4400815E8C8B +:2017A00000815E94044080040000130604410004000011060442000400000F06148001374A +:2017A8000080058494803FCC90800A260080060490800426008005049080221E04400804CC +:2017B00000000506044040040000030604401004000001060440200424C034172021883A84 +:2017B8008809883A980B883A0814F34080C0311710C0021E80C0331788C0022680000C15F7 +:2017C0000000100600C000448480361580C00C1580000D1580800E1584400F1584C0101529 +:2017C800D1601B048009883A08161EC00005883A0000050600BFFA84F800283A00BFFB4403 +:2017D000F800283A00BFFB44DFC00417DCC00317DC800217DC400117DC000017DEC00504EE +:2017D800F800283A300001260005C03200FFFF042005883A28C6703A110DC83A30C0042E86 +:2017E00011800017300DC0F210800104003FFA06294000CC00C000C428C0041E10C0000BE0 +:2017E8001807C0B2108000830000080600C0008428C0031E1080000B1005C0B200000406B6 +:2017F00000C0004428C0021E108000031005C0720005C132F800283ADEFFFD04DC40011591 +:2017F800DC0000152823883A2021883A014001443009883ADFC002150814F340100B883A6D +:201800008809883A0814E78010BFFFC480C0020418000035010000448100043511003FCCB2 +:20180800810000351004D23A10803FCC808001350080200418800035DFC00217DC400117BC +:20181000DC000017DEC00304F800283A3180004C294B883A298B883A21400335210004045B +:201818000080240420800035208000371080008C103FFD1E208000371004D1FA1080004C44 +:20182000F800283A20C004042800022600801A040000010600800804188000351880003749 +:201828001080008C103FFD1E20800337F800283A29403FCC21400335210004043000022658 +:2018300000801404000001060080040420800035208000371080008C103FFD1E2080003768 +:201838001004D1FA1080004CF800283A21C004042805883A02000B04210003041147C83A10 +:201840001980090E3A00003538C0003718C0008C183FFD1E2800022620C0003710C0000528 +:2018480010800044003FF506F800283A21C003042805883A21000404020007041147C83AB1 +:201850001980080E10C0000338C000352200003520C0003718C0008C183FFD1E10800044B1 +:20185800003FF606F800283A014AAAF4DEFFFE04296AAA84DC000015DFC001152021883AA9 +:201860000814E78010000F2601600034013555740007883A297FFFC421155584297FFFC45E +:20186800283FFE1E18C000448121883A18BFFB168405883A1421883A843FFFC4803FFE1ED2 +:20187000000004068405883A1421883A843FFFC4043FFE160005883ADFC00117DC000017BE +:20187800DEC00204F800283AF800283A20000226208002171000101ED0A01A1710000926D9 +:20188000DEFFFF04DFC00015103EE83A00C0058410C0001500BFFA84DFC00017DEC0010480 +:20188800F800283AD0A1D10400C0058410C0001500BFFA84F800283A2880001721400115A5 +:20189000208000152880001711000115290000150005883AF800283AF800283A000170FA79 +:20189800F800283A73616C4672652068006573616F727265642520720000000073616C465F +:2018A00072772068006574696F7272650000007273616C4665762068206669726C69616665 +:2018A8000000000053206F4E6163204464206472002E74652520732500632575252E7525A0 +:2018B0006B75322E25207A482E252E757A4875320000000064616F4C0000646564616F4CA9 +:2018B800696166200064656C00007325746F6C530075252065766153000000646576615315 +:2018C000696166200064656C4353534F776620207525202E322E252E0000007574696E498A +:2018C800727265202020726F000064252020202053204F4E00434E59343130323130322DEC +:2018D0006D202036737172610000000074736554746170206E726574000000003A3156419E +:2018D80042475220000000533A31564173475220000000423A315641625059200000725043 +:2018E0003A32564162505920000072503A32564173475220000000423A3356414247522088 +:2018E800000056483A33564142475220000000533A33564173475220000000423A3356417A +:2018F00062505920000072500081634C0081635C0081636800816374008163800081638C03 +:2018F80000816398008163A4008163B0008163BC0000000000000000001000000344060B30 +:2019000000000100808000001A1A1A807365725000000073666E6F43006D72696D73694D87 +:20190800686374616572202C00797274646F4D567325203A00000000203A4F4C5620752530 +:20191000203A4D5300007525666F725020656C6964616F6C0000003A736572702D302073AE +:2019180000000039000000310000003200000033000000340000003500000036000000370A +:201920000000003800000030554E454D0000000000004B4F4B4341420000000000005055BA +:201928004E574F44000000005446454C0000000048474952000000544F464E490000000092 +:201930005F44434C4B4341424847494C000000544E414353454E494C444F4D5F000000450B +:201938004E414353454E494C5059545F000000454E414353454E494C544E495F0000002BDF +:201940004E414353454E494C544E495F0000002D454E494C544C554D444F4D5F00000045D5 +:201948005341485000002B455341485000002D45464F52505F454C494B544F480000594501 +:201950003EA93E293EE93E693E993E193ED93E593EC93E393E1D3E4D3E2D3EED3EAD3ECD8F +:201958003E653E6D1C483E011C501C181CC81CD05ED85E5800003EB9008164640081646895 +:201960000081646C0081647000816474008164780081647C00816480008164600081648497 +:201968000081648800816490008164940081649C008164A0008164A8008164B0008164B83F +:20197000008164C0008164D0008164E0008164F00081650000816510008165200081652873 +:20197800008165304353534F0000000061766E492064696C67616D690000006561766E4989 +:201980002064696C646165680000726561766E492064696C2072646800435243696C6156DC +:20198800697461646420676E0061746162207525736574790000000061766E492064696C46 +:20199000617461644352432000000000252E75252575322E00732573636E6143656C6C659C +:201998000000006461647055676E69740057462061656C70772065732E74696100002E2EF9 +:2019A000697265566E6979666C6620670068736172746552676E697964707520006574614F +:2019A80061647055203F65742C593D314E3D32200000000020202020000075256420642566 +:2019B000000067656C20752573656E6900000000252E75252075322E000073756D20642596 +:2019B80000000056702075256C657869000000732525752500000000656469566E69206F98 +:2019C0006F7270203E20206300000000706D6153676E696C74706F203E20202E000000005B +:2019C800636E795374706F202020202E3E202020000000007074754F6F207475202E747071 +:2019D0003E2020200000000074736F506F72702D20202E633E20202000000000616F4C3C6E +:2019D800727020646C69666F003E20657661533C727020656C69666F003E20657365523C7C +:2019E00073207465697474653E73676E00000000657365526F6420740000656E2E77463C4F +:2019E80064707520206574613E202020000000002D204B4F736C7020736572207472617403 +:2019F000000000006C696166000064656E616353656E696C000000736E616353656E696CA5 +:2019F800727473200000002E6E616353656E696C70797420000000656E616353656E696CEC +:201A0000696C61202E6D6E670000000069726F48746E6F7A6D206C61006B73617472655669 +:201A08006C61636973616D200000006B703034323838322F696C20703358656E00000000BF +:201A1000656E694C706972746D20656C0065646F703038343637352F696C20703258656E3A +:201A180000000000693038343637352F6170206972747373000000006D2058540065646F41 +:201A200074696E49206C616975706E69000000746C616E417320676F20636E790046504C5B +:201A28006C616E417320676F20636E79006874566E7973486F7420636172656C0065636E3B +:201A30006E797356687420636873657200646C6F4C502D487250204C6F432D6500747361CB +:201A38004C502D486F50204C432D74737473616F00000000706D6153676E696C61687020B0 +:201A40000000657370303834206E6920706D61730072656C7664413C6974202E676E696D6A +:201A48003E2020200000000065646956504C206F00000046506250596E6920726C6F4320E5 +:201A50000061705372502F5266666F200074657320592F477366666F0000746562502F42CF +:201A580066666F200074657372502F52696167200000006E20592F476E6961670000000037 +:201A600062502F42696167200000006E73202E486C706D61746172650000006573202E48B7 +:201A68006C636E7900006E6561202E48766974630000006561202E567669746300000065A3 +:201A700062202E48706B63616863726F0000000062202E56706B63616863726F00000000C2 +:201A780000706F5474746F4200006D6F69726F48746E6F7A00006C61747265566C61636942 +:201A80000000000065746C4174616E7200676E690066664F6F74754100000000756E614D88 +:201A880000006C61494D44480000000000495644656E654720636972393A363100000000B5 +:201A9000656E65472063697200333A3478303233203034326974706F00002E6D7836353289 +:201A9800203034326974706F00002E6D484D33336D28207A00296E69484D30316D28207AD2 +:201AA000002964654D352E3228207A482978616D00000000205654447030383400000000BF +:201AA800415345563034362030383478003036402E63655231303620000000002E63655234 +:201AB0003930372000000000484D35394828207A2056544400294949484D35334828207A79 +:201AB8002056544400002949484D36314528207A29565444000000007A484D39445328204D +:201AC0000029565400006E4F44525355004154410000002000816AB00000200020002CE556 +:201AC800E926F4FD38BC20000000000000816ABC000020002000323EF113FA043B612000D5 +:201AD00000000000703034324D334C5F05000030177000F001060618034810AA004100129C +:201AD800703034324D334C5F03C00031177000F00106049203361080004200127030343292 +:201AE0004D334C5F01400032177000F0010601AA031F103100440011703034324D334C5F36 +:201AE80001000033177000F0010601550319102700480011703034320000000002D0000052 +:201AF000177000F00106035A033C104100500012703838324D334C5F0500003013880120DB +:201AF80001380618034810AA00410012703838324D334C5F03C00031138801200138049263 +:201B00000336108000420012703838324D334C5F01400032138800F0013801AA031F29310D +:201B080000440011703838324D334C5F01000033138800F001380155031929270048001118 +:201B1000703838320000000002D000001388012001380360033C1041005000127034383378 +:201B18000000000001F000001686018001A70280033E1D32005000047830343600343833E0 +:201B200002800000157C018001EC032002603F3000100010693038340000000002D0000039 +:201B2800176A00F0020D035A033C104100700012703038340000000002D00000176A01E06E +:201B3000020D035A063E1E3C00800014783034360030383402800000177001E0020D03202D +:201B38000260213001000014783034360032313502800000177002000238032002601C3005 +:201B400000000010693637350000000002D000001388012002710360033C104100700012F4 +:201B4800703637350000000002D000001388024002710360063C204100000004783030382F +:201B5000003030360320000017700258027404200480175800000010703032370000000035 +:201B580005000000176A02D002EE0672052814FF0000000830383231303237780500000084 +:201B6000177002D002EE0672052814DC000000103432303138363778040000001770030005 +:201B68000326054006881DA00000001030383231323031780500003417700400042A06982E +:201B7000037026F800000010303830310000006907800000176A043804650898052C109460 +:201B780000200008303830310000007007800000176A043804650898052C24BC0000000886 +:201B80003032393138303178078000301770043804650898052C249400000010FFFFFFFFF0 +:201B8800FFFFFFFF0000FFFF7665642F6370652F6F635F716F72746E72656C6C615F305F0B +:201B90006D5F6C7600006D65000000000000000000000000000000000000000000000000B5 +:201B980000000000000000000000000000000000000000000000000000000000000000002D +:201BA000000000000000000000000000000000000000000000000000000000000000000025 +:201BA80000000000000000000000000000000000000000000000000000000000000000001D +:201BB000000000000000000000000000000000000000000000000000000000000000000015 +:201BB80000000000000000000000000000000000000000000000000000000000000000000D +:201BC000000000000000000000000000000000000000000000000000000000000000000005 +:201BC8000000000000000000000000000000000000000000000000000000000000000000FD +:201BD0000000000000000000000000000000000000000000000000000000000000000000F5 +:201BD8000000000000000000000000000000000000000000000000000000000000000000ED +:201BE0000000000000000000000000000000000000000000000000000000000000000000E5 +:201BE8000000000000000000000000000000000000000000000000000000000000000000DD +:201BF0000000000000000000000000000000000000000000000000000000000000000000D5 +:201BF8000000000000000000000000000000000000000000000000000000000000000000CD +:201C00000000000000000000000000000000000000000000000000000000000000000000C4 +:201C08000000000000000000000000000000000000000000000000000000000000000000BC +:201C10000000000000000000000000000000000000000000000000000000000000000000B4 +:201C18000000000000000000000000000000000000000000000000000000000000000000AC :201C20000000000000000000000000000000000000000000000000000000000000000000A4 :201C280000000000000000000000000000000000000000000000000000000000000000009C :201C3000000000000000000000000000000000000000000000000000000000000000000094 @@ -933,92 +933,92 @@ :201D18000000000000000000000000000000000000000000000000000000000000000000AB :201D20000000000000000000000000000000000000000000000000000000000000000000A3 :201D280000000000000000000000000000000000000000000000000000000000000000009B -:201D3000000000000000000000000000000000000000000000000000000000000000000093 -:201D380000000000000000000000000000000000000000000000000000000000000000008B -:201D4000000000000000000000000000000000000000000000000000000000000000000083 -:201D480000000000000000000000000000000000000000000000000000000000000000007B -:201D5000000000000000000000000000000000000000000000000000000000000000000073 -:201D580000000000000000000000000000000000000000000000000000000000000000006B -:201D6000000000000000000000000000000000000000000000000000000000000000000063 -:201D680000000000000000000000000000000000000000000000000000000000000000005B -:201D7000000000000000000000000000000000000000000000000000000000000000000053 -:201D780000000000000000000000000000000000000000000000000000000000000000004B -:201D8000000000000000000000000000000000000000000000000000000000000000000043 -:201D880000000000000000000000000000000000000000000000000000000000000000003B -:201D9000000000000000000000000000000000000000000000000000000000000000000033 -:201D980000000000000000000000000000000000000000000000000000000000000000002B -:201DA000000000000000000000000000000000000000000000000000000000000000000023 -:201DA80000000000000000000000000000000000000000000000000000000000000000001B -:201DB000000000000000000000000000000000000000000000000000000000000000000013 -:201DB80000000000000000000000000000000000000000000000000000000000000000000B -:201DC000000000000000000000000000000000000000000000000000000000000000000003 -:201DC8000000000000000000000000000000000000000000000000000000000000000000FB -:201DD0000000000000000000000000000000000000000000000000000000000000000000F3 -:201DD8000000000000000000000000000000000000000000000000000000000000000000EB -:201DE0000000000000000000000000000000000000000000000000000000000000000000E3 -:201DE8000000000000000000000000000000000000000000000000000000000000000000DB -:201DF0000000000000000000000000000000000000000000000000000000000000000000D3 -:201DF8000000000000000000000000000000000000000000000000000000000000000000CB -:201E00000000000000000000000000000000000000000000000000000000000000000000C2 -:201E08000000000000000000000000000000000000000000000000000000000000000000BA -:201E10000000000000000000000000000000000000000000000000000000000000000000B2 -:201E18000000000000000000000000000000000000000000000000000000000000000000AA -:201E20000000000000000000000000000000000000000000000000000000000000000000A2 -:201E280000000000000000000000000000000000000000000000000000000000000000009A -:201E3000000000000000000000000000000000000000000000000000000000000000000092 -:201E380000000000000000000000000000000000000000000000000000000000000000008A -:201E400000000000017804E53CCE00813FAE03833F333D4905B80383009301B404163C4900 -:201E48003CD93F9F04163F10020E04093D0E00C83F6E03833ED03DAC04B2038300E9026439 -:201E500004163C933D493F5604163E9F3EA93E293EE93E693E993E193ED93E593EC93E3901 -:201E58003E1D3E4D3E2D3EED3EAD3ECD3E653E6D1C483E011C501C181CC81CD0008178801E -:201E600000000000000000000000000000000000000000000081690C00000003008178A8C8 -:201E680000000000000000000081692000000003008178A000000000000000000081693496 -:201E7000000000030081789800000000000000000081694800000003008178900000000000 -:201E7800000000000081695C00000003008178880000000000000000008169700000000422 -:201E8000008125DC0081698400816998008169A000000004008120F4008169B40081690421 -:201E8800008169C00000000400813108008169D400816998008169DC000000000081826D5C -:201E90000002000100817470008169E8000000010081826F000F000000812C20008169F8C7 -:201E9800000000000081826E000200010081746400816A08000000000081827000010001F5 -:201EA000008178B800816A1C0000000100818273003F000000812C0400816A2C00000001EB -:201EA80000818274003F000000812C0400816A3C000000000081827100010001008178D04D -:201EB00000816A500000000000818272000300010081747C00816A6000000000008182801F -:201EB80000010001008178D000816A74000000000081828100010001008178D000816A881E -:201EC000000000000081827500010001008178C000816A90000000000081828200090001C5 -:201EC800008174C000816AA0000000000081827C000300010081748C00816AB0000000011A -:201ED00000818279001F000000812BC000816AC0000000010081827A00FF000000812B30E7 -:201ED80000816AD0000000010081827B00C80A0000812B3000816AE0000000010081827EB5 -:201EE0000005000000812B1400816AF0000000010081827F0005000000812B1400816B040A -:201EE8000000000100818277001F000000812AD000816B1400000000008182760002000149 -:201EF0000081749C00816B2400000003008178B0008118C00000000000816B380000000008 -:201EF8000081827D00050001008174A800816B44000000000081827800010001008178C839 -:201F000000816B54000000010081828300FF000000812AB400816B600000000100818284C8 -:201F080000FF000000812AB400816B6C000000010081828500FF000000812AB400816B78B8 -:201F1000000000010081828600FF000000812AB400816B84000000010081828700FF0000CF -:201F180000812AB400816B90000000010081828800FF000000812AB400816B9C000000025A -:201F2000008181A408FC012C008119E000816BAC00000002008181AE00C8000A008119E0BA -:201F280000816BB800000002008181A2078000C8008119E000816BC400000002008181A032 -:201F300004B000C8008119E000816BD0000000020081819A00FF0001008119E000816BE0FB -:201F380000000002008181AA00FF0001008119E000816BFC00816C0800816C1400816C2076 -:201F400000816C2400816C2C00816C4000816C5000816C5C00816C6C00816C2000816C7CD5 -:201F480000816C8800816C9400816C2400816CA400816CB000816C2400816C2000816CD861 -:201F500000816CE800816CF800816D08008165D8008165E8008165F4008166000081660C81 -:201F58000081661800816624008166300081663C0081664800000000008175A8008175B0B2 -:201F6000008175B800000000000000000000000000000000000000000000000000000000B3 +:201D3000000000000000000000000000017804E53CCE00813FAE03833F333D4905B80383F8 +:201D3800009301B404163C493CD93F9F04163F10020E04093D0E00C83F6E03833ED03DACEE +:201D400004B2038300E9026404163C933D493F5604163E9F008174600000000000000000A8 +:201D4800000000000000000000000000008166F8000000030081748800000000000000001C +:201D50000081670C000000030081748000000000000000000081672000000003008174788F +:201D58000000000000000000008167340000000300817470000000000000000000816748B7 +:201D6000000000030081746800000000000000000081675C0000000300000000008118849F +:201D6800000000000081676C000000030000000000811944000000000081677C00000004BE +:201D7000008121BC00816790008166F40081679C00000004008127F4008167B0008167C43A +:201D7800008167CC0000000000817C2D0002000100817224008167D80000000100817C2F66 +:201D8000000F000000812E3C008167E80000000000817C2E0002000100817218008167F860 +:201D88000000000000817C3000010001008174980081680C0000000100817C33003F00001A +:201D900000812E200081681C0000000100817C34003F000000812E200081682C000000000A +:201D980000817C3100010001008174B0008168400000000000817C320003000100817230D7 +:201DA000008168500000000000817C4000010001008174B0008168640000000000817C417B +:201DA80000010001008174B0008168780000000000817C3500010001008174A000816880E1 +:201DB0000000000000817C420009000100817274008168900000000000817C3C00030001AD +:201DB80000817240008168A00000000100817C39001F000000812DDC008168B000000001D5 +:201DC00000817C3A00FF000000812D4C008168C00000000100817C3B00C80A0000812D4C25 +:201DC800008168D00000000100817C3E0005000000812D30008168E00000000100817C3F1D +:201DD0000005000000812D30008168F40000000100817C37001F000100812CEC0081690457 +:201DD8000000000000817C36000200010081725000816914000000030081749000811A044D +:201DE00000000000008169280000000000817C3D000500010081725C008169340000000024 +:201DE80000817C3800010001008174A8008169440000000100817C4300FF000000812CD01C +:201DF000008169500000000100817C4400FF000000812CD00081695C0000000100817C4552 +:201DF80000FF000000812CD0008169680000000100817C4600FF000000812CD000816974DF +:201E00000000000100817C4700FF000000812CD0008169800000000100817C4800FF000052 +:201E080000812CD00081698C0000000200817B6408FC012C00811B080081699C0000000208 +:201E100000817B6E00C8000A00811B08008169A80000000200817B62078000C800811B08ED +:201E1800008169B40000000200817B6004B000C800811B08008169C00000000200817B5A8C +:201E200000FF000100811B08008169D00000000200817B6A00FF000100811B08008169EC62 +:201E2800008169F800816A0400816A1000816A1400816A1C00816A3000816A4000816A4C4B +:201E300000816A5C00816A1000816A6C00816A7800816A8400816A1400816A9400816AA01E +:201E380000816A1400816A1000816AC800816AD800816AE800816AF80081634C0081635CF4 +:201E40000081636800816374008163800081638C00816398008163A4008163B0008163BCD2 +:201E4800000000000081735C008173640081736C0000000000000000000000000000000072 +:201E5000000000000000000000000000000000000000000000000000000000000000000072 +:201E580000000000000000000000000000000000000000000000000000000000000000006A +:201E6000000000000000000000000000000000000000000000000000000000000000000062 +:201E680000000000000000000000000000000000000000000000000000000000000000005A +:201E7000000000000000000000000000000000000000000000000000000000000000000052 +:201E780000000004000000000001000A000000000002000A0000000000000000000000002F +:201E8000000000000000000000816E28000000000000000000815C8000815DA800815A600D +:201E880000815A9C00815B3000000000008000000000000000000000000000000000000037 +:201E9000000000000000000000000000000000000000000000000000000000000000000032 +:201E980000000000000000000000000000000000000000000000000000000000000000002A +:201EA000000000000000000000000000000000000000000000000000000000000000000022 +:201EA8000000000000000000000000000000000000000000008159CC0000000000800000F4 +:201EB00000821040008000000000000100000080000100000000010000000000001080109D +:201EB800000000800000000900816EA80000000600816F5C0000000600816FD400000006C8 +:201EC0000081704C00000003008170C4000000080081710000000006008171A0008169E0B1 +:201EC800008169E400816A2400816A2C00816AB000816ABC00816A1000816B04C896554BDB +:201ED0000081729C00000000008174C4008174C400000000000000000000000000000000F1 +:201ED8000000000000000000000000000000000000000000000000000000000000000000EA +:201EE0000000000000000000000000000000000000000000000000000000000000000000E2 +:201EE8000000000000000000000000000000000000000000000000000000000000000000DA +:201EF0000000000000000000000000000000000000000000000000000000000000000000D2 +:201EF8000000000000000000000000000000000000000000000000000000000000000000CA +:201F00000000000000000000000000000000000000000000000000000000000000000000C1 +:201F08000000000000000000000000000000000000000000000000000000000000000000B9 +:201F10000000000000000000000000000000000000000000000000000000000000000000B1 +:201F18000000000000000000000000000000000000000000000000000000000000000000A9 +:201F20000000000000000000000000000000000000000000000000000000000000000000A1 +:201F2800000000000000000000000000000000000000000000000000000000000000000099 +:201F3000000000000000000000000000000000000000000000000000000000000000000091 +:201F3800000000000000000000000000000000000000000000000000000000000000000089 +:201F4000000000000000000000000000000000000000000000000000000000000000000081 +:201F4800000000000000000000000000000000000000000000000000000000000000000079 +:201F5000000000000000000000000000000000000000000000000000000000000000000071 +:201F5800000000000000000000000000000000000000000000000000000000000000000069 +:201F6000000000000000000000000000000000000000000000000000000000000000000061 :201F6800000000000000000000000000000000000000000000000000000000000000000059 :201F7000000000000000000000000000000000000000000000000000000000000000000051 :201F7800000000000000000000000000000000000000000000000000000000000000000049 :201F8000000000000000000000000000000000000000000000000000000000000000000041 -:201F8800000000000000000000000000000000000000000000000004000000000001000A2A -:201F9000000000000002000A00000000000000000000000000000000000000000081704CE8 -:201F9800000000000000000000815A5000815B6C0081583400815870008159040000000082 -:201FA0000080000000000000000000000000000000000000000000000000000000000000A1 +:201F8800000000000000000000000000000000000000000000000000000000000000000039 +:201F9000000000000000000000000000000000000000000000000000000000000000000031 +:201F9800000000000000000000000000000000000000000000000000000000000000000029 +:201FA000000000000000000000000000000000000000000000000000000000000000000021 :201FA800000000000000000000000000000000000000000000000000000000000000000019 :201FB000000000000000000000000000000000000000000000000000000000000000000011 :201FB800000000000000000000000000000000000000000000000000000000000000000009 -:201FC0000000000000000000008157A00000000000800000008210400080000000000001B6 -:201FC80000000080000100000000010000000000000000000000000000817038000000004E -:201FD00000000000008156480081565C000000000000000000000000008210D000817850F4 -:201FD800000000000000000000817850000000000000000000817850000000000000000057 +:201FC000000000000000000000000000000000000000000000000000000000000000000001 +:201FC8000000000000000000000000000000000000000000000000000000000000000000F9 +:201FD0000000000000000000000000000000000000000000000000000000000000000000F1 +:201FD8000000000000000000000000000000000000000000000000000000000000000000E9 :201FE0000000000000000000000000000000000000000000000000000000000000000000E1 :201FE8000000000000000000000000000000000000000000000000000000000000000000D9 :201FF0000000000000000000000000000000000000000000000000000000000000000000D1 @@ -1029,13 +1029,13 @@ :202018000000000000000000000000000000000000000000000000000000000000000000A8 :202020000000000000000000000000000000000000000000000000000000000000000000A0 :20202800000000000000000000000000000000000000000000000000000000000000000098 -:2020300000000000000000000000000000000000000000000000000000000000008178E8AF -:20203800008178E80081706C00000000000000000000000000815FB40000000000000000B6 -:20204000000000000010801000000080000000080081710800000006008171A800000006B8 -:202048000081722000000006008172980000000300817310000000080081734C000000067F -:20205000008173EC00816BF000816BF400816C3400816C3C00816CC000816CCC00816C2017 -:2020580000816D14C896554B0000001A008174E8FFFFFFFF0081785000817850008178F0FA -:20206000008178F000000000008178FC008178FC000000000000000000000000000000008D +:20203000000000000000000000000000000000000000000000000000000000000000000090 +:20203800000000000000000000000000000000000000000000000000000000000000000088 +:20204000000000000000000000000000000000000000000000000000000000000000000080 +:20204800000000000000000000000000000000000000000000000000000000000000000078 +:20205000000000000000000000000000000000000000000000000000000000000000000070 +:20205800000000000000000000000000000000000000000000000000000000000000000068 +:20206000000000000000000000000000000000000000000000000000000000000000000060 :20206800000000000000000000000000000000000000000000000000000000000000000058 :20207000000000000000000000000000000000000000000000000000000000000000000050 :20207800000000000000000000000000000000000000000000000000000000000000000048 diff --git a/software/sys_controller/ossc/controls.c b/software/sys_controller/ossc/controls.c index 29ba9c9..e0d01f5 100644 --- a/software/sys_controller/ossc/controls.c +++ b/software/sys_controller/ossc/controls.c @@ -156,8 +156,8 @@ void parse_control() case RC_SL_MINUS: tc.sl_str = tc.sl_str ? (tc.sl_str - 1) : 0; break; case RC_SL_PLUS: tc.sl_str = (tc.sl_str < SCANLINESTR_MAX) ? (tc.sl_str + 1) : SCANLINESTR_MAX; break; case RC_LM_MODE: tc.linemult_target = (tc.linemult_target < LM_MODE_MAX) ? (tc.linemult_target + 1) : 0; break; - case RC_PHASE_PLUS: tc.sampler_phase = (tc.sampler_phase < SAMPLER_PHASE_MAX) ? (tc.sampler_phase + 1) : SAMPLER_PHASE_MAX; break; - case RC_PHASE_MINUS: tc.sampler_phase = tc.sampler_phase ? (tc.sampler_phase - 1) : 0; break; + case RC_PHASE_PLUS: tc.sampler_phase = (tc.sampler_phase < SAMPLER_PHASE_MAX) ? (tc.sampler_phase + 1) : 0; break; + case RC_PHASE_MINUS: tc.sampler_phase = tc.sampler_phase ? (tc.sampler_phase - 1) : SAMPLER_PHASE_MAX; break; case RC_PROF_HOTKEY: strncpy(menu_row1, "Profile load:", LCD_ROW_LEN+1); strncpy(menu_row2, "press 0-9", LCD_ROW_LEN+1); diff --git a/software/sys_controller/ossc/firmware.c b/software/sys_controller/ossc/firmware.c index 276343e..eba1971 100644 --- a/software/sys_controller/ossc/firmware.c +++ b/software/sys_controller/ossc/firmware.c @@ -105,7 +105,7 @@ static int check_fw_image(alt_u32 offset, alt_u32 size, alt_u32 golden_crc, alt_ #ifdef DEBUG int fw_update() { - sniprintf(menu_row2, LCD_ROW_LEN+1, "Not implemented"); + sniprintf(menu_row2, LCD_ROW_LEN+1, "Unavailable"); lcd_write_menu(); usleep(1000000); return -1; diff --git a/software/sys_controller/ossc/firmware.h b/software/sys_controller/ossc/firmware.h index 89bc706..e6aa1fe 100644 --- a/software/sys_controller/ossc/firmware.h +++ b/software/sys_controller/ossc/firmware.h @@ -24,7 +24,7 @@ #include "sysconfig.h" #define FW_VER_MAJOR 0 -#define FW_VER_MINOR 74 +#define FW_VER_MINOR 75 #ifdef DIY_AUDIO #define FW_SUFFIX1 "a" diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index d8cbf71..a515b88 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -86,7 +86,7 @@ MENU(menu_vinputproc, P99_PROTECT({ \ })) MENU(menu_sampling, P99_PROTECT({ \ - { LNG("Sampling phase","サンヒプリングフェーズ"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sampler_phase, OPT_NOWRAP, 0, SAMPLER_PHASE_MAX, sampler_phase_disp } } }, + { LNG("Sampling phase","サンヒプリングフェーズ"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.sampler_phase, OPT_WRAP, 0, SAMPLER_PHASE_MAX, sampler_phase_disp } } }, { LNG("480p in sampler","サンプラーニ480p"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.s480p_mode, OPT_WRAP, SETTING_ITEM(s480p_mode_desc) } } }, { LNG("","<カクシュタイミング>"), OPT_SUBMENU, { .sub = { &menu_advtiming, vm_display } } }, })) diff --git a/software/sys_controller_bsp/libhal_bsp.a b/software/sys_controller_bsp/libhal_bsp.a index 09bacd4..a966679 100644 Binary files a/software/sys_controller_bsp/libhal_bsp.a and b/software/sys_controller_bsp/libhal_bsp.a differ diff --git a/software/sys_controller_bsp/public.mk b/software/sys_controller_bsp/public.mk index ef6c906..54df02e 100644 --- a/software/sys_controller_bsp/public.mk +++ b/software/sys_controller_bsp/public.mk @@ -191,7 +191,8 @@ ALT_CPPFLAGS += -DALT_NO_EXIT # devices. If true, adds -DALT_USE_DIRECT_DRIVERS to ALT_CPPFLAGS in public.mk. # The Altera Host and read-only ZIP file systems can't be used if # hal.enable_lightweight_device_driver_api is true. -# setting hal.enable_lightweight_device_driver_api is false +# setting hal.enable_lightweight_device_driver_api is true +ALT_CPPFLAGS += -DALT_USE_DIRECT_DRIVERS # Adds code to emulate multiply and divide instructions in case they are # executed but aren't present in the CPU. Normally this isn't required because diff --git a/software/sys_controller_bsp/settings.bsp b/software/sys_controller_bsp/settings.bsp index 27f4063..dbc7b42 100644 --- a/software/sys_controller_bsp/settings.bsp +++ b/software/sys_controller_bsp/settings.bsp @@ -2,8 +2,8 @@ hal default - Dec 13, 2016 8:52:43 PM - 1481655163358 + Dec 31, 2016 11:50:32 AM + 1483177832665 ./ settings.bsp ../../sys.sopcinfo @@ -806,7 +806,7 @@ hal.enable_lightweight_device_driver_api ALT_USE_DIRECT_DRIVERS Boolean - 0 + 1 0 public_mk_define Enables lightweight device driver API. This reduces code and data footprint by removing the HAL layer that maps device names (e.g. /dev/uart0) to file descriptors. Instead, driver routines are called directly. The open(), close(), and lseek() routines will always fail if called. The read(), write(), fstat(), ioctl(), and isatty() routines only work for the stdio devices. If true, adds -DALT_USE_DIRECT_DRIVERS to ALT_CPPFLAGS in public.mk. diff --git a/software/sys_controller_bsp/system.h b/software/sys_controller_bsp/system.h index 7ea0fcf..83d75d2 100644 --- a/software/sys_controller_bsp/system.h +++ b/software/sys_controller_bsp/system.h @@ -4,7 +4,7 @@ * Machine generated for CPU 'nios2_qsys_0' in SOPC Builder design 'sys' * SOPC Builder design path: ../../sys.sopcinfo * - * Generated: Thu Oct 20 01:24:13 EEST 2016 + * Generated: Sat Dec 31 11:45:57 EET 2016 */ /* diff --git a/sys.sopcinfo b/sys.sopcinfo index 531fd4f..a85a11a 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1481654919 + 1483178399 false true false