From d8f2b9848902a071dfaa03542c457837aa8f2b26 Mon Sep 17 00:00:00 2001 From: marqs Date: Mon, 29 May 2023 22:10:46 +0300 Subject: [PATCH] bring back mask option --- .../mem_init/sys_onchip_memory2_0.hex | 17584 ++++++++-------- software/sys_controller/ossc/avconfig.c | 1 + software/sys_controller/ossc/firmware.h | 2 +- software/sys_controller/ossc/menu.c | 14 +- software/sys_controller/ossc/video_modes.c | 29 +- software/sys_controller/ossc/video_modes.h | 10 +- .../sys_controller/ossc/video_modes_list.c | 84 +- 7 files changed, 8911 insertions(+), 8813 deletions(-) diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index a532c61..ce5a82e 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -45,9 +45,9 @@ :04002C000000A11718 :04002D00F5010113C5 :04002E000000971720 -:04002F00EE4707137E +:04002F0000C70713EC :040030000000A7978E -:0400310085478793E5 +:040031009807879312 :0400320000F7576319 :04003300000720237F :04003400DDE30711F0 @@ -56,41 +56,41 @@ :04003700C4064501B5 :04003800200520057A :04003900A60367E9CA -:04003A0067E98A47A1 -:04003B008A87A58388 +:04003A0067E99CC70F +:04003B009D07A583F5 :04003C0040A267E98E -:04003D008AC7A503C6 +:04003D009D47A50333 :04003E00406F0131DD -:04003F00A9856080AF +:04003F00A9856C40E3 :0400400067E980826A :04004100000207377B -:040042008AE7AA237C +:040042009CE7AE2366 :0400430007B7656135 :040044006769019C4B :04004500CC078793CA -:0400460047C5051392 -:040047008AF72823E9 +:0400460057C5051382 +:040047009CF72C23D3 :040048006769AC6DCB -:040049008B072703F7 +:040049009D87270365 :04004A00A78367E938 -:04004B00557D8B470D +:04004B00557D9DC77B :04004C004721CB116C :04004D006741C3D86C :04004E00C798177DBB :04004F004711C7D8B6 :040050004501C3D8CB :0400510067E9808259 -:040052008B07A783EE +:040052009D87A7835C :04005300CF91557D77 :04005400A78367E92E -:04005500A8238B470A +:04005500A8239DC778 :040056004B880007CC :0400570005424BDC37 :0400580007C2814119 :0400590045138D5D61 :04005A008082FFF5AC :04005B00A50367E9A9 -:04005C0080828B070C +:04005C0080829D877A :04005D002783C90D1F :04005E0011510C052B :04005F00C22205A212 @@ -254,7 +254,7 @@ :0400FD00E963FEB7FE :0400FE0066DD02F6C3 :0400FF008693078A53 -:0401000097B6A80600 +:0401000097B6B706F1 :040101008782439C12 :04010200200007933F :040103000D0526833D @@ -287,7 +287,7 @@ :04011E00D918DD5CB3 :04011F0002052A2388 :04012000C134DD10F9 -:04012100F38585934A +:0401210006058593B7 :0401220040A22201D4 :04012300853E47814D :0401240080820131A3 @@ -354,7 +354,7 @@ :04016100BFD507857A :04016200451CC1195E :0401630067E5EF91CC -:04016400F407A78372 +:040164000687A783E0 :040165001151C395DC :040166009782C406B2 :0401670047D940A292 @@ -365,7 +365,7 @@ :04016C00C188C3C8BB :04016D008082450146 :04016E0005136569A7 -:04016F0047D9904597 +:04016F0047D9A305C4 :040170005529C11C30 :04017100832A8082DB :040172008383CA09B0 @@ -406,8787 +406,8861 @@ :04019500656565E156 :0401960006131151EA :040197008593042028 -:040198000513BE8508 -:04019900C4060BC5C8 +:040198000513CE85F8 +:04019900C4061E4535 :04019A0065E13FB923 :04019B00061365657D :04019C008593738054 -:04019D000513C2C5BF -:04019E0037B1100560 +:04019D000513D2C5AF +:04019E0037B12285CE :04019F0067E940A22A -:0401A0008F2347055D -:0401A10045018EE79F +:0401A0008523470567 +:0401A1004501A2E78B :0401A2008082013125 :0401A300C78367E9BE -:0401A40067699007F0 -:0401A5008EF70FA31F +:0401A4006769A2C71E +:0401A500A2F705A315 :0401A60002C0071379 :0401A70002E787B331 :0401A800071367656D -:0401A90097BA1007EA +:0401A90097BA228758 :0401AA000127D683D0 -:0401AB001823676945 -:0401AC00C6838ED7A1 +:0401AB001D23676940 +:0401AC00C683A0D78F :0401AD006769014736 -:0401AE008ED71923AC +:0401AE00A0D71E2395 :0401AF0001C7C6833B -:0401B0001A2367693E -:0401B100D6838ED78C +:0401B0001F23676939 +:0401B100D683A0D77A :0401B20067690187F1 -:0401B3008ED71723A9 +:0401B300A0D71B2393 :0401B40000C7D68327 -:0401B500162367693D -:0401B600C6838ED797 +:0401B5001A23676939 +:0401B600C683A0D785 :0401B700676901D79C -:0401B8008ED71E239D +:0401B800A2D7142393 :0401B90001A7D68341 -:0401BA001D23676931 -:0401BB00D6838ED782 +:0401BA00122367693C +:0401BB00D683A2D76E :0401BC00676900E788 -:0401BD008ED71C239A -:0401BE000207C7036A -:0401BF009B2367E92E -:0401C00080828EE7C4 -:0401C1008793678534 -:0401C20007B38027D8 -:0401C300273702F5E3 -:0401C400071300021B -:0401C5008FF9110796 -:0401C6000713672193 -:0401C70005330207F3 -:0401C800873702E58E -:0401C9000713000810 -:0401CA008D794407E0 -:0401CB0067C18D5D1E -:0401CC0010178793EE -:0401CD0002F50533FF -:0401CE0075138141E3 -:0401CF0080820FF526 -:0401D000018557133B -:0401D10001851793FA -:0401D20006B78FD904 -:0401D300171300FFFF -:0401D4008F7500859E -:0401D50067418FD916 -:0401D600F007071314 -:0401D7008D7981217C -:0401D80080828D5D37 -:0401D90017B7C60985 -:0401DA00A02300025C -:0401DB0047010007D1 -:0401DC00FFC5F693D2 -:0401DD0000021637CF -:0401DE0000E507B37E -:0401DF0002D764637C -:0401E000470D898DB1 -:0401E10002E594633C -:0401E2000007D683B9 -:0401E30000021737C8 -:0401E40000D712230B -:0401E5000027C783A5 -:0401E60000F70223F9 -:0401E700000217B744 -:0401E80080824B883E -:0401E9000711439C1B -:0401EA00B7F9C25C43 -:0401EB0099634709C4 -:0401EC00D70300E550 -:0401ED0017B7000739 -:0401EE009223000256 -:0401EF00BFF900E76D -:0401F0009DE347053F -:0401F100C703FCE55F +:0401BD00A2D7112391 +:0401BE000217C683DB +:0401BF001C2367692D +:0401C000C683A0D77B +:0401C1006769022741 +:0401C200A2D713238A +:0401C3000207C70365 +:0401C400902367E934 +:0401C5008082A2E7AB +:0401C600879367852F +:0401C70007B38027D3 +:0401C800273702F5DE +:0401C9000713000216 +:0401CA008FF9110791 +:0401CB00071367218E +:0401CC0005330207EE +:0401CD00873702E589 +:0401CE00071300080B +:0401CF008D794407DB +:0401D00067C18D5D19 +:0401D10010178793E9 +:0401D20002F50533FA +:0401D30075138141DE +:0401D40080820FF521 +:0401D5000185571336 +:0401D60001851793F5 +:0401D70006B78FD9FF +:0401D800171300FFFA +:0401D9008F75008599 +:0401DA0067418FD911 +:0401DB00F00707130F +:0401DC008D79812177 +:0401DD0080828D5D32 +:0401DE0017B7C60980 +:0401DF00A023000257 +:0401E00047010007CC +:0401E100FFC5F693CD +:0401E20000021637CA +:0401E30000E507B379 +:0401E40002D7646377 +:0401E500470D898DAC +:0401E60002E5946337 +:0401E7000007D683B4 +:0401E80000021737C3 +:0401E90000D7122306 +:0401EA000027C783A0 +:0401EB0000F70223F4 +:0401EC00000217B73F +:0401ED0080824B8839 +:0401EE000711439C16 +:0401EF00B7F9C25C3E +:0401F00099634709BF +:0401F100D70300E54B :0401F20017B7000734 -:0401F3008223000261 -:0401F400B7E900E780 -:0401F50001C5478376 -:0401F6000FF00713EC -:0401F70002B787B311 -:0401F8000CF75A6343 -:0401F900F017871361 -:0401FA000FF0079368 -:0401FB0000F50E23DA -:0401FC00018557839F -:0401FD0002B787B30B -:0401FE00071397BA92 -:0401FF0074631FF016 -:04020000079300F769 -:040201001C231FF0AB -:04020200578300F529 -:04020300670500C5C6 -:04020400A007071335 -:0402050002B787B302 -:0402060000F7536347 -:04020700162387BA79 -:04020800478300F533 -:04020900471501454F +:0401F3009223000251 +:0401F400BFF900E768 +:0401F5009DE347053A +:0401F600C703FCE55A +:0401F70017B700072F +:0401F800822300025C +:0401F900B7E900E77B +:0401FA0001C5478371 +:0401FB000FF00713E7 +:0401FC0002B787B30C +:0401FD000CF75A633E +:0401FE00F01787135C +:0401FF000FF0079363 +:0402000000F50E23D4 +:040201000185578399 +:0402020002B787B305 +:04020300071397BA8C +:0402040074631FF010 +:04020500079300F764 +:040206001C231FF0A6 +:04020700578300F524 +:04020800670500C5C1 +:04020900A007071330 :04020A0002B787B3FD -:04020B0002E787B3CC -:04020C00064007138E -:04020D0003278793A9 -:04020E0002E7C7B389 -:04020F00012557036B -:0402100002E585B3CB -:0402110095BE473D12 -:0402120001D5478348 -:0402130000B51923F6 -:0402140002C787B3E3 -:0402150006F7546331 -:04021600FF17871334 -:040217000EA347BD2E -:04021800578300F513 -:0402190087B301A501 -:04021A0097BA02C7C6 -:04021B001FF00713B6 -:04021C0000F7746310 -:04021D001FF0079334 -:04021E0000F51D23A7 -:04021F0000E557831C -:040220005A00071366 -:0402210002C787B3D6 -:0402220000F754632A -:040223005A000793E3 -:0402240001E54703A6 -:0402250000F51723A6 -:040226000165578394 -:040227007713C7057D -:04022800E30D0016CC -:0402290087B3820510 -:04022A000F2302C7D5 -:04022B001B2300058C -:04022C00808200F5D7 -:04022D000FF7F7933D -:04022E00BF0D4701B8 -:04022F000FF7F7933B -:04023000BF71470152 -:0402310002C7863347 -:0402320000C51B23C5 -:0402330067698082F5 -:040234008E470713D7 -:04023500E793431CEC -:04023600C31C0807D6 -:040237000002173773 -:040238000EF720237A -:0402390067698082EF -:04023A0084C707135B -:04023B0000C757831E -:04023C00012756033D -:04023D0016FD6685BF -:04023E008FF5715D6A -:04023F00C4A6C6A2E9 -:0402400007B28E75FE -:0402410046038FD110 -:04024200033701C7B6 -:04024300137D010026 -:04024400F7B30662A4 -:040245008FD10067EE -:0402460000E7560374 -:0402470001875503D3 -:040248007613D03E1B -:0402490075137FF6B4 -:04024A0006521FF544 -:04024B0045038E4990 -:04024C00578301E7EC -:04024D00458301A73D -:04024E00057E01D751 -:04024F00DE328E49C4 -:0402500000C786134A -:04025100F793962E5B -:0402520089BD1FF74C -:040253007FF67613A9 -:040254008FCD0792B1 -:040255008E5D06367E -:04025600879367E93A -:04025700C583838751 -:0402580089BD002735 -:040259008E4D05E2DF -:04025A000037C58321 -:04025B008E4D05F2CD -:04025C006669D232CB -:04025D008786061377 -:04025E0000C65583FE -:04025F00012655031C -:04026000016653835D -:040261008D758DF515 -:040262008DC905B28B -:0402630001C6450388 -:040264000065F5B389 -:040265007FF3F3939D -:040266008DC90562D7 -:0402670001865503B4 -:04026800428303A624 -:04026900751301D632 -:04026A00E3B31FF5E6 -:04026B00550300A394 -:04026C00D42E00E6A6 -:04026D0001A655830E -:04026E0001E646035C -:04026F007FF575138F -:04027000E53305521B -:04027100067E00A362 -:04027200D6328E49A9 -:0402730000C7D603E7 -:040274001FF5F593EA -:04027500F293059269 -:04027600761300F209 -:04027700E2B37FF679 -:0402780006360055F1 -:0402790000C2E2B32A -:04027A000062F633F5 -:04027B00D603C0B234 -:04027C00D58300A77F -:04027D00636500872E -:04027E007FF676137E -:04027F0006328DF5C1 -:0402800095838E4D87 -:0402810005DE00672F -:04028200D8328E4D93 -:040283000007858368 -:0402840000178603D6 -:0402850000E7C503C6 -:04028600D603C432A5 -:04028700052A0047FD -:040288003FF67613B4 -:04028900C5038E49D2 -:04028A0047A200F790 -:04028B0003F57513EF -:04028C008D51054A41 -:04028D0000F5F6136F -:04028E008E4906622D -:04028F00051307F25A -:040290008FD1FAC34D -:040291004783DA3E87 -:0402920046030425F6 -:040293008B9D041526 -:0402940007928A3D06 -:0402950046038FD1BC -:040296008A7D043524 -:040297008FD1061EDF -:0402980047838EFD0D -:0402990006130495AF -:04029A00C232FAC3AF -:04029B0016079E6341 -:04029C00023747835B -:04029D008B8587893D -:04029E008FD507BA37 -:04029F0047A2DC3E58 -:0402A000008147038F -:0402A10000F04363C3 -:0402A200666947053D -:0402A3000187179325 -:0402A4008EE600A33F -:0402A500769387E1E4 -:0402A60054630FF797 -:0402A700F69300B01A -:0402A80067690FF57E -:0402A9008ED70023C9 -:0402AA0047034712AD -:0402AB00C03A02E76C -:0402AC00470D4602B2 -:0402AD0000E61863EC -:0402AE0047034712A9 -:0402AF00739303F74B -:0402B000E31900F757 -:0402B10000F6F393CD -:0402B200468D46022D -:0402B3000153971349 -:0402B40010D61E63DF -:0402B500C683469224 -:0402B600896304064E -:0402B700F7931006A3 -:0402B80096930076A3 -:0402B900666501274E -:0402BA0000D764B352 -:0402BB00FAC60693E6 -:0402BC000326C7034B -:0402BD00450245852C -:0402BE008713C63AA2 -:0402BF008705001798 -:0402C00000E595B30D -:0402C100078915FD97 -:0402C2000FF5F7132A -:0402C300C6838785E2 -:0402C40017B3033633 -:0402C500F79300F7B4 -:0402C600F59303F7B2 -:0402C700CE3E03F52F -:0402C80001000337F7 -:0402C900FAC60793D7 -:0402CA000FD57513C4 -:0402CB00CC36CA2E35 -:0402CC000613C83E0F -:0402CD004701FAC625 -:0402CE00478146819D -:0402CF00137D4581D5 -:0402D0004502C2AA77 -:0402D1009293440DB3 -:0402D200136300258D -:0402D30047030A854E -:0402D40005130346C5 -:0402D500893DFFF769 -:0402D60000E03733DA -:0402D7000055153386 -:0402D80000B7173321 -:0402D9008ED98FC962 -:0402DA000067F7B30F -:0402DB0003F6F6939D -:0402DC000585470548 -:0402DD0006054519B4 -:0402DE00FCA595E303 -:0402DF0083A107A24E -:0402E00006E2C31956 -:0402E10087138FD51B -:0402E20046020013BD -:0402E30046858705C0 -:0402E40000E696B3E7 -:0402E500167D16FD6F -:0402E6003FF6F713D5 -:0402E7000FF6761385 -:0402E8005381CA3A3A -:0402E9004581470103 -:0402EA004301428109 -:0402EB004681450102 -:0402EC004602CC32C8 -:0402ED001763440D42 -:0402EE0047420686F7 -:0402EF004603441D61 -:0402F0000713039756 -:0402F1008B3DFFF64C -:0402F20004D4466387 -:0402F300002694133A -:0402F4000087173335 -:0402F50036338D59B6 -:0402F600163300C0FB -:0402F7008DD100D6CF -:0402F8003FF5F59346 -:0402F900A88147058C -:0402FA00B57117FDC6 -:0402FB00BDD58B9D45 -:0402FC0012B34532C2 -:0402FD00E7B300550E -:0402FE00451500F2B0 -:0402FF000067F7B3EA -:04030000F6A599E3E2 -:04030100F535451673 -:04030200C319476272 -:04030300B78D46F27A -:04030400BFB946D265 -:040305000077173333 -:0403060000676333F6 -:040307000FF373136A -:04030800BF55428516 -:040309004F63461DDB -:04030A0044320AD699 -:04030B00002696131F -:04030C0000C41633E0 -:04030D0046428D5186 -:04030E0003910685CC -:04030F00C8320605E5 -:0403100098E34629FF -:040311008663F6C643 -:04031200F69300025C -:04031300E4B3F0045B -:04031400CB090066AB -:04031500FFFC0737AB -:040316000FF70713C3 -:040317008F6505A247 -:0403180000B764B313 -:0403190046924712AF -:04031A00030747038B -:04031B000316C6837C -:04031C00069E071A18 -:04031D000407771347 -:04031E00D6938F558E -:04031F00F6930187C9 -:040320008F5503F6FC -:04032100076207A2C6 -:040322008FD983A14B -:0403230046854712B2 -:0403240002D74703B2 -:0403250000D7136387 -:04032600EB1147226E -:04032700C1000737D3 -:040328008FF9177DB5 -:04032900FFFC073797 -:04032A000FF70713AF -:04032B0056828CF971 -:04032C00000227376D -:04032D00C754443637 -:04032E00CB1456F2A4 -:04032F00CB545692C3 -:04033000CF1456A2EE -:04033100CF5456B29D -:04033200D314468614 -:04033300D35456C287 -:04033400D71456D2B2 -:04033500D75456E261 -:04033600DB48DB1CA9 -:0403370044A6DF04F5 -:0403380080826161FD -:040339004285463281 -:04033A000076163300 -:04033B0000666333C2 -:04033C0073134625CC -:04033D0090E30FF347 -:04033E004662F4C659 -:04033F006CE3440522 -:0403400045D2F2C4EC -:040341001151B5C5DC -:040342001437C22288 -:04034300C0260002CE -:0403440084AA460140 -:0403450004C0059358 -:040346000404051393 -:04034700F0EFC40609 -:04034800F593FA6FC0 -:0403490046010FF466 -:04034A00040405138F -:04034B00FD2FF0EFA3 -:04034C0004C0059351 -:04034D00040405138C -:04034E00F0EF460581 -:04034F000513F8AFEB -:04035000441204044B -:04035100448240A200 -:0403520001314585AB -:04035300F98FF06FBF -:04035400C42211416D -:040355000002143757 -:04035600C02AC226D1 -:04035700460184AE29 -:0403580004C0059345 -:040359000404051380 -:04035A00F0EFC606F4 -:04035B004782F5AF31 -:04035C00051346013E -:04035D00F59304040C -:04035E00F0EF0FF7B6 -:04035F000513F84F3B -:04036000442204042B -:0403610085A640B27B -:040362004605449276 -:04036300F06F0141F5 -:040364001151F70F2D -:04036500C406451174 -:0403660040A237BDBD -:040367000045659355 -:040368000FF5F59305 -:040369000131451108 -:04036A001151B76511 -:04036B004581C222E4 -:04036C00453D842A5D -:04036D003F69C4061A -:04036E000C10051357 -:04036F00759337A9A2 -:040370008DC10FE547 -:040371000C10051354 -:040372004412376199 -:04037300458D40A2D2 -:040374000C60051301 -:04037500BFAD0131E6 -:04037600C22211513D -:040377000002143735 -:040378004601C02654 -:04037900059384AABA -:04037A00051304A0C3 -:04037B00C4060404AC -:04037C00ED4FF0EF62 -:04037D00460185A60A -:04037E00040405135B -:04037F00F02FF0EF7C -:0403800004A005933D -:040381000404051358 -:04038200F0EF46054D -:040383000513EBAFC4 -:040384004412040417 -:04038500448240A2CC -:040386000131458577 -:04038700EC8FF06F98 -:04038800C422114139 -:040389000002143723 -:04038A00C02AC2269D -:04038B00460184AEF5 -:04038C0004A0059331 -:04038D00040405134C -:04038E00F0EFC606C0 -:04038F004782E8AF0A -:04039000051346010A -:0403910085BE04041D -:04039200EB6FF0EF2E -:040393000404051346 -:0403940040B244220D -:04039500449285A663 -:0403960001414605D6 -:04039700EA2FF06FEA -:04039800C422114129 -:040399000002143713 -:04039A00C02AC2268D -:04039B00460184AEE5 -:04039C0002C0059303 -:04039D00040405133C -:04039E00F0EFC606B0 -:04039F004782E4AFFE -:0403A00005134601FA -:0403A10085BE04040D -:0403A200E76FF0EF22 -:0403A3000404051336 -:0403A40040B24422FD -:0403A500449285A653 -:0403A60001414605C6 -:0403A700E62FF06FDE -:0403A800C22211510B -:0403A9000002143703 -:0403AA004601C02622 -:0403AB00059384AA88 -:0403AC00051305C070 -:0403AD00C40604047A -:0403AE00E0CFF0EFBD -:0403AF000FF4F593BF -:0403B00005134605E6 -:0403B100F0EF040461 -:0403B2000593E38F3D -:0403B300051305C069 -:0403B40046050404F2 -:0403B500DF0FF0EF77 -:0403B6000404051323 -:0403B70040A244120A -:0403B80045854482B1 -:0403B900F06F0131AF -:0403BA001141DFEF1F -:0403BB001437C4220D -:0403BC00C226000253 -:0403BD0084AEC02A20 -:0403BE00059346015C -:0403BF00051305C05D -:0403C000C606040465 -:0403C100DC0FF0EF6E -:0403C2004601478227 -:0403C3000404051316 -:0403C4000FF7F593A7 -:0403C500DEAFF0EFC8 -:0403C6000404051313 -:0403C70040B24422DA -:0403C800449285A630 -:0403C90001414605A3 -:0403CA00DD6FF06F84 -:0403CB0067E911517C -:0403CC00C22266E9FA -:0403CD008623C406B9 -:0403CE00879386A7E4 -:0403CF00C7838386D7 -:0403D000842A002754 -:0403D1008386869306 -:0403D2008537CB851B -:0403D3000785000595 -:0403D400E405051324 -:0403D50002F54733B3 -:0403D6008593658D19 -:0403D7000533BF2506 -:0403D80057B302B461 -:0403D900753302E591 -:0403DA00F79302E5AE -:0403DB0005160FF7FD -:0403DC0002E55533AE -:0403DD000FF5741391 -:0403DE0081A34511A1 -:0403DF00370D00F6E0 -:0403E000040E891D61 -:0403E10075938C493B -:0403E20044120FF4BE -:0403E300451140A2DE -:0403E400BFA1013183 -:0403E500C4061151E8 -:0403E6004783C22265 -:0403E700842A0065FF -:0403E8009593456D37 -:0403E9008DDD00475F -:0403EA000FF5F59383 -:0403EB0045833F3DCA -:0403EC0045710064F3 -:0403ED0045833F1DE8 -:0403EE004529003469 -:0403EF004583373DCE -:0403F000452500445B -:0403F1004583371DEC -:0403F200452100544D -:0403F30045833F39C6 -:0403F4004535000487 -:0403F50045833F19E4 -:0403F6004531001479 -:0403F70045833739CA -:0403F8004412002487 -:0403F900452D40A2AC -:0403FA00B701013115 -:0403FB0000A5558381 -:0403FC00C2221151B7 -:0403FD00842A81A12C -:0403FE0004B005132F -:0403FF0035F5C40606 -:0404000000A445838C -:0404010004A005133B -:04040200558335CD1C -:04040300051300C419 -:0404040081A104D0FE -:0404050045833DD915 -:04040600051300C416 -:0404070035F104C007 -:0404080000E4558334 -:0404090004F00513E3 -:04040A0035C181A1D6 -:04040B0000E4458341 -:04040C0004E00513F0 -:04040D0055833D5D79 -:04040E00051300448E -:04040F0081A10510B2 -:040410004583356D7E -:04041100051300448B -:040412003545050067 -:0404130000645583A9 -:040414000530051397 -:040415003D5181A133 -:0404160000644583B6 -:0404170005200513A4 -:04041800558335696A -:040419000513008443 -:04041A0081A1055067 -:04041B0045833DBD1B -:04041C000513008440 -:04041D003D950540C4 -:04041E0001045583FD -:04041F00057005134C -:0404200035A581A1DC -:04042100010445830A -:040422000560051359 -:0404230055833DB907 -:040424000513012497 -:0404250081A105901C -:0404260045833D8944 -:040427000513012494 -:0404280035A1058075 -:0404290001445583B2 -:04042A0005B0051301 -:04042B003D3581A139 -:04042C0001444583BF -:04042D0040A2441293 -:04042E0005A005130D -:04042F00B5350131AD -:04043000C222115182 -:040431000513842A01 -:04043200C40603F009 -:0404330075933BD1B1 -:040434008DC10F0562 -:0404350040A244128B -:0404360003F00513B7 -:04043700B5310131A9 -:04043800C22211517A -:040439004569842A63 -:04043A003B5DC4065C -:04043B0003F575133D -:04043C008C49041AC9 -:04043D000FF47593B0 -:04043E0040A2441282 -:04043F0001314569D9 -:040440001151B3EDB6 -:040441001437C22288 -:04044200460100026D -:0404430002C005935B -:040444000404051394 -:04044500F0EFC4060A -:040446004605BAEFBE -:0404470005134585CF -:04044800F0EF0404C9 -:040449000593BDCF8B -:04044A00051302C0D4 -:04044B00460504045A -:04044C00B94FF0EFC5 -:04044D00040405138B -:04044E0040A2441272 -:04044F0001314585AD -:04045000BA4FF06F40 -:04045100C40611517B -:04045200842AC22214 -:0404530075933F5D01 -:04045400040EFE751F -:0404550074138C4D43 -:0404560085A20FF478 -:04045700330945051B -:04045800450985A22B -:0404590085A239F54A -:04045A0040A2441266 -:04045B000131450D19 -:04045C001151B9C5BC -:04045D00C02667E569 -:04045E00A50384AAC4 -:04045F0067E9FB87C7 -:04046000C406C222EA -:0404610084C7879332 -:040462000237C70393 -:04046300019C0437BD -:04046400CC040413AD -:0404650054338B0D74 -:04046600051302A4D4 -:04046700E31935A0C0 -:040468000127D50390 -:04046900C78367E9F5 -:04046A0004338E9732 -:04046B00E8B9028565 -:04046C009D6347093C -:04046D00C7B700E726 -:04046E00879301C9A6 -:04046F00E36337F715 -:0404700044BD008700 -:040471003DED8526B2 -:04047200A805450D87 -:040473003DCD450135 -:04047400C68367E9EB -:0404750087938EB724 -:04047600F793FFC633 -:04047700C7990FB75B -:0404780045014709EA -:0404790000E69B639B -:04047A00A80145058B -:04047B0004C4B537C9 -:04047C003FF5051330 -:04047D00008535338E -:04047E004412050916 -:04047F00448240A2D1 -:04048000B789013106 -:040481009763440930 -:04048200453D00876D -:04048300FAF48DE317 -:04048400BF5545011A -:04048500356D45018B -:0404860070E3450DCD -:040487004795FE9403 -:0404880040978533E1 -:040489000FF57513E3 -:04048A000593BFC94E -:04048B00053302C073 -:04048C0065E502B56B -:04048D00100585933E -:04048E0095AA4645A0 -:04048F000513656587 -:04049000506F02C5E2 -:0404910047A96CA06B -:0404920000F50E6300 -:04049300050A67E10E -:0404940057078793EC -:04049500410C953E43 -:04049600464565650D -:0404970002C5051382 -:040498006AC0506F77 -:04049900859365DD05 -:04049A00B7FDAB45BA -:04049B00CA0611215B -:04049C00C626C82286 -:04049D003E31842A3E -:04049E00051345817C -:04049F003CC90CE068 -:0404A0000A6347891B -:0404A10067E51EF4F9 -:0404A200FC47A683EA -:0404A300879367E5EF -:0404A400C7030BC7B8 -:0404A500C6030397F0 -:0404A600041303A791 -:0404A70047853E80C7 -:0404A8000286D433C1 -:0404A9001563648DE6 -:0404AA00648900F76A -:0404AB0080048493B2 -:0404AC00453D458500 -:0404AD00C23AC43259 -:0404AE003C59C036BF -:0404AF00051345816B -:0404B0003479033068 -:0404B1000084D5935B -:0404B20003400513EB -:0404B30045813451FA -:0404B40003500513D9 -:0404B50075933CB54A -:0404B60005130FF427 -:0404B7003C8D030075 -:0404B80000845593D4 -:0404B9000FF5F593B3 -:0404BA000310051313 -:0404BB00559334958C -:0404BC00F5930104AF -:0404BD0005130FF51F -:0404BE003C99032042 -:0404BF00453D4581F1 -:0404C00045813C81B5 -:0404C1000C500513C3 -:0404C200468234A199 -:0404C300471264E593 -:0404C400F9C487935D -:0404C5004785C7940C -:0404C60084934622B3 -:0404C7004409F9C427 -:0404C80000F70363D3 -:0404C90046854429F7 -:0404CA00008483A384 -:0404CB0000D48223B4 -:0404CC00036347BDC2 -:0404CD00478100D68D -:0404CE0000F482A311 -:0404CF000E00051303 -:0404D0000C00059384 -:0404D100F0EFC03A4E -:0404D2004702A0BF7E -:0404D3000513478541 -:0404D4001D6305900F -:0404D500F0EF10F73D -:0404D60075939B1F60 -:0404D700E5930FC5D5 -:0404D80005130015F3 -:0404D900F0EF0590AB -:0404DA0045119EBF6B -:0404DB0099BFF0EFE6 -:0404DC000EB5759351 -:0404DD00F0EF4511E6 -:0404DE00C5839DBF76 -:0404DF0005130044BD -:0404E000F0EF0E101B -:0404E10005939CFFE4 -:0404E20005130E40B0 -:0404E300F0EF0E2008 -:0404E400C5839C3FF1 -:0404E50005130054A7 -:0404E60089BD0E308E -:0404E7009B5FF0EF38 -:0404E800051345A112 -:0404E900F0EF0E40E2 -:0404EA0045859ABFEB -:0404EB00F0EF453DAC -:0404EC0045A19A3F4D -:0404ED0009100513DA -:0404EE00999FF0EFF3 -:0404EF00051345812B -:0404F000F0EF092000 -:0404F100458598FFA6 -:0404F20009300513B5 -:0404F300985FF0EF2F +:04020B0000F7536342 +:04020C00162387BA74 +:04020D00478300F52E +:04020E00471501454A +:04020F0002B787B3F8 +:0402100002E787B3C7 +:040211000640071389 +:0402120003278793A4 +:0402130002E7C7B384 +:040214000125570366 +:0402150002E585B3C6 +:0402160095BE473D0D +:0402170001D5478343 +:0402180000B51923F1 +:0402190002C787B3DE +:04021A0006F754632C +:04021B00FF1787132F +:04021C000EA347BD29 +:04021D00578300F50E +:04021E0087B301A5FC +:04021F0097BA02C7C1 +:040220001FF00713B1 +:0402210000F774630B +:040222001FF007932F +:0402230000F51D23A2 +:0402240000E5578317 +:040225005A00071361 +:0402260002C787B3D1 +:0402270000F7546325 +:040228005A000793DE +:0402290001E54703A1 +:04022A0000F51723A1 +:04022B00016557838F +:04022C007713C70578 +:04022D00E30D0016C7 +:04022E0087B382050B +:04022F000F2302C7D0 +:040230001B23000587 +:04023100808200F5D2 +:040232000FF7F79338 +:04023300BF0D4701B3 +:040234000FF7F79336 +:04023500BF7147014D +:0402360002C7863342 +:0402370000C51B23C0 +:0402380067698082F0 +:04023900A0C7071340 +:04023A00E793431CE7 +:04023B00C31C0807D1 +:04023C00000217376E +:04023D000EF7202375 +:04023E0067698082EA +:04023F0097470713C3 +:0402400000C7578319 +:040241000127560338 +:0402420016FD6685BA +:040243008FF5715D65 +:04024400C4A6C6A2E4 +:0402450007B28E75F9 +:0402460046038FD10B +:04024700033701C7B1 +:04024800137D010021 +:04024900F7B306629F +:04024A008FD10067E9 +:04024B0000E756036F +:04024C0001875503CE +:04024D007613D03E16 +:04024E0075137FF6AF +:04024F0006521FF53F +:0402500045038E498B +:04025100578301E7E7 +:04025200458301A738 +:04025300057E01D74C +:04025400DE328E49BF +:0402550000C7861345 +:04025600F793962E56 +:0402570089BD1FF747 +:040258007FF67613A4 +:040259008FCD0792AC +:04025A008E5D063679 +:04025B00879367E935 +:04025C00C5839607B9 +:04025D0089BD002730 +:04025E008E4D05E2DA +:04025F000037C5831C +:040260008E4D05F2C8 +:040261006669D232C6 +:040262009A060613DF +:0402630000C65583F9 +:040264000126550317 +:040265000166538358 +:040266008D758DF510 +:040267008DC905B286 +:0402680001C6450383 +:040269000065F5B384 +:04026A007FF3F39398 +:04026B008DC90562D2 +:04026C0001865503AF +:04026D00428303A61F +:04026E00751301D62D +:04026F00E3B31FF5E1 +:04027000550300A38F +:04027100D42E00E6A1 +:0402720001A6558309 +:0402730001E6460357 +:040274007FF575138A +:04027500E533055216 +:04027600067E00A35D +:04027700D6328E49A4 +:0402780000C7D603E2 +:040279001FF5F593E5 +:04027A00F293059264 +:04027B00761300F204 +:04027C00E2B37FF674 +:04027D0006360055EC +:04027E0000C2E2B325 +:04027F000062F633F0 +:04028000D603C0B22F +:04028100D58300A77A +:040282006365008729 +:040283007FF6761379 +:0402840006328DF5BC +:0402850095838E4D82 +:0402860005DE00672A +:04028700D8328E4D8E +:040288000007858363 +:0402890000178603D1 +:04028A0000E7C503C1 +:04028B00D603C432A0 +:04028C00052A0047F8 +:04028D003FF67613AF +:04028E00C5038E49CD +:04028F0047A200F78B +:0402900003F57513EA +:040291008D51054A3C +:0402920000F5F6136A +:040293008E49066228 +:04029400051307F255 +:040295008FD10D43B5 +:040296004783DA3E82 +:0402970046030425F1 +:040298008B9D041521 +:0402990007928A3D01 +:04029A0046038FD1B7 +:04029B008A7D04351F +:04029C008FD1061EDA +:04029D0047838EFD08 +:04029E0006130495AA +:04029F00C2320D4317 +:0402A00016079E633C +:0402A1000237478356 +:0402A2008B85878938 +:0402A3008FD507BA32 +:0402A40047A2DC3E53 +:0402A500008147038A +:0402A60000F04363BE +:0402A7006669470538 +:0402A8000187179320 +:0402A900A0E604A324 +:0402AA00769387E1DF +:0402AB0054630FF792 +:0402AC00F69300B015 +:0402AD0067690FF579 +:0402AE00A0D70423AE +:0402AF0047034712A8 +:0402B000C03A02E767 +:0402B100470D4602AD +:0402B20000E61863E7 +:0402B30047034712A4 +:0402B400739303F746 +:0402B500E31900F752 +:0402B60000F6F393C8 +:0402B700468D460228 +:0402B8000153971344 +:0402B90010D61E63DA +:0402BA00C68346921F +:0402BB008963040649 +:0402BC00F79310069E +:0402BD00969300769E +:0402BE006665012749 +:0402BF0000D764B34D +:0402C0000D4606934E +:0402C1000326C70346 +:0402C2004502458527 +:0402C3008713C63A9D +:0402C4008705001793 +:0402C50000E595B308 +:0402C600078915FD92 +:0402C7000FF5F71325 +:0402C800C6838785DD +:0402C90017B303362E +:0402CA00F79300F7AF +:0402CB00F59303F7AD +:0402CC00CE3E03F52A +:0402CD0001000337F2 +:0402CE000D4607933F +:0402CF000FD57513BF +:0402D000CC36CA2E30 +:0402D1000613C83E0A +:0402D20047010D468D +:0402D3004781468198 +:0402D400137D4581D0 +:0402D5004502C2AA72 +:0402D6009293440DAE +:0402D7001363002588 +:0402D80047030A8549 +:0402D90005130346C0 +:0402DA00893DFFF764 +:0402DB0000E03733D5 +:0402DC000055153381 +:0402DD0000B717331C +:0402DE008ED98FC95D +:0402DF000067F7B30A +:0402E00003F6F69398 +:0402E1000585470543 +:0402E20006054519AF +:0402E300FCA595E3FE +:0402E40083A107A249 +:0402E50006E2C31951 +:0402E60087138FD516 +:0402E70046020013B8 +:0402E80046858705BB +:0402E90000E696B3E2 +:0402EA00167D16FD6A +:0402EB003FF6F713D0 +:0402EC000FF6761380 +:0402ED005381CA3A35 +:0402EE0045814701FE +:0402EF004301428104 +:0402F00046814501FD +:0402F1004602CC32C3 +:0402F2001763440D3D +:0402F30047420686F2 +:0402F4004603441D5C +:0402F5000713039751 +:0402F6008B3DFFF647 +:0402F70004D4466382 +:0402F8000026941335 +:0402F9000087173330 +:0402FA0036338D59B1 +:0402FB00163300C0F6 +:0402FC008DD100D6CA +:0402FD003FF5F59341 +:0402FE00A881470587 +:0402FF00B57117FDC1 +:04030000BDD58B9D3F +:0403010012B34532BC +:04030200E7B3005508 +:04030300451500F2AA +:040304000067F7B3E4 +:04030500F6A599E3DD +:04030600F53545166E +:04030700C31947626D +:04030800B78D46F275 +:04030900BFB946D260 +:04030A00007717332E +:04030B0000676333F1 +:04030C000FF3731365 +:04030D00BF55428511 +:04030E004F63461DD6 +:04030F0044320AD694 +:04031000002696131A +:0403110000C41633DB +:0403120046428D5181 +:0403130003910685C7 +:04031400C8320605E0 +:0403150098E34629FA +:040316008663F6C63E +:04031700F693000257 +:04031800E4B3F00456 +:04031900CB090066A6 +:04031A00FFFC0737A6 +:04031B000FF70713BE +:04031C008F6505A242 +:04031D0000B764B30E +:04031E0046924712AA +:04031F000307470386 +:040320000316C68377 +:04032100069E071A13 +:040322000407771342 +:04032300D6938F5589 +:04032400F6930187C4 +:040325008F5503F6F7 +:04032600076207A2C1 +:040327008FD983A146 +:0403280046854712AD +:0403290002D74703AD +:04032A0000D7136382 +:04032B00EB11472269 +:04032C00C1000737CE +:04032D008FF9177DB0 +:04032E00FFFC073792 +:04032F000FF70713AA +:0403300056828CF96C +:040331000002273768 +:04033200C754443632 +:04033300CB1456F29F +:04033400CB545692BE +:04033500CF1456A2E9 +:04033600CF5456B298 +:04033700D31446860F +:04033800D35456C282 +:04033900D71456D2AD +:04033A00D75456E25C +:04033B00DB48DB1CA4 +:04033C0044A6DF04F0 +:04033D0080826161F8 +:04033E00428546327C +:04033F0000761633FB +:0403400000666333BD +:0403410073134625C7 +:0403420090E30FF342 +:040343004662F4C654 +:040344006CE344051D +:0403450045D2F2C4E7 +:040346001151B5C5D7 +:040347001437C22283 +:04034800C0260002C9 +:0403490084AA46013B +:04034A0004C0059353 +:04034B00040405138E +:04034C00F0EFC40604 +:04034D00F593F92FFC +:04034E0046010FF461 +:04034F00040405138A +:04035000FBEFF0EFE0 +:0403510004C005934C +:040352000404051387 +:04035300F0EF46057C +:040354000513F76F27 +:040355004412040446 +:04035600448240A2FB +:0403570001314585A6 +:04035800F84FF06FFB +:04035900C422114168 +:04035A000002143752 +:04035B00C02AC226CC +:04035C00460184AE24 +:04035D0004C0059340 +:04035E00040405137B +:04035F00F0EFC606EF +:040360004782F46F6D +:040361000513460139 +:04036200F593040407 +:04036300F0EF0FF7B1 +:040364000513F70F77 +:040365004422040426 +:0403660085A640B276 +:040367004605449271 +:04036800F06F0141F0 +:040369001151F5CF6A +:04036A00C40645116F +:04036B0040A237BDB8 +:04036C000045659350 +:04036D000FF5F59300 +:04036E000131451103 +:04036F001151B7650C +:040370004581C222DF +:04037100453D842A58 +:040372003F69C40615 +:040373000C10051352 +:04037400759337A99D +:040375008DC10FE542 +:040376000C1005134F +:040377004412376194 +:04037800458D40A2CD +:040379000C600513FC +:04037A00BFAD0131E1 +:04037B00C222115138 +:04037C000002143730 +:04037D004601C0264F +:04037E00059384AAB5 +:04037F00051304A0BE +:04038000C4060404A7 +:04038100EC0FF0EF9E +:04038200460185A605 +:040383000404051356 +:04038400EEEFF0EFB9 +:0403850004A0059338 +:040386000404051353 +:04038700F0EF460548 +:040388000513EA6F00 +:040389004412040412 +:04038A00448240A2C7 +:04038B000131458572 +:04038C00EB4FF06FD4 +:04038D00C422114134 +:04038E00000214371E +:04038F00C02AC22698 +:04039000460184AEF0 +:0403910004A005932C +:040392000404051347 +:04039300F0EFC606BB +:040394004782E76F46 +:040395000513460105 +:0403960085BE040418 +:04039700EA2FF0EF6A +:040398000404051341 +:0403990040B2442208 +:04039A00449285A65E +:04039B0001414605D1 +:04039C00E8EFF06F27 +:04039D00C422114124 +:04039E00000214370E +:04039F00C02AC22688 +:0403A000460184AEE0 +:0403A10002C00593FE +:0403A2000404051337 +:0403A300F0EFC606AB +:0403A4004782E36F3A +:0403A50005134601F5 +:0403A60085BE040408 +:0403A700E62FF0EF5E +:0403A8000404051331 +:0403A90040B24422F8 +:0403AA00449285A64E +:0403AB0001414605C1 +:0403AC00E4EFF06F1B +:0403AD00C222115106 +:0403AE0000021437FE +:0403AF004601C0261D +:0403B000059384AA83 +:0403B100051305C06B +:0403B200C406040475 +:0403B300DF8FF0EFF9 +:0403B4000FF4F593BA +:0403B50005134605E1 +:0403B600F0EF04045C +:0403B7000593E24F79 +:0403B800051305C064 +:0403B90046050404ED +:0403BA00DDCFF0EFB4 +:0403BB00040405131E +:0403BC0040A2441205 +:0403BD0045854482AC +:0403BE00F06F0131AA +:0403BF001141DEAF5B +:0403C0001437C42208 +:0403C100C22600024E +:0403C20084AEC02A1B +:0403C3000593460157 +:0403C400051305C058 +:0403C500C606040460 +:0403C600DACFF0EFAB +:0403C7004601478222 +:0403C8000404051311 +:0403C9000FF7F593A2 +:0403CA00DD6FF0EF04 +:0403CB00040405130E +:0403CC0040B24422D5 +:0403CD00449285A62B +:0403CE00014146059E +:0403CF00DC2FF06FC0 +:0403D00067E9115177 +:0403D100C22266E9F5 +:0403D2008A23C406B0 +:0403D300879398A7CD +:0403D400C78396063F +:0403D500842A00274F +:0403D600960686936E +:0403D7008537CB8516 +:0403D8000785000590 +:0403D900E40505131F +:0403DA0002F54733AE +:0403DB008593658D14 +:0403DC000533BF2501 +:0403DD0057B302B45C +:0403DE00753302E58C +:0403DF00F79302E5A9 +:0403E00005160FF7F8 +:0403E10002E55533A9 +:0403E2000FF574138C +:0403E30081A345119C +:0403E400370D00F6DB +:0403E500040E891D5C +:0403E60075938C4936 +:0403E70044120FF4B9 +:0403E800451140A2D9 +:0403E900BFA101317E +:0403EA00C4061151E3 +:0403EB004783C22260 +:0403EC00842A0065FA +:0403ED009593456D32 +:0403EE008DDD00475A +:0403EF000FF5F5937E +:0403F00045833F3DC5 +:0403F10045710064EE +:0403F20045833F1DE3 +:0403F3004529003464 +:0403F4004583373DC9 +:0403F5004525004456 +:0403F6004583371DE7 +:0403F7004521005448 +:0403F80045833F39C1 +:0403F9004535000482 +:0403FA0045833F19DF +:0403FB004531001474 +:0403FC0045833739C5 +:0403FD004412002482 +:0403FE00452D40A2A7 +:0403FF00B701013110 +:0404000000A555837B +:04040100C2221151B1 +:04040200842A81A126 +:0404030004B0051329 +:0404040035F5C40600 +:0404050000A4458387 +:0404060004A0051336 +:04040700558335CD17 +:04040800051300C414 +:0404090081A104D0F9 +:04040A0045833DD910 +:04040B00051300C411 +:04040C0035F104C002 +:04040D0000E455832F +:04040E0004F00513DE +:04040F0035C181A1D1 +:0404100000E445833C +:0404110004E00513EB +:0404120055833D5D74 +:040413000513004489 +:0404140081A10510AD +:040415004583356D79 +:040416000513004486 +:040417003545050062 +:0404180000645583A4 +:040419000530051392 +:04041A003D5181A12E +:04041B0000644583B1 +:04041C00052005139F +:04041D005583356965 +:04041E00051300843E +:04041F0081A1055062 +:0404200045833DBD16 +:04042100051300843B +:040422003D950540BF +:0404230001045583F8 +:040424000570051347 +:0404250035A581A1D7 +:040426000104458305 +:040427000560051354 +:0404280055833DB902 +:040429000513012492 +:04042A0081A1059017 +:04042B0045833D893F +:04042C00051301248F +:04042D0035A1058070 +:04042E0001445583AD +:04042F0005B00513FC +:040430003D3581A134 +:0404310001444583BA +:0404320040A244128E +:0404330005A0051308 +:04043400B5350131A8 +:04043500C22211517D +:040436000513842AFC +:04043700C40603F004 +:0404380075933BD1AC +:040439008DC10F055D +:04043A0040A2441286 +:04043B0003F00513B2 +:04043C00B5310131A4 +:04043D00C222115175 +:04043E004569842A5E +:04043F003B5DC40657 +:0404400003F5751338 +:040441008C49041AC4 +:040442000FF47593AB +:0404430040A244127D +:0404440001314569D4 +:040445001151B3EDB1 +:040446001437C22283 +:040447004601000268 +:0404480002C0059356 +:04044900040405138F +:04044A00F0EFC40605 +:04044B004605B9AFFA +:04044C0005134585CA +:04044D00F0EF0404C4 +:04044E000593BC8FC7 +:04044F00051302C0CF +:040450004605040455 +:04045100B80FF0EF01 +:040452000404051386 +:0404530040A244126D +:0404540001314585A8 +:04045500B90FF06F7C +:04045600C406115176 +:04045700842AC2220F +:0404580075933F5DFC +:04045900040EFE751A +:04045A0074138C4D3E +:04045B0085A20FF473 +:04045C003309450516 +:04045D00450985A226 +:04045E0085A239F545 +:04045F0040A2441261 +:040460000131450D14 +:040461001151B9C5B7 +:04046200C02667E564 +:04046300A50384AABF +:0404640067E90E072F +:04046500C406C222E5 +:04046600974787939A +:040467000237C7038E +:04046800019C0437B8 +:04046900CC040413A8 +:04046A0054338B0D6F +:04046B00051302A4CF +:04046C00E31935A0BB +:04046D000127D5038B +:04046E00C78367E9F0 +:04046F000433A1179A +:04047000E8B9028560 +:040471009D63470937 +:04047200C7B700E721 +:04047300879301C9A1 +:04047400E36337F710 +:0404750044BD0087FB +:040476003DED8526AD +:04047700A805450D82 +:040478003DCD450130 +:04047900C68367E9E6 +:04047A008793A1378C +:04047B00F793FFC62E +:04047C00C7990FB756 +:04047D0045014709E5 +:04047E0000E69B6396 +:04047F00A801450586 +:0404800004C4B537C4 +:040481003FF505132B +:040482000085353389 +:040483004412050911 +:04048400448240A2CC +:04048500B789013101 +:04048600976344092B +:04048700453D008768 +:04048800FAF48DE312 +:04048900BF55450115 +:04048A00356D450186 +:04048B0070E3450DC8 +:04048C004795FE94FE +:04048D0040978533DC +:04048E000FF57513DE +:04048F000593BFC949 +:04049000053302C06E +:0404910065E502B566 +:0404920022858593A7 +:0404930095AA46459B +:040494000513656582 +:04049500506F15454A +:0404960047A97A6098 +:0404970000F50E63FB +:04049800050A67E109 +:0404990067078793D7 +:04049A00410C953E3E +:04049B004645656508 +:04049C0015450513EA +:04049D007880506FA4 +:04049E00859365DD00 +:04049F00B7FDBA45A6 +:0404A000CA06112156 +:0404A100C626C82281 +:0404A2003E31842A39 +:0404A3000513458177 +:0404A4003CC90CE063 +:0404A5000A63478916 +:0404A60067E51EF4F4 +:0404A7000EC7A68353 +:0404A800879367E5EA +:0404A900C7031E4720 +:0404AA00C6030397EB +:0404AB00041303A78C +:0404AC0047853E80C2 +:0404AD000286D433BC +:0404AE001563648DE1 +:0404AF00648900F765 +:0404B00080048493AD +:0404B100453D4585FB +:0404B200C23AC43254 +:0404B3003C59C036BA +:0404B4000513458166 +:0404B5003479033063 +:0404B6000084D59356 +:0404B70003400513E6 +:0404B80045813451F5 +:0404B90003500513D4 +:0404BA0075933CB545 +:0404BB0005130FF422 +:0404BC003C8D030070 +:0404BD0000845593CF +:0404BE000FF5F593AE +:0404BF00031005130E +:0404C0005593349587 +:0404C100F5930104AA +:0404C20005130FF51A +:0404C3003C9903203D +:0404C400453D4581EC +:0404C50045813C81B0 +:0404C6000C500513BE +:0404C700468234A194 +:0404C800471264E58E +:0404C9000C448793C5 +:0404CA004785C79407 +:0404CB0084934622AE +:0404CC0044090C448F +:0404CD0000F70363CE +:0404CE0046854429F2 +:0404CF00008483A37F +:0404D00000D48223AF +:0404D100036347BDBD +:0404D200478100D688 +:0404D30000F482A30C +:0404D4000E000513FE +:0404D5000C0005937F +:0404D600F0EFC03A49 +:0404D7004702A0BF79 +:0404D800051347853C +:0404D9001D6305900A +:0404DA00F0EF10F738 +:0404DB0075939B1F5B +:0404DC00E5930FC5D0 +:0404DD0005130015EE +:0404DE00F0EF0590A6 +:0404DF0045119EBF66 +:0404E00099BFF0EFE1 +:0404E1000EB575934C +:0404E200F0EF4511E1 +:0404E300C5839DBF71 +:0404E40005130044B8 +:0404E500F0EF0E1016 +:0404E60005939CFFDF +:0404E70005130E40AB +:0404E800F0EF0E2003 +:0404E900C5839C3FEC +:0404EA0005130054A2 +:0404EB0089BD0E3089 +:0404EC009B5FF0EF33 +:0404ED00051345A10D +:0404EE00F0EF0E40DD +:0404EF0045859ABFE6 +:0404F000F0EF453DA7 +:0404F10045A19A3F48 +:0404F20009100513D5 +:0404F300999FF0EFEE :0404F4000513458126 -:0404F500F0EF0940DB -:0404F60085A297BF85 -:0404F7000980051360 -:0404F800971FF0EF6B -:0404F9000FF4459324 -:0404FA00E5930592EF -:0404FB00F59300B5C0 -:0404FC0005130FB520 -:0404FD00F0EF099083 -:0404FE00458195BFE0 -:0404FF00F0EF453D98 -:040500000593953F8B -:0405010005130C10C2 -:04050200F0EF0E0008 -:040503000793947F47 -:040504008323FC1041 -:04050500458500F434 -:04050600F0EF453D90 -:040507004585937F14 -:040508000680051351 -:0405090092DFF0EF9E -:04050A00051345810F -:04050B00F0EF069077 -:04050C004581923F54 -:04050D0006B005131C -:04050E00919FF0EFDA +:0404F500F0EF0920FB +:0404F600458598FFA1 +:0404F70009300513B0 +:0404F800985FF0EF2A +:0404F9000513458121 +:0404FA00F0EF0940D6 +:0404FB0085A297BF80 +:0404FC00098005135B +:0404FD00971FF0EF66 +:0404FE000FF445931F +:0404FF00E5930592EA +:04050000F59300B5BA +:0405010005130FB51A +:04050200F0EF09907D +:04050300458195BFDA +:04050400F0EF453D92 +:040505000593953F86 +:0405060005130C10BD +:04050700F0EF0E0003 +:040508000793947F42 +:040509008323FC103C +:04050A00458500F42F +:04050B00F0EF453D8B +:04050C004585937F0F +:04050D00068005134C +:04050E0092DFF0EF99 :04050F00051345810A -:04051000F0EF06C042 -:04051100059390FFBF -:0405120005130700C6 -:04051300F0EF06D02F -:040514004581903F4E -:04051500F0EF453D81 -:0405160044428FBF0D -:0405170044B240D2D8 -:040518000513458DF5 -:0405190001610CE090 -:04051A008E9FF06F51 -:04051B0089BFF0EFB5 -:04051C000FC57593FF -:04051D0040D2B5FD16 -:04051E0044B244425D -:04051F008082016174 -:04052000FDC1011305 -:04052100CE22D00610 -:040522004709CC2693 -:040523009C6387AAA4 -:04052400071310E5C4 -:0405250005A3050025 -:04052600470300E1A6 -:04052700141300B1F8 -:0405280005930076C1 -:0405290064130480D3 -:04052A00F4930084C2 -:04052B00671300361C -:04052C00062300277B -:04052D00741300B192 -:04052E0045850FF4FC -:04052F000723453D1C -:04053000C03E00F1D8 -:0405310000E105A33D -:0405320006A3C23A20 -:0405330007A3008199 -:04053400C802009168 -:04053500F0EFCA0217 -:04053600471287BF22 -:040537000580051323 -:04053800F0EF85BAA1 -:04053900059386FFA1 -:04053A000513048021 -:04053B00F0EF059048 -:04053C0085A2863FCF -:04053D0005A00513FD -:04053E00859FF0EFB6 -:04053F0005134782D7 -:0405400085BE05B0BF -:0405410084DFF0EF74 -:04054200051385A672 -:04054300F0EF05C010 -:040544004581843F2A -:0405450005E00513B5 -:04054600839FF0EFB0 -:0405470005134581D2 -:04054800F0EF05F0DB -:04054900458182FF67 -:04054A00060005138F -:04054B00825FF0EFEC +:04051000F0EF069072 +:040511004581923F4F +:0405120006B0051317 +:04051300919FF0EFD5 +:040514000513458105 +:04051500F0EF06C03D +:04051600059390FFBA +:0405170005130700C1 +:04051800F0EF06D02A +:040519004581903F49 +:04051A00F0EF453D7C +:04051B0044428FBF08 +:04051C0044B240D2D3 +:04051D000513458DF0 +:04051E0001610CE08B +:04051F008E9FF06F4C +:0405200089BFF0EFB0 +:040521000FC57593FA +:0405220040D2B5FD11 +:0405230044B2444258 +:04052400808201616F +:04052500FDC1011300 +:04052600CE22D0060B +:040527004709CC268E +:040528009C6387AA9F +:04052900071310E5BF +:04052A0005A3050020 +:04052B00470300E1A1 +:04052C00141300B1F3 +:04052D0005930076BC +:04052E0064130480CE +:04052F00F4930084BD +:040530006713003617 +:040531000623002776 +:04053200741300B18D +:0405330045850FF4F7 +:040534000723453D17 +:04053500C03E00F1D3 +:0405360000E105A338 +:0405370006A3C23A1B +:0405380007A3008194 +:04053900C802009163 +:04053A00F0EFCA0212 +:04053B00471287BF1D +:04053C00058005131E +:04053D00F0EF85BA9C +:04053E00059386FF9C +:04053F00051304801C +:04054000F0EF059043 +:0405410085A2863FCA +:0405420005A00513F8 +:04054300859FF0EFB1 +:0405440005134782D2 +:0405450085BE05B0BA +:0405460084DFF0EF6F +:04054700051385A66D +:04054800F0EF05C00B +:040549004581843F25 +:04054A0005E00513B0 +:04054B00839FF0EFAB :04054C0005134581CD -:04054D00F0EF0610B5 -:04054E00458181BFA3 -:04054F00062005136A -:04055000811FF0EF28 +:04054D00F0EF05F0D6 +:04054E00458182FF62 +:04054F00060005138A +:04055000825FF0EFE7 :0405510005134581C8 -:04055200F0EF063090 -:040553004581807FDF -:040554000640051345 -:04055500FFCFF0EFF5 +:04055200F0EF0610B0 +:04055300458181BF9E +:040554000620051365 +:04055500811FF0EF23 :0405560005134581C3 -:04055700F0EF06506B -:04055800003CFF2F35 -:040559000151071332 -:04055A00C68345818E -:04055B0007850037D9 -:04055C00F5938D95F1 -:04055D001AE30FF599 -:04055E008593FEF78C -:04055F00F59306F515 -:0405600005130FF57B -:04056100F0EF05D0E2 -:040562004581FCAF24 -:04056300F0EF453D33 -:040564004472FC2FB2 -:0405650044E250829A -:040566000513458DA7 -:0405670001130CD0A0 -:04056800F06F0241ED -:040569004741FAEF1D -:04056A001111B5FDB9 -:04056B00842ACA22F2 -:04056C00CC0645056F -:04056D00F0EFC826BD -:04056E004511FF4FE5 -:04056F00F4AFF0EF06 -:04057000008565930A -:040571000FF5F593FA -:04057200F0EF451150 -:040573000593F86F85 -:040574000513030068 -:04057500F0EF06108D -:040576004581F7AF15 -:040577000CD005138C -:04057800F70FF0EF9A -:04057900871367E598 -:04057A004483FAC7F5 -:04057B00879301570A -:04057C004709FAC76A -:04057D0034B3C23E93 -:04057E00478500901D -:04057F000485C03AF5 -:0405800000F403631D -:0405810045F5C0027A -:04058200F0EF451140 -:0405830066E5F46FC6 -:04058400FFE40793F6 -:04058500F9C686131A -:0405860000F037B397 -:0405870000179713AF -:0405880000C64783DF -:040589008FD99BF576 -:04058A00F9C6871314 -:04058B0000F606234D -:04058C008B89C43A59 -:04058D004505C781D8 -:04058E00F72FF0EF64 -:04058F00051347A267 -:04059000C783070016 -:04059100C63E00372B -:04059200EBEFF0EFAC -:04059300759347B263 -:04059400F713003524 -:04059500C3190087FF -:040596000205E593E2 -:040597000017F7133F -:04059800E593C3190B -:04059900F71301054E -:04059A00C31900275A -:04059B000085E5935F -:04059C00C3998B91E3 -:04059D000045E5939D -:04059E00070005133A -:04059F00ED4FF0EF3D -:0405A00045814782C8 -:0405A10067E5C3B592 -:0405A200F5878793BF -:0405A3000007C58305 -:0405A4000730051304 -:0405A500EBCFF0EFB9 -:0405A600871367E56B -:0405A7004583F5870C -:0405A8000513001720 -:0405A900F0EF074028 -:0405AA0067E5EAAF68 -:0405AB00F5878793B6 -:0405AC000027C583DC -:0405AD0007500513DB -:0405AE00E98FF0EFF2 -:0405AF0047816761B8 -:0405B00059C707130D -:0405B10000F706B396 -:0405B2000006C583F7 -:0405B300076785133E -:0405B400F0EFC03E66 -:0405B5004782E7EFA3 -:0405B60046C967616A -:0405B700071307859A -:0405B80091E359C7AB -:0405B9004589FED79B -:0405BA0007200513FE -:0405BB00F0EFC02E6F -:0405BC004582E18F04 -:0405BD008DC98971EA -:0405BE0007200513FA -:0405BF00E54FF0EF25 -:0405C000458547A284 -:0405C10000C7C78325 -:0405C200E3918B89AD -:0405C3000513458156 -:0405C400F0EF0C0048 -:0405C50045D5E3EF46 -:0405C600F0EF4511FC -:0405C70045C1E36FD8 -:0405C8000610051301 -:0405C900E2CFF0EF9E -:0405CA009663478964 -:0405CB0005930EF492 -:0405CC00051308808B -:0405CD00F0EF062025 -:0405CE0045C1E1AF93 -:0405CF0006300513DA -:0405D000E10FF0EF58 -:0405D1000840059346 -:0405D20006400513C7 -:0405D300E04FF0EF16 -:0405D4003E8005134D -:0405D5009ABFE0EFFA -:0405D600F0EF4511EC -:0405D7007593DACF6F -:0405D800451101D5F3 -:0405D900DECFF0EF92 -:0405DA00051365613F -:0405DB00E0EF6A05DE -:0405DC004511991F0D -:0405DD00D92FF0EF33 -:0405DE0001557593BB -:0405DF00F0EF4511E3 -:0405E0000493DD2F74 -:0405E1004539064052 -:0405E200D7EFF0EF70 -:0405E300E909894158 -:0405E40004C214FD3C -:0405E5003E8005133C -:0405E600E0EF80C101 -:0405E700F4E5965F42 -:0405E80045314581D3 -:0405E900DACFF0EF86 -:0405EA000400059371 -:0405EB00F0EF4535B3 -:0405EC004585DA2F38 -:0405ED00F0EF4539AD -:0405EE004581D9AFBB -:0405EF00F0EF4539AB -:0405F0004581D92F39 -:0405F100F0EF453DA5 -:0405F2004581D8AFB8 -:0405F30006100513D6 -:0405F400D80FF0EF3D -:0405F50006634789C9 -:0405F600479202F432 -:0405F700849364E5A0 -:0405F800C6830BC4E7 -:0405F90067E9014766 -:0405FA008827C50386 -:0405FB0003E4C6034C -:0405FC00008035B393 -:0405FD0031690586D5 -:0405FE0003E4C783C8 -:0405FF000DA34712EF -:04060000852204F754 -:04060100A69FF0EFD1 -:0406020040E244523C -:04060300450144C2A7 -:04060400F06F017121 -:0406050045E1D98F63 -:0406060006200513B2 -:04060700D34FF0EFEE -:04060800051345C1D0 -:04060900F0EF0630D8 -:04060A0045B1D2AF75 -:04060B006789BF310B -:04060C00879311417E -:04060D00C226710789 -:04060E0002F554B3EA -:04060F00C42266C1DA -:040610004401C606D5 -:0406110017B716FD04 -:04061200A703000238 -:0406130067E50D0783 -:0406140004078793BD -:0406150086138F7544 -:04061600D5030347BE -:040617000463000771 -:04061800078902E567 -:04061900FEC79BE39A -:04061A000513650956 -:04061B00C02E710577 -:04061C0088FFE0EF84 -:04061D00040567C1A8 -:04061E0086934582F8 -:04061F0064E3FFF79A -:040620004501FC9400 -:04062100C198A019C3 -:0406220040B2450598 -:040623004492442297 -:04062400808201418E -:0406250001A31141DB -:04062600153700A1E3 -:04062700C4220002E7 -:04062800842E4605D1 -:0406290004050513AC -:04062A000031059303 -:04062B00E0EFC60630 -:04062C008522C9BF9B -:04062D0084BFE0EFB7 -:04062E00442240B270 -:04062F008082014183 -:04063000051311514C -:04063100C4060220D9 -:04063200F0EFC22201 -:040633006593DD6F7F -:040634007413F8053E -:04063500F5930FF535 -:0406360005130FF5A4 -:04063700F0EF0220BE -:040638000513E0CFF7 -:04063900E0EF3E8030 -:04063A007593819F94 -:04063B00051307F4A8 +:04055700F0EF06308B +:040558004581807FDA +:040559000640051340 +:04055A00FFCFF0EFF0 +:04055B0005134581BE +:04055C00F0EF065066 +:04055D00003CFF2F30 +:04055E00015107132D +:04055F00C683458189 +:0405600007850037D4 +:04056100F5938D95EC +:040562001AE30FF594 +:040563008593FEF787 +:04056400F59306F510 +:0405650005130FF576 +:04056600F0EF05D0DD +:040567004581FCAF1F +:04056800F0EF453D2E +:040569004472FC2FAD +:04056A0044E2508295 +:04056B000513458DA2 +:04056C0001130CD09B +:04056D00F06F0241E8 +:04056E004741FAEF18 +:04056F001111B5FDB4 +:04057000842ACA22ED +:04057100CC0645056A +:04057200F0EFC826B8 +:040573004511FF4FE0 +:04057400F4AFF0EF01 +:040575000085659305 +:040576000FF5F593F5 +:04057700F0EF45114B +:040578000593F86F80 +:040579000513030063 +:04057A00F0EF061088 +:04057B004581F7AF10 +:04057C000CD0051387 +:04057D00F70FF0EF95 +:04057E00871367E593 +:04057F0044830D475D +:040580008793015705 +:0405810047090D47D2 +:0405820034B3C23E8E +:040583004785009018 +:040584000485C03AF0 +:0405850000F4036318 +:0405860045F5C00275 +:04058700F0EF45113B +:0405880066E5F46FC1 +:04058900FFE40793F1 +:04058A000C46861382 +:04058B0000F037B392 +:04058C0000179713AA +:04058D0000C64783DA +:04058E008FD99BF571 +:04058F000C4687137C +:0405900000F6062348 +:040591008B89C43A54 +:040592004505C781D3 +:04059300F72FF0EF5F +:04059400051347A262 +:04059500C783070011 +:04059600C63E003726 +:04059700EBEFF0EFA7 +:04059800759347B25E +:04059900F71300351F +:04059A00C3190087FA +:04059B000205E593DD +:04059C000017F7133A +:04059D00E593C31906 +:04059E00F713010549 +:04059F00C319002755 +:0405A0000085E5935A +:0405A100C3998B91DE +:0405A2000045E59398 +:0405A3000700051335 +:0405A400ED4FF0EF38 +:0405A50045814782C3 +:0405A60067E5C3B58D +:0405A7000807879327 +:0405A8000007C58300 +:0405A90007300513FF +:0405AA00EBCFF0EFB4 +:0405AB00871367E566 +:0405AC004583080774 +:0405AD00051300171B +:0405AE00F0EF074023 +:0405AF0067E5EAAF63 +:0405B000080787931E +:0405B1000027C583D7 +:0405B20007500513D6 +:0405B300E98FF0EFED +:0405B40047816761B3 +:0405B50069C70713F8 +:0405B60000F706B391 +:0405B7000006C583F2 +:0405B8000767851339 +:0405B900F0EFC03E61 +:0405BA004782E7EF9E +:0405BB0046C9676165 +:0405BC000713078595 +:0405BD0091E369C796 +:0405BE004589FED796 +:0405BF0007200513F9 +:0405C000F0EFC02E6A +:0405C1004582E18FFF +:0405C2008DC98971E5 +:0405C30007200513F5 +:0405C400E54FF0EF20 +:0405C500458547A27F +:0405C60000C7C78320 +:0405C700E3918B89A8 +:0405C8000513458151 +:0405C900F0EF0C0043 +:0405CA0045D5E3EF41 +:0405CB00F0EF4511F7 +:0405CC0045C1E36FD3 +:0405CD0006100513FC +:0405CE00E2CFF0EF99 +:0405CF00966347895F +:0405D00005930EF48D +:0405D1000513088086 +:0405D200F0EF062020 +:0405D30045C1E1AF8E +:0405D40006300513D5 +:0405D500E10FF0EF53 +:0405D6000840059341 +:0405D70006400513C2 +:0405D800E04FF0EF11 +:0405D9003E80051348 +:0405DA00997FE0EF36 +:0405DB00F0EF4511E7 +:0405DC007593DACF6A +:0405DD00451101D5EE +:0405DE00DECFF0EF8D +:0405DF00051365613A +:0405E000E0EF6A05D9 +:0405E100451197DF4A +:0405E200D92FF0EF2E +:0405E30001557593B6 +:0405E400F0EF4511DE +:0405E5000493DD2F6F +:0405E600453906404D +:0405E700D7EFF0EF6B +:0405E800E909894153 +:0405E90004C214FD37 +:0405EA003E80051337 +:0405EB00E0EF80C1FC +:0405EC00F4E5951F7E +:0405ED0045314581CE +:0405EE00DACFF0EF81 +:0405EF00040005936C +:0405F000F0EF4535AE +:0405F1004585DA2F33 +:0405F200F0EF4539A8 +:0405F3004581D9AFB6 +:0405F400F0EF4539A6 +:0405F5004581D92F34 +:0405F600F0EF453DA0 +:0405F7004581D8AFB3 +:0405F80006100513D1 +:0405F900D80FF0EF38 +:0405FA0006634789C4 +:0405FB00479202F42D +:0405FC00849364E59B +:0405FD00C6831E444F +:0405FE0067E9014761 +:0405FF009AA7C503EF +:0406000003E4C60346 +:04060100008035B38D +:0406020031690586CF +:0406030003E4C783C2 +:040604000DA34712E9 +:04060500852204F74F +:04060600A69FF0EFCC +:0406070040E2445237 +:04060800450144C2A2 +:04060900F06F01711C +:04060A0045E1D98F5E +:04060B0006200513AD +:04060C00D34FF0EFE9 +:04060D00051345C1CB +:04060E00F0EF0630D3 +:04060F0045B1D2AF70 +:040610006789BF3106 +:040611008793114179 +:04061200C226710784 +:0406130002F554B3E5 +:04061400C42266C1D5 +:040615004401C606D0 +:0406160017B716FDFF +:04061700A703000233 +:0406180067E50D077E +:040619001687879326 +:04061A0086138F753F +:04061B00D5030347B9 +:04061C00046300076C +:04061D00078902E562 +:04061E00FEC79BE395 +:04061F000513650951 +:04062000C02E710572 +:0406210087BFE0EFC0 +:04062200040567C1A3 +:0406230086934582F3 +:0406240064E3FFF795 +:040625004501FC94FB +:04062600C198A019BE +:0406270040B2450593 +:040628004492442292 +:040629008082014189 +:04062A0001A31141D6 +:04062B00153700A1DE +:04062C00C4220002E2 +:04062D00842E4605CC +:04062E0004050513A7 +:04062F0000310593FE +:04063000E0EFC6062B +:040631008522C87FD7 +:04063200837FE0EFF3 +:04063300442240B26B +:04063400808201417E +:040635000513115147 +:04063600C4060220D4 +:04063700F0EFC222FC +:040638006593DD6F7A +:040639007413F80539 +:04063A00F5930FF530 +:04063B0005130FF59F :04063C00F0EF0220B9 -:04063D000513DF8F33 +:04063D000513E0CFF2 :04063E00E0EF3E802B -:04063F0045CD805FC6 -:04064000F0EF455939 -:040641004412DE8FF2 -:04064200459D40A2F0 -:0406430002B00513E9 -:04064400F06F013121 -:040645004795DD8F69 -:040646000CA7E963B1 -:04064700C222115169 -:04064800C406C026FE -:04064900000284B770 -:04064A00842A409C22 -:04064B008BBD83EDF3 -:04064C000AA788630E -:04064D0007B347D1D7 -:04064E0065E102F56B -:04064F00AC058593DE -:040650008513465177 -:04065100883D00449C -:0406520095BE040A43 -:04065300C7BFE0EF4E -:04065400F793409C3C -:040655008C5DFC3785 -:040656006465C08097 -:04065700FAC4079347 -:0406580001C7C7838C -:04065900FAC40413C8 -:04065A006769CF916C -:04065B008E470713AC -:04065C004529431CCD -:04065D00C31C9BF52A -:04065E000002173748 -:04065F000EF720234F -:04066000F7EFE0EFE1 -:04066100000217B7C5 -:040662000D07A78356 -:04066300000807374D -:04066400EB8D8FF992 -:04066500000287B751 -:0406660059634398F9 -:0406670043980007AD -:0406680067134505CA -:04066900C39800171B -:04066A00F56FE0EF59 -:04066B00000287B74B -:04066C004529439841 -:04066D00C3989B791A -:04066E006713439833 -:04066F00C398002705 -:04067000F3EFE0EFD5 -:0406710001C44783F6 -:040672006769CF8164 -:040673008E47071394 -:04067400E793431CA9 -:04067500C31C00277B -:040676000002173730 -:040677000EF7202337 -:04067800441240A246 -:040679000131448285 -:04067A008082808278 -:04067B00467006932C -:04067C0002D505336B -:04067D00064006939A -:04067E000613665D9C -:04067F0045C5ABC6FC -:0406800002D546B3A6 -:040681000513656593 -:04068200406F02C5FE -:0406830046B74FE047 -:040684008693000F4A -:04068500053324060F -:04068600678902D5A9 -:040687009647879378 -:040688003E80071396 -:04068900006336B71D -:04068A00EA06869363 -:04068B000613665D8F -:04068C0045C5AC466E -:04068D0002F557B368 -:04068E0002E7F7B3D5 -:04068F0056B34729EE -:04069000656502D5C5 -:0406910002C5051386 -:0406920002E7D73371 -:040693004BC0406FA9 -:040694002710069392 -:04069500053305051F -:04069600069302D5F0 -:04069700665D064056 -:04069800AD06061392 -:0406990046B345C55A -:04069A00656502D5BB -:04069B0002C505137C -:04069C004980406FE2 -:04069D002710069389 -:04069E0002D5053349 -:04069F000640069378 -:0406A0000613665D7A -:0406A10045C5AD0698 -:0406A20002D546B384 -:0406A3000513656571 -:0406A400406F02C5DC -:0406A500ED014760BC -:0406A600C70367E936 -:0406A70066DD8E0777 -:0406A800070567DDFE -:0406A900AD86869301 -:0406AA003487879377 -:0406AB0067DDA8015E -:0406AC00AD4786933D -:0406AD00001507131A -:0406AE00AD4787933A -:0406AF006565665DBA -:0406B000AE06061379 -:0406B100051345C523 -:0406B200406F02C5CE -:0406B300ED0143E032 -:0406B400C70367E928 -:0406B50066DD8E1759 -:0406B600070567DDF0 -:0406B700AD868693F3 -:0406B8003487879369 -:0406B90067DDA80150 -:0406BA00AD4786932F -:0406BB00001507130C -:0406BC00AD4787932C -:0406BD006565665DAC -:0406BE00AE0606136B -:0406BF00051345C515 -:0406C000406F02C5C0 -:0406C10086AA406065 +:04063F007593805FD0 +:04064000051307F4A3 +:04064100F0EF0220B4 +:040642000513DF8F2E +:04064300E0EF3E8026 +:0406440045CDFF0F92 +:04064500F0EF455934 +:040646004412DE8FED +:04064700459D40A2EB +:0406480002B00513E4 +:04064900F06F01311C +:04064A004795DD8F64 +:04064B000CA7E963AC +:04064C00C222115164 +:04064D00C406C026F9 +:04064E00000284B76B +:04064F00842A409C1D +:040650008BBD83EDEE +:040651000AA7886309 +:0406520007B347D1D2 +:0406530065E102F566 +:04065400BC058593C9 +:040655008513465172 +:04065600883D004497 +:0406570095BE040A3E +:04065800C67FE0EF8A +:04065900F793409C37 +:04065A008C5DFC3780 +:04065B006465C08092 +:04065C000D440793AF +:04065D0001C7C78387 +:04065E000D44041330 +:04065F006769CF9167 +:04066000A0C7071315 +:040661004529431CC8 +:04066200C31C9BF525 +:040663000002173743 +:040664000EF720234A +:04066500F6AFE0EF1D +:04066600000217B7C0 +:040667000D07A78351 +:040668000008073748 +:04066900EB8D8FF98D +:04066A00000287B74C +:04066B0059634398F4 +:04066C0043980007A8 +:04066D0067134505C5 +:04066E00C398001716 +:04066F00F42FE0EF95 +:04067000000287B746 +:04067100452943983C +:04067200C3989B7915 +:04067300671343982E +:04067400C398002700 +:04067500F2AFE0EF11 +:0406760001C44783F1 +:040677006769CF815F +:04067800A0C70713FD +:04067900E793431CA4 +:04067A00C31C002776 +:04067B00000217372B +:04067C000EF7202332 +:04067D00441240A241 +:04067E000131448280 +:04067F008082808273 +:040680004670069327 +:0406810002D5053366 +:040682000640069395 +:040683000613665D97 +:0406840045C5BAC6E8 +:0406850002D546B3A1 +:04068600051365658E +:04068700406F154566 +:0406880046B75DA074 +:040689008693000F45 +:04068A00053324060A +:04068B00678902D5A4 +:04068C009647879373 +:04068D003E80071391 +:04068E00006336B718 +:04068F00EA0686935E +:040690000613665D8A +:0406910045C5BB465A +:0406920002F557B363 +:0406930002E7F7B3D0 +:0406940056B34729E9 +:04069500656502D5C0 +:0406960015450513EE +:0406970002E7D7336C +:040698005980406FD6 +:04069900271006938D +:04069A00053305051A +:04069B00069302D5EB +:04069C00665D064051 +:04069D00BC0606137E +:04069E0046B345C555 +:04069F00656502D5B6 +:0406A00015450513E4 +:0406A1005740406F0F +:0406A2002710069384 +:0406A30002D5053344 +:0406A4000640069373 +:0406A5000613665D75 +:0406A60045C5BC0684 +:0406A70002D546B37F +:0406A800051365656C +:0406A900406F154544 +:0406AA00ED015520E9 +:0406AB00C70367E931 +:0406AC0066DDA087E0 +:0406AD00070567DDF9 +:0406AE00BC868693ED +:0406AF004487879362 +:0406B00067DDA80159 +:0406B100BC47869329 +:0406B2000015071315 +:0406B300BC47879326 +:0406B4006565665DB5 +:0406B500BD06061365 +:0406B600051345C51E +:0406B700406F154536 +:0406B800ED0151A05F +:0406B900C70367E923 +:0406BA0066DDA097C2 +:0406BB00070567DDEB +:0406BC00BC868693DF +:0406BD004487879354 +:0406BE0067DDA8014B +:0406BF00BC4786931B +:0406C0000015071307 +:0406C100BC47879318 :0406C2006565665DA7 -:0406C300AE860613E6 +:0406C300BD06061357 :0406C400051345C510 -:0406C500406F02C5BB -:0406C60086AA3F20A1 +:0406C500406F154528 +:0406C60086AA4E2092 :0406C7006565665DA2 -:0406C800BA06061355 +:0406C800BD860613D2 :0406C900051345C50B -:0406CA00406F02C5B6 -:0406CB0006933DE075 -:0406CC0006E2F80545 -:0406CD006565665D9C -:0406CE00061386E1A8 -:0406CF0045C5DB86BC -:0406D00002C5051347 -:0406D1003C40406FFA -:0406D20001851693F5 -:0406D300665D86E1F9 -:0406D40016D1656571 -:0406D500AF46061313 -:0406D600051345C5FE -:0406D700406F02C5A9 -:0406D80046853AA079 -:0406D90000A696B32E -:0406DA006565665D8F -:0406DB00AE860613CE -:0406DC00051345C5F8 -:0406DD00406F02C5A3 -:0406DE0005053920B5 -:0406DF0096B3468503 -:0406E000665D00A6AD -:0406E1000613656532 -:0406E20045C5AFC695 -:0406E30002C5051334 -:0406E4003780406FAC -:0406E5000045478302 -:0406E60086B2471180 -:0406E70006F7696346 -:0406E800078A675DB9 -:0406E900B1C707137B -:0406EA00439C97BADC -:0406EB00451C8782A1 -:0406EC00C7034645B5 -:0406ED00491C00079D -:0406EE0097BA070AA6 -:0406EF006565438C6E -:0406F00002C5051327 -:0406F1005490406F72 -:0406F200491C451842 -:0406F30000074503B4 -:0406F400491C878294 -:0406F50087824508AB -:0406F600862367E50B -:0406F70080820207F4 -:0406F800E611C195B1 -:0406F900464565DD30 -:0406FA00B0858593AF -:0406FB005063BFC9C0 -:0406FC00665D02062F -:0406FD000613656516 -:0406FE0045C5B10637 -:0406FF0002C5051318 -:040700003080406F96 -:04070100DBE9455C8F -:04070200479C439835 -:040703008082B7C178 -:04070400C78367E957 -:04070500C3E18CD7E9 -:04070600C70367E5D9 -:040707004785F647E5 -:040708000AF71B636E -:0407090011116765FE -:04070A00DE8707136C -:04070B00C826CA2210 -:04070C004401CC06D2 -:04070D00478144815B -:04070E006769C23A1B -:04070F008CE7470329 -:04071000070E4692F8 -:0407110043109736C4 -:040712000006470393 -:0407130000E7CD63CB -:04071400000247B7E1 -:040715003C97A22348 -:040716003C87A42355 -:04071700445240E226 -:04071800017144C265 -:040719004751808242 -:04071A0002E7873338 -:04071B00969342501F -:04071C00C63E00577E -:04071D00000247B7D8 -:04071E0000F6853329 -:04071F009732C03617 -:040720004641430CFF -:0407210040EFC43AA7 -:0407220047224870B2 -:04072300458547B20F -:040724000047460341 -:0407250000F592B396 -:040726001675C61668 -:040727000FF6761340 -:040728000054E4B3E2 -:0407290002C5F763AB -:04072A004601853AC5 -:04072B00C43E458102 -:04072C0047B735D5C1 -:04072D00851300022E -:04072E0047820107F6 -:04072F00464165E5F5 -:040730008593953EDA -:0407310040EF02C5CE -:0407320042B244701B -:04073300643347A242 -:0407340007850054E1 -:040735008082B79D6A -:04073600110166E95E -:040737008FF6869320 -:0407380066E9C43674 -:040739008F0686930E -:04073A0066E9C03676 -:04073B008F268693EC -:04073C00C23667E575 -:04073D00CC2266E97B -:04073E008693CA26AE -:04073F00CE068F460D -:04074000FAC78793DA -:04074100C703C636EE -:0407420066E901273C -:040743008FC6869344 -:0407440063E966659A -:04074500636962E999 -:0407460064E965E914 -:04074700842AC83602 -:04074800100606137E -:040749008EE3839325 -:04074A008EC2829346 -:04074B008FA3031362 -:04074C008F8585937D -:04074D008F6484939E -:04074E008703C379E1 -:04074F0047A20117A5 -:040750000007C78354 -:040751000AF71D6323 -:0407520002C0079347 -:0407530002F707B3EF -:04075400D683468280 -:0407550097B2000651 -:040756000127D5039F -:0407570004D51F6343 -:04075800C5034692FD -:04075900C68301470B -:04075A00186300061A -:04075B0046B204D5C9 -:04075C0001C7C50309 -:04075D000006C68349 -:04075E0004D511634A -:04075F000187D50336 -:040760000003D68339 -:0407610002D51B633F -:0407620000C7D503F4 -:040763000002D68337 -:0407640002D5156342 -:04076500C50346C2C0 -:04076600C68301D76E -:040767001E63000607 -:04076800D50300D5E0 -:04076900568301A70B -:04076A00186300030D -:04076B00D68300D55C -:04076C00D78300E748 -:04076D00866300059A -:04076E0067E900F641 -:04076F008F23468509 -:0407700007938ED786 -:04077100073302C088 -:04077200D50302F7B2 -:0407730097320004B5 -:0407740002074783AE -:0407750002A78563EF -:040776000FF57513F3 -:04077700950FF0EFFB -:04077800859367E915 -:0407790067E98F8716 -:04077A008FA78313AF -:04077B00829367E915 -:04077C0067E98EC7D4 -:04077D008EE78393ED -:04077E00861367E592 -:04077F0047A2100776 -:040780006565470262 -:040781000007C78323 -:040782000007568393 -:0407830002C0071396 -:0407840002E787B34E -:04078500570347329D -:04078600963E000794 -:0407870000E60E2357 -:040788000003D70390 -:040789001923479257 -:04078A001C2300D656 -:04078B00D70300E6AA -:04078C00C78300021D -:04078D001623000728 -:04078E00474200E6F8 -:04078F0000F60A2343 -:040790000007570304 -:0407910000E60EA3CD -:040792000003570306 -:0407930000E61D233C -:040794000005D70382 -:0407950000E6172340 -:040796000004C70391 -:0407970002E6002353 -:04079800029417634D -:040799008693668D50 -:04079A000733BF263C -:04079B00069302D7E8 -:04079C00665D3E80D8 -:04079D00B306061386 -:04079E0002D746B385 -:04079F0040F244627E -:0407A00045C544D235 -:0407A10002C5051375 -:0407A200406F61053E -:0407A300470207E022 -:0407A40000E4056305 -:0407A5001163471283 -:0407A600471502E40D -:0407A70002E78733AB -:0407A80040F2446275 -:0407A900665D44D273 -:0407AA00B3860613F9 -:0407AB00051345C528 -:0407AC00610502C51C -:0407AD000540406F54 -:0407AE005683665DAB -:0407AF000613000429 -:0407B000BF6DBA0659 -:0407B100879367E9DA -:0407B20043988DC714 -:0407B300671DEF19B6 -:0407B4009787071309 -:0407B50002E5053321 -:0407B600C406115113 -:0407B700E0EFC38824 -:0407B80040A2A44F68 -:0407B90001314501C4 -:0407BA0045058082EF -:0407BB0011518082D6 -:0407BC00E0EFC406A0 -:0407BD0067E9A54FF4 -:0407BE008DC7A783B9 -:0407BF00353340A2EC -:0407C000013100F50E -:0407C100473D8082AE -:0407C20024A76463A1 -:0407C300445257B78E -:0407C400EDC101136F -:0407C500355787938A -:0407C60057B7CC3E17 -:0407C70087930041D3 -:0407C800CE3E4417C6 -:0407C90000F537936D -:0407CA0010812E2349 -:0407CB0012112023C4 -:0407CC0010912C2339 -:0407CD0002F1012311 -:0407CE001163842A05 -:0407CF0047850EE567 -:0407D000102365E5A8 -:0407D100462902F1C2 -:0407D20004B00793D5 -:0407D30000C5859345 -:0407D40002510513B6 -:0407D50002F101A389 -:0407D60002010223F7 -:0407D700E6AFE0EFBA -:0407D800C78367E983 -:0407D90065E58E87BD -:0407DA00036006139F -:0407DB0002F10823FC -:0407DC00C78367E97F -:0407DD0085938C472D -:0407DE0005130405F6 -:0407DF0008A303A1C7 -:0407E00067E902F1D2 -:0407E1008D17C78326 -:0407E200188408204F -:0407E30002F107A375 -:0407E400C78367E977 -:0407E50009238CC791 -:0407E60067E902F1CC -:0407E7008BA7C78392 -:0407E80002F109A36E -:0407E900C78367E972 -:0407EA000A238B87CC -:0407EB0067E502F1CB -:0407EC00F547C78383 -:0407ED0002F10AA368 -:0407EE00C78367E96D -:0407EF000B238B97B6 -:0407F00067E502F1C6 -:0407F100F647C7837D -:0407F20002F10BA362 -:0407F300C78367E56C -:0407F4000C23F65785 -:0407F50067E902F1BD -:0407F6008D07C78321 -:0407F70002F10CA35C -:0407F800DE6FE0EFE1 -:0407F90000044503B0 -:0407FA00E0EF040523 -:0407FB000FA3F1AFA8 -:0407FC0019E3FEA45B -:0407FD0067E9FE9416 -:0407FE008C87A5033C -:0407FF0005800693D8 -:0408000005B7083000 -:04080100E0EF001F05 -:040802002083AE4F52 -:0408030024031201B7 -:04080400248311C177 -:040805000113118149 -:040806008082124199 -:040807002010079323 -:0408080002F11023C6 -:04080900073807B7EE -:04080A0004278793A5 -:04080B00D83E64E58A -:04080C000AC4C783D0 -:04080D00665DEB89B0 -:04080E00B406061313 -:04080F00851345B553 -:0408100030EF0AC4F7 -:0408110085936C70EF -:0408120046350AC499 -:040813000231051396 -:040814000BD040EFD6 -:04081500061365E57C -:0408160085930420A2 -:0408170018480BC5AD -:04081800D66FE0EFC8 -:04081900061364E579 -:04081A0085930A2098 -:04081B0005131004AD -:04081C00E0EF0761A1 -:04081D00083CD54F6F -:04081E000007C50307 -:04081F00E0EFC03E08 -:040820004782E86FB4 -:0408210080230A38EE -:04082200078500A79F -:04082300FEE796E373 -:040824007413044104 -:0408250017930FF422 -:04082600C23E0104C9 -:04082700A50367E9D5 -:0408280006938C8720 -:040829000830100083 -:04082A00010415931D -:04082B00A3EFE0EF68 -:04082C00879367E95E -:04082D00C63E8C87B0 -:04082E008793F9298A -:04082F00044210046B -:040830001004071396 -:040831000A2784937B -:040832007A27879307 -:04083300C43EC03AC5 -:0408340069600413E0 -:040835001000069316 -:04083600F4638722BE -:04083700071300861D -:040838000742100063 -:04083900863A834137 -:04083A00082885A65F -:04083B00E0EFC83AE8 -:04083C004742CD8FD3 -:04083D00C5030834B3 -:04083E00CA3A0006AC -:04083F00E0EFC836E8 -:0408400046C2E06F5D -:0408410047520A3CD4 -:0408420000A6802369 -:0408430094E30685AF -:0408440047B2FEF6C3 -:040845004592460290 -:0408460008344388A7 -:04084700904FE0EFFF -:04084800EE0515E3C1 -:040849001000069302 -:04084A00746387222A -:04084B00071300D4BB -:04084C0007931000FE -:04084D009413F00709 -:04084E0047820107D5 -:04084F00100484937A -:0408500087938041C9 -:04085100C03E10078E -:0408520095E347A241 -:04085300BD75F8F483 -:040854008082557DCC -:04085500C026115157 -:04085600849364E93A -:04085700C5038D3414 -:04085800C2220004B4 -:040859003345C40659 -:04085A00E515842AF2 -:04085B000004C703CB -:04085C00468167E981 -:04085D008CE7892378 -:04085E00C78367E9FC -:04085F00C7818D17A9 -:04086000C68367E5FF -:0408610067E5FC87C4 -:0408620000C78793B1 -:04086300802397B6A1 -:04086400453D00E727 -:0408650040A23B8DE5 -:040866004412852291 -:040867000131448295 -:040868000113808276 -:0408690067E5EDC191 -:04086A000A078623D0 -:04086B002023C43E44 -:04086C002E23121114 -:04086D002C231081A7 -:04086E00C22E1091F5 -:04086F0057FD473DAD -:0408700006A7636311 -:04087100054167696D -:040872000FF5779374 -:040873008C87250346 -:040874000107959350 -:0408750010000693D6 -:04087600C03E083048 -:040877009C6FE0EFA3 -:040878000824676980 -:040879008C8707134E -:04087A00842687AA9F -:04087B004503C63A31 -:04087C00C83E00046E -:04087D00E0EF04059F -:04087E000FA3D0EF05 -:04087F000A38FEA491 -:0408800016E347C272 -:04088100E385FE8786 -:04088200859365DD18 -:040883000828B48508 -:04088400C02FE0EFB2 -:04088500136387AAC8 -:0408860047032005FF -:04088700CF1102216A -:04088800016346853D -:04088900208310D7E1 -:04088A002403120130 -:04088B00248311C1F0 -:04088C00853E118113 -:04088D001241011300 -:04088E00460380821B -:04088F004705020116 -:040890001EE61063ED -:040891000211470306 -:040892001C071C63C0 -:0408930002414703D4 -:0408940002314603E4 -:040895008F51072256 -:0408960004B0061391 -:04089700FCC715E3A2 -:04089800F37147129F -:0408990047816765C7 -:04089A0007134539C2 -:04089B0045A900C7A4 -:04089C0000D4C603BB -:04089D0000C56663C9 -:04089E0000F7033329 -:04089F0000C300236F -:0408A000048507853F -:0408A100FEB796E325 -:0408A2000311478374 -:0408A300022367695C -:0408A40047258CF761 -:0408A50000F766638F -:0408A6000423676957 -:0408A700A0298EF7FF -:0408A800030147837E -:0408A900FEF77AE3F9 -:0408AA0003314703CC -:0408AB00656567E92F -:0408AC008AE78D2327 -:0408AD0003414703B9 -:0408AE00061367E9DD -:0408AF008C23036033 -:0408B00047038AE789 -:0408B10067E50351A3 -:0408B20003A1059306 -:0408B300F4E78A23B9 -:0408B4000361470392 -:0408B500051367E9D7 -:0408B6008CA3040506 -:0408B70047038AE782 -:0408B80067E503717C -:0408B900F6E78223B9 -:0408BA00038147036C -:0408BB0082A367E5C8 -:0408BC004703F6E711 -:0408BD0067E902F1F4 -:0408BE008CE788A398 -:0408BF00C70367E51F -:0408C00067E900C71D -:0408C1008CE7892314 -:0408C20003214703C4 -:0408C300862367E938 -:0408C40047038CE773 -:0408C50067E903914B -:0408C6008CE7882310 -:0408C700AAAFE0EF05 -:0408C800B71147819C -:0408C900020146835F -:0408CA000EE69C6337 -:0408CB00021146834D -:0408CC0097634709DE -:0408CD0056830EE65A -:0408CE000713030108 -:0408CF0094E304208A -:0408D0005403EEE6F9 -:0408D10007130321E5 -:0408D2001EE373802E -:0408D30047A2ECE468 -:0408D4004635C82AB3 -:0408D5000AC78513B6 -:0408D6000231059353 -:0408D7005B0040EF93 -:0408D80047C24712BA -:0408D900EC0711E334 -:0408DA000613656537 -:0408DB00184C042091 -:0408DC000BC5051330 -:0408DD00A52FE0EF74 -:0408DE006765478281 -:0408DF0010070713E4 -:0408E000C23E07A26B -:0408E100C00244818C -:0408E20005E0079393 -:0408E3004722C43AAA -:0408E400061346822F -:0408E5008E1D100054 -:0408E60000D70533FF -:0408E70005B3083815 -:0408E800456300F76D -:0408E900C83E06C43B -:0408EA00A1EFE0EFAB -:0408EB00470247C2B7 -:0408EC00943E0485AD -:0408ED0047928F1D82 -:0408EE000FF4F4937C -:0408EF0010070713D4 -:0408F00000F485B3D8 -:0408F100074247B2C1 -:0408F2004388834173 -:0408F300F0040413F6 -:0408F4001000069357 -:0408F50005A2083020 -:0408F6000442C03ABE -:0408F700FC7FD0EFC3 -:0408F80087AA80410A -:0408F9004503083873 -:0408FA00CA3E0007EB -:0408FB00E0EFC83A28 -:0408FC004742B16F4F -:0408FD0047D20A34A0 -:0408FE0000A700232C -:0408FF0094E3070572 -:0409000092E3FEE69A -:040901004781E20741 -:04090200A021F059E7 -:04090300E0EF862279 -:0409040067E99B8F75 -:040905008F234705F0 -:04090600B7198EE7A8 -:04090700B52147854A -:04090800B511478955 -:04090900C0261151A2 -:04090A00849364E985 -:04090B00C5038D345F -:04090C00458100041D -:04090D00C406C22238 -:04090E00D6BFF0EF71 -:04090F00E121842A34 -:040910000004C70315 -:0409110046A967E9A3 -:040912008CE78923C2 -:04091300C78367E54A -:0409140085630FD711 -:0409150066E900D7B8 -:040916008EF68423B2 -:04091700C78367E942 -:0409180046818D1770 -:0409190067E9C78142 -:04091A008E87C6837B -:04091B00879367E572 -:04091C0097B600C7C3 -:04091D0000E780234C -:04091E00F0EF453D74 -:04091F0040A2A8DF6B -:0409200044128522D6 -:0409210001314482DA -:04092200114180827D -:04092300C60645853A -:04092400F0EFC02A06 -:040925006765D11F12 -:040926000AC7478332 -:0409270007134682EA -:04092800E7810AC792 -:040929000713675DEC -:04092A0040B2B5071B -:04092B006565665D3B -:04092C00B586061373 -:04092D00051345C5A4 -:04092E00014102C5BC -:04092F0024D0306F31 -:0409300067691121C1 -:040931000413C822C1 -:04093200401C8E4790 -:0409330016B7CA0623 -:04093400F793000233 -:04093500C01CF9F7F2 -:04093600C02EC626E3 -:040937000EF6A023F5 -:0409380032000593F1 -:04093900450584AA42 -:04093A00BACFF0EF51 -:04093B001900051387 -:04093C00C0FFD0EF39 -:04093D0016B7401C8D -:04093E0045C10002AD -:04093F000207E79331 -:04094000A023C01C14 -:0409410085260EF603 -:04094200428040EFC0 -:040943000FF57793A2 -:0409440065E1EB89F5 -:04094500859346450B -:040946008526388545 -:040947003F0040EF3E -:040948004701478597 -:0409490000E486B38D -:04094A000006C503DB -:04094B00C43E45D190 -:04094C00F0EFC23ACC -:04094D004712B62F68 -:04094E00070547A2B0 -:04094F000FF7769395 -:04095000FEF6E2E3EA -:0409510014B7401C7B -:0409520045D1000289 -:04095300FDF7F79322 -:04095400A023C01C00 -:0409550005130EF484 -:04095600F0EF0C00B2 -:04095700401CB3AFDE -:0409580045C145024E -:040959000207E79317 -:04095A00A023C01CFA -:04095B0040EF0EF467 -:04095C0074933C2034 -:04095D00E8890FF521 -:04095E0065E1450208 -:04095F0085934645F1 -:0409600040EF3885A7 -:04096100448538A0F1 -:040962004702478180 -:04096300C23E45D17A -:040964004503973E72 -:04096500F0EF0007A8 -:040966004792AFEF16 -:04096700F7130785F6 -:0409680064E30FF73E -:04096900401CFE9799 -:04096A00173740D229 -:04096B00E79300020C -:04096C00C01C0407A0 -:04096D0020234442BD -:04096E0044B20EF78A -:04096F008082016120 -:04097000C42211414B -:04097100C606C226CE -:0409720064E547856C -:04097300086364654C -:04097400676500F5BE -:04097500F647468378 -:040976009463470936 -:04097700464104E60B -:0409780001848593DE -:0409790000024537FC -:04097A00324040EFD8 -:04097B00000247B778 -:04097C0002078513D6 -:04097D000593464157 -:04097E0040EF02C480 -:04097F0047B7312025 -:04098000A6230002A8 -:04098100470D3C07DB -:040982003CE7A22389 -:040983003C07A42366 -:0409840002C4059311 -:0409850040B2442216 -:040986000184851350 -:040987000141449254 -:0409880017E3B54577 -:040989006769FEE5B7 -:04098A008CE74703AC -:04098B000593464149 -:04098C00169302C4F8 -:04098D006765003763 -:04098E00DE870713E6 -:04098F0046839736CE -:04099000473700479E -:0409910095130002B8 -:0409920005410056C5 -:04099300C036953A9B -:040994002BC040EF45 -:040995004737468218 -:040996002603000232 -:0409970047853C87CD -:0409980000D797B33A -:0409990024238FD1B3 -:04099A00B75D3CF712 -:04099B00C78367E9BE -:04099C00E3B58CD75C -:04099D00C40611512A -:04099E00C026C2228B -:04099F004737C90904 -:0409A00027830002A7 -:0409A100E7933C0795 -:0409A20020230027E7 -:0409A30064E53CF7D4 -:0409A40007848593AC -:0409A500453746414B -:0409A60040EF00021C -:0409A700443727208A -:0409A80067E50002FD -:0409A90008C7859363 -:0409AA00020405132B -:0409AB0040EF464192 -:0409AC00470D25E0EE -:0409AD003C042623BD -:0409AE003CE42223E0 -:0409AF003C042423BD -:0409B00040A244120B -:0409B100078485131F -:0409B20067E544822F -:0409B30008C7859359 -:0409B400F06F0131AE -:0409B5008082DEFF5F -:0409B600CD227135A8 -:0409B7000613646956 -:0409B800458102C0B3 -:0409B90084C40513DA -:0409BA00CF06CB2673 -:0409BB00F17FD0EF09 -:0409BC00871365E553 -:0409BD00435CFAC5D8 -:0409BE00A0EEC6B72A -:0409BF00B006869365 -:0409C00002F6D63332 -:0409C10084C4049353 -:0409C20000070A23FD -:0409C300000709A37D -:0409C40000C49823B0 -:0409C50001074603DD -:0409C60084C40713CB -:0409C7008713C43A94 -:0409C8003593FAC5A4 -:0409C900058500168A -:0409CA0002B787B336 -:0409CB00064005934A -:0409CC004318C23AD0 -:0409CD0000E49B2384 -:0409CE0002B787B332 -:0409CF0002E7D7B3B1 -:0409D00002F6D7B3A1 -:0409D1004792C83E43 -:0409D200CE3E479C32 -:0409D3000016379340 -:0409D40000F48F2379 -:0409D50046F247920D -:0409D60001C7C7830B -:0409D70000D48E2397 -:0409D80000279693CB -:0409D900879367E1B8 -:0409DA0097B6A647DF -:0409DB0007934394A7 -:0409DC00C2190690A6 -:0409DD000700079375 -:0409DE006565665D88 -:0409DF00B60606133F -:0409E000051345C5F1 -:0409E10030EF078567 -:0409E20047A2782090 -:0409E30006934742EE -:0409E400D6033E8078 -:0409E500079301076C -:0409E60075B306409F -:0409E700656502D769 -:0409E80008C5051326 -:0409E9003E800493B5 -:0409EA0002F6773367 -:0409EB0002F657B306 -:0409EC00C03A464285 -:0409ED00D73347298C -:0409EE0045C502E514 -:0409EF0002D656B323 -:0409F0000613665D27 -:0409F10030EFB6C667 -:0409F2004505742023 -:0409F30047913545AE -:0409F4004792CABE9E -:0409F500040109A34D -:0409F600C7036309C7 -:0409F70047A10227EB -:0409F8007103031371 -:0409F90000E797B3C9 -:0409FA000087E793F8 -:0409FB004792CCBE95 -:0409FC00C703468166 -:0409FD000793023723 -:0409FE0097B320008B -:0409FF00CEBE00E781 -:040A0000C70347924F -:040A010067C1024780 -:040A020000E797B3BF -:040A03004792D0BE88 -:040A04000257C703CB -:040A0500002007B70F -:040A060000E797B3BB -:040A0700D2BE471202 -:040A08000775479295 -:040A0900D8BAD6BAC7 -:040A0A00C783471245 -:040A0B0007790297CE -:040A0C004712DABAF9 -:040A0D000793CA3E43 -:040A0E00077D05312A -:040A0F00DEBADCBAB5 -:040A1000D4BE4712F7 -:040A11000713C53EC4 -:040A1200C13A0207DC -:040A13004712C33A89 -:040A140002170713AB -:040A1500C93EC73AD5 -:040A1600C60247923B -:040A17000277C2831D -:040A1800C78347A2A7 -:040A1900D63E01C7FD -:040A1A00D78347A295 -:040A1B00D43E01279D -:040A1C00C7834792B3 -:040A1D00D03E02873E -:040A1E00C78347A2A1 -:040A1F00D83E01E7D5 -:040A2000D78347A28F -:040A2100DA3E016751 -:040A2200D78347A28D -:040A2300DC3E0107AD -:040A2400841367E5EB -:040A2500879310079C -:040A2600CC3E1007AB -:040A27000FD2F79360 -:040A28004703DE3E64 -:040A290047950244A7 -:040A2A001AE7E7637D -:040A2B00EF63478DA1 -:040A2C00006324E758 -:040A2D0009501CF759 -:040A2E0000271793F3 -:040A2F00A78397B250 -:040A3000C783FD4734 -:040A3100078A000729 -:040A3200A58397B24F -:040A3300541CFC074C -:040A340084638FED5B -:040A350047831E07CE -:040A3600564201E43F -:040A37001CC79F63D6 -:040A38005783565238 -:040A3900556201649D -:040A3A0040F607B3C8 -:040A3B0041F7D61396 -:040A3C008F918FB156 -:040A3D000104560357 -:040A3E0040C5063376 -:040A3F0041F6551314 -:040A40008E098E2964 -:040A41002297C663CF -:040A42007663451D75 -:040A4300946300E5D3 -:040A44004463009770 -:040A450047092266D5 -:040A46001A97416357 -:040A470018F4DF635D -:040A4800041347B29A -:040A4900656902C019 -:040A4A000287843368 -:040A4B00462947E20F -:040A4C00943E64E987 -:040A4D00079344586F -:040A4E00468384C592 -:040A4F00C7D80244BE -:040A500001C4470393 -:040A51000124530326 -:040A520000A4428337 -:040A53005703D03A3B -:040A54005383018443 -:040A550085A200C4B2 -:040A56004C18D43A2A -:040A570084C505133A -:040A58000067992377 -:040A59005703CF98D8 -:040A5A00D83601C4C5 -:040A5B0002D7822319 -:040A5C0000E79E23EE -:040A5D000144470306 -:040A5E000057852395 -:040A5F008A23DC1EEC -:040A6000470300E761 -:040A6100DA1A020497 -:040A62008023D61601 -:040A6300470302E75C -:040A640081A3023434 -:040A650030EF02E785 -:040A660065697770D7 -:040A670084C50593AA -:040A680002C00613AF -:040A690087848513E6 -:040A6A00C1FFD0EF09 -:040A6B008784879362 -:040A6C0000078523D7 -:040A6D00871367E99B -:040A6E00460583872F -:040A6F002023569258 -:040A70002223000736 -:040A71002423000733 -:040A72002623000730 -:040A7300082300074D -:040A740008A30007CC -:040A7500541800C74A -:040A760084136591EF -:040A77008F7583876D -:040A78005CB70C63F8 -:040A7900EE6356C210 -:040A7A0005933AE5C1 -:040A7B0009631000FB -:040A7C00535256B7C4 -:040A7D00E96353E2F4 -:040A7E0045C116E573 -:040A7F0050B7076302 -:040A8000EF6352B21C -:040A8100459112E5A4 -:040A820044B70A6308 -:040A8300116345A115 -:040A840000A30CB708 -:040A8500470D00C455 -:040A860000E68F6394 -:040A8700FFA687132C -:040A88000FF77713DA -:040A890000E67963A7 -:040A8A009D634725FC -:040A8B0007134CE61B -:040A8C0069634AF060 -:040A8D0047D24C6799 -:040A8E004C0780632E -:040A8F00460567E9C8 -:040A900085134589FC -:040A9100D0EF84C757 -:040A92004603D8FF40 -:040A93000605001440 -:040A94000FF67613D0 -:040A95004799A17567 -:040A9600E4F71FE37F -:040A970000A44783ED -:040A98009163460917 -:040A990089630CC79A -:040A9A0047850A0280 -:040A9B00E4F285E319 -:040A9C000793A0A973 -:040A9D00CEBE2000A9 -:040A9E0010000793AA -:040A9F0067A1D0BEBD -:040AA00057A2D2BEC9 -:040AA100E20799E3EC -:040AA2000164560392 -:040AA3001C10079389 -:040AA400E2F613E380 -:040AA500462967DD9A -:040AA600B847859335 -:040AA700C69A852244 -:040AA800C296C4B678 -:040AA90030EFC0BAB0 -:040AAA004706639008 -:040AAB0046A6429683 -:040AAC00E51D4336CB -:040AAD0090E35782F9 -:040AAE000685E007D2 -:040AAF0002A0079307 -:040AB00002C4041365 -:040AB100DCF69FE3ED -:040AB20006D00793D0 -:040AB300E497DAE307 -:040AB40084A367E9C7 -:040AB50040FA840778 -:040AB60044DA446A70 -:040AB7008082610DCB -:040AB800462967DD87 -:040AB900B907859361 -:040ABA00C69A852231 -:040ABB00C296C4B665 -:040ABC0030EFC0BA9D -:040ABD0047065ED0BA -:040ABE0046A6429670 -:040ABF001CE34336BB -:040AC0005602DA05FB -:040AC10018E347856A -:040AC200BF45DAF65C -:040AC3002000079375 -:040AC40067C1CEBE7A -:040AC500B345D0BEA7 -:040AC600079356320A -:040AC700FCE3052027 -:040AC800BF61D8C76B -:040AC90098E3460563 -:040ACA0057F2D8C740 -:040ACB00B361F7D943 -:040ACC008332D22E71 -:040ACD00C63684BEE7 -:040ACE00D22EB74924 -:040ACF00BFE58332CA -:040AD0000400069385 -:040AD10000D70A63DD -:040AD200080006937F -:040AD3003CD70663A3 -:040AD4000200069383 -:040AD500F6D71EE34F -:040AD60010100713E2 -:040AD70000E4102304 -:040AD80001234705AA -:040AD900AE6100E426 -:040ADA0080070593F9 -:040ADB0042058563E8 -:040ADC008513658594 -:040ADD006B638005C2 -:040ADE00059306E591 -:040ADF000B63200085 -:040AE00006933EB784 -:040AE10015E34000D9 -:040AE2005782F4D76C -:040AE30000A347091C -:040AE400470D00E4D6 -:040AE50002E7D6B39B -:040AE600861367E923 -:040AE70014238787C6 -:040AE80045910074C0 -:040AE9008784851366 -:040AEA0000D60E2301 -:040AEB00D3B356A289 -:040AEC00D6B302E398 -:040AED00533302E697 -:040AEE001C2302E3E0 -:040AEF00869300D614 -:040AF000871387875A -:040AF100962387873A -:040AF2008793007670 -:040AF300D39387878B -:040AF400460D001398 -:040AF5000074122354 -:040AF60000078A2348 -:040AF7000067192358 -:040AF800BF5FD0EF1D -:040AF90008A34711F6 -:040AFA00A0A900E4CB -:040AFB003AB70C6397 -:040AFC001FE3668905 -:040AFD000713ECD718 -:040AFE001023203071 -:040AFF00460D00E4BC -:040B00004591470DC7 -:040B0100878485134D -:040B020000E40123E7 -:040B0300BC9FD0EFD4 -:040B040000040703DF -:040B0500001406834F -:040B06000685070554 -:040B070002D70733D7 -:040B080000244683FC -:040B090047330685E3 -:040B0A0008A302D763 -:040B0B00470900E4B2 -:040B0C0000E40023DE -:040B0D0000140703C6 -:040B0E00665D67E9D0 -:040B0F0086930705BD -:040B1000061384C77D -:040B110045A9B9C673 -:040B1200878485133C -:040B13002BC030EFD4 -:040B140000845703FF -:040B150047A2EB19EF -:040B160000040703CD -:040B170000C7D683BA -:040B18000733070593 -:040B1900142302D7C8 -:040B1A00570300E499 -:040B1B00EB0100A446 -:040B1C00879367E96B -:040B1D00D7038787EC -:040B1E00152300E7B4 -:040B1F0067E900E49E -:040B200087878793A9 -:040B21000084568373 -:040B220000C7D6032F -:040B2300557D4709AC -:040B240047338E15B0 -:040B2500458102E61E -:040B260000E41223B2 -:040B270000A65B6366 -:040B280000040603BC -:040B290040E007336E -:040B2A004733060542 -:040B2B00759302C7F5 -:040B2C0003030FF7B9 -:040B2D000723001486 -:040B2E00471100B4B7 -:040B2F0008E316635E -:040B3000470547929C -:040B31000267C6038E -:040B320008E600636E -:040B330078000593AE -:040B340086B3450936 -:040B3500C6B340D52E -:040B360067E902A6C3 -:040B37008787871312 -:040B38000127570337 -:040B39008787849393 -:040B3A00880707130E -:040B3B0000D41223AD -:040B3C00026746B353 -:040B3D0002A7473391 -:040B3E0000D48E232E -:040B3F00878786938B -:040B400000E69C230C -:040B41008787871308 -:040B420000B71623BF -:040B43005703EE1551 -:040B440046A900E7D7 -:040B4500BC8707134F -:040B460002D7473358 -:040B47000762469566 -:040B480007A3876117 -:040B4900073300E48A -:040B4A00869302D7B5 -:040B4B00D68387873F -:040B4C00973601A631 -:040B4D00878786937D -:040B4E0000E69D23FD -:040B4F00878787937A -:040B500043800713C4 -:040B510000E79723FF -:040B520067E9470503 -:040B530000E408238F -:040B540087878713F5 -:040B550000A74703AB -:040B5600000416235E -:040B57004712EB0155 -:040B58008787879371 -:040B590002B7470395 -:040B5A0000E7852308 -:040B5B0000C104834E -:040B5C0067694792EC -:040B5D00017D80B7DF -:040B5E00009788A3D1 -:040B5F00460347B250 -:040B600005830024E5 -:040B61000023011458 -:040B620047A290F71F -:040B63008093450135 -:040B6400D78383F0C0 -:040B65004289012799 -:040B660047A2C63E9E -:040B67000147C783F8 -:040B680005B7ACC55C -:040B6900086300100D -:040B6A00E06328B765 -:040B6B0005B706E5DF -:040B6C00026300021E -:040B6D00E86326B75C -:040B6E0065A102E596 -:040B6F0024B7016343 -:040B700017E365C161 -:040B7100470DD0B7A5 -:040B720000A316F1D5 -:040B7300F69300E411 -:040B740060630FF6B5 -:040B750047D224D669 -:040B7600C60792E339 -:040B7700002347050B -:040B7800461100E43E -:040B790006B7AA31E0 -:040B7A000D63000403 -:040B7B0006B722D7C0 -:040B7C001FE300086B -:040B7D000713CCD7B7 -:040B7E0010233030E0 -:040B7F00470D00E43A -:040B800000E4012369 -:040B81004591461143 -:040B820006B7A865A5 -:040B83000363008088 -:040B8400E36324D72C -:040B850006B702E6C7 -:040B860008630020E0 -:040B870006B722D7B4 -:040B880017E300402F -:040B89000713CAD7AD -:040B8A0010234020D4 -:040B8B00470900E432 -:040B8C0000E401235D -:040B8D00AABD4615A2 -:040B8E00010006B7A5 -:040B8F0022D7056301 -:040B9000020006B7A2 -:040B9100C8D716E3C8 -:040B920040500713B5 -:040B930000E4102347 -:040B940001234715DD -:040B9500461500E41D -:040B9600A8C1459914 -:040B9700871367E970 -:040B98008693878732 -:040B9900D6838787F1 -:040B9A0005230166C8 -:040B9B0057030057A5 -:040B9C008793010733 -:040B9D00C50387877E -:040B9E00073301E731 -:040B9F00069302D7E0 -:040BA000863706404E -:040BA1004281017D0F -:040BA2000613438172 -:040BA300473383F65B -:040BA400468102D7AD -:040BA50002670333AD -:040BA600001687139B -:040BA700026705B329 -:040BA80040A5D5B3DC -:040BA90004B67063BB -:040BAA00000386635B -:040BAB0000D401234E -:040BAC0000540023CE -:040BAD000004458378 -:040BAE00058546056E -:040BAF000FF5F593B6 -:040BB000878485139E -:040BB100911FD0EFD1 -:040BB2000004070331 -:040BB30000140683A1 -:040BB40006850705A6 -:040BB50002D7073329 -:040BB600002446834E -:040BB7004733068535 -:040BB800B31102D79C -:040BB900177D070697 -:040BBA00018712930A -:040BBB000FF7769327 -:040BBC004182D2930D -:040BBD00B74D438568 -:040BBE0000234705C4 -:040BBF00460900E4FF -:040BC000BF7D458927 -:040BC1004585460917 -:040BC2000713BF65F1 -:040BC30010231010DB -:040BC400012300E425 -:040BC500B7E500C4CC -:040BC60010200713E1 -:040BC70000E4102313 -:040BC80001234709B5 -:040BC900460900E4F5 -:040BCA008513458DBD -:040BCB00D0EF87845C -:040BCC0006838A7F93 -:040BCD000703000416 -:040BCE004792001436 -:040BCF000016861373 -:040BD00007330705DB -:040BD100460302C70E -:040BD20006050024F0 -:040BD30002C74733DB -:040BD40000E408A38E -:040BD50002A7C703A9 -:040BD60000238E99D1 -:040BD700B9D900D4B4 -:040BD80010400713AF -:040BD90000E4102301 -:040BDA00012347119B -:040BDB00460900E4E3 -:040BDC00B7B94595CB -:040BDD0016F14709BD -:040BDE0000E400A38C -:040BDF000FF6F69384 -:040BE00000D669636F -:040BE1009BE347D279 -:040BE2000023AA073B -:040BE300460D00C4F7 -:040BE400460DBF8576 -:040BE5000713BF8DA6 -:040BE60010232010A8 -:040BE700012300E402 -:040BE800B7F500C499 -:040BE90020200713AE -:040BEA0000E41023F0 -:040BEB000123470992 -:040BEC00460D00E4CE -:040BED00B729458D52 -:040BEE002040071389 -:040BEF0000E41023EB -:040BF0004711460D56 -:040BF100851345958E -:040BF20001238784D0 -:040BF300D0EF00E45B -:040BF4000703807FF4 -:040BF500068300046F -:040BF600479200140E -:040BF7000685070563 -:040BF80002D70733E6 -:040BF900002446830B -:040BFA0047330685F2 -:040BFB0008A302D772 -:040BFC00C70300E447 -:040BFD00371302A701 -:040BFE0007090017CC -:040BFF000713B9150A -:040C0000102320603D -:040C0100471900E4AB -:040C020000E40123E6 -:040C0300459D460DB8 -:040C04004611BD4593 -:040C05000713BDCD47 -:040C06001023301077 -:040C0700012300E4E1 -:040C0800B3C100C4B0 -:040C0900302007137D -:040C0A0000E41023CF -:040C0B000123470971 -:040C0C00461100E4A9 -:040C0D000713B741D1 -:040C0E00102330403F -:040C0F00471100E4A5 -:040C100000E40123D8 -:040C110045954611AE -:040C12004711B5CD04 -:040C130000E400A356 -:040C1400BD5546156F -:040C15004030071351 -:040C160000E41023C3 -:040C17000123470D61 -:040C1800461500E499 -:040C19000713B34DBD -:040C1A001023404023 -:040C1B00471100E499 -:040C1C0000E40123CC -:040C1D00BDED4615CE -:040C1E000015F39337 -:040C1F0004039163D6 -:040C20000255C5B301 -:040C21000016961310 -:040C22007613167DB2 -:040C230045050FF67E -:040C2400001606931D -:040C25008733439539 -:040C2600073302F698 -:040C270003930277BA -:040C28000713064068 -:040C29004733032723 -:040C2A0043B2027758 -:040C2B00027683B317 -:040C2C0043C2971E0A -:040C2D0002E383B3A8 -:040C2E00FFE3C41EFE -:040C2F00C509FA7089 -:040C300000C40123D8 -:040C310000B408A360 -:040C32000003166342 -:040C33004792C6011D -:040C340000C78A2348 -:040C3500011406039D -:040C36003EC0516308 -:040C370006B347A217 -:040C3800479202F6E7 -:040C3900CF9465E906 -:040C3A0002C006935B -:040C3B0084B347E255 -:040C3C0094BE02D48C -:040C3D000234C68334 -:040C3E008EB5879355 -:040C3F00F613C63EA4 -:040C4000CA09004697 -:040C4100C58366E918 -:040C420046098EA62B -:040C43007363469100 -:040C440046A100B60F -:040C450065C147B28C -:040C4600802315FDF5 -:040C4700479200D7F9 -:040C480000B774B3CA -:040C490047D05559E2 -:040C4A00C68347B264 -:040C4B0017930007F4 -:040C4C0083C1010758 -:040C4D0077B3C83E73 -:040C4E0045F900B6AE -:040C4F0002B485B3B3 -:040C5000C5B3CA3E20 -:040C510047F202F56F -:040C5200C5B305958C -:040C5300F51302A5EE -:040C540047920FF7BD -:040C550002E507337A -:040C560002C7573347 -:040C5700C70395BA80 -:040C5800F59302C747 -:040C590007130FF579 -:040C5A000762F8072E -:040C5B0095BA87615E -:040C5C00471105C275 -:040C5D00916381C15D -:040C5E0085933AE65A -:040C5F0005C20325A2 -:040C6000452185C1E4 -:040C61000200061374 -:040C620000C5033393 -:040C63003805CC6321 -:040C640000C587330D -:040C65000513972AB2 -:040C660056630FF0D2 -:040C6700059300E50C -:040C680085B30FF051 -:040C6900F7134065D8 -:040C6A0085BA0FF543 -:040C6B00D036451525 -:040C6C00CE3AD41A8E -:040C6D00D0EFD232C0 -:040C6E005612D35FE8 -:040C6F0085B24519EC -:040C7000D2BFD0EF30 -:040C710008000593DF -:040C72000260051304 -:040C7300D1FFD0EFEE -:040C7400532247724E -:040C75000310051350 -:040C7600006705B35B -:040C77000FF5F593ED -:040C7800D0BFD0EF2A -:040C79004721568237 -:040C7A00836345B596 -:040C7B0045A500E6A5 -:040C7C00022005133A -:040C7D00CF7FD0EF66 -:040C7E00D0EF45115D -:040C7F007593CA7F20 -:040C800045110F8586 -:040C8100CE7FD0EF63 -:040C8200450547C21B -:040C83000047D593BE -:040C84000FF5F593E0 -:040C8500CD7FD0EF60 -:040C860000449593FE -:040C87000F05F593CD -:040C8800D0EF45095B -:040C890047D2CC9FE3 -:040C8A00019C07378B -:040C8B00CC07071378 -:040C8C0002F75733E1 -:040C8D00022556B72F -:040C8E000FF6869344 -:040C8F000733458161 -:040C9000F063029774 -:040C910026B702E69A -:040C92008693042C15 -:040C93004585D7F6C6 -:040C940000E6F9631A -:040C9500080BF5B79C -:040C9600FBF5859352 -:040C970000E5B5B30C -:040C98006761058902 -:040C99004187071375 -:040C9A004703972E47 -:040C9B0006930007B5 -:040C9C0047C20280C9 -:040C9D0002D7073340 -:040C9E000017D693D2 -:040C9F0044B397368D -:040CA000469D0297D4 -:040CA1000FF4F493C5 -:040CA2000FF4F71341 -:040CA3000096F36361 -:040CA400070E471DD3 -:040CA5008DD9059A46 -:040CA6000F85F5932E -:040CA700D0EF450D38 -:040CA8004792C4DFCC -:040CA90004A7C503D4 -:040CAA00ECBFD0EFDC -:040CAB004705479220 -:040CAC000497C503E1 -:040CAD0000A77863C1 -:040CAE00C50347B281 -:040CAF0015710007B4 -:040CB00000153513E3 -:040CB100053347615F -:040CB200676102E58F -:040CB300A907071373 -:040CB400849364E9D8 -:040CB500953A8E449A -:040CB600D15FD0EF4B -:040CB70006934792C7 -:040CB800870302C0EC -:040CB90047E20117F6 -:040CBA0002D7073323 -:040CBB004503973E18 -:040CBC00D0EF02076C -:040CBD000703C3BFA7 -:040CBE008637011460 -:040CBF004785000263 -:040CC0000027269350 -:040CC1009B794218C1 -:040CC200C2188F5570 -:040CC3000114050310 -:040CC40022A7D06330 -:040CC50019634789DF -:040CC600472220F5AC -:040CC70002FAF7B77F -:040CC80008078793FF -:040CC90020E7F263CB -:040CCA00E0EF4515FD -:040CCB00409CDECF9C -:040CCC00DFF7F793C4 -:040CCD004098C09CEF -:040CCE0017B7646987 -:040CCF00A02300025C -:040CD00005130EE713 -:040CD100079387847A -:040CD200D5838784BB -:040CD300C60300E76D -:040CD400450301E7EC -:040CD500D78302259A -:040CD600041300C73C -:040CD70043038784C8 -:040CD80087B30214C8 -:040CD900071302A754 -:040CDA0005132BC013 -:040CDB00D7330640C5 -:040CDC0095B302E5E5 -:040CDD0087B300C514 -:040CDE00769302A760 -:040CDF0085B30FF7D3 -:040CE000C7B302652F -:040CE100458102B790 -:040CE20003278793CA -:040CE30002A7C7B3EA -:040CE400F793450538 -:040CE50061630FF741 -:040CE60096B21AF5B3 -:040CE70046B795B6C1 -:040CE800851300026E -:040CE900413C38064C -:040CEA00898D767901 -:040CEB007FF6061377 -:040CEC0005AE8FF1D1 -:040CED00C12C8DDDAC -:040CEE0075E9413C27 -:040CEF0015FD8B0D57 -:040CF00007368FED47 -:040CF100C1388F5D1A -:040CF200C70347925B -:040CF300CF190147CD -:040CF4003C06A78390 -:040CF5008705070563 -:040CF60097BA83AD79 -:040CF7003C06A7030D -:040CF80007AE8B8D2B -:040CF9008FD18E7990 -:040CFA003CF6A02301 -:040CFB00C703479252 -:040CFC00C7150137E0 -:040CFD000705460998 -:040CFE0002C74733AF -:040CFF00000246B7F2 -:040D00003C06A78383 -:040D010006137679E6 -:040D020083AD7FF648 -:040D0300A7038F991A -:040D04008B8D3C0691 -:040D05008F7107AE35 -:040D0600A0238FD9BE -:040D0700D0EF3CF6F7 -:040D08004792CC8FB3 -:040D090044814709D1 -:040D0A0005A7C683F0 -:040D0B000137C403E5 -:040D0C0000E6876313 -:040D0D000147C48353 -:040D0E00B4938C818D -:040D0F004581001406 -:040D1000D0EF453D9E -:040D1100051390FF37 -:040D1200D0EF059089 -:040D130075938BDF6A -:040D1400E88102F57B -:040D150000641793CC -:040D1600F5938DDDE7 -:040D1700E5930FF55C -:040D180005130105B9 -:040D1900D0EF059082 -:040D1A0047928EBFAF -:040D1B000511073780 -:040D1C00F4070713BE -:040D1D0047854F9423 -:040D1E0000D76C632B -:040D1F0004787737A6 -:040D20008BF7071333 -:040D2100756347812E -:040D2200479200D71D -:040D23000157C7832A -:040D240045834712AA -:040D2500470305A7D4 -:040D2600E71105C705 -:040D27004703471225 -:040D2800006301570C -:040D290047120AF76C -:040D2A000AA3852E65 -:040D2B00446A00F71F -:040D2C0044DA40FA6B -:040D2D00E06F610D05 -:040D2E00C6198F4F04 -:040D2F00468547A20C -:040D3000D6B38E9117 -:040D3100B93102D7FB -:040D3200C63A67E96D -:040D33008787871314 -:040D340001675503FB -:040D350001275683B9 -:040D36008787879391 -:040D370001E7C60307 -:040D380002A686B3D6 -:040D39000107550356 -:040D3A0002A6B5B3A5 -:040D3B0002A6853354 -:040D3C0093AFD0EFB2 -:040D3D00810D05F629 -:040D3E008D4D6689E8 -:040D3F0086A686936B -:040D4000650D96AAFD -:040D41000D45051344 -:040D420002A6D6B37C -:040D43003E800613D5 -:040D440086B34732F9 -:040D4500B6F102C63B -:040D460005C2059944 -:040D4700450985C114 -:040D4800B19D4641D2 -:040D4900B1414581EE -:040D4A007513157D8B -:040D4B00BBF50FF5F0 -:040D4C00E793409C4D -:040D4D00BBFD2007C3 -:040D4E00838505850F -:040D4F000FF5F59314 -:040D50004789BD9979 -:040D5100D8F58963E5 -:040D5200879367E933 -:040D5300C5038787C6 -:040D5400C19100A7A2 -:040D550067E5458980 -:040D56008793446AD1 -:040D570040FAFAC79D -:040D5800C68344DA30 -:040D5900C603014785 -:040D5A00610D05B76B -:040D5B00F15FD06F05 -:040D5C00A70367E999 -:040D5D0067E58D4772 -:040D5E00040787936C -:040D5F00464546AD12 -:040D60000167D583CF -:040D610004E59F63A3 -:040D62000FF6F693FF -:040D63000A63E11925 -:040D6400112128072A -:040D650064E9C62651 -:040D66008CE4C50351 -:040D67006465C822D5 -:040D6800DE8407938B -:040D69000035161328 -:040D6A00CA0697B26C -:040D6B00C783438C6B -:040D6C0047510047A4 -:040D6D000045A30397 -:040D6E0002E78733DE -:040D6F008CE48493F9 -:040D7000DE84041306 -:040D71004339971A51 -:040D720002D36363E2 -:040D73006363433142 -:040D740047AD04D3B0 -:040D75000CF6806395 -:040D76000866866322 -:040D77004701478168 -:040D78000685A0F15B -:040D79009DE3078966 -:040D7A004681F8C6F0 -:040D7B004783B745AE -:040D7C00460D0047D9 -:040D7D0016C79B6397 -:040D7E0045BD4750D8 -:040D7F00478342184C -:040D80009C63000769 -:040D8100C7811EB652 -:040D8200F79317FDCF -:040D830000230FF743 -:040D8400B7E900F7D4 -:040D850000474503DB -:040D86001575470593 -:040D87000FF57513DC -:040D880000A76E63EF -:040D890000024537E8 -:040D8A003C8523037E -:040D8B0000F7173323 -:040D8C00FFF7471313 -:040D8D000067773351 -:040D8E003CE52423F9 -:040D8F009C634735E5 -:040D9000E39900E6FD -:040D91000005C7830F -:040D9200F79317FDBF -:040D930096220FF79E -:040D940000F6022340 -:040D9500C703B76178 -:040D960007850005C8 -:040D9700E7B3962206 -:040D9800B7FD02E7BA -:040D9900157DC519E6 -:040D9A0000A480230E -:040D9B00DA4FE0EF5C -:040D9C0067E9B7B597 -:040D9D008C0786A396 -:040D9E0000024737D1 -:040D9F003C07278363 -:040DA0009BED450181 -:040DA1003CF72023D8 -:040DA20040D24442B5 -:040DA300016144B2F4 -:040DA400FDDFE06F20 -:040DA5000047478339 -:040DA6008163468D92 -:040DA70046910AD790 -:040DA800F2D79EE3FD -:040DA9009782471CCA -:040DAA00470587AAC8 -:040DAB000004C683F7 -:040DAC00656545D163 -:040DAD0096A2068E76 -:040DAE00C683429026 -:040DAF0005130046E2 -:040DB0004250018527 -:040DB10002B686B34D -:040DB200C23AC43E3F -:040DB300428C96B226 -:040DB400C0364645BA -:040DB500238030EF78 -:040DB60047A24712F7 -:040DB70085BA468231 -:040DB8008536863EB8 -:040DB900CB0FE0EF8D -:040DBA000004C783E7 -:040DBB0044B765E5EF -:040DBC00078E00029C -:040DBD004503943E18 -:040DBE004641004466 -:040DBF0002C5859351 -:040DC00005410516CE -:040DC10030EF952654 -:040DC2004603206064 -:040DC300478500441C -:040DC40000C797B31A -:040DC5003CF4A62331 -:040DC600EB094712DC -:040DC7004611468209 -:040DC8000046C70317 -:040DC9000EC71563D9 -:040DCA00C76D46D8D3 -:040DCB0000024737A4 -:040DCC003C872683B7 -:040DCD0024238FD577 -:040DCE00A8E93CF75D -:040DCF00C7814B1C71 -:040DD0009782C03A0C -:040DD100C78347028B -:040DD20047140004BE -:040DD30097130785E6 -:040DD40006330037AB -:040DD500420C00E4E8 -:040DD60000D584635D -:040DD70000060223ED -:040DD800C314972287 -:040DD90000F480237F -:040DDA006963B71181 -:040DDB00460902F6CD -:040DDC0004C788635D -:040DDD00433D470C3F -:040DDE0000C7450302 -:040DDF0000D74603F0 -:040DE0000005C783C0 -:040DE10000E74703DD -:040DE20002669163B1 -:040DE30000F67C6337 -:040DE400F71317FDED -:040DE50080230FF761 -:040DE600B58900E5E6 -:040DE7008DE3461141 -:040DE800BD2DE4C772 -:040DE9008732F96DE7 -:040DEA00F863B7FDF6 -:040DEB00078500E791 -:040DEC000FF7F613F4 -:040DED0000C580239A -:040DEE00FD6DB515CD -:040DEF00BFDD863AA4 -:040DF000453D470C2A -:040DF10000C75603DE -:040DF2000005D7839E -:040DF30000E75703BB -:040DF40000A69E6354 -:040DF50000F677632A -:040DF600971317FD3B -:040DF700834101072C -:040DF800C211A0196B -:040DF900902387328A -:040DFA00BBCD00E588 -:040DFB0000E7F463B6 -:040DFC00B7E50785CB -:040DFD004701FA6D43 -:040DFE004683B7FD74 -:040DFF00F563004652 -:040E0000078500D78B -:040E01000FF7F6935E -:040E020000D70023F2 -:040E0300460DBBC11C -:040E0400F0C70CE344 -:040E050040D2444251 -:040E0600450144B2AC -:040E0700E06F016136 -:040E08008082DA3FCB -:040E090085AA862E02 -:040E0A000002153796 -:040E0B0002050513C4 -:040E0C00CEDFC06F06 -:040E0D001793111115 -:040E0E00CA2201856E -:040E0F00CC06C8261F -:040E1000842A87E1C8 -:040E1100DD6384AE6B -:040E1200458100070F -:040E1300077005134C -:040E140001A337D52A -:040E1500478500A16C -:040E16000AA7E363E1 -:040E170007F4741355 -:040E180086EFD0EFA2 -:040E190045014591B9 -:040E1A0067693F7550 -:040E1B008E470713E4 -:040E1C00F793431CE9 -:040E1D00C31CF7F704 -:040E1E000002173780 -:040E1F000EF7202387 -:040E20000184D793DF -:040E210000F102A337 -:040E22000104D7935D -:040E230000F10323B4 -:040E24000084D793DC -:040E250000F103A332 -:040E26000081022322 -:040E2700009104230F -:040E280004000713A8 -:040E290009500793D2 -:040E2A0000E4096374 -:040E2B000480071325 -:040E2C00146347857F -:040E2D00079300E443 -:040E2E0015370870FC -:040E2F00461900025E -:040E30000513004C5A -:040E310004A302050F -:040E3200C0EF00F11C -:040E33004515C7FF9B -:040E3400DF4FE0EFBD -:040E35004585842A41 -:040E3600003105136F -:040E3700F49FF0EF45 -:040E380000310783FB -:040E39000007D76374 -:040E3A00E06FE0EF96 -:040E3B0004E3478500 -:040E3C00E401FEF5DA -:040E3D00AE2367E990 -:040E3E0045038C07D5 -:040E3F0040E200315C -:040E400044C2445212 -:040E41008082017139 -:040E4200879367E546 -:040E430047980A07BB -:040E4400C622113180 -:040E4500C426C806F1 -:040E46006B63440D89 -:040E4700C7830CB79A -:040E480084AA004731 -:040E4900E3918BA105 -:040E4A00051305A6E1 -:040E4B00F0EF05803F -:040E4C00842AF07F85 -:040E4D001537ED4D1B -:040E4E0057F900024E -:040E4F000205051380 -:040E500005934605BB -:040E510003A3007186 -:040E5200C0EF00F1FC -:040E53001737BFFF8F -:040E540047810002D0 -:040E55000207051378 -:040E560000F486B36B -:040E57000006C68348 -:040E580005934605B3 -:040E5900C03E007126 -:040E5A0000D103A31D -:040E5B00BDDFC0EF48 -:040E5C00173747827B -:040E5D0006930002F6 -:040E5E0007852000E4 -:040E5F00020705136E -:040E6000FCD79CE33C -:040E6100460554FDF1 -:040E62000071059383 -:040E6300009103A354 -:040E6400BB9FC0EF81 -:040E65000002173739 -:040E66000207051367 -:040E670005934605A4 -:040E680003A300716F -:040E6900C0EF009145 -:040E6A004585BA3FC1 -:040E6B0000710513FA -:040E6C00E75FF0EF5D -:040E6D000071478346 -:040E6E008BFD47159C -:040E6F0004E79063A1 -:040E70000FA00513B7 -:040E7100D00FE0EFCF -:040E7200051345859A -:040E7300F0EF00712B -:040E74004483E57F4F -:040E7500E491007193 -:040E7600D16FE0EF69 -:040E770005E34785C3 -:040E780067E9FEF533 -:040E79008C07AE2311 -:040E7A004411E481BA -:040E7B004409A01175 -:040E7C00852240C2C9 -:040E7D0044A2443215 -:040E7E00808201511C -:040E7F00BFCD44158A -:040E8000879367E508 -:040E810047980A077D -:040E8200C622113142 -:040E8300C426C806B3 -:040E8400440DC02A2F -:040E850000B76F63E0 -:040E86000047C783D7 -:040E87008BA184B205 -:040E880005A6E39147 -:040E89000510051338 -:040E8A00E0DFF0EFC6 -:040E8B00C901842AEB -:040E8C0040C2440913 -:040E8D004432852244 -:040E8E00015144A228 -:040E8F000513808245 -:040E9000E0EF064049 -:040E91004585C82F9C -:040E920000710513D3 -:040E9300DD9FF0EF00 -:040E9400007147039F -:040E95000FF00793C0 -:040E960000F71763E7 -:040E9700C92FE0EF90 -:040E980002E34785A5 -:040E99004703FEF518 -:040E9A0067E9007193 -:040E9B008C07AE23EF -:040E9C000FE00793C9 -:040E9D00FAF71EE35F -:040E9E0085A64502DE -:040E9F00DA9FF0EFF7 -:040EA0002020059376 -:040EA10005C28D8574 -:040EA200450181C1C4 -:040EA300D99FF0EFF4 -:040EA4007139B74D9C -:040EA500C42A65DD19 -:040EA60085934629C1 -:040EA7000848BA45F8 -:040EA800DC22DE0664 -:040EA900C0EFDA2696 -:040EAA00478DB21F9F -:040EAB0027B7C03E67 -:040EAC0014370006F1 -:040EAD0004B7000284 -:040EAE008613019C0A -:040EAF008593A80778 -:040EB0000513CC0456 -:040EB100C0EF020488 -:040EB200C0EF9D5F91 -:040EB30027B7E05F1E -:040EB400861300069B -:040EB5008593A80772 -:040EB6000513CC0450 -:040EB700C0EF020482 -:040EB80005139BDFA4 -:040EB90046290204C0 -:040EBA00C0EF084C31 -:040EBB0064E5A5FF46 -:040EBC000513458154 -:040EBD00A02304006A -:040EBE00F0EF0A0443 -:040EBF004785D3BFD1 -:040EC0008493842A69 -:040EC10017630A04A5 -:040EC20005931CF583 -:040EC30005131AA059 -:040EC400F0EF0480C7 -:040EC500C22AD23F2C -:040EC60014851D630F -:040EC7000808459141 -:040EC800D05FF0EF18 -:040EC90001214703B9 -:040ECA0015634792D3 -:040ECB0047031AF7C8 -:040ECC000793013156 -:040ECD001F630AA0F5 -:040ECE00051318F7F9 -:040ECF00E0EF3E8092 -:040ED000E0EFB86F28 -:040ED1004785BACFC8 -:040ED20000F51963AB -:040ED300400005B71F -:040ED4000E90051364 -:040ED500CE1FF0EF4D -:040ED600E0EFF56DE7 -:040ED7004785B94F43 -:040ED8000EF50563AB -:040ED90067E9440180 -:040EDA008C07AE23B0 -:040EDB0017FD478236 -:040EDC000FF7F79382 -:040EDD008163C03E2F -:040EDE00D815160706 -:040EDF00458147857D -:040EE0000490051362 -:040EE1008223C09C0C -:040EE200F0EF0084A9 -:040EE3004781CABFBA -:040EE4004515E5517A -:040EE500B30FE0EF78 -:040EE6004585842A90 -:040EE70000F10513FE -:040EE800C85FF0EF00 -:040EE90000F14703CA -:040EEA000FF007936B -:040EEB0000F7176392 -:040EEC00B3EFE0EF91 -:040EED0002E3478550 -:040EEE00E401FEF528 -:040EEF00AE2367E9DE -:040EF00046838C07A2 -:040EF100071300F1F2 -:040EF20047810FE045 -:040EF30004E6986316 -:040EF400100845C9D4 -:040EF500C51FF0EF36 -:040EF6000044C703EA -:040EF70000277693C7 -:040EF80010068663F7 -:040EF9000261470348 -:040EFA0002714783B7 -:040EFB0002A1460307 -:040EFC0007228B0D31 -:040EFD0047838F5D3B -:040EFE00070A02815C -:040EFF0002514683D3 -:040F00008FD9839969 -:040F0100029147030F -:040F02008ABD821D05 -:040F03008B19070639 -:040F040096BA8F51B9 -:040F050016E5078561 -:040F060000D797B3C6 -:040F07000029363750 -:040F0800019C05B78C -:040F09000002153796 -:040F0A00061317FDB6 -:040F0B0085932E0696 -:040F0C000513CC05F8 -:040F0D00C49C020579 -:040F0E00863FC0EF6B -:040F0F00061345225E -:040F100045812000F7 -:040F1100DBDFF0EF43 -:040F12004581A85914 -:040F130007A005131B -:040F1400BE5FF0EFDD -:040F1500F00518E3E8 -:040F160008084591F1 -:040F1700BC9FF0EF9C -:040F18000101478309 -:040F1900F7934431D5 -:040F1A009EE3040747 -:040F1B004411EE0788 -:040F1C004581BDDD71 -:040F1D000E9005131A -:040F1E00BBDFF0EF56 -:040F1F000410079320 -:040F200000A4656361 -:040F210007934409E5 -:040F220005130E9015 -:040F2300C23E0FA01B -:040F2400A34FE0EF08 -:040F2500A5AFE0EFA5 -:040F26001763478581 -:040F2700451200F57A -:040F2800F0EF458120 -:040F2900F57DB93F5A -:040F2A00A46FE0EFE1 -:040F2B004401E1118B -:040F2C00458167E9AB -:040F2D0007B00513F1 -:040F2E008C07AE235B -:040F2F00B79FF0EF89 -:040F30004401C111A6 -:040F31002000059304 -:040F3200050005139E -:040F3300B69FF0EF86 -:040F3400E8050EE3DB -:040F3500BD5944015D -:040F360011E3450579 -:040F370050F2EA0486 -:040F380054D25462D9 -:040F390040A005339C -:040F3A00808261212F -:040F3B0047818B114E -:040F3C00F20701E3D4 -:040F3D000281478363 -:040F3E0002914703D2 -:040F3F008FD907A29D -:040F4000BF01474561 -:040F4100DD4101137A -:040F420020100793E1 -:040F43001008CE3E86 -:040F4400301F17B78C -:040F450022912023B2 -:040F4600221124232D -:040F470022812223BE -:040F4800F0EFCC3EBC -:040F490084AAD71F80 -:040F4A00BA7FC0EFBB -:040F4B0004B3C4B176 -:040F4C00C0EF409022 -:040F4D004705B9DFBC -:040F4E0036E48C6396 -:040F4F003697456329 -:040F50008B6357292F -:040F51008D6336E492 -:040F520065DD36041F -:040F5300BBC5859302 -:040F5400464567E5C2 -:040F550002C7851337 -:040F56003B5020EFFD -:040F5700C481450507 -:040F580005136539DF -:040F59002083EAD532 -:040F5A0024032281C9 -:040F5B002483224188 -:040F5C00011322015A -:040F5D00808222C1AB -:040F5E0005136561B1 -:040F5F00C0EF6A0570 -:040F60004481B80F01 -:040F6100141867DD1C -:040F6200009706B33B -:040F6300DF86C583DD -:040F64009C878613CD -:040F6500460995B2F2 -:040F660006C48063DA -:040F67008263460D4E -:040F6800443706C440 -:040F69000413000F5E -:040F6A0067E524040F -:040F6B0002C7851321 -:040F6C00C4834645AF -:040F6D0020EFDFC6CC -:040F6E00450935708C -:040F6F00805FE0EFD0 -:040F70008522084C82 -:040F7100A6BFD0EF58 -:040F7200DD5567DD05 -:040F73000693646518 -:040F740047520404D8 -:040F75000006D60399 -:040F76000404041358 -:040F770002E60E631D -:040F78000026D60376 -:040F790002C7146334 -:040F7A00AE7FC0EF97 -:040F7B000690049345 -:040F7C00859365DD17 -:040F7D00BFA9BB0548 -:040F7E00001E843796 -:040F7F00480404130B -:040F80009437B76D7E -:040F81000413000451 -:040F8200B7453E042D -:040F83000186D6838A -:040F8400FCD70CE3A7 -:040F8500BF85448D53 -:040F86000513656189 -:040F8700C0EF6A0548 -:040F880065DDAE0F66 -:040F8900464565650F -:040F8A00BF45859347 -:040F8B0001850513C4 -:040F8C002DD020EF55 -:040F8D0065DD67E5D2 -:040F8E00C005859382 -:040F8F00851346453B -:040F900020EF02C785 -:040F910045092CB032 -:040F9200F78FE0EF06 -:040F9300004C553782 -:040F94000513084CED -:040F9500D0EFB405E0 -:040F9600D5599D9FED -:040F9700570347D2E3 -:040F980000630004EE -:040F990057030CF7F7 -:040F9A009FE30024AD -:040F9B00C002F6E7B3 -:040F9C0065DD67E5C3 -:040F9D0002C78513EF -:040F9E0085934645AC -:040F9F0020EFC10579 -:040FA000450928F0E7 -:040FA100F3CFE0EFBB -:040FA20000245703CD -:040FA3001E6347D2B0 -:040FA400061308F731 -:040FA5004581200062 -:040FA600C0EF100880 -:040FA7004582F68FFA -:040FA800F0EF10084E -:040FA90084AAA67FF1 -:040FAA00E80515E35E -:040FAB00043747823E -:040FAC0007850010A5 -:040FAD006785C03E56 -:040FAE000793C23EA5 -:040FAF0086A22000F6 -:040FB0000087F4635F -:040FB1002000069383 -:040FB2001010479242 -:040FB3009593C43618 -:040FB40067E9008762 -:040FB5008C87A5037D -:040FB600CCAFC0EF0D -:040FB700101846A226 -:040FB800063384AACE -:040FB900166300D7E4 -:040FBA0094E318E6BE -:040FBB000713E40430 -:040FBC006D631FF052 -:040FBD00101C00877D -:040FBE0020000613F6 -:040FBF0085338E15D3 -:040FC000458100D790 -:040FC100C0EFC43683 -:040FC20046A2EFCF85 -:040FC300100845824B -:040FC400F0EFC43650 -:040FC50046A29F7F22 -:040FC60016050B639E -:040FC70040A004B38F -:040FC8000793BD09C5 -:040FC900C03E140012 -:040FCA000613B7A1B2 -:040FCB00458120003C -:040FCC00C0EF10085A -:040FCD004401ED0FDF -:040FCE00100885A2E0 -:040FCF009CDFF0EFC4 -:040FD00018E384AAF4 -:040FD1000405DE0530 -:040FD200140007936D -:040FD300FEF416E32F -:040FD4000793645DBE -:040FD50085939C84E0 -:040FD600466D040759 -:040FD700C0EF10084F -:040FD8000793E68F06 -:040FD90085939C84DC -:040FDA00466905C798 -:040FDB00C0EF00C89B -:040FDC0077EDE58F39 -:040FDD00A5578793FA -:040FDE001008458131 -:040FDF0020F11F23BB -:040FE000989FF0EFF7 -:040FE10016E384AAE5 -:040FE2004401DA05E7 -:040FE300061346812A -:040FE4004581200023 -:040FE500C2361008F8 -:040FE600E6AFC0EFC3 -:040FE7000613469215 -:040FE800059320103D -:040FE9008E15100051 -:040FEA0000368793B3 -:040FEB0007C20642F1 -:040FEC00B513824176 -:040FED0083C10016A6 -:040FEE00873205063B -:040FEF0000C5F463E2 -:040FF00010000713D3 -:040FF1008F09973E8F -:040FF200010715934B -:040FF300E68181C151 -:040FF400D03E57E1B3 -:040FF50066C1478DFD -:040FF60003138D1D37 -:040FF70016FD203093 -:040FF80000A7873394 -:040FF90083410742E7 -:040FFA0006B7E863EB -:040FFB00FFD5869305 -:040FFC0082C106C2E6 -:040FFD00080405934C -:040FFE00C2361008DF -:040FFF0090DFF0EFA0 -:0410000018E384AAC3 -:041001000593D2057C -:0410020010080A04C4 -:041003008FDFF0EF9C -:0410040010E384AAC7 -:041005004692D20538 -:041006000442040597 -:041007001FF007933C -:04100800F6E380414A -:041009000613F6D7FD -:04100A0045812000FC -:04100B00C0EF10081A -:04100C0067DDDD4F70 -:04100D009C878793A2 -:04100E000787859338 -:04100F0002000613C2 -:04101000C0EF100815 -:041011000593D84F1C -:0410120010080C00B6 -:041013008BDFF0EF90 -:0410140000E384AAC7 -:04101500B9F1E40544 -:0410160007061010A9 -:04101700863E973248 -:041018000067936377 -:04101900078586368B -:04101A00102307C2D6 -:04101B0083C100C7C6 -:04101C004503BF8544 -:04101D00C8320007CE -:04101E00C43AC636D4 -:04101F00E88FC0EFA7 -:0410200046424722DB -:04102100002346B2B0 -:04102200070500A717 -:041023004782BDA99A -:041024000086D71358 -:0410250007858C159A -:041026004792C03EEF -:04102700C23E97BA74 -:04102800E0041DE3E0 -:041029000713B1797F -:04102A0083E30690C6 -:04102B00B971D4E4DF -:04102C00859365DD66 -:04102D00B969BDC51B -:04102E00859365DD64 -:04102F00B949BCC53A -:04103000859365DD62 -:04103100B169BEC51E -:04103200FDC10113E8 -:04103300D006CC26F1 -:04103400C42ACE22DA -:04103500C03284AE93 -:041036004782C236F5 -:041037004501E399F3 -:041038004402A015B9 -:0410390020000793F9 -:04103A000087F463D4 -:04103B00200004137A -:04103C00451245A272 -:04103D000104161381 -:04103E00F0EF82410C -:04103F00C909907FCC -:0410400040A0053394 -:041041004472508223 -:04104200011344E270 -:041043008082024164 -:041044000FF4F7931B -:04104500008496137A -:041046004792CB9D65 -:04104700008786B3E5 -:0410480004D79163D5 -:04104900469267E97B -:04104A008C87A503E7 -:04104B000084D593B5 -:04104C0005C2872230 -:04104D008ECFC0EF93 -:04104E0047A2F5714F -:04104F00C43E07850F -:04105000008457932E -:04105100478294BE80 -:04105200C03E8F818C -:0410530067E9B77919 -:041054008C87A503DD -:04105500C63285B268 -:04105600870FC0EF51 -:04105700DD554632EB -:04105800C503B755C0 -:04105900CA3600078C -:04105A00C63EC83294 -:04105B00D98FC0EF7A -:04105C0046D247B27F -:04105D008023464264 -:04105E00078500A75B -:04105F000113B7556D -:0410600000C8DB01E8 -:04106100241126230D -:04106200248124239E -:04106300249122238F -:04106400903FF0EFDA -:04106500C0EF842A2A -:0410660007B3F38F4A -:041067001A63408048 -:0410680046111C040D -:04106900104800CC5F -:04106A00764020EFBD -:04106B00461165DDE8 -:04106C00C8C58593DB -:04106D0020EF104818 -:04106E00C91D7280A6 -:04106F000793440D92 -:04107000C23E064036 -:04107100F0AFC0EF2D -:041072000713479287 -:041073004D63068043 -:04107400071300F767 -:04107500426306309C -:04107600071330F735 -:041077008963F34056 -:04107800470536E70B -:0410790036E78A6369 -:04107A00859365DD18 -:04107B00A629BBC522 -:04107C000481578311 -:04107D0004A1059332 -:04107E000513461DF3 -:04107F00142302A193 -:0410800020EF02F16A -:04108100578370A081 -:0410820008A3052199 -:041083001023020133 -:04108400578302F19B -:0410850011230541ED -:04108600550202F11C -:04108700D24FC0EF95 -:041088000561578324 -:04108900C22ADA2A73 -:04108A0002F110233C -:04108B000581578301 -:04108C0002F1112339 -:04108D00C0EF550259 -:04108E005783D0AF05 -:04108F00DC2A05A1B1 -:0410900002F1102336 -:0410910005C15783BB -:0410920002F1112333 -:04109300C0EF550253 -:04109400DE2ACF2F52 -:04109500240125030A -:04109600CE8FC0EF4A -:04109700C0AA459214 -:041098001E2007937C -:04109900FE65871356 -:04109A000CE7E76315 -:04109B0000C846053E -:04109C00CF4FC0EF83 -:04109D000663478619 -:04109E00440D00F508 -:04109F00066007934D -:0410A0006465B78943 -:0410A1000613665D6F -:0410A20045C5C94631 -:0410A30001840513AC -:0410A400479010EF72 -:0410A50067E556E2C3 -:0410A6008513665DEB -:0410A700061302C763 -:0410A80045C5CA462A -:0410A900465010EFAE -:0410AA00E0EF450529 -:0410AB0057E2B16FE8 -:0410AC0045014701B2 -:0410AD0057F2C23EF6 -:0410AE004792C43E63 -:0410AF0008F761637A -:0410B000946347A25C -:0410B100478320A7AA -:0410B200468302A1CE -:0410B300470302816C -:0410B400CBD5029105 -:0410B500879367E1D5 -:0410B6000613A24734 -:0410B700C03202A1A0 -:0410B8000613665D58 -:0410B90045C5CB0658 -:0410BA000184051395 -:0410BB0041D010EF21 -:0410BC0065DD67E5A2 -:0410BD00859346458C -:0410BE008513D08541 -:0410BF00C0EF02C7B5 -:0410C0004505AC8FA7 -:0410C100E0EF64E513 -:0410C2008493ABAFB9 -:0410C30016B7040454 -:0410C400A7830002FC -:0410C500D7030D063A -:0410C60007C2000459 -:0410C700086383C176 -:0410C800D70306F74D -:0410C9000663002496 -:0410CA0065091AF7A3 -:0410CB007105051393 -:0410CC00DCFFB0EFA6 -:0410CD00440DBFE926 -:0410CE00065007932E -:0410CF004792B55936 -:0410D00040E786B3BC -:0410D1002000079361 -:0410D20000D7F463EC -:0410D3002000069360 -:0410D400200704935A -:0410D5000106961367 -:0410D600D5938241EB -:0410D70000C80094B9 -:0410D800C636C83A16 -:0410D900E9CFF0EF7C -:0410DA00474246B291 -:0410DB0007B3C50989 -:0410DC00440D40A0DF -:0410DD003613B5B958 -:0410DE0085B60017BC -:0410DF00C0EF00C896 -:0410E0008726BE6F32 -:0410E10067DDBF1DEB -:0410E200AD478793FC -:0410E300D0EFB7B9DA -:0410E4006769D32F36 -:0410E5008E47071318 -:0410E60016B7431CDA -:0410E7006509000295 -:0410E8000027E79363 -:0410E900A023C31C61 -:0410EA0005130EF6E6 -:0410EB00B0EF7105EC -:0410EC0065DDD51FCA -:0410ED000184051362 -:0410EE00859346455B -:0410EF0020EFCBC55E -:0410F000440D54E077 -:0410F10064DD67E56E -:0410F20002C7851399 -:0410F3008593464556 -:0410F40020EFCC8499 -:0410F500450553A0BA -:0410F6009E8FE0EFFA -:0410F70000D4566269 -:0410F80045054581E4 -:0410F900CE5FF0EFE7 -:0410FA001CE387AAC2 -:0410FB0067E5DC05C4 -:0410FC00464565DD23 -:0410FD00CD85859385 -:0410FE0001878513CE -:0410FF00510020EF8D -:04110000859367E587 -:041101008513CC8402 -:04110200464502C795 -:04110300500020EF89 -:04110400E0EF4505CE -:0411050057E29AEF24 -:0411060045014481DA -:0411070057F2C23E9B -:0411080067E5C43E95 -:041109004792C63E05 -:04110A0002F4EF6399 -:04110B0007934722DD -:04110C0018E3F340B1 -:04110D00C0EFD8A7B0 -:04110E0047B2C98F8C -:04110F00464565DD0F -:04111000D1C585932D -:0411110001878513BA -:0411120097EFC0EFA4 -:0411130065DD67E54A -:041114008593464534 -:041115008513CE85EB -:0411160020EF02C7FD -:0411170045054B201F -:04111800960FE0EF5F -:041119004792A00158 -:04111A00409786B3C1 -:04111B001000079326 -:04111C0000D7F463A1 -:04111D001000069325 -:04111E00A50367E9D5 -:04111F0000D08C87E9 -:04112000C83685A6A2 -:04112100F1FFB0EF3B -:0411220000D046C2F1 -:0411230005B387AADF -:04112400450300D6A9 -:04112500CE360006BC -:04112600CA3ECC2EC3 -:04112700C0EFC8321B -:041128004642A66F26 -:0411290047D245E282 -:04112A0000A60023F8 -:04112B0046F206057D -:04112C00FEC591E388 -:04112D00D00797E36D -:04112E000014B613E0 -:04112F0000C885B6B9 -:04113000AA4FC0EF13 -:04113100100484938F -:04113200440DBFB9F0 -:0411330006700793A8 -:04113400440DB9CDE0 -:041135000680079396 -:041136008713B1ED7D -:04113700468DF9B731 -:0411380008E6E06382 -:04113900070A66DD5E -:04113A00D3068693BF -:04113B004318973688 -:04113C0065DD8702E4 -:04113D00C7C585930A -:04113E0067E5C23E61 -:04113F0002C785134B -:0411400020EF464511 -:04114100450540A080 -:041142008B8FE0EFC0 -:04114300000F45371D -:041144002405051366 -:04114500BEBFB0EF8A -:04114600D763479292 -:0411470055630407E1 -:04114800665D04805C -:0411490006136565BF -:04114A0045C5CF8642 -:04114B000185051302 -:04114C001D9010EFF3 -:04114D00B579147DDF -:04114E00859365DD43 -:04114F00BF6DC34568 -:04115000859365DD41 -:04115100BF4DC44585 -:04115200859365DD3F -:04115300B76DC5852A -:04115400859365DD3D -:04115500B74DC205CB -:04115600859365DD3B -:04115700BF69BDC5EA -:04115800859365DD39 -:04115900BF49C6C5FF -:04115A00EA8FD0EF59 -:04115B0024C1208308 -:04115C0024812403C3 -:04115D002441248382 -:04115E000113557DA7 -:04115F008082250164 -:04116000DDC10113D9 -:041161002023082817 -:041162002E23221105 -:041163002C23208198 -:04116400F0EF2091F7 -:04116500C02AD00FBD -:04116600B36FC0EFB4 -:04116700E931450223 -:0411680065DD646578 -:0411690085934645DF -:04116A000513D5C5CF -:04116B00C0EF02C40B -:04116C004509818F21 -:04116D0080CFE0EF60 -:04116E006465C222D0 -:04116F00040404135D -:04117000000217B7AB -:041171000D07A7833C -:04117200000457031B -:0411730083C107C26B -:0411740004F7046315 -:0411750000245703F8 -:0411760002F71A63FF -:0411770065DD479259 -:0411780085934645D0 -:041179008513BB051A -:04117A0020EF02C799 -:04117B000513322006 -:04117C00C02A0680FF -:04117D00ADAFC0EF63 -:04117E0022012083A7 -:04117F0021C1240363 -:04118000248345027D -:0411810001132181B4 -:041182008082224104 -:0411830005136509E2 -:04118400B0EF710552 -:04118500B76DAEDFB5 -:0411860065DD47924A -:041187008513464541 -:04118800859302C782 -:0411890020EFD4057A -:04118A0045092E6085 -:04118B00F95FD0EF49 -:04118C00FFF0073732 -:04118D00200707939D -:04118E006485C002B2 -:04118F0047A2C43E71 -:041190000084941330 -:04119100943E462D15 -:0411920085A2842589 -:04119300F0EF006811 -:04119400C501BB2FA7 -:0411950040A005333E -:0411960065DDBF69EB -:04119700B485859303 -:04119800B0EF00684C -:04119900E10DFB1F4A -:04119A0001614703A5 -:04119B00156346850D -:04119C00468306D7A9 -:04119D009963014110 -:04119E00468300E69E -:04119F0047090151AA -:0411A0007960061359 -:0411A10006E6846377 -:0411A200100484931E -:0411A30098E367095D -:0411A4000513FAE451 -:0411A500B0EF3E80E9 -:0411A6004581A69F3A -:0411A700D0EF453D03 -:0411A80067E9B05FE4 -:0411A9008E87C70363 -:0411AA00879367E5DB -:0411AB0097BA00C728 -:0411AC000007C50370 -:0411AD0067E9458128 -:0411AE008CA789235E -:0411AF00AE7FD0EF50 -:0411B000468247929A -:0411B1000613665D5E -:0411B20045C5D4C695 -:0411B30002C78513D7 -:0411B400039010EFA5 -:0411B500BF314505FC -:0411B6004703FB45AB -:0411B70015E30141FA -:0411B8004703FAD718 -:0411B900F34D0151A0 -:0411BA000580061393 -:0411BB0085A60834C9 -:0411BC00F0EF8522A9 -:0411BD001EE39D7F11 -:0411BE004782EE0571 -:0411BF00C03E0785A2 -:0411C0000113B761FF -:0411C100D106F5C19D -:0411C200CD26CF2245 -:0411C30000021437DB -:0411C400A5DFB0EF04 -:0411C5000493C22AA3 -:0411C60047C508040D -:0411C7002023D0BC55 -:0411C80027B70E0433 -:0411C900A623000257 -:0411CA00A82300074F -:0411CB00AA2300074C -:0411CC006789000728 -:0411CD00710785130E -:0411CE009C7FB0EF63 -:0411CF0007936369B6 -:0411D00006938E43B1 -:0411D100C3941D1096 -:0411D2004501D0B44F -:0411D3009CAFD0EF0E -:0411D40000031537C8 -:0411D500D405051325 -:0411D6009A7FB0EF5D -:0411D70000062637B1 -:0411D800019C05B7BA -:0411D900A80606134B -:0411DA00CC05859328 -:0411DB0004040513F0 -:0411DC00D2BFB0EFDF -:0411DD00871367E924 -:0411DE0043148E47E1 -:0411DF00F6934551ED -:0411E000C314F9F645 -:0411E100B0EFD0B4E7 -:0411E20045D1979FBD -:0411E300038005136D -:0411E400904FD0EF69 -:0411E500051345D1D8 -:0411E600D0EF0390B3 -:0411E70045D18FAFB0 -:0411E800D0EF4551AE -:0411E90045D18F2F2E -:0411EA0007100513D2 -:0411EB008E8FD0EF24 -:0411EC00051345D1D1 -:0411ED00D0EF05E05A -:0411EE0045D18DEF6B -:0411EF0006D005130E -:0411F0008D4FD0EF60 -:0411F100453145D16E -:0411F2008CCFD0EFDF -:0411F300320005932E -:0411F400D0EF4505EE -:0411F50045D18C2F25 -:0411F600D0EF4519D8 -:0411F70005938BAF22 -:0411F8004509320073 -:0411F9008B0FD0EF99 -:0411FA00879367E987 -:0411FB0043948E4744 -:0411FC0045814601E2 -:0411FD000406E6936B -:0411FE00D0B4C39412 -:0411FF0004040513CC -:04120000CFFFB0EF7D -:04120100B0EF4529DC -:0412020045E18F9F94 -:04120300C0EF4505EE -:0412040045E1E52FAC -:04120500C0EF4509E8 -:0412060045E1E4AF2B -:04120700C0EF450DE2 -:04120800C0EFE42F20 -:0412090063698E1F68 -:04120A008E430713F5 -:04120B00C43A47E1B9 -:04120C005AF510631C -:04120D00C0EF4501E8 -:04120E000793E6AFAD -:04120F0000630FF079 -:0412100087B75CF54B -:0412110087931A8025 -:04121200D6BE080735 -:041213008413678950 -:041214004569A1A7E0 -:04121500092347A1C1 -:04121600182306F1A2 -:04121700C0EF06819D -:041218007593E42FB7 -:04121900E5930F55F5 -:04121A00456900A57D -:04121B00E7EFC0EF4A -:04121C0005134585EC -:04121D00C0EF0350CB -:04121E0045A5E74FAC -:04121F000220051391 -:04122000E6AFC0EF86 -:0412210005136561EB -:04122200C0EFA9056B -:041223004501F62F5C -:04122400851FC0EF73 -:0412250005134599CF -:04122600C0EF03D042 -:041227000593E50F37 -:0412280045450440F4 -:04122900E46FC0EFBF -:04122A004549458568 -:04122B00E3EFC0EF3E -:04122C00454D458166 -:04122D00E36FC0EFBC -:04122E00C0EF10E815 -:04122F004581EDAF59 -:04123000C0EF455D69 -:04123100C0EFE28F99 -:041232004509FFBFAC -:04123300C3AFC0EF96 -:041234000FF575132A -:04123500166347CD28 -:04123600646552F5A4 -:04123700F9C40413DF -:0412380000244583C6 -:04123900C0EF4515A8 -:04123A004783C6AF71 -:04123B0005930024F3 -:04123C00451103D085 -:04123D009713839DE3 -:04123E0047830027BB -:04123F009BED00C45F -:0412400006238FD919 -:04124100C0EF00F406 -:041242000513C4AF1D -:04124300B0EF3E804A -:0412440045F5FF0F5E -:04124500C0EF4511A0 -:041246000593C3AF9A -:041247000513030088 -:04124800C0EF0610DD -:041249000593C2EF58 -:04124A0045250B200B -:04124B00C24FC0EFDF -:04124C000F80059377 -:04124D00C0EF452980 -:04124E000593C1AF94 -:04124F00452D0370B6 -:04125000C10FC0EF1B -:04125100453D458151 -:04125200C08FC0EF9A -:0412530005134581B9 -:04125400C0EF0C904B -:041255004581BFEF21 -:041256000CA00513D0 -:04125700BF4FC0EFD6 -:0412580005134581B4 -:04125900C0EF0CB026 -:04125A004581BEAF5D -:04125B000CC00513AB -:04125C00BE0FC0EF12 -:04125D0005134581AF -:04125E00C0EF0CD001 -:04125F004581BD6F99 -:041260000CE0051386 -:04126100BCCFC0EF4F -:0412620005134581AA -:04126300C0EF0CF0DC -:041264004581BC2FD5 -:041265000D00051360 -:04126600BB8FC0EF8B -:041267000044458377 -:041268000E1005134C -:04126900BACFC0EF49 -:04126A00C0EF451577 -:04126B000793C2EF34 -:04126C0012630860A1 -:04126D00059306F5EA -:04126E00051307500D -:04126F00C0EF0700C5 -:041270000593C62FED -:041271000513090058 -:04127200C0EF0200C7 -:041273004581C56F7D -:04127400021005134C -:04127500C4CFC0EF33 -:041276000513458196 -:04127700C0EF0220A2 -:04127800458DC42FAD -:041279000230051327 -:04127A00C38FC0EF6F -:04127B000513458191 -:04127C00C0EF02803D -:04127D000593C2EF24 -:04127E0005130B0049 -:04127F00C0EF0710A5 -:041280000593C22FE1 -:04128100051307004A -:04128200C0EF0700B2 -:0412830067E9C16FE7 -:0412840087A34705F0 -:0412850067E58CE7A6 -:04128600F387A783C0 -:04128700242367694C -:0412880082638CF7FA -:04128900B0EF3E077D -:04128A0062E5C2FF58 -:04128B00FAC285130B -:04128C00061365E1FF -:04128D008593042021 -:04128E000575BE859F -:04128F00B8BFB0EF45 -:0412900066E163654B -:04129100BB06859380 -:041292000403051339 -:0412930003600613DB -:04129400B77FB0EF81 -:04129500071367E5EF -:04129600822302901D -:0412970047B7ECE782 -:041298008793000236 -:0412990043B8380717 -:04129A000613767948 -:04129B008F717FF6DA -:04129C0043B8C3B8D8 -:04129D00167D7669DB -:04129E00C3B88F71D1 -:04129F00762143B8B9 -:0412A0007713167D2D -:0412A1006713F1F7E7 -:0412A200C3B80607C0 -:0412A300458143B886 -:0412A4007713453D3A -:0412A50067138FF745 -:0412A600C3B8300792 -:0412A70064E943B8FB -:0412A80067136469FB -:0412A900C3B80017AF -:0412AA009B1D43B88D -:0412AB00008767133E -:0412AC0043B8C3B8C8 -:0412AD0066218F71B6 -:0412AE00C3B88F51E1 -:0412AF00EE6FD0EF1F -:0412B0004503676922 -:0412B10045818D27BF -:0412B200EDAFD0EFDD -:0412B3008384879316 -:0412B400A0236569A5 -:0412B500A223000769 -:0412B600A423000766 -:0412B700A623000763 -:0412B8009823000770 -:0412B9000613000711 -:0412BA00458102C0A8 -:0412BB0084C50513CE -:0412BC00B13FB0EF9F -:0412BD00061365E1CE -:0412BE00859302C052 -:0412BF00051304C54A -:0412C000B0EF878480 -:0412C100B0EFAC5F7F -:0412C2004501DE1FE5 -:0412C300A9FFC0EFD0 -:0412C400000217B756 -:0412C5000D07A60368 -:0412C60007B762E51F -:0412C7008713000287 -:0412C8008FF1FAC2E6 -:0412C900C03A66655C -:0412CA00FC96071374 -:0412CB006769C0BAD5 -:0412CC008D27071350 -:0412CD008713CE3A7B -:0412CE00C2BA838499 -:0412CF000713676931 -:0412D000C63A8D4746 -:0412D100071367692F -:0412D200D03A8BC7BC -:0412D300636567697F -:0412D400071366E1B5 -:0412D500DA1A8C078E -:0412D600D622CA361C -:0412D7009263D23A12 -:0412D80066E11407B0 -:0412D900B44687137D -:0412DA00C83A448149 -:0412DB0065DD646504 -:0412DC00859346456B -:0412DD000513D78599 -:0412DE00CC3E01847D -:0412DF00591010EFA3 -:0412E000969347C2D8 -:0412E100656500241B -:0412E200428C96BEE6 -:0412E3000513464564 -:0412E40010EF02C540 -:0412E500463757B081 -:0412E6002683000259 -:0412E70045053C0677 -:0412E8000046E69343 -:0412E9003CD62023AC -:0412EA00A19FD0EF01 -:0412EB00468147E20F -:0412EC0000021637AF -:0412ED000D062503C2 -:0412EE00177D6741C0 -:0412EF0000E575B3EE -:0412F0000337473247 -:0412F100C30C000327 -:0412F2000D062603BC -:0412F3004613570245 -:0412F4007633FFF658 -:0412F500C3100066BC -:0412F6008763C985BC -:0412F70067E502F5B0 -:0412F80004078793CD -:0412F9000014961334 -:0412FA00EAAD97B210 -:0412FB00902365DDFA -:0412FC00464500A7BC -:0412FD00D8058593F8 -:0412FE00018405134F -:0412FF00511010EF8B -:04130000D0EF4505E0 -:0413010046859BFF83 -:04130200A303578268 -:0413030057920007F6 -:04130400E39D439C86 -:04130500116367C148 -:04130600E0BD02F351 -:04130700061347D2B0 -:04130800CC1A036098 -:04130900BB07859306 -:04130A0044ED57D285 -:04130B00040785133B -:04130C00997FB0EF26 -:04130D004689436268 -:04130E0047B2571279 -:04130F002023460948 -:04131000D436006768 -:041311008063439C16 -:04131200650904C69F -:041313007105051348 -:04131400B0EFCC3E2C -:0413150047E2CACF12 -:04131600BF9956A283 -:041317000007D78371 -:0413180083E346899C -:0413190065DDFAF59F -:04131A00859346452C -:04131B000513D88559 -:04131C0010EF018449 -:04131D00450549B089 -:04131E00949FD0EFD9 -:04131F00B7694681E3 -:04132000468914F9ED -:041321000485BF552B -:04132200D1E346E9E4 -:04132300453DEE96C0 -:04132400A76FD0EFF0 -:041325000002473744 -:041326003C072783D6 -:0413270020239BEDF7 -:0413280047923CF7B5 -:04132900665D64E5B4 -:04132A0000A7D51330 -:04132B0012C0079352 -:04132C0002F507B30C -:04132D004685470D9D -:04132E00D986061343 -:04132F00851345C518 -:041330006465078465 -:0413310010EFDE3E9D -:0413320065DD242031 -:041333008593464513 -:041334000513EB05AD -:04133500B0EF08C449 -:0413360045058F1FBB -:04133700991FD0EF3B -:041338000007A537CE -:041339001205051381 -:04133A00C16FB0EFE0 -:04133B00C36FB0EFDD -:04133C00CC3E4799C3 -:04133D00C802CA0216 -:04133E00C4A6D4026B -:04133F0017B7C6A274 -:04134000A78300027D -:0413410046B20D079C -:04134200177D67416B -:04134300C2988F7D40 -:04134400FFF7C69356 -:041345000003073763 -:0413460056828F75C7 -:041347000187D61331 -:04134800C29887B20E -:04134900869366E938 -:04134A00CA198D86A9 -:04134B007613167986 -:04134C00458D0FF6C6 -:04134D0000C5F6637E -:04134E000006C603CC -:04134F0000F615632C -:0413500020234632DE -:0413510080230006EF -:04135200579200F6B8 -:04135300EFD5439CF3 -:04135400C398579251 -:0413550004136469B0 -:0413560047838BA49A -:0413570086630004A5 -:0413580047820E07B3 -:0413590001C7C7837E -:04135A000E07816396 -:04135B0067694782F5 -:04135C008CD74703E0 -:04135D000127C7831A -:04135E00F7938FD999 -:04135F00E7F10FF7AC -:04136000BC6FB0EFBF -:04136100626357F27A -:0413620047520CF5ED -:0413630006300793B6 -:041364000AE7ED6344 -:0413650000044683B7 -:04136600470547856B -:0413670000F68763A2 -:04136800871347E2BE -:041369003733FFA770 -:04136A00478200E0D6 -:04136B00C78346A14D -:04136C0017FD01C7A1 -:04136D000FF7F793EC -:04136E0006F6E76335 -:04136F00869366DD1E -:04137000078AED06F5 -:04137100439497B654 -:04137200879367E90D -:0413730086828E8759 -:04137400665D56F963 -:041375000613656591 -:0413760045C5DAC6C9 -:0413770007850513CE -:04137800128010EFE0 -:04137900656565DD64 -:04137A0085934645CC -:04137B000513AD4564 -:04137C0010EF08C5A1 -:04137D00450531B041 -:04137E00875FD0EFC6 -:04137F0056F5A0017E -:0413800056F1BFC99A -:0413810056FDB7F965 -:041382005792B7E9DE -:041383005782C39832 -:041384000007A0239B -:041385006769B7815C -:041386008B87470307 -:0413870000E0373318 -:0413880080230709AE -:0413890047D200E760 -:04138A00078547622A -:04138B000FF7F793CE -:04138C004799CA3E75 -:04138D0000F70763FB -:04138E0000170793AA -:04138F000FF7F793CA -:04139000B0EFCC3EB0 -:041391004785AE0FCF -:0413920008BCC83E8D -:04139300D6BE6465F9 -:041394000BC40793EC -:0413950000178713A3 -:041396008713DCBA23 -:04139700DEBA002793 -:0413980065DDC13A14 -:04139900003787137F -:04139A00DABED8BE21 -:04139B000791C33AB9 -:04139C00462DC53ADB -:04139D00859308B874 -:04139E0008E8EC452A -:04139F00C93EC73A42 -:0413A0000C23CB3A15 -:0413A100B0EF0401A4 -:0413A2004782F40F7B -:0413A300472546850F -:0413A40001C7C78333 -:0413A500C236C8A2E2 -:0413A60000E7866373 -:0413A700F79307852C -:0413A800C23E0FF73B -:0413A900470147B2FF -:0413AA00439045E93E -:0413AB00879357D2FB -:0413AC00D6830407D9 -:0413AD001A630007B8 -:0413AE0047E904D631 -:0413AF0062E7E263AC -:0413B000179366DD4C -:0413B10086930027F8 -:0413B20097B6EF46B5 -:0413B3008782439C4E -:0413B400E71946856A -:0413B500468367659F -:0413B600B693F547AE -:0413B7000691001685 -:0413B80000D78023B7 -:0413B9004699B78911 -:0413BA00C683DF65A2 -:0413BB00BFCD00079B -:0413BC00BF05471D05 -:0413BD004703676912 -:0413BE0037338B979F -:0413BF00072100E022 -:0413C0004699B70D86 -:0413C1004685FF71ED -:0413C2000789BFE1F7 -:0413C3003AB704E34E -:0413C400B74507051D -:0413C50047224785EF -:0413C600431846A2E0 -:0413C70010074713B1 -:0413C8005702C2986E -:0413C900431866C19E -:0413CA00C2918EF945 -:0413CB0006B7479288 -:0413CC008F75000217 -:0413CD006765C31578 -:0413CE000BC707132F -:0413CF0001074703C8 -:0413D0004681460507 -:0413D10000E665636A -:0413D2007693070502 -:0413D30067650FF744 -:0413D4000BC7071329 -:0413D50000D7082312 -:0413D60047296469D6 -:0413D7000413C20237 -:0413D80086638E8416 -:0413D900002300E706 -:0413DA00478500F44F -:0413DB0047A2C23E25 -:0413DC00F713439C24 -:0413DD0047A2FF37ED -:0413DE0067E9C39860 -:0413DF008CD7C6835E -:0413E0008CD787938C -:0413E100EA81D83E87 -:0413E200C78367E96D -:0413E300078A8CC722 -:0413E40047228FD934 -:0413E50047A2C31C3C -:0413E60017B743985A -:0413E700A02300023D -:0413E80057C20EE7F3 -:0413E9000007C783AF -:0413EA004501C78171 -:0413EB00DC4FE0EF04 -:0413EC0047034782EA -:0413ED00C7830004AE -:0413EE00846301C74C -:0413EF00479200E73A -:0413F00047C2E79970 -:0413F1004782CF85DB -:0413F2000127C78385 -:0413F30067E9CB8556 -:0413F4008D17C78307 -:0413F500C39DC83E8E -:0413F600879367E58D -:0413F70097BA00C7DA -:0413F8000007C50322 -:0413F900C80247F2ED -:0413FA000007C7839E -:0413FB0000A788635C -:0413FC00458147F2EE -:0413FD0000A78023A2 -:0413FE009AAFD0EFE3 -:0413FF0047834702D7 -:04140000468300041B -:04140100676901C74F -:041402008EA704931A -:0414030002F69163F9 -:041404000004C60317 -:04140500F8634689B9 -:0414060067651EC632 -:041407000BC70713F5 -:04140800040746038C -:0414090046834702CD -:04140A000E6305D791 -:04140B0064691CD61E -:04140C008E940713A0 -:04140D008E0404A3A2 -:04140E000004802333 -:04140F00DC3A468DF0 -:041410002AF6F1E3E4 -:0414110007136765F1 -:0414120046030BC7BB -:041413004585040700 -:0414140026B615E300 -:04141500FFA7859315 -:041416000FF5F59346 -:041417007463440DA9 -:04141800006F00B6AB -:0414190085936990BE -:04141A00F513FFF7D0 -:04141B0045A10FF5E3 -:04141C0002A5E163E1 -:04141D00859365E16D -:04141E0095AAA58561 -:04141F000005C703FA -:04142000D43A4599DC -:0414210026B78FE378 -:0414220026F5E1E3E7 -:0414230086E3458592 -:04142400470226B79E -:041425000E23CAB216 -:04142600092300F79F -:04142700C0EF00070B -:041428004656864F4F -:0414290075934789E7 -:04142A0010E30D8539 -:04142B00E59326F629 -:04142C00450500155D -:04142D00B0EFCAAEA4 -:04142E0045D6DABF06 -:04142F00B0EF4509CC -:0414300045D6DA3F84 -:04143100B0EF450DC6 -:04143200C0EFD9BF6F -:04143300B0EFFF6FA8 -:0414340067E9CC5F39 -:041435008CF7C783E6 -:041436004585C385A0 -:04143700008595B3E4 -:041438000405E5932F -:041439000FF5F59323 -:04143A00CAAE4519D8 -:04143B00D35FB0EFDC -:04143C00451D45D62F -:04143D00D2DFB0EF5B -:04143E00C40357E2AA -:04143F0045810004DF -:041440000007C68358 -:04144100E66347898E -:041442001593008777 -:0414430005E200645A -:04144400971385E194 -:041445009793002653 -:041446008FD90046F4 -:041447008DDD8FD5D3 -:041448000FF5F59314 -:04144900B0EF456556 -:04144A004541DC5FDD -:04144B00D75FB0EFC8 -:04144C00478D57224F -:04144D000F857593FF -:04144E0000F714632C -:04144F000055E593CC -:04145000B0EF454173 -:041451004789DA9F4E -:041452001C87F9E317 -:041453000593479125 -:04145400F463052018 -:041455000593008774 -:0414560045390530DF -:04145700D8FFB0EF1B -:0414580005136561B2 -:04145900A0EF6A0591 -:04145A004551F99F60 -:04145B00D35FB0EFBC -:04145C00478D57223F -:04145D00036345C11F -:04145E00458100F7CD -:04145F00B0EF456144 -:041460004581D6DF0D -:04146100036005130C -:04146200D63FB0EFD2 -:0414630056A24782C4 -:04146400A62347056F -:0414650047A2000793 -:04146600F793439C19 -:0414670087E3BFF761 -:04146800472218E619 -:04146900C31C464515 -:04146A00439847A2BA -:04146B00000217B7AD -:04146C000EE7A023C4 -:04146D00C783478268 -:04146E00971301C708 -:04146F0067E100270A -:04147000A647879371 -:04147100438C97BA57 -:04147200851347A6F1 -:0414730010EF0787E8 -:0414740047B673E024 -:04147500464565DDA6 -:0414760008C785130B -:04147700EA4585932A -:0414780072C010EF3F -:04147900D0EF450566 -:04147A004792C86F5E -:04147B0067E9CF89C5 -:04147C008C47C703CF -:04147D00156347A903 -:04147E00453D00F7F1 -:04147F00D0BFC0EF2B -:04148000F23FA0EFA8 -:041481006465CA02D2 -:041482000BC40793FD -:0414830003D7C703C1 -:04148400C783478251 -:041485000B6305A749 -:0414860057B202F760 -:041487004601468153 -:041488008787879338 -:0414890000A7C503F0 -:04148A00C0EF4581E9 -:04148B000793A56FAF -:04148C00C5030BC4C5 -:04148D00C0EF03D7D2 -:04148E000793B74FBA -:04148F0047020BC441 -:0414900003D7C78334 -:041491000007262307 -:0414920004F70D232B -:0414930007936765EF -:04149400C5830BC73A -:04149500478903D7A9 -:0414960002F58F6369 -:041497000BC70793E5 -:0414980003E7C6039D -:04149900C78347823C -:04149A00866305B7A9 -:04149B0057B202C77B -:04149C008787879324 -:04149D0000A7C503DC -:04149E004589C1912A -:04149F00C683478237 -:0414A000C0EF014751 -:0414A10067E59FEF6D -:0414A2000BC787935A -:0414A30003E7C78311 -:0414A4000DA347024B -:0414A500470204F7FF -:0414A600879367E5DC -:0414A700C7830BC725 -:0414A80047030407EB -:0414A900056305D7FB -:0414AA00470200F7FE -:0414AB0004F70EA391 -:0414AC00C68367E5A7 -:0414AD006765F64732 -:0414AE00F6574703A3 -:0414AF0000D0363300 -:0414B000000246B739 -:0414B1003C06A783CB -:0414B20018638B85AB -:0414B300A78300F615 -:0414B400838D3C06E2 -:0414B5008C638B8D2C -:0414B60047B702E74B -:0414B7008793000215 -:0414B80043B43807FA -:0414B900070E8B0D82 -:0414BA008ED19AF93C -:0414BB0043B4C3B4BF -:0414BC008F559A9D11 -:0414BD0057C2C3B897 -:0414BE000007C783D9 -:0414BF0047B2CB89DC -:0414C0000007A0235E -:0414C10090DFC0EF09 -:0414C200E0EF45050D -:0414C3004782A66F47 -:0414C40001C7C78312 -:0414C500380783E37E -:0414C600000A54378D -:0414C700E2BFA0EFF1 -:0414C800CB840413BA -:0414C900A0EF942AD2 -:0414CA007A63E21F40 -:0414CB0017B70085CA -:0414CC00A7830002F0 -:0414CD0007370D07C9 -:0414CE008FF9001082 -:0414CF0027B7D7ED77 -:0414D000439800023B -:0414D100A3034380AE -:0414D20043C4000708 -:0414D300833143DC42 -:0414D40053138B051E -:0414D500C23A00B364 -:0414D6007FF4741318 -:0414D7000013731378 -:0414D80083D104B206 -:0414D90000134713A2 -:0414DA00F79380B153 -:0414DB0036930FF73E -:0414DC0004630C8415 -:0414DD00369300033F -:0414DE0046021904A5 -:0414DF000016C6939A -:0414E0000126460398 -:0414E1007A0618630C -:0414E2000E6346123D -:0414E300C2027A06C1 -:0414E4007A068D6394 -:0414E50046854602F0 -:0414E60000D6092300 -:0414E700C236469132 -:0414E8004294468262 -:0414E90002D41A63AC -:0414EA00C6834682ED -:0414EB0095630106FE -:0414EC00468202E64C -:0414ED00861342D44C -:0414EE00EF63FCE6C6 -:0414EF00869300C41C -:0414F000EB63032681 -:0414F1004682009699 -:0414F2008613469483 -:0414F300E563FF8628 -:0414F40006A100C786 -:0414F50000F6FC639E -:0414F60046094592CC -:0414F70000414683E7 -:0414F80000C5F363D5 -:0414F900F693468997 -:0414FA00C2360FF6F1 -:0414FB0047C6CABE58 -:0414FC00464145869A -:0414FD000BC7851381 -:0414FE00D81ADC3AE2 -:0414FF004BC010EFDF -:041500005762534299 -:04150100E51947D6CB -:04150200C60366E9CD -:0415030046858FE6A4 -:0415040000D61C638E -:0415050046094592BC -:0415060000414683D7 -:0415070000C5F363C5 -:04150800F693468987 -:04150900C2360FF6E1 -:04150A0046054696B6 -:04150B0001168683BC -:04150C0002D65C6344 -:04150D00000285B79C -:04150E0045154190AE -:04150F008A3D826D22 -:0415100002A60463C8 -:0415110016FD4190F2 -:04151200826D06E2FE -:0415130086E18A3DA6 -:0415140000C68C631E -:0415150046094592AC -:0415160000414683C7 -:0415170000C5F363B5 -:04151800F693468977 -:04151900C2360FF6D1 -:04151A00C2804682C3 -:04151B0000645433E1 -:04151C000284D4333E -:04151D00C69CC2C4E2 -:04151E0000E6882338 -:04151F00A5F1C6C0AC -:04152000BC514789EA -:04152100BC41478DF5 -:04152200B4714791C8 -:04152300B4614795D3 -:04152400B4514799DE -:04152500B441479DE9 -:04152600BCB547A168 -:04152700BCA547A573 -:0415280007136769D5 -:0415290046838CD792 -:04152A004637000739 -:04152B00B793000270 -:04152C000023001682 -:04152D00971300F719 -:04152E0027830027E8 -:04152F009BED3C06EE -:0415300020238FD90C -:0415310047F23CF64B -:041532000007C703E4 -:0415330089A367E938 -:0415340091638CE74C -:04153500C0EF3C06C1 -:041536004505F3AFC5 -:04153700894FE0EF09 -:04153800BC1547A9EE -:04153900C78367E914 -:04153A00FBFD8CD752 -:04153B003C00061357 -:04153C004537458169 -:04153D00B0EF000209 -:04153E0047F290CF11 -:04153F004437458563 -:04154000C5030002DD -:04154100C0EF0007F0 -:04154200665DC9DF3A -:04154300DBC60613EA -:04154400453745C121 -:0415450000EF0002B1 -:0415460057B21F3049 -:041547000613665DC4 -:041548008693B5C60B -:0415490045C187878A -:04154A000104051380 -:04154B008787849377 -:04154C001D9000EFFF -:04154D000613665DBE -:04154E0045C1DCC6F1 -:04154F00020405137A -:041550001C9000EFFC -:041551000104D603B8 -:0415520006400693B6 -:04155300773345C1E4 -:04155400051302D6A3 -:0415550056B3030482 -:04155600665D02D6F6 -:04155700DDC60613D4 -:041558001A9000EFF6 -:041559000613665DB2 -:04155A0045C1DE8623 -:04155B00040405136C -:04155C00199000EFF3 -:04155D0001D4C703EB -:04155E0001C4C6837B -:04155F00861367DDAB -:0415600045C1DF871B -:041561000504051365 -:04156200181000EF6E -:041563000613665DA8 -:0415640045C1E04657 -:041565000604051360 -:04156600171000EF6B -:0415670001A4D70301 -:041568000184D683A1 -:04156900861367DDA1 -:04156A0045C1DF8711 -:04156B000704051359 -:04156C00159000EFE7 -:04156D000613665D9E -:04156E0045C1E1464C -:04156F000804051354 -:04157000149000EFE4 -:0415710000E4D703B8 -:0415720000C4D68358 -:04157300861367DD97 -:0415740045C1DF8707 -:04157500090405134D -:04157600131000EF5F -:041577000613665D94 -:0415780045C1E20681 -:041579000A04051348 -:04157A00121000EF5C -:04157B000164D7032D -:04157C000124D683ED -:04157D00861367DD8D -:04157E0045C1DF87FD -:04157F000B04051341 -:04158000109000EFD8 -:041581000613665D8A -:0415820045C1E2C6B7 -:041583000E0405133A -:041584000F9000EFD5 -:04158500676547F25D -:041586000F04051336 -:041587000007C68310 -:041588000AC74783C4 -:041589000AC7071373 -:04158A00675DE78131 -:04158B00B507071386 -:04158C000613665D7F -:04158D0045C1B58619 -:04158E000D1000EF4D -:04158F0000024437DB -:041590000613665D7B -:0415910045C1E386E7 -:041592001004051329 -:041593000BD000EF8A -:04159400470D665D3C -:04159500061346856E -:0415960045C1E44621 -:041597001104051323 -:041598000A9000EFC6 -:041599003804079378 -:04159A00671343B8D8 -:04159B00C3B80027AA -:04159C003C042623C2 -:04159D001FF0071321 -:04159E00C7B8C3F80F -:04159F0047A2B59515 -:0415A000439C4722FF -:0415A1000107C793E4 -:0415A200BD99C31C10 -:0415A300879367E5DE -:0415A400C7830BC727 -:0415A500468501076F -:0415A600E5634701B1 -:0415A700078500F6BE -:0415A8000FF7F7132F -:0415A900879364E5DB -:0415AA0088230BC4C3 -:0415AB0067E900E705 -:0415AC008CD7C7838E -:0415AD006465E7B9D1 -:0415AE00A8C40413B6 -:0415AF004641400C65 -:0415B00000024537B9 -:0415B100248010EF93 -:0415B2000BC487934C -:0415B3000107C70362 -:0415B400070A481CBE -:0415B500438C97BA12 -:0415B600443746412F -:0415B7000513000216 -:0415B80010EF02042A -:0415B900278322A0C2 -:0415BA00E7933C0473 -:0415BB0020230027C2 -:0415BC0026233CF4B2 -:0415BD00478D3C0416 -:0415BE003CF42223B4 -:0415BF003C042423A1 -:0415C00067E9B3C55F -:0415C1008CE7C78369 -:0415C2000037971344 -:0415C300879367E5BE -:0415C40097BADE876D -:0415C5000713676140 -:0415C600439C45C736 -:0415C700DCE792E3E8 -:0415C800CF0FC0EF92 -:0415C90067E5BB75A2 -:0415CA000BC7879331 -:0415CB000117C783BA -:0415CC004701468508 -:0415CD0000F6E563DC -:0415CE00F713078583 -:0415CF0064E50FF7C9 -:0415D0000BC487932E -:0415D10000E788A304 -:0415D200C78367E97B -:0415D300FBD58CD7E1 -:0415D4000413646533 -:0415D500506CA8C4EA -:0415D600453746410E -:0415D70010EF00020F -:0415D80087931AE0FB -:0415D900C7030BC475 -:0415DA00587C011721 -:0415DB0067E5B79D6C -:0415DC000BC787931F -:0415DD00C78346D9A1 -:0415DE00146301573A -:0415DF00C78104D7E5 -:0415E000F79317FD69 -:0415E10067650FF734 -:0415E2000BC7071319 -:0415E30000F70AA360 -:0415E400C78367E969 -:0415E500F7B58CD7F3 -:0415E6000413646521 -:0415E700484CA8C400 -:0415E80045374641FC -:0415E90010EF0002FD -:0415EA0067651660BB -:0415EB000BC7071310 -:0415EC004503505C07 -:0415ED009782015789 -:0415EE00464165E528 -:0415EF0002C5859319 -:0415F00046B9BF2910 -:0415F100F363873EDB -:0415F200473900F67F -:0415F300070567E59C -:0415F4000BC7879307 -:0415F50000E78AA3DE -:0415F60065DDBF658B -:0415F700464565659B -:0415F800E5C585932D -:0415F9000185051350 -:0415FA00124010EF9C -:0415FB0065DD6465E1 -:0415FC008593464548 -:0415FD000513E6C527 -:0415FE0010EF02C424 -:0415FF004737112039 -:04160000278300023A -:0416010045053C0758 -:04160200E79364E521 -:041603002023004759 -:04160400C0EF3CF700 -:041605008493DAFFF1 -:0416060017B71004FE -:04160700A703000233 -:0416080067C10D07A2 -:041609008F7D17FDBD -:04160A00879367E576 -:04160B004681040709 -:04160C00D583466DCF -:04160D000663000769 -:04160E00068500B796 -:04160F009AE30789CA -:041610004782FEC649 -:0416110002C00713F9 -:0416120001178783B2 -:0416130002E787B3B0 -:0416140097A6472925 -:041615000247C7833E -:0416160002F7646310 -:0416170042634711D2 -:04161800093806D7B0 -:041619004703973EAE -:04161A005733FC47FF -:04161B008B0540D724 -:04161C00078AC7155D -:04161D0097BA093837 -:04161E00FD47A7835A -:04161F0000D780234D -:0416200086A367E94D -:0416210047378C07B4 -:041622002783000218 -:041623009BED3C07F8 -:041624003CF720234C -:04162500C0EF4501CC -:04162600B199DD7F1A -:041627000685665D71 -:04162800E786061338 -:04162900051345C59B -:04162A0000EF02C407 -:04162B00450565E02C -:04162C00D11FC0EF1B -:04162D000007A537D6 -:04162E001205051389 -:04162F00843FA0EF65 -:0416300047B1B7C146 -:04163100FAF68EE354 -:04163200051365092E -:04163300A0EF7105AE -:04163400B7A1831FB8 -:04163500C78367E917 -:0416360083E38D07B6 -:041637004782C0071F -:0416380002C00613D3 -:0416390086836465DB -:04163A0007930117FA -:04163B000413100480 -:04163C0086331004DD -:04163D0097B202C698 -:04163E00C7834665B3 -:04163F001B63020720 -:04164000467D08C714 -:0416410017FDC78149 -:041642000FF7F61395 -:0416430002C00713C7 -:0416440002E6873300 -:0416450002C0079345 -:0416460002F687B36E -:0416470000239722C3 -:0416480097A202C79C -:041649000207C503CC -:04164A00C78367E902 -:04164B0095638FF71D -:04164C0067E900F654 -:04164D008EA79B23A6 -:04164E00DF4FB0EFCB -:04164F00C78367E9FD -:04165000EFB98CD78B -:04165100A58367E125 -:0416520046416B871B -:041653000002453715 -:041654007BD000EF58 -:0416550007134782AE -:04165600668D02C0DB -:04165700011787836D -:04165800BF26869390 -:0416590087B3665D90 -:04165A00061302E78A -:04165B0045C5B306C8 -:04165C004783943EEE -:04165D0064650204BA -:04165E0002C40513AA -:04165F0002D787B374 -:041660003E8006932F -:0416610002D7C6B333 -:04166200580000EF3D -:041663000593464164 -:04166400B3A102C468 -:04166500460147797A -:04166600F6F76AE346 -:04166700B7AD07858F -:04166800C78367E9E4 -:0416690097138CE760 -:04166A0067E50037F9 -:04166B00DE878793FC -:04166C00676197BA61 -:04166D0041C7071357 -:04166E004485B38D6F -:04166F0067E54301E7 -:04167000851365DD9C -:041671004645018762 -:04167200E8858593EF -:0416730000EFD81A92 -:04167400534273F07A -:04167500479546A9A6 -:0416760002D306B3E2 -:04167700D36387367C -:04167800471500D73B -:04167900665D6465E1 -:04167A000613072527 -:04167B0045C5E986F2 -:04167C0002C405138C -:04167D0000EFD81A88 -:04167E004737512079 -:04167F0027830002BB -:0416800045053C07D9 -:041681000047E793A4 -:041682003CF72023EE -:04168300BB5FC0EF9A -:041684000002173712 -:041685000D072283A8 -:0416860087A667418B -:041687005342177D36 -:0416880000E2F4B3D5 -:04168900CC91EF9978 -:04168A00871367E576 -:04168B0046ED04071D -:04168C005603478139 -:04168D008D63000762 -:04168E00078500C408 -:04168F009AE30709CA -:041690006509FED713 -:0416910071050513C7 -:04169200A0EFD81AD3 -:04169300B7C9EB4F99 -:0416940088634725FB -:04169500471100E712 -:0416960000031363D7 -:04169700DA634725A6 -:04169800472902E7F5 -:04169900E7B3078527 -:04169A00033302E72D -:04169B00676902E396 -:04169C0009A3979A6D -:04169D00C0EF8CF717 -:04169E00C9019AFFE5 -:04169F00869366DDEB -:0416A000665DD706A6 -:0416A100B5C60613B1 -:0416A20066DDBD3113 -:0416A300B0868693F4 -:0416A4004769BFCD06 -:0416A50000E7956362 -:0416A60000134313D7 -:0416A7004731B70D03 -:0416A800FAE791E3E9 -:0416A90067E9BBF141 -:0416AA008CD7C7030F -:0416AB0004634792FB -:0416AC00B43DC6077C -:0416AD00F06F47A9EA -:0416AE004695C6CFC8 -:0416AF0044F6F263A8 -:0416B00018634689EC -:0416B100869300D646 -:0416B200F693FFA705 -:0416B300F9630FF6D2 -:0416B400576242D562 -:0416B5004405468919 -:0416B60000D7002336 -:0416B700F06F460981 -:0416B800440DD88F76 -:0416B900F06F460583 -:0416BA00459DD80F63 -:0416BB0000B78D6384 -:0416BC0080234589B9 -:0416BD00F06F00B416 -:0416BE008023D9CFDD -:0416BF00F06F00F4D4 -:0416C000458DD94F2C -:0416C1004595B7FD97 -:0416C2000616B7ED64 -:0416C300F5938DD13D -:0416C400E5930FF5A6 -:0416C500F06F00457D -:0416C6004539D9CFFA -:0416C70005B00593D2 -:0416C800BCAFB0EF14 -:0416C9003E80051347 -:0416CA00E3EFF06FEB -:0416CB004007E7935A -:0416CC00E72FF06FA5 -:0416CD00C2194612E6 -:0416CE002C069263F1 -:0416CF0009234602A3 -:0416D00046110006B9 -:0416D1009DE3C232A1 -:0416D20047828406C1 -:0416D3008593465D58 -:0416D40067E502D7ED -:0416D5000BC7879325 -:0416D6000107851370 -:0416D70055D000EFFB -:0416D8004712C909E3 -:0416D9000041478302 -:0416DA004785E3114C -:0416DB000FF7F7937B -:0416DC0067E5C23EBE -:0416DD000BC787931D -:0416DE000277C403C8 -:0416DF00C7834782F4 -:0416E0008D630447CB -:0416E10045410087F8 -:0416E200B18FB0EF25 -:0416E300040E891D4B -:0416E40075938C4925 -:0416E50045410FF478 -:0416E600B52FB0EF7D -:0416E700879367E599 -:0416E800C5830BC7E4 -:0416E90047820287AB -:0416EA000457C78357 -:0416EB0000B786635B -:0416EC0003D005130F -:0416ED00B36FB0EF38 -:0416EE00879367E592 -:0416EF00C5830BC7DD -:0416F0004782029794 -:0416F1000467C78340 -:0416F20000B7856355 -:0416F300B0EF4545CA -:0416F40067E5B1CF26 -:0416F5000BC7871385 -:0416F6000BC7879304 -:0416F70002B7C4036F -:0416F800458347825D -:0416F900C78302A7FA -:0416FA009763047777 -:0416FB00478200B76B -:0416FC000487C78315 -:0416FD000087896376 -:0416FE00B0EF4549BB -:0416FF0085A2AF0F02 -:04170000B0EF454DB4 -:0417010067E5AE8F5B -:041702000BC78793F7 -:0417030002C7C50351 -:04170400C7834782CE -:04170500846304975E -:04170600478502A76A -:0417070000A7F863DC -:04170800C50367E9C5 -:0417090015718EB711 -:04170A00001535137E -:04170B00053347E17A -:04170C0067E102F59A -:04170D00A90787930E -:04170E00B0EF953E65 -:04170F0067E5BB2FA0 -:041710000BC78793E9 -:0417110002D7C50333 -:04171200C7834782C0 -:04171300846304A740 -:04171400B0EF00A78B -:0417150067E5D20FA3 -:041716000BC78793E3 -:04171700C50364653D -:04171800079302E74A -:04171900C783FAC4C4 -:04171A00041304B7F9 -:04171B008463FAC425 -:04171C00B0EF00A783 -:04171D0067E5C6EFC7 -:04171E000BC78793DB -:04171F0002F7C48386 -:0417200004C4478333 -:0417210000978E633C -:04172200B0EF456976 -:041723007513A16F2A -:041724000492FCF53A -:04172500F5938CC9E3 -:0417260045690FF40E -:04172700A4EFB0EF8C -:041728000793676557 -:0417290007130BC7D0 -:04172A0045830BC721 -:04172B00C783031756 -:04172C004703030765 -:04172D00166304D467 -:04172E00470300F776 -:04172F000A6304E461 -:04173000058E00B76B -:04173100F5938DDDC2 -:0417320005130FF597 -:04173300B0EF028091 -:0417340067E5A1CFF5 -:041735000BC78793C4 -:04173600032784936E -:041737000593461DB3 -:04173800852604F40A -:041739003D5000EF30 -:04173A008526C5013A -:04173B00AA8FB0EFD2 -:04173C00879366E544 -:04173D00C7030BC60D -:04173E004783039743 -:04173F008493056426 -:041740001A630BC657 -:04174100879300F793 -:04174200C7030BC608 -:04174300478303A72E -:0417440006630574BF -:04174500C50300F7E1 -:04174600B0EF03D429 -:0417470067E9D52F4A -:041748008CF7879300 -:041749000007C703CB -:04174A001007006321 -:04174B0003B4C5839B -:04174C0005844703C6 -:04174D000CB717635B -:04174E0003C4C70306 -:04174F000594478333 -:041750000EF7046329 -:0417510084EFB0EF82 -:0417520003C4C70302 -:04175300849364DD3A -:0417540007B79C84B3 -:041755008793070669 -:0417560046411007F1 -:0417570009848593E9 -:04175800DC3A08E887 -:04175900A0EFCCBE73 -:04175A004641861F5F -:04175B000A848593E4 -:04175C00A0EF10E802 -:04175D005762855FEB -:04175E00D83E10FC65 -:04175F0008FCE31986 -:041760004585D83EA5 -:04176100B0EF45019F -:04176200448189AF86 -:04176300873308BC04 -:041764004583009722 -:04176500450900072B -:04176600888FB0EFC9 -:04176700971357C2BB -:041768004511002403 -:041769004318973E4C -:04176A00010755938B -:04176B000FF5F593EE -:04176C00B0EFDC3AC4 -:04176D00576286EF4A -:04176E005593451535 -:04176F00F593008767 -:04177000B0EF0FF5D2 -:04177100576285EF47 -:04177200759345190D -:04177300B0EF0FF7CD -:041774004585852FF3 -:04177500B0EF450587 -:04177600450584AFF2 -:04177700FFDFA0EF01 -:04177800FD6589156D -:04177900471104858B -:04177A00FAE492E318 -:04177B00B0EF458105 -:04177C004503832F6F -:04177D00B0EF05A420 -:04177E00A03DC76F54 -:04177F00F06FC20243 -:0417800015D1DA2F76 -:04178100F593058651 -:0417820045050FF515 -:04178300B0EFD82EBD -:0417840055C2812F9A -:04178500B0EF450973 -:0417860067E980AFE0 -:041787008CF78793C1 -:041788000007C7830C -:04178900F0079AE3E8 -:04178A00859367E5F7 -:04178B0006130BC76F -:04178C00051304201D -:04178D00A0EF01D4F4 -:04178E004712F90FF6 -:04178F008F2367E954 -:0417900047898E07F0 -:041791000AF70563EB -:041792000B6347910D -:04179300478500F78F -:0417940004F71563DE -:041795000124478361 -:04179600A0EFC3A954 -:04179700A835A8DFEA -:04179800012447835E -:041799006769C3A910 -:04179A008E4707135C -:04179B0045C5431CE1 -:04179C00E793455931 -:04179D00C31C002742 -:04179E0000021737F7 -:04179F000EF72023FE -:0417A00086AFB0EF71 -:0417A10002B005137A -:0417A200B0EF4581DE -:0417A300C0EF860FFE -:0417A400450384BFB6 -:0417A500B0EF05A4F8 -:0417A6000513BD6FFB -:0417A700A0EF12C0DD -:0417A800E06FA60F39 -:0417A9002623E5DF2F -:0417AA00B0EF000498 -:0417AB004783A17F50 -:0417AC00656501C4AA -:0417AD009713464503 -:0417AE0067E10027C8 -:0417AF00A64787932F -:0417B000438C97BA15 -:0417B1000785051390 -:0417B200245000EFD0 -:0417B300656565DD26 -:0417B400859346458E -:0417B5000513EA45E9 -:0417B60000EF08C573 -:0417B7004505233091 -:0417B800F8CFC0EFB7 -:0417B900A3EFA0EF0B -:0417BA00CA02CC0291 -:0417BB004783B77D2C -:0417BC00D7C5012468 -:0417BD00FE4FC0EF2C -:0417BE004695B74D48 -:0417BF00BCF6EBE3A6 -:0417C0004601440991 -:0417C100962FF06F00 -:0417C200F7C1011357 -:0417C300C13ED6A6A7 -:0417C40067E5DA8675 -:0417C500DCB6D8A214 -:0417C600A483DEBA60 -:0417C700DC63F9875F -:0417C800079300057E -:0417C900C09C08B008 -:0417CA0050D6557D23 -:0417CB0054B6544676 -:0417CC0008410113BC -:0417CD00079380827C -:0417CE00182320803C -:0417CF00C22A00F139 -:0417D000842ECA2A6F -:0417D100C1994781F2 -:0417D200FFF5879305 -:0417D300CC3EC63E04 -:0417D40057FD18B4F1 -:0417D5008526004C19 -:0417D60000F11923E2 -:0417D7002C59C03693 -:0417D800556357FD01 -:0417D900079300F57D -:0417DA00C09C08B0F7 -:0417DB004792DC5DF8 -:0417DC00000780235F -:0417DD00C5C5BF5D62 -:0417DE00FFC5A78319 -:0417DF00C4221141CE -:0417E0008413C606A2 -:0417E100D363FFC50A -:0417E200943E00072A -:0417E30000EFC02A29 -:0417E40067690BB076 -:0417E500908727833F -:0417E600863A4502F8 -:0417E7002223EB913D -:0417E80024230004B2 -:0417E900442290877F -:0417EA00014140B2C7 -:0417EB0009F0006F92 -:0417EC0000F47F6323 -:0417ED00073340146A -:0417EE00966300D42A -:0417EF00439800E734 -:0417F000973643DC09 -:0417F100C05CC01800 -:0417F2009086242396 -:0417F300873EBFE985 -:0417F400C39943DC76 -:0417F500FEF47DE39E -:0417F600063343145F -:0417F7001F6300D795 -:0417F8004010008617 -:0417F900C31496B2CD -:0417FA0000D70633DB -:0417FB00FAC79DE3A9 -:0417FC0043DC4390F7 -:0417FD00C31496B2C9 -:0417FE00B775C35C9C -:0417FF0000C475634A -:04180000C11C47B10F -:041801004010B74D8F -:0418020000C406B365 -:0418030000D7966311 -:0418040043DC4394EA -:04180500C01496B2C3 -:04180600C340C05CBF -:041807008082B769BB -:04180800C2261141A2 -:04180900003584938F -:04180A00C60698F185 -:04180B0004A1C4224E -:04180C0087AA47312F -:04180D0004E4FC6390 -:04180E00EB6344B193 -:04180F00853E04B45A -:0418100000EFC03EE7 -:041811006769007093 -:041812009087268312 -:0418130006134782EF -:0418140084369087FF -:041815006469E431ED -:0418160090C4041363 -:04181700EB01401889 -:041818004581853E43 -:0418190000EFC03EDE -:04181A00478279C0C8 -:04181B00853EC0083E -:04181C00C03E85A69F -:04181D0078E000EF80 -:04181E004782577D29 -:04181F0006E5166361 -:04182000C3984731F1 -:0418210000EF853E11 -:04182200A0297C403D -:04182300FA04D7E309 -:04182400C3984731ED -:0418250040B2450187 -:041826004492442282 -:041827008082014179 -:041828008F054018D0 -:0418290002074F6300 -:04182A00F66345AD6F -:04182B00C01800E5FC -:04182C00C004943A26 -:04182D004058A02956 -:04182E000286936338 -:04182F00853EC21818 -:0418300078A000EFAD -:0418310000B40513E7 -:0418320000440793D4 -:04183300073399617D -:0418340002E340F596 -:04183500943AFCF5F0 -:04183600C01C8F89BA -:04183700C2D8BF6DE7 -:0418380086A2BFF9CC -:04183900B7BD4040B7 -:04183A00003504135E -:04183B0002E39871BB -:04183C0005B3FC856F -:04183D00853E40A400 -:04183E002721C03E60 -:04183F004782577D08 -:04184000FAE519E3C9 -:041841001111BFB50D -:041842004590C232D9 -:04184300CC06C826E1 -:0418440084AECA2282 -:0418450008C6E4638A -:04184600D50382AA9A -:04184700771300C54E -:04184800CB3D480547 -:04184900470D48D02F -:04184A0007334080A0 -:04184B00498C02C7FB -:04184C0007B346098F -:04184D00C03E40B4A5 -:04184E0002C7443356 -:04184F0000168613E6 -:041850007363963EEA -:04185100843200C419 -:0418520040057513C5 -:04185300C535C6369B -:0418540085A28516CE -:04185500F0EFC416D6 -:0418560042A2ECBFFF -:04185700473146B21D -:041858004602CD2552 -:04185900C636488CBB -:04185A00A0EFC42A0D -:04185B00D603C5CF1C -:04185C00432200C45F -:04185D00761346B206 -:04185E006613B7F660 -:04185F0096230806BE -:04186000478200C4F7 -:041861000064A82354 -:04186200933EC8C029 -:0418630040F4073313 -:041864000064A02359 -:04186500C498863667 -:0418660000C6F36362 -:0418670045928636EA -:04186800C0324088C2 -:04186900C38FA0EF9A -:04186A004602449856 -:04186B008F11450193 -:04186C004098C49844 -:04186D00C090963A57 -:04186E008516A80D26 -:04186F00C4168622F3 -:0418700042A22571FA -:04187100832A46B2CE -:04187200488CFD4D54 -:04187300C016851600 -:04187400DA7FF0EF38 -:041875004731428233 -:0418760000E2A023C9 -:0418770000C4D703CF -:041878006713557D20 -:0418790096230407A7 -:04187A0040E200E464 -:04187B0044C24452CD -:04187C0080820171F4 -:04187D0000C5D703C8 -:04187E00F7810113DA -:04187F00DEA6C122FE -:0418800001F10793D8 -:04188100C22AC306AE -:041882007713C02EEA -:0418830084B208071C -:04188400FF87F413D3 -:041885004998CB1D96 -:041886000593EB0DCE -:04188700C63604005D -:04188800E01FF0EF7E -:0418890046B247829A -:04188A00CB88C388BC -:04188B004712E919FE -:04188C00557D47B18E -:04188D00409AC31C9E -:04188E0054F6440ABE -:04188F0008810113B8 -:041890004782808289 -:041891000400071335 -:041892000713CBD895 -:041893000CA30200A0 -:04189400071300E452 -:041895002A230300FF -:041896000D2300041A -:04189700CA3600E469 -:041898000613872686 -:041899004683025030 -:04189A00C2990007E8 -:04189B000AC6966380 -:04189C00409706B3B8 -:04189D00029701634A -:04189E004512458228 -:04189F00C83A862697 -:0418A000F0EFC63669 -:0418A100567DE85F29 -:0418A2001AC50A63F6 -:0418A30046B24850B1 -:0418A40096364742EB -:0418A5004683C8505E -:0418A6008163000753 -:0418A70004931A0686 -:0418A800577D001751 -:0418A90000042023F4 -:0418AA0000042623ED -:0418AB002423C058DA -:0418AC0001A3000490 -:0418AD002C230404E0 -:0418AE00C5830404E6 -:0418AF0067E10004E9 -:0418B0008513461541 -:0418B10023A53847EC -:0418B2008713401444 -:0418B300E5390014FF -:0418B4000106F61320 -:0418B5000613C60947 -:0418B60001A3020088 -:0418B700F61304C45C -:0418B800C6090086D7 -:0418B90002B0061360 -:0418BA0004C401A3BE -:0418BB000004C583DD -:0418BC0002A006136D -:0418BD0002C58F636E -:0418BE0087264454E1 -:0418BF0045254581F5 -:0418C000460342A9F0 -:0418C1000313000706 -:0418C20006130017F2 -:0418C3007763FD0644 -:0418C400C98506C507 -:0418C500A035C45432 -:0418C600B7B10705AA -:0418C700861367E13C -:0418C8008D113847FF -:0418C9001533460588 -:0418CA008EC900A61D -:0418CB0084BAC01407 -:0418CC004652B76960 -:0418CD000046059339 -:0418CE00CA2E4210CC -:0418CF000206496361 -:0418D0004603C450B7 -:0418D1000693000773 -:0418D200116302E0BC -:0418D300460306D6EC -:0418D4000693001760 -:0418D5001B6302A0EF -:0418D60046D202D61E -:0418D7008613070964 -:0418D80042940046F0 -:0418D900C163CA32EB -:0418DA00C0540206EE -:0418DB000633A081AF -:0418DC00E69340C08F -:0418DD00C4500026CD -:0418DE00B7E1C0149A -:0418DF00025686B374 -:0418E0004585871A99 -:0418E100BFB596B247 -:0418E200B7C556FD33 -:0418E30022230705B0 -:0418E4004581000436 -:0418E50045254681CE -:0418E600460342A9CA -:0418E70003130007E0 -:0418E80006130017CC -:0418E9007363FD0622 -:0418EA00F1E106C55D -:0418EB00000745832A -:0418EC00460D64E160 -:0418ED0038C4851363 -:0418EE002995C63A38 -:0418EF00CD014732AE -:0418F00038C48493E1 -:0418F10006938D05C8 -:0418F20096B30400A5 -:0418F300400800A603 -:0418F4008D55070502 -:0418F5004583C0085F -:0418F6006561000721 -:0418F7000513461976 -:0418F8000493390517 -:0418F9000C230017A5 -:0418FA00219100B484 -:0418FB000713C135D9 -:0418FC00E70D0000F4 -:0418FD0047524014FA -:0418FE001006F69347 -:0418FF000711CE916E -:041900004858CA3A3F -:04190100973E47A224 -:04190200BD99C8586B -:04190300025686B34F -:041904004585871A74 -:04190500B75196B28E -:04190600B7DD072121 -:04190700451246023D -:04190800085866D93C -:04190900106686934B -:04190A00009785A21B -:04190B0000E70000F1 -:04190C00C42A0000E9 -:04190D00577D47A219 -:04190E00FCE795E37A -:04190F00557D478239 -:0419100000C7D70332 -:04191100040777133D -:04191200DE0717E3F2 -:04191300B3E54848A8 -:041914004512460230 -:04191500085866D92F -:04191600106686933E -:04191700221585A26E -:041918001101BFC931 -:04191900CA2687AEA5 -:04191A0084BA85B650 -:04191B004B9847940A -:04191C00CE06CC2205 -:04191D008332842A63 -:04191E0000D7536338 -:04191F0020238736C4 -:04192000C68300E397 -:04192100C681043740 -:041922002023070572 -:04192300439800E302 -:04192400020777132C -:041925002703C711BC -:0419260007090003AA -:0419270000E3202396 -:041928008613439847 -:041929008B1901977E -:04192A004398C335E6 -:04192B000437C68334 -:04192C000207771324 -:04192D0000D036B3FD -:04192E008613E751E4 -:04192F0085220437D2 -:04193000C23EC41AD5 -:041931009482C02EAE -:041932000763577D73 -:04193300479206E5EC -:041934004582432283 -:0419350026034394AE -:041936004311000356 -:0419370047C88A997A -:041938009763470169 -:04193900073300660A -:04193A00536340C5EE -:04193B004701000759 -:04193C004B904794F1 -:04193D0000D6546319 -:04193E0097368E91B9 -:04193F0086134301C7 -:04194000106301A788 -:0419410045010667EF -:041942000705A80DE0 -:04194300250347D45D -:041944008E89000385 -:04194500F8D75BE391 -:04194600852246852B -:04194700C63EC81AB6 -:04194800C232C43AA9 -:041949009482C02E96 -:04194A00458256FD7F -:04194B0047224612D7 -:04194C00434247B219 -:04194D00FCD51BE3C7 -:04194E0040F2557D91 -:04194F0044D24462D8 -:04195000808261052B -:0419510000D7863302 -:041952000300051376 -:0419530004A601A342 -:041954000457C6036B -:0419550000168713DE -:041956000689973E29 -:0419570004C701A31D -:041958004685BFA958 -:04195900C61A852203 -:04195A00C232C43A97 -:04195B009482C02E84 -:04195C0003E357FD4D -:04195D004332FCF520 -:04195E0046124722C4 -:04195F0003054582B5 -:041960001101B74971 -:04196100CA26CC22A4 -:04196200C02ACE06C3 -:041963008513842E36 -:04196400C5830435FE -:0419650084B60185BE -:041966000693C232F0 -:04196700EC630780A6 -:04196800069300B62C -:04196900ED63062004 -:04196A008C6300B6D4 -:04196B0006931C05BE -:04196C008D63058002 -:04196D00031312D579 -:04196E000123042429 -:04196F00A80504B40F -:04197000F9D586938C -:041971000FF6F693E4 -:0419720066E346558D -:041973006661FED6D5 -:041974000613068AC6 -:0419750096B23C06E4 -:04197600868242948F -:0419770003134314FF -:0419780086130424AA -:04197900C310004651 -:04197A00012342986B -:04197B00470504E434 -:04197C004014AA75F4 -:04197D000007230339 -:04197E000806F6134E -:04197F000043059389 -:041980002683C20DEB -:04198100C30C000390 -:04198200D86366615F -:041983000713000640 -:0419840006B302D0D4 -:0419850001A340D0AA -:04198600061304E45C -:04198700472939862D -:04198800F613A0B9F9 -:0419890026830406A7 -:04198A00C30C000387 -:04198B0006C2DE7141 -:04198C00BFD986C178 -:04198D0043144010AF -:04198E000806729342 -:04198F000046831378 -:041990000002866368 -:0419910000672023A8 -:04199200A8014294D2 -:0419930004067613BD -:0419940000672023A5 -:04199500D683DA75A6 -:041996006661000680 -:0419970006F007133C -:041998003986061373 -:041999000EE587636D -:04199A0001A3472935 -:04199B00404C0404B4 -:04199C00C863C40C4C -:04199D00230300051B -:04199E0073130004BB -:04199F002023FFB34F -:0419A000E299006464 -:0419A100CD99832A2F -:0419A200F5B3832AEC -:0419A300137D02E6C8 -:0419A400C58395B2B0 -:0419A5000023000516 -:0419A60085B600B34F -:0419A70002E6D6B3CB -:0419A800FEE5F5E380 -:0419A9001E6346A1D2 -:0419AA00401800D70A -:0419AB00CB118B05CC -:0419AC004818405443 -:0419AD0000D74763B5 -:0419AE000300071318 -:0419AF00FEE30FA3A1 -:0419B0000533137D6B -:0419B100C8084065BD -:0419B2004502469212 -:0419B300081087266B -:0419B400C41A85A22A -:0419B500D8FFF0EF78 -:0419B6004322577DF4 -:0419B7000CE51C63BC -:0419B80040F2557D27 -:0419B90044D244626E -:0419BA0080826105C1 -:0419BB0002A36661BC -:0419BC00061304B456 -:0419BD00400C39861B -:0419BE0000072303F8 -:0419BF000805F29392 -:0419C0000003268377 -:0419C1008D6303111E -:0419C20020230202DA -:0419C300F7130067AF -:0419C400C701001542 -:0419C5000205E5939F -:0419C6004741C00CC9 -:0419C700400CF6B921 -:0419C800FDF5F593A1 -:0419C900B791C00C06 -:0419CA00E69340144C -:0419CB00C01402063C -:0419CC0007800693F7 -:0419CD0002A36661AA -:0419CE00061304D424 -:0419CF00BF653AC6F0 -:0419D0000405F29385 -:0419D1000067202368 -:0419D200FC0283E3AD -:0419D30082C106C205 -:0419D4004721BF7D6B -:0419D5004010BF19E6 -:0419D600484C431422 -:0419D70008067293F9 -:0419D800004683132F -:0419D900000287631E -:0419DA00006720235F -:0419DB00C30C42985F -:0419DC002023A80913 -:0419DD007613006716 -:0419DE004298040621 -:0419DF001023DA6D8A -:0419E000282300B701 -:0419E100832A000451 -:0419E2004314B78172 -:0419E30086134581A1 -:0419E400C3100046E6 -:0419E5000006A30352 -:0419E600851A4050CE -:0419E7002841C41AB5 -:0419E800C5014322D0 -:0419E900406505331D -:0419EA004058C04859 -:0419EB0001A3C81874 -:0419EC00BF19040417 -:0419ED0045924814C3 -:0419EE00861A45020E -:0419EF00577D94820A -:0419F000F2E500E339 -:0419F1008B09401806 -:0419F2004742EB0D70 -:0419F3005AE3444827 -:0419F400853AF0E55B -:0419F5004592B73927 -:0419F60046854502DB -:0419F700C432C63AF6 -:0419F80056FD948282 -:0419F900EED50EE336 -:0419FA004622473208 -:0419FB004454070544 -:0419FC008E8D45C2C5 -:0419FD00FED741E3ED -:0419FE004701BFC915 -:0419FF000194061336 -:041A00001151B7FDCC -:041A0100C026C22217 -:041A020064E9842AE5 -:041A0300C406852E62 -:041A04009004A22385 -:041A050057FD2A154A -:041A060000F516636E -:041A07009044A783DD -:041A0800C01CC391AA -:041A0900441240A2A1 -:041A0A0001314482E0 -:041A0B00F59380824D -:041A0C00962A0FF512 -:041A0D0000C5146399 -:041A0E00808245018C -:041A0F000005478304 -:041A1000FEB78DE3AD -:041A1100B7FD050513 -:041A120080828082CC -:041A130085B2E58132 -:041A1400FD0FF06F63 -:041A1500C8061131BD -:041A1600C426C622FA -:041A1700F0EFEA11F1 -:041A18004781F18F82 -:041A1900443240C251 -:041A1A00853E44A21F -:041A1B008082015173 -:041A1C00C032842E22 -:041A1D00203D84AA3A -:041A1E0087A2460253 -:041A1F00FEC574E3A9 -:041A2000852685B2E0 -:041A2100F0EFC232EE -:041A220087AAF9AFE7 -:041A23004612DD6129 -:041A2400C02A85A2AD -:041A2500D33F90EF2C -:041A2600852685A2EA -:041A2700EDAFF0EF40 -:041A2800B7C9478271 -:041A2900FFC5A783CB -:041A2A00FFC785135A -:041A2B000007D56378 -:041A2C00419C95AA9A -:041A2D008082953EE0 -:041A2E0014634701F5 -:041A2F00450100E687 -:041A300007B38082F6 -:041A3100070500E5C0 -:041A320000E586B392 -:041A33000007C7835E -:041A3400FFF6C68370 -:041A3500FED783E372 -:041A360040D78533DD -:041A3700C60D8082D6 -:041A38004701167DCF -:041A390000E507B30A -:041A3A0000E586B38A -:041A3B000007C78356 -:041A3C000006C68357 -:041A3D0000D79463D7 -:041A3E0000C7156365 -:041A3F0040D78533D4 -:041A40000705808294 -:041A4100BFDDF3E52D -:041A42008082450158 -:041A4300CA0987AA9B -:041A44000005C703CF -:041A45000785058587 -:041A4600FEE78FA385 -:041A4700FB65167DA8 -:041A48009363963ED0 -:041A4900808200C7D0 -:041A4A008FA30785DA -:041A4B00BFD5FE07FE -:041A4C0087AA95AA26 -:041A4D0000B78563F6 -:041A4E000007C703C3 -:041A4F008533E701F3 -:041A5000808240A7A9 -:041A5100B7FD078551 -:041A5200A78363E91A -:041A53001151910399 -:041A5400C406C222E0 -:041A55008393842AC9 -:041A5600E79D910374 -:041A5700458145017F -:041A5800468146017C -:041A590002934701AC -:041A5A0000730D60A8 -:041A5B0057FD000033 -:041A5C0000F51A6314 -:041A5D0047B1283530 -:041A5E00557DC11CD5 -:041A5F00441240A24B -:041A6000808201314E -:041A610000A3A0231B -:041A62000003A303D7 -:041A63004601458172 -:041A640000640533E2 -:041A6500470146816E -:041A6600029347811F -:041A670000730D609B -:041A6800941A0000CC -:041A6900FC8518E3FD -:041A6A0000A3A02312 -:041A6B00B7F9851A28 -:041A6C00A50367E582 -:041A6D008082F987F3 -:041A6E000000000074 -:041A6F000000000073 -:041A70000000000072 -:041A71000000000071 -:041A72004320445376 -:041A73002044524178 -:041A74004C4C495736 -:041A750000454220C6 -:041A76005245564F30 -:041A77005449525725 -:041A7800214E455462 -:041A790045002121E2 -:041A7A00726F70789F -:041A7B0031203F7463 -:041A7C00202C593D84 -:041A7D00004E3D32A8 -:041A7E0073657250CA -:041A7F00203120737F -:041A80003220726F2F -:041A81000000000061 -:041A82004D9000EB98 -:041A83004E4957531E -:041A840000312E34CB -:041A850000800402D7 -:041A86000008000252 -:041A87000020F880C3 -:041A880000FF003F1C -:041A8900F4290080BC -:041A8A004F04C6CF70 -:041A8B00504353531E -:041A8C0049464F5226 -:041A8D004146534C2F -:041A8E002036315479 -:041A8F000000202013 -:041A90004353534F1A -:041A9100464F52501A -:041A9200204E494257 -:041A9300B5048E0008 -:041A9400516F516FCE -:041A950089170000AD -:041A96000002516F8A -:041A97000010020039 -:041A9800001000003A -:041A99000000000049 -:041A9A000000000048 -:041A9B000010000037 -:041A9C00000804DC5E -:041A9D00000804DC5D -:041A9E00000804DC5C -:041A9F00000804DC5B -:041AA00000010454E9 -:041AA1000001045AE2 -:041AA20000010460DB -:041AA30000010466D4 -:041AA4000001040831 -:041AA5000001042810 -:041AA600000104280F -:041AA700000104280E -:041AA800000104280D -:041AA900000104280C -:041AAA00000104280B -:041AAB000001042C06 -:041AAC0000010432FF -:041AAD006C206F4EEC -:041AAE00006B6E69F2 -:041AAF006D2064251D -:041AB00000000056DC -:041AB100252E752544 -:041AB2002075322E3B -:041AB3000000737547 -:041AB400252575254A -:041AB500000000002D -:041AB6006F74754193 -:041AB70000002820E3 -:041AB80075257325F8 -:041AB9000000732591 -:041ABA006C20752502 -:041ABB0073656E6978 -:041ABC000000000026 -:041ABD006420642518 -:041ABE0000000042E2 -:041ABF0070207525F9 -:041AC0006C65786970 -:041AC10000000073AE -:041AC200656E6F449A -:041AC300000000001F -:041AC4006C696146A2 -:041AC500282064650C -:041AC600002964256A -:041AC70000011BAE51 -:041AC80000011BC836 -:041AC90000011BD22B -:041ACA0000011C04F7 -:041ACB0000011BE01B -:041ACC006420642509 -:041ACD000000676549 -:041ACE00252E752527 -:041ACF000075322E3E -:041AD0006573753C89 -:041AD10000003E646F -:041AD20044525355D2 -:041AD3000041544139 -:041AD400706D653C90 -:041AD500003E7974E2 -:041AD600203A752518 -:041AD7000000732573 -:041AD800252073252D -:041AD90063252D75DF -:041ADA000000000008 -:041ADB00252E75251A -:041ADC006B75322EC6 -:041ADD0025207A48FE -:041ADE002E252E750E -:041ADF007A4875329A -:041AE0000000000002 -:041AE10078303237F0 -:041AE2005F3030340D -:041AE3000000303798 -:041AE40078303436EC -:041AE5005F3030340A -:041AE6000000303795 -:041AE70078207325CB -:041AE8000000752560 -:041AE900FFFFFFFFFD -:041AEA00FFFFFFFFFC -:041AEB000000FFFFF9 -:041AEC00636E614381 -:041AED00656C6C6553 -:041AEE000000006490 -:041AEF00462F4453E7 -:041AF0006873616C4A -:041AF1007272652088 -:041AF2000000726F0F -:041AF30061766E4961 -:041AF4002064696C95 -:041AF5006172617049 -:041AF600002E736DDE -:041AF70053206F4EBB -:041AF80061632044C2 -:041AF900642064728F -:041AFA00002E7465E1 -:041AFB006363755359 -:041AFC00007373659B -:041AFD0046204453E8 -:041AFE00616D726F35 -:041AFF00000000746F -:041B000041463D31EC -:041B01002C363154F9 -:041B0200523D3220FE -:041B03000000574146 -:041B04006F70784541 -:041B05006E6974721F -:041B06002E2E2E67EA -:041B070000000000DA -:041B080073616C4653 -:041B09006576206875 -:041B0A002066697276 -:041B0B006C6961663A -:041B0C0000000000D5 -:041B0D0061766E4946 -:041B0E002064696C7A -:041B0F002072646874 -:041B100000435243F9 -:041B110061766E4942 -:041B12002064696C76 -:041B13006174616434 -:041B140043524320D5 -:041B150000000000CC -:041B16006164705541 -:041B1700632065746E -:041B180065636E6132 -:041B190064656C6C27 -:041B1A0000000000C7 -:041B1B0061766E4938 -:041B1C002064696C6C -:041B1D0067616D6926 -:041B1E00000000655E -:041B1F0061766E4934 -:041B20002064696C68 -:041B2100646165682E -:041B220000007265E8 -:041B23004353534F86 -:041B240000000000BD -:041B2500696C615630 -:041B26006974616419 -:041B27006420676E61 -:041B28000061746183 -:041B2900622075259C -:041B2A0073657479F2 -:041B2B0000000000B6 -:041B2C00252E7525C8 -:041B2D002575322EBA -:041B2E0000732573A8 -:041B2F006164705528 -:041B3000676E6974FF -:041B310000574620F3 -:041B320061656C700D -:041B3300772065733F -:041B34002E74696141 -:041B350000002E2E50 -:041B36006972655615 -:041B37006E697966F4 -:041B38006C66206750 -:041B3900006873616C -:041B3A0061656C7005 -:041B3B00722065733C -:041B3C0061747365F8 -:041B3D0000007472BE -:041B3E007274655206 -:041B3F00676E6979EB -:041B40006470752038 -:041B41000065746166 -:041B42006164705515 -:041B4300203F657466 -:041B44002C593D31AA -:041B45004E3D3220BF -:041B4600000000009B -:041B47006D7269460C -:041B480065726177EA -:041B4900647075202F -:041B4A0064657461F9 -:041B4B000000000096 -:041B4C00000144F25E -:041B4D000001453816 -:041B4E00000145400D -:041B4F000001454804 -:041B500064616F4C11 -:041B51002E676E6924 -:041B520000002E2E33 -:041B53007320642572 -:041B540073746F6CCB -:041B5500616F6C2030 -:041B5600006465645E -:041B57006F706D49F5 -:041B5800203F747244 -:041B59002C593D3195 -:041B5A004E3D3220AA -:041B5B000000000086 -:041B5C006C69614609 -:041B5D0000006465BB -:041B5E0073657250E9 -:041B5F00000000730F -:041B6000666E6F43FB -:041B6100006D726938 -:041B62006D73694DE9 -:041B630068637461DE -:041B64006572202C5A -:041B6500007972741D -:041B66004353534F43 -:041B6700776620205D -:041B68007525202E91 -:041B6900322E252EC5 -:041B6A0000006175A1 -:041B6B0074696E49E2 -:041B6C00727265200C -:041B6D002020726F53 -:041B6E0000006425EA -:041B6F0065646F4DED -:041B7000657270200A -:041B71003A746573EA -:041B7200000000006F -:041B730072666552DF -:041B7400206873650D -:041B750065746172C0 -:041B76000000003A31 -:041B7700252E75257D -:041B78004875322E4C -:041B79000000007AEE -:041B7A0020562F487A -:041B7B00636E7973A9 -:041B7C003A6E656CEC -:041B7D000000000064 -:041B7E0075352D2567 -:041B7F00352D2520BB -:041B800000000075EC -:041B810020562F4873 -:041B82006B636162CE -:041B830063726F70AA -:041B840000003A68BB -:041B850020562F486F -:041B860069746361BA -:041B8700003A657645 -:041B880020562F486C -:041B890061746F74A0 -:041B8A0000003A6CB1 -:041B8B00666F7250BF -:041B8C003A656C69E1 -:041B8D000000000054 -:041B8E006D726946C5 -:041B8F0065726177A3 -:041B90000000003A17 -:041B9100252E752563 -:041B92006175322E19 -:041B93004D20402081 -:041B94003220796121 -:041B95003032203892 -:041B960000003332E6 -:041B9700656E694CC2 -:041B9800746C756D87 -:041B9900646F6D20E8 -:041B9A0000003A65A8 -:041B9B00736572708C -:041B9C002D31207354 -:041B9D00000000350F -:041B9E002078752511 -:041B9F0075736E7577 -:041BA000726F707080 -:041BA1000064657403 -:041BA200666F7250A8 -:041BA30020656C69E4 -:041BA40064616F6C9D -:041BA5000000003A02 -:041BA6007365727081 -:041BA700752520730D -:041BA8000075252D72 -:041BA90020202020B8 -:041BAA0053204F4E27 -:041BAB0000434E594C -:041BAC00343130326E -:041BAD003230322D73 -:041BAE006D20203353 -:041BAF00737172617B -:041BB0000000000031 -:041BB100071F1F01EA -:041BB20003030F0F0B -:041BB3000001030129 -:041BB40000014E16C8 -:041BB50000014ED00D -:041BB60000014ED00C -:041BB70000014EE6F5 -:041BB80000014EE6F4 -:041BB90000014EF0E9 -:041BBA0000014EF4E4 -:041BBB0000014F02D4 -:041BBC0000014F02D3 -:041BBD0000014F14C0 -:041BBE000001548846 -:041BBF00000154903D -:041BC000000154804C -:041BC1000001548C3F -:041BC2000001549436 -:041BC3000001548445 -:041BC400000154E0E8 -:041BC500000154982F -:041BC6000001549C2A -:041BC700000154A025 -:041BC800000154E0E4 -:041BC900000154E0E3 -:041BCA00000154E0E2 -:041BCB00000154E0E1 -:041BCC00000154E0E0 -:041BCD0000015AA613 -:041BCE00000154E4DA -:041BCF000001567E3D -:041BD0000001568C2E -:041BD1000001572692 -:041BD2000001576E49 -:041BD3000001576E48 -:041BD400000157DADB -:041BD500000158D4DF -:041BD600000158D4DE -:041BD700000159BAF6 -:041BD8006E692048CA -:041BD9007672657447 -:041BDA0000006C613A -:041BDB006E692056B9 -:041BDC007672657444 -:041BDD0000006C6137 -:041BDE002D627553AC -:041BDF00656E696C5A -:041BE000732031201D -:041BE100000072741A -:041BE2002D627553A8 -:041BE300656E696C56 -:041BE4007320322018 -:041BE5000000727416 -:041BE6002D627553A4 -:041BE700656E696C52 -:041BE8007320332013 -:041BE9000000727412 -:041BEA002D627553A0 -:041BEB00656E696C4E -:041BEC00732034200E -:041BED00000072740E -:041BEE002D6275539C -:041BEF00656E696C4A -:041BF0007320352009 -:041BF100000072740A -:041BF2002D62755398 -:041BF300756C6F633B -:041BF40031206E6DC1 -:041BF5007274732073 -:041BF60000000000EB -:041BF7002D62755393 -:041BF800756C6F6336 -:041BF90032206E6DBB -:041BFA00727473206E -:041BFB0000000000E6 -:041BFC002D6275538E -:041BFD00756C6F6331 -:041BFE0033206E6DB5 -:041BFF007274732069 -:041C000000000000E0 -:041C01002D62755388 -:041C0200756C6F632B -:041C030034206E6DAE -:041C04007274732063 -:041C050000000000DB -:041C06002D62755383 -:041C0700756C6F6326 -:041C080035206E6DA8 -:041C0900727473205E -:041C0A0000000000D6 -:041C0B002D6275537E -:041C0C00756C6F6321 -:041C0D0036206E6DA2 -:041C0E007274732059 -:041C0F0000000000D1 -:041C100073202E48C7 -:041C11006C706D6125 -:041C12007461726522 -:041C13000000006568 -:041C140073202E48C3 -:041C15007461722E56 -:041C1600726620656D -:041C17000000636105 -:041C180073202E48BF -:041C19006C636E7911 -:041C1A0000006E65F3 -:041C1B0062202E48CD -:041C1C00706B636125 -:041C1D006863726F17 -:041C1E0000000000C2 -:041C1F0061202E48CA -:041C2000766974630A -:041C2100000000655A -:041C220073202E56A7 -:041C23006C636E7907 -:041C240000006E65E9 -:041C250062202E56B5 -:041C2600706B63611B -:041C27006863726F0D -:041C280000000000B8 -:041C290061202E56B2 -:041C2A007669746300 -:041C2B000000006550 -:041C2C00706D615323 -:041C2D00676E696C09 -:041C2E006168702059 -:041C2F0000006573D9 -:041C3000000073320B -:041C31000000733507 -:041C320000733031DA -:041C33000066664F92 -:041C34006C6C754619 -:041C350000000000AB -:041C3600706D695311 -:041C37000000656CD8 -:041C38000000733302 -:041C390000733033D1 -:041C3A00727275430A -:041C3B0020746E653E -:041C3C0075706E69E8 -:041C3D00000000742F -:041C3E00206C6C4169 -:041C3F0075706E69E5 -:041C400000007374B9 -:041C41002066664F64 -:041C4200207366287D -:041C43003639203DD1 -:041C4400297A486B46 -:041C4500000000009B -:041C460020207832B0 -:041C47002073662878 -:041C48003834203DCF -:041C4900297A486B41 -:041C4A000000000096 -:041C4B0000315641CD -:041C4C0000325641CB -:041C4D0063616C4221 -:041C4E000000006B27 -:041C4F0065756C4209 -:041C50000000000090 -:041C5100656572470C -:041C52000000006E20 -:041C53006E61794302 -:041C5400000000008C -:041C55000064655270 -:041C56006567614D10 -:041C57000061746E46 -:041C58006C6C6559F2 -:041C59000000776FA1 -:041C5A0074696857EA -:041C5B000000006520 -:041C5C0069726F48F2 -:041C5D00746E6F7AB8 -:041C5E0000006C61B5 -:041C5F0074726556E0 -:041C60006C616369E7 -:041C6100000000007F -:041C620069726F48EC -:041C63002B202E7A8A -:041C6400726556202F -:041C650000002E74D9 -:041C660074737543DB -:041C670000006D6F9D -:041C680000706F5445 -:041C690074746F42DE -:041C6A0000006D6F9A -:041C6B00746C754DD3 -:041C6C00696C7069C6 -:041C6D0069746163D2 -:041C6E0000006E6F95 -:041C6F0074627553D3 -:041C700074636172C6 -:041C7100006E6F6929 -:041C72006F747541D5 -:041C7300000000006D -:041C740000006E4FAF -:041C7500494D444849 -:041C76004752282089 -:041C770000002942FE -:041C7800494D444846 -:041C79004359282083 -:041C7A00347243621B -:041C7B0000293434D4 -:041C7C000049564481 -:041C7D0075657350C6 -:041C7E0034206F643B -:041C7F004420333A90 -:041C800000005241CD -:041C810020313A31A3 -:041C8200005241507B -:041C83003032393191 -:041C8400383031784B -:041C8500000000302B -:041C86003030363193 -:041C8700303231784E -:041C88000000003028 -:041C8900303239318B -:041C8A00303231784B -:041C8B000000003025 -:041C8C00656E6547D5 -:041C8D0020636972F5 -:041C8E00393A363178 -:041C8F000000000051 -:041C9000656E6547D1 -:041C910020636972F1 -:041C920000333A34AD -:041C9300783231353D -:041C94002030343296 -:041C95006974706F8F -:041C960000002E6DAF -:041C97007834383332 -:041C98002030343292 -:041C99006974706F8B -:041C9A0000002E6DAB -:041C9B007830323338 -:041C9C00203034328E -:041C9D006974706F87 -:041C9E0000002E6DA7 -:041C9F00783635322C -:041CA000203034328A -:041CA1006974706F83 -:041CA20000002E6DA3 -:041CA30073736150A6 -:041CA4007572687479 -:041CA500000000003B -:041CA600656E694CB2 -:041CA7002820783247 -:041CA80029626F62DC -:041CA9000000000037 -:041CAA00656E694CAE -:041CAB00000078328B -:041CAC00656E694CAC -:041CAD002820783340 -:041CAE006563616C9D -:041CAF0000002964A4 -:041CB000656E694CA8 -:041CB100282078343B -:041CB20029626F62D2 +:0406CA00406F154523 +:0406CB0086AA4CE0CF +:0406CC006565665D9D +:0406CD00C906061341 +:0406CE00051345C506 +:0406CF00406F15451E +:0406D00006934BA0A2 +:0406D10006E2F80540 +:0406D2006565665D97 +:0406D300061386E1A3 +:0406D40045C5EA86A8 +:0406D50015450513AF +:0406D6004A00406F27 +:0406D70001851693F0 +:0406D800665D86E1F4 +:0406D90016D165656C +:0406DA00BE460613FF +:0406DB00051345C5F9 +:0406DC00406F154511 +:0406DD0046854860A6 +:0406DE0000A696B329 +:0406DF006565665D8A +:0406E000BD860613BA +:0406E100051345C5F3 +:0406E200406F15450B +:0406E300050546E0E3 +:0406E40096B34685FE +:0406E500665D00A6A8 +:0406E600061365652D +:0406E70045C5BEC681 +:0406E800154505139C +:0406E9004540406FD9 +:0406EA0000454783FD +:0406EB0086B247117B +:0406EC0006F7696341 +:0406ED00078A675DB4 +:0406EE00C0C7071367 +:0406EF00439C97BAD7 +:0406F000451C87829C +:0406F100C7034645B0 +:0406F200491C000798 +:0406F30097BA070AA1 +:0406F4006565438C69 +:0406F500154505138F +:0406F6006250406F9F +:0406F700491C45183D +:0406F80000074503AF +:0406F900491C87828F +:0406FA0087824508A6 +:0406FB008A2367E502 +:0406FC0080821407DD +:0406FD00E611C195AC +:0406FE00464565DD2B +:0406FF00BF8585939B +:040700005063BFC9BA +:04070100665D020629 +:040702000613656510 +:0407030045C5C00622 +:04070400154505137F +:040705003E40406FC3 +:04070600DBE9455C8A +:04070700479C439830 +:040708008082B7C173 +:04070900C78367E952 +:04070A00C3E19F5751 +:04070B00C70367E5D4 +:04070C00478508C74E +:04070D000AF71B6369 +:04070E0011116765F9 +:04070F00F1070713D4 +:04071000C826CA220B +:040711004401CC06CD +:040712004781448156 +:040713006769C23A16 +:040714009F67470391 +:04071500070E4692F3 +:0407160043109736BF +:04071700000647038E +:0407180000E7CD63C6 +:04071900000247B7DC +:04071A003C97A22343 +:04071B003C87A42350 +:04071C00445240E221 +:04071D00017144C260 +:04071E00475180823D +:04071F0002E7873333 +:04072000969342501A +:04072100C63E005779 +:04072200000247B7D3 +:0407230000F6853324 +:040724009732C03612 +:040725004641430CFA +:0407260040EFC43AA2 +:0407270047225630DF +:04072800458547B20A +:04072900004746033C +:04072A0000F592B391 +:04072B001675C61663 +:04072C000FF676133B +:04072D000054E4B3DD +:04072E0002C5F763A6 +:04072F004601853AC0 +:04073000C43E4581FD +:0407310047B735D5BC +:040732008513000229 +:0407330047820107F1 +:04073400464165E5F0 +:040735008593953ED5 +:0407360040EF154536 +:0407370042B2523048 +:04073800643347A23D +:0407390007850054DC +:04073A008082B79D65 +:04073B00011366E957 +:04073C008693FD8122 +:04073D00C436A2B666 +:04073E00869366E94F +:04073F00C036A1A679 +:04074000869366E94D +:04074100C236A1C655 +:04074200869366E94B +:04074300C636A1E62F +:04074400869366E949 +:0407450067E5A2863C +:0407460066E9C83662 +:04074700CE26D022C8 +:04074800A18686936D +:040749008793D206BA +:04074A00CA360D4757 +:04074B000127C703B8 +:04074C00869366E941 +:04074D0064E5A26657 +:04074E0062E963E910 +:04074F0065E963698C +:04075000CC366669D4 +:040751008493842ADF +:0407520083932284E7 +:040753008293A16389 +:040754000313A142A8 +:040755008593A243A3 +:040756000613A225BF +:04075700C775A206BA +:0407580001178703FB +:04075900C78347A269 +:04075A001063000721 +:04075B0007930EF7FB +:04075C0007B302C01D +:04075D00468202F7D7 +:04075E000006D68338 +:04075F00D50397A681 +:0407600012630127F8 +:04076100469208D5DF +:040762000147C50383 +:040763000006C68343 +:0407640006D51B6338 +:04076500C50346B2D0 +:04076600C68301C77E +:040767001463000611 +:04076800D50306D5DA +:04076900D6830187AB +:04076A001E63000307 +:04076B00D50304D5D9 +:04076C00D68300C769 +:04076D00186300020B +:04076E0046C204D5A6 +:04076F0001D7C503E6 +:040770000006C68336 +:0407710004D5116337 +:0407720001A7D50303 +:0407730000035683A6 +:0407740002D51B632C +:0407750000E7D68340 +:040776000005D78320 +:0407770002F695638E +:0407780002C0079321 +:0407790002F707B3C9 +:04077A00D68346D20A +:04077B0097A6000637 +:04077C000217C50398 +:04077D0000D5196327 +:04077E000227C68305 +:04077F00D78347E2F3 +:040780008663000785 +:0407810067E900F62E +:040782008523468500 +:040783000793A2D75F +:04078400073302C075 +:04078500550302F71F +:0407860097260006AC +:04078700020747839B +:0407880002A78563DC +:040789000FF57513E0 +:04078A00918FF0EF6C +:04078B00861367E981 +:04078C0067E9A20770 +:04078D00A227859387 +:04078E00831367E981 +:04078F0067E9A2472D +:04079000A147829368 +:04079100839367E9FE +:0407920047A2A16772 +:04079300656547024F +:040794000007C78310 +:040795000007568380 +:0407960002C0071383 +:0407970002E787B33B +:04079800570347328A +:0407990094BE000703 +:04079A0000E48E23C6 +:04079B000003D7037D +:04079C0099234792C4 +:04079D009C2300D4C5 +:04079E00D70300E499 +:04079F00C78300020A +:0407A0009623000795 +:0407A100474200E4E7 +:0407A20000F48A23B2 +:0407A30000075703F1 +:0407A40000E48EA33C +:0407A50000035703F3 +:0407A60000E49D23AB +:0407A7000005D7036F +:0407A80000E49723AF +:0407A9005703475259 +:0407AA0080A3000721 +:0407AB00476202E4BB +:0407AC0000075703E8 +:0407AD0002E48123BE +:0407AE0000064703F7 +:0407AF0002E48023BD +:0407B00002C4186304 +:0407B1008693668D38 +:0407B2000733BF2624 +:0407B300069302D7D0 +:0407B400665D3E80C0 +:0407B500C20606135F +:0407B60002D746B36D +:0407B7005092540206 +:0407B80045C544F2FD +:0407B90015450513CA +:0407BA0002810113A4 +:0407BB0010C0406FBB +:0407BC000563470288 +:0407BD00471200E4FB +:0407BE0002E41263DC +:0407BF008733471520 +:0407C000540202E7F6 +:0407C10044F250921C +:0407C2000613665D57 +:0407C30045C5C286E0 +:0407C40015450513BF +:0407C5000281011399 +:0407C6000E00406F72 +:0407C7005683665D92 +:0407C8000613000410 +:0407C900BF5DC90641 +:0407CA00879367E9C1 +:0407CB004398A04768 +:0407CC00671DEF199D +:0407CD0097870713F0 +:0407CE0002E5053308 +:0407CF00C4061151FA +:0407D000E0EFC3880B +:0407D10040A29E0F95 +:0407D20001314501AB +:0407D30045058082D6 +:0407D40011518082BD +:0407D500E0EFC40687 +:0407D60067E99F0F21 +:0407D700A047A7830D +:0407D800353340A2D3 +:0407D900013100F5F5 +:0407DA00473D808295 +:0407DB0024A7646388 +:0407DC00445257B775 +:0407DD00EDC1011356 +:0407DE003557879371 +:0407DF0057B7CC3EFE +:0407E00087930041BA +:0407E100CE3E4417AD +:0407E20000F5379354 +:0407E30010812E2330 +:0407E40012112023AB +:0407E50010912C2320 +:0407E60002F10123F8 +:0407E7001163842AEC +:0407E80047850EE54E +:0407E900102365E58F +:0407EA00462902F1A9 +:0407EB0004B00793BC +:0407EC001345859399 +:0407ED00025105139D +:0407EE0002F101A370 +:0407EF0002010223DE +:0407F000E06FE0EFE7 +:0407F100C78367E96A +:0407F20065E5A10711 +:0407F3000360061386 +:0407F40002F10823E3 +:0407F500C78367E966 +:0407F60085939EC782 +:0407F700051316854B +:0407F80008A303A1AE +:0407F90067E902F1B9 +:0407FA009F97C7837B +:0407FB001884082036 +:0407FC0002F107A35C +:0407FD00C78367E95E +:0407FE0009239F47E5 +:0407FF0067E902F1B3 +:040800009E27C783E5 +:0408010002F109A354 +:04080200C78367E958 +:040803000A239E071F +:0408040067E502F1B1 +:0408050007C7C783D7 +:0408060002F10AA34E +:04080700C78367E953 +:040808000B239E1709 +:0408090067E502F1AC +:04080A0008C7C783D1 +:04080B0002F10BA348 +:04080C00C78367E552 +:04080D000C2308D7D9 +:04080E0067E902F1A3 +:04080F009F87C78375 +:0408100002F10CA342 +:04081100D82FE0EF0D +:040812000004450396 +:04081300E0EF040509 +:040814000FA3ECAF93 +:0408150019E3FEA441 +:0408160067E9FE94FC +:040817009F07A5038F +:0408180005800693BE +:0408190005B70830E7 +:04081A00E0EF001FEC +:04081B002083A80F7F +:04081C00240312019E +:04081D00248311C15E +:04081E000113118130 +:04081F008082124180 +:0408200030100793FA +:0408210002F11023AD +:04082200073807B7D5 +:04082300042787938C +:04082400D83E64E571 +:040825001D44C78324 +:04082600665DEB8997 +:04082700C3060613EB +:04082800851345B53A +:0408290030EF1D444B +:04082A00859375300D +:04082B0046351D44ED +:04082C00023105137D +:04082D00149040EFF4 +:04082E00061365E563 +:04082F008593042089 +:0408300018481E4501 +:04083100D02FE0EFF5 +:04083200061364E560 +:0408330085930A207F +:040834000513228402 +:04083500E0EF076188 +:04083600083CCF0F9C +:040837000007C503EE +:04083800E0EFC03EEF +:040839004782E36FA0 +:04083A0080230A38D5 +:04083B00078500A786 +:04083C00FEE796E35A +:04083D0074130441EB +:04083E0017930FF409 +:04083F00C23E0104B0 +:04084000A50367E9BC +:0408410006939F0774 +:04084200083010006A +:040843000104159304 +:040844009DAFE0EF95 +:04084500879367E945 +:04084600C63E9F0704 +:040847008793F92971 +:0408480004422284C0 +:04084900100407137D +:04084A000A27849362 +:04084B007A278793EE +:04084C00C43EC03AAC +:04084D0069600413C7 +:04084E0010000693FD +:04084F00F4638722A5 +:040850000713008604 +:04085100074210004A +:04085200863A83411E +:04085300082885A646 +:04085400E0EFC83ACF +:040855004742C74F00 +:04085600C50308349A +:04085700CA3A000693 +:04085800E0EFC836CF +:0408590046C2DB6F49 +:04085A0047520A3CBB +:04085B0000A6802350 +:04085C0094E3068596 +:04085D0047B2FEF6AA +:04085E004592460277 +:04085F00083443888E +:040860008A0FE0EF2C +:04086100EE0515E3A8 +:0408620010000693E9 +:040863007463872211 +:04086400071300D4A2 +:0408650007931000E5 +:040866009413F007F0 +:0408670047820107BC +:040868001004849361 +:0408690087938041B0 +:04086A00C03E100775 +:04086B0095E347A228 +:04086C00BD75F8F46A +:04086D008082557DB3 +:04086E00C02611513E +:04086F00849364E921 +:04087000C5039FB469 +:04087100C22200049B +:040872003345C40640 +:04087300E515842AD9 +:040874000004C703B2 +:04087500468167E968 +:040876009EE78D2349 +:04087700C78367E9E3 +:04087800C7819F97FE +:04087900C68367E5E6 +:04087A0067E50F0718 +:04087B001347879305 +:04087C00802397B688 +:04087D00453D00E70E +:04087E0040A23B8DCC +:04087F004412852278 +:04088000013144827C +:04088100011380825D +:0408820067E5EDC178 +:040883001C078A23A1 +:040884002023C43E2B +:040885002E231211FB +:040886002C2310818E +:04088700C22E1091DC +:0408880057FD473D94 +:0408890006A76363F8 +:04088A000541676954 +:04088B000FF577935B +:04088C009F0725039A +:04088D000107959337 +:04088E0010000693BD +:04088F00C03E08302F +:04089000962FE0EFD0 +:040891000824676967 +:040892009F070713A2 +:04089300842687AA86 +:040894004503C63A18 +:04089500C83E000455 +:04089600E0EF040586 +:040897000FA3CBEFF1 +:040898000A38FEA478 +:0408990016E347C259 +:04089A00E385FE876D +:04089B00859365DDFF +:04089C000828C385E0 +:04089D00B9EFE0EFE0 +:04089E00136387AAAF +:04089F0047032005E6 +:0408A000CF11022151 +:0408A1000163468524 +:0408A200208310D7C8 +:0408A3002403120117 +:0408A400248311C1D7 +:0408A500853E1181FA +:0408A60012410113E7 +:0408A7004603808202 +:0408A80047050201FD +:0408A9001EE61063D4 +:0408AA0002114703ED +:0408AB001C071C63A7 +:0408AC0002414703BB +:0408AD0002314603CB +:0408AE008F5107223D +:0408AF0004B0061378 +:0408B000FCC715E389 +:0408B100F371471286 +:0408B20047816765AE +:0408B30007134539A9 +:0408B40045A91347F8 +:0408B50000D4C603A2 +:0408B60000C56663B0 +:0408B70000F7033310 +:0408B80000C3002356 +:0408B9000485078526 +:0408BA00FEB796E30C +:0408BB00031147835B +:0408BC00062367693F +:0408BD0047259EF736 +:0408BE0000F7666376 +:0408BF00082367693A +:0408C000A029A0F7D4 +:0408C1000301478365 +:0408C200FEF77AE3E0 +:0408C30003314703B3 +:0408C400656567E916 +:0408C5009EE7812306 +:0408C60003414703A0 +:0408C700061367E9C4 +:0408C8008023036026 +:0408C90047039EE75C +:0408CA0067E503518A +:0408CB0003A10593ED +:0408CC0006E78E238A +:0408CD000361470379 +:0408CE00051367E9BE +:0408CF0080A3168567 +:0408D00047039EE755 +:0408D10067E5037163 +:0408D20008E786238A +:0408D3000381470353 +:0408D40086A367E5AB +:0408D500470308E7E6 +:0408D60067E902F1DB +:0408D7009EE78CA369 +:0408D800C70367E506 +:0408D90067E9134771 +:0408DA009EE78D23E5 +:0408DB0003214703AB +:0408DC008A2367E91B +:0408DD0047039EE748 +:0408DE0067E9039132 +:0408DF009EE78C23E1 +:0408E000A46FE0EF32 +:0408E100B711478183 +:0408E2000201468346 +:0408E3000EE69C631E +:0408E4000211468334 +:0408E5009763470DC1 +:0408E60056830EE641 +:0408E70007130301EF +:0408E80094E3042071 +:0408E9005403EEE6E0 +:0408EA0007130321CC +:0408EB001EE3738015 +:0408EC0047A2ECE44F +:0408ED004635C82A9A +:0408EE001D4785130A +:0408EF00023105933A +:0408F00063C040EFB2 +:0408F10047C24712A1 +:0408F200EC0711E31B +:0408F300061365651E +:0408F400184C042078 +:0408F5001E45051384 +:0408F6009EEFE0EFA2 +:0408F7006765478268 +:0408F8002287071339 +:0408F900C23E07A252 +:0408FA00C002448173 +:0408FB0005E007937A +:0408FC004722C43A91 +:0408FD000613468216 +:0408FE008E1D10003B +:0408FF0000D70533E6 +:0409000005B30838FB +:04090100456300F753 +:04090200C83E06C421 +:040903009BAFE0EFD7 +:04090400470247C29D +:04090500943E048593 +:0409060047928F1D68 +:040907000FF4F49362 +:0409080010070713BA +:0409090000F485B3BE +:04090A00074247B2A7 +:04090B004388834159 +:04090C00F0040413DC +:04090D00100006933D +:04090E0005A2083006 +:04090F000442C03AA4 +:04091000F63FD0EFEF +:0409110087AA8041F0 +:040912004503083859 +:04091300CA3E0007D1 +:04091400E0EFC83A0E +:040915004742AC6F3A +:0409160047D20A3486 +:0409170000A7002312 +:0409180094E3070558 +:0409190092E3FEE681 +:04091A004781E20728 +:04091B00A021F059CE +:04091C00E0EF862260 +:04091D0067E9954FA2 +:04091E0085234705E1 +:04091F00B719A2E77B +:04092000B521478531 +:04092100B51147893C +:04092200C026115189 +:04092300849364E96C +:04092400C5039FB4B4 +:040925004581000404 +:04092600C406C2221F +:04092700D6BFF0EF58 +:04092800E121842A1B +:040929000004C703FC +:04092A0046A967E98A +:04092B009EE78D2393 +:04092C00C78367E531 +:04092D008563225765 +:04092E0066E900D79F +:04092F00A0F6882383 +:04093000C78367E929 +:0409310046819F97C5 +:0409320067E9C78129 +:04093300A107C683CF +:04093400879367E559 +:0409350097B6134717 +:0409360000E7802333 +:04093700F0EF453D5B +:0409380040A2A8DF52 +:0409390044128522BD +:04093A0001314482C1 +:04093B001141808264 +:04093C00C606458521 +:04093D00F0EFC02AED +:04093E006765D11FF9 +:04093F001D47478386 +:0409400007134682D1 +:04094100E7811D47E6 +:040942000713675DD3 +:0409430040B2C407F3 +:040944006565665D22 +:04094500C48606134B +:04094600051345C58B +:040947000141154510 +:040948002D90306F4F +:0409490067691121A8 +:04094A000413C822A8 +:04094B00401CA0C7E5 +:04094C0016B7CA060A +:04094D00F79300021A +:04094E00C01CF9F7D9 +:04094F00C02EC626CA +:040950000EF6A023DC +:0409510032000593D8 +:04095200450584AA29 +:04095300B5CFF0EF3D +:04095400190005136E +:04095500BABFD0EF66 +:0409560016B7401C74 +:0409570045C1000294 +:040958000207E79318 +:04095900A023C01CFB +:04095A0085260EF6EA +:04095B004B4040EFDE +:04095C000FF5779389 +:04095D0065E1EB89DC +:04095E0085934645F2 +:04095F00852648851C +:0409600047C040EF5D +:04096100470147857E +:0409620000E486B374 +:040963000006C503C2 +:04096400C43E45D177 +:04096500F0EFC23AB3 +:040966004712B12F54 +:04096700070547A297 +:040968000FF776937C +:04096900FEF6E2E3D1 +:04096A0014B7401C62 +:04096B0045D1000270 +:04096C00FDF7F79309 +:04096D00A023C01CE7 +:04096E0005130EF46B +:04096F00F0EF0C0099 +:04097000401CAEAFCA +:0409710045C1450235 +:040972000207E793FE +:04097300A023C01CE1 +:0409740040EF0EF44E +:04097500749344E053 +:04097600E8890FF508 +:0409770065E14502EF +:0409780085934645D8 +:0409790040EF48857E +:04097A00448541600F +:04097B004702478167 +:04097C00C23E45D161 +:04097D004503973E59 +:04097E00F0EF00078F +:04097F004792AAEF02 +:04098000F7130785DD +:0409810064E30FF725 +:04098200401CFE9780 +:04098300173740D210 +:04098400E7930002F3 +:04098500C01C040787 +:0409860020234442A4 +:0409870044B20EF771 +:040988008082016107 +:04098900C422114132 +:04098A00C606C226B5 +:04098B0064E5478553 +:04098C000863646533 +:04098D00676500F5A5 +:04098E0008C74683CD +:04098F00946347091D +:04099000464104E6F2 +:040991001404859332 +:0409920000024537E3 +:040993003B0040EFF6 +:04099400000247B75F +:0409950002078513BD +:04099600059346413E +:0409970040EF1544D4 +:0409980047B739E044 +:04099900A62300028F +:04099A00470D3C07C2 +:04099B003CE7A22370 +:04099C003C07A4234D +:04099D001544059365 +:04099E0040B24422FD +:04099F0014048513A4 +:0409A000014144923B +:0409A10017E3B5455E +:0409A2006769FEE59E +:0409A3009F67470300 +:0409A4000593464130 +:0409A500169315444C +:0409A600676500374A +:0409A700F10707133A +:0409A80046839736B5 +:0409A9004737004785 +:0409AA00951300029F +:0409AB0005410056AC +:0409AC00C036953A82 +:0409AD00348040EF63 +:0409AE0047374682FF +:0409AF002603000219 +:0409B00047853C87B4 +:0409B10000D797B321 +:0409B20024238FD19A +:0409B300B75D3CF7F9 +:0409B400C78367E9A5 +:0409B500E3B59F57B0 +:0409B600C406115111 +:0409B700C026C22272 +:0409B8004737C909EB +:0409B900278300028E +:0409BA00E7933C077C +:0409BB0020230027CE +:0409BC0064E53CF7BB +:0409BD001A04859300 +:0409BE004537464132 +:0409BF0040EF000203 +:0409C00044372FE0A9 +:0409C10067E50002E4 +:0409C2001B478593B7 +:0409C3000204051312 +:0409C40040EF464179 +:0409C500470D2EA00C +:0409C6003C042623A4 +:0409C7003CE42223C7 +:0409C8003C042423A4 +:0409C90040A24412F2 +:0409CA001A04851373 +:0409CB0067E5448216 +:0409CC001B478593AD +:0409CD00F06F013195 +:0409CE008082DEFF46 +:0409CF00CD2271358F +:0409D000061364693D +:0409D100458102C09A +:0409D200974405132E +:0409D300CF06CB265A +:0409D400EB3FD0EF36 +:0409D500871365E53A +:0409D600435C0D452C +:0409D700A0EEC6B711 +:0409D800B00686934C +:0409D90002F6D63319 +:0409DA0097440493A7 +:0409DB0000070A23E4 +:0409DC00000709A364 +:0409DD0000C4982397 +:0409DE0001074603C4 +:0409DF00974407131F +:0409E0008713C43A7B +:0409E10035930D45F8 +:0409E2000585001671 +:0409E30002B787B31D +:0409E4000640059331 +:0409E5004318C23AB7 +:0409E60000E49B236B +:0409E70002B787B319 +:0409E80002E7D7B398 +:0409E90002F6D7B388 +:0409EA004792C83E2A +:0409EB00CE3E479C19 +:0409EC000016379327 +:0409ED0000F48F2360 +:0409EE0046F24792F4 +:0409EF0001C7C783F2 +:0409F00000D48E237E +:0409F10000279693B2 +:0409F200879367E19F +:0409F30097B6B647B6 +:0409F400079343948E +:0409F500C21906908D +:0409F600070007935C +:0409F7006565665D6F +:0409F800C506061317 +:0409F900051345C5D8 +:0409FA0030EF1A05BB +:0409FB0047A200F01F +:0409FC0006934742D5 +:0409FD00D6033E805F +:0409FE000793010753 +:0409FF0075B3064086 +:040A0000656502D74F +:040A01001B45051379 +:040A02003E8004939B +:040A030002F677334D +:040A040002F657B3EC +:040A0500C03A46426B +:040A0600D733472972 +:040A070045C502E5FA +:040A080002D656B309 +:040A09000613665D0D +:040A0A0030EFC5C63E +:040A0B0045057CE041 +:040A0C004791354594 +:040A0D004792CABE84 +:040A0E00040109A333 +:040A0F00C7036309AD +:040A100047A10227D1 +:040A11007103031357 +:040A120000E797B3AF +:040A13000087E793DE +:040A14004792CCBE7B +:040A1500C70346814C +:040A16000793023709 +:040A170097B3200071 +:040A1800CEBE00E767 +:040A1900C703479236 +:040A1A0067C1024767 +:040A1B0000E797B3A6 +:040A1C004792D0BE6F +:040A1D000257C703B2 +:040A1E00002007B7F6 +:040A1F0000E797B3A2 +:040A2000D2BE4712E9 +:040A2100077547927C +:040A2200D8BAD6BAAE +:040A2300C78347122C +:040A240007790297B5 +:040A25004712DABAE0 +:040A26000793CA3E2A +:040A2700077D053111 +:040A2800DEBADCBA9C +:040A2900D4BE4712DE +:040A2A000713C53EAB +:040A2B00C13A0207C3 +:040A2C004712C33A70 +:040A2D000217071392 +:040A2E00C93EC73ABC +:040A2F00C602479222 +:040A30000277C28304 +:040A3100C78347A28E +:040A3200D63E01C7E4 +:040A3300D78347A27C +:040A3400D43E012784 +:040A3500C78347929A +:040A3600D03E028725 +:040A3700C78347A288 +:040A3800D83E01E7BC +:040A3900D78347A276 +:040A3A00DA3E016738 +:040A3B00D78347A274 +:040A3C00DC3E010794 +:040A3D00841367E5D2 +:040A3E0087932287F1 +:040A3F00CC3E228700 +:040A40000FD2F79347 +:040A41004703DE3E4B +:040A4200479502448E +:040A43001AE7E46367 +:040A4400EC63478D8B +:040A45000D6324E732 +:040A460009501AF742 +:040A470000271793DA +:040A4800A78397B237 +:040A4900C783FD471B +:040A4A00078A000710 +:040A4B00A58397B236 +:040A4C00541CFC0733 +:040A4D0081638FED45 +:040A4E0047831E07B5 +:040A4F00564201E426 +:040A50001CC79C63C0 +:040A5100578356521F +:040A52005562016484 +:040A530040F607B3AF +:040A540041F7D6137D +:040A55008F918FB13D +:040A5600010456033E +:040A570040C506335D +:040A580041F65513FB +:040A59008E098E294B +:040A5A002297C363B9 +:040A5B007663451D5C +:040A5C00946300E5BA +:040A5D00416300975A +:040A5E0047092266BC +:040A5F0018974E6333 +:040A600018F4DC6347 +:040A6100041347B281 +:040A6200656902C000 +:040A6300028784334F +:040A6400462947E2F6 +:040A6500943E64E96E +:040A66000793445856 +:040A670046839745E6 +:040A6800C7D80244A5 +:040A690001C447037A +:040A6A00012453030D +:040A6B0000A442831E +:040A6C005703D03A22 +:040A6D00538301842A +:040A6E0085A200C499 +:040A6F004C18D43A11 +:040A7000974505138E +:040A7100006799235E +:040A72005703CF98BF +:040A7300DA3601C4AA +:040A7400005785237F +:040A750000E79E23D5 +:040A760001444703ED +:040A7700DC1ADE1E89 +:040A780000E78A23E6 +:040A79000214470319 +:040A7A00D63AD8167A +:040A7B00822350186A +:040A7C00D39802D732 +:040A7D00008040EFC6 +:040A7E00059365690E +:040A7F00061397457E +:040A8000851302C018 +:040A8100D0EF9A0414 +:040A82008793BC1F7B +:040A830085239A0429 +:040A840067E9000717 +:040A85009607871336 +:040A86005692460539 +:040A87000007202321 +:040A8800000722231E +:040A8900000724231B +:040A8A000007262318 +:040A8B000007082335 +:040A8C0000C708A3F4 +:040A8D006591541803 +:040A8E009607841330 +:040A8F0003638F75F9 +:040A900056D262B721 +:040A910040E5E563F4 +:040A920010000593B8 +:040A93005CB70063E9 +:040A940053F2536264 +:040A950016E5E96316 +:040A96000E6345C1E5 +:040A970052C254B73C +:040A980012E5EF6311 +:040A9900016345911F +:040A9A0045A14AB771 +:040A9B000CB7116320 +:040A9C0000C400A3EF +:040A9D008F63470D0F +:040A9E00871300E6D4 +:040A9F007713FFA624 +:040AA00079630FF770 +:040AA100472500E6FF +:040AA20052E6946321 +:040AA3004AF00713FB +:040AA40052676063D2 +:040AA500876347D24A +:040AA60067E95007A5 +:040AA7004589460532 +:040AA80097478513D4 +:040AA900D45FD0EF57 +:040AAA0000144603EB +:040AAB0076130605B3 +:040AAC00A9ED0FF6AB +:040AAD0012E3479970 +:040AAE004783E6F79D +:040AAF00460900A450 +:040AB0000CC791637B +:040AB1000A02896349 +:040AB20088E3478509 +:040AB300A0A9E4F220 +:040AB4002000079384 +:040AB5000793CEBE17 +:040AB600D0BE10009E +:040AB700D2BE67A1A3 +:040AB8009CE357A2C2 +:040AB9005603E207F7 +:040ABA000793016439 +:040ABB0016E31C1012 +:040ABC0067DDE2F61A +:040ABD0085934629AE +:040ABE008522C7477F +:040ABF00C4B6C69A59 +:040AC000C0BAC29660 +:040AC1006CB030EFF6 +:040AC200429647060B +:040AC300433646A6CA +:040AC4005782E51D53 +:040AC500E00793E3D0 +:040AC6000793068507 +:040AC700041302A072 +:040AC80092E302C4EF +:040AC9000793DEF6BB +:040ACA00DDE306D092 +:040ACB0067E9E4975C +:040ACC00960788A35E +:040ACD00446A40FA3D +:040ACE00610D44DA98 +:040ACF0067DD8082DD +:040AD000859346299B +:040AD1008522C807AB +:040AD200C4B6C69A46 +:040AD300C0BAC2964D +:040AD40067F030EFA8 +:040AD50042964706F8 +:040AD600433646A6B7 +:040AD700DA051FE33A +:040AD80047855602F6 +:040AD900DAF61BE34B +:040ADA000793BF457A +:040ADB00CEBE20006B +:040ADC00D0BE67C160 +:040ADD005632B35D7D +:040ADE000520079355 +:040ADF00D8C7FFE392 +:040AE0004605BF61A7 +:040AE100D8C79BE3F4 +:040AE200F7D957F2F7 +:040AE300D22EB379E3 +:040AE40084BE833217 +:040AE500B749C63611 +:040AE6008332D22E57 +:040AE7000693BFE5CE +:040AE8000A63040099 +:040AE900069300D799 +:040AEA000D63080090 +:040AEB00069340D757 +:040AEC001EE3020003 +:040AED000713F6D71E +:040AEE0010231010B1 +:040AEF00470500E4D3 +:040AF00000E40123FA +:040AF1000593A6DDE6 +:040AF2008C6380078A +:040AF30065854605CA +:040AF40080058513E1 +:040AF50006E56C6343 +:040AF6002000059344 +:040AF70044B702639B +:040AF8004000069321 +:040AF900F4D715E336 +:040AFA00470957B29F +:040AFB0000E400A370 +:040AFC000017971335 +:040AFD008733578262 +:040AFE00142340E39A +:040AFF00470D00E4BB +:040B000002E7D6B37F +:040B0100861367E907 +:040B020045919A0778 +:040B03009A048513B8 +:040B040000D60E23E6 +:040B0500D3B356A26E +:040B0600D6B302E37D +:040B0700533302E67C +:040B08001C2302E3C5 +:040B0900871300D678 +:040B0A0086939A072D +:040B0B00460D9A07F2 +:040B0C009A0787932A +:040B0D0000769623B5 +:040B0E0000078A232F +:040B0F00006719233F +:040B1000BA9FD0EFC9 +:040B110008A34711DD +:040B1200A0A900E4B2 +:040B130040B7026382 +:040B14001EE36689ED +:040B15000713ECD7FF +:040B16001023203058 +:040B1700460D00E4A3 +:040B18004591470DAF +:040B19009A048513A2 +:040B1A0000E40123CF +:040B1B00B7DFD0EF81 +:040B1C0000040703C7 +:040B1D000014068337 +:040B1E00068507053C +:040B1F0002D70733BF +:040B200000244683E4 +:040B210047330685CB +:040B220008A302D74B +:040B2300470900E49A +:040B240000E40023C6 +:040B250000140703AE +:040B2600665D67E9B8 +:040B270086930705A5 +:040B280006139747D2 +:040B290045A9C8C64C +:040B2A009A04851391 +:040B2B0034C030EFB3 +:040B2C0000845703E7 +:040B2D0047A2EF19D3 +:040B2E000217C68361 +:040B2F0000C7D70321 +:040B30008F15068691 +:040B31000004068333 +:040B320007330685FA +:040B3300142302D7AE +:040B3400568300E400 +:040B350067E900A4C8 +:040B36009A07879300 +:040B370000E7D703F9 +:040B3800001405039D +:040B390047A2EE8958 +:040B3A000015069309 +:040B3B000227C603C4 +:040B3C0002C686B3B4 +:040B3D0006B306866F +:040B3E00152340D764 +:040B3F0067E900D48E +:040B40009A078793F6 +:040B410000C7D68390 +:040B420000845603D2 +:040B430047A24289FA +:040B4400C6B38E9115 +:040B4500122302561F +:040B4600C68300D48E +:040B47000723021767 +:040B4800568300D4FC +:040B490006B300A44B +:040B4A00C6B340D717 +:040B4B001323025618 +:040B4C00469100D4FA +:040B4D0008D51E6346 +:040B4E0046854792FF +:040B4F000267C583F1 +:040B500008D58863D9 +:040B51007800031312 +:040B520040C3063363 +:040B530002564633CD +:040B5400869367E934 +:040B5500D6839A07A2 +:040B5600849301265D +:040B570086939A07E0 +:040B580012238806D6 +:040B5900C63300C4DB +:040B5A00C6B302A676 +:040B5B008E2302568D +:040B5C00861300C438 +:040B5D001C239A07B4 +:040B5E00869300D6A4 +:040B5F0096239A0738 +:040B6000E5B900668D +:040B6100071346A987 +:040B62004733BC87D2 +:040B6300469502D7DA +:040B6400876107623C +:040B650000E407A3FE +:040B660002D7073378 +:040B67009A078693D0 +:040B680001A6D68389 +:040B690086939736A2 +:040B6A009D239A0726 +:040B6B00879300E686 +:040B6C0007139A07CA +:040B6D009723438007 +:040B6E0047A200E7B3 +:040B6F00C70346A9C9 +:040B700006B302279F +:040B7100071302D78D +:040B72008F15438018 +:040B730000E4152362 +:040B7400871367E993 +:040B750047039A0791 +:040B76008B110237A6 +:040B770034070463D8 +:040B780086934741D8 +:040B790080A39A07B4 +:040B7A00472502E623 +:040B7B009A078793BB +:040B7C0002E78123E8 +:040B7D0067E94705D8 +:040B7E0000E4082364 +:040B7F009A07871337 +:040B800000A7470380 +:040B81000004162333 +:040B82004712EB012A +:040B83009A078793B3 +:040B840002B747036A +:040B850000E78523DD +:040B860000C1048323 +:040B870067694792C1 +:040B8800017D80B7B4 +:040B8900009788A3A6 +:040B8A00460347B225 +:040B8B0005830024BA +:040B8C000623011427 +:040B8D0047A2A2F7E2 +:040B8E00809343010C +:040B8F00D78383F095 +:040B9000428901276E +:040B910047A2C63E73 +:040B92000147C783CD +:040B930005B7A601FB +:040B940008630010E2 +:040B9500E06328B73A +:040B960005B706E5B4 +:040B970002630002F3 +:040B9800E86326B731 +:040B990065A102E56B +:040B9A0024B7016318 +:040B9B0010E365C13D +:040B9C00470DCCB77E +:040B9D0000A316F1AA +:040B9E00F69300E4E6 +:040B9F0060630FF68A +:040BA00047D224D63E +:040BA100C0079BE30B +:040BA20000234705E0 +:040BA300461100E413 +:040BA40006B7AA31B5 +:040BA5000D630004D8 +:040BA60006B722D795 +:040BA70018E3000847 +:040BA8000713C8D790 +:040BA90010233030B5 +:040BAA00470D00E40F +:040BAB0000E401233E +:040BAC004591461118 +:040BAD0006B7A8657A +:040BAE00036300805D +:040BAF00E36324D701 +:040BB00006B702E69C +:040BB10008630020B5 +:040BB20006B722D789 +:040BB30010E300400B +:040BB4000713C6D786 +:040BB50010234020A9 +:040BB600470900E407 +:040BB70000E4012332 +:040BB800AABD461577 +:040BB900010006B77A +:040BBA0022D70563D6 +:040BBB00020006B777 +:040BBC00C2D71FE39A +:040BBD00405007138A +:040BBE0000E410231C +:040BBF0001234715B2 +:040BC000461500E4F2 +:040BC100A8C14599E9 +:040BC200871367E945 +:040BC30086939A0774 +:040BC400D6839A0733 +:040BC500052301669D +:040BC600570300577A +:040BC7008793010708 +:040BC800C5039A07C0 +:040BC900073301E706 +:040BCA00069302D7B5 +:040BCB008637064023 +:040BCC004281017DE4 +:040BCD000613438147 +:040BCE00473383F630 +:040BCF00468102D782 +:040BD0000267033382 +:040BD1000016871370 +:040BD200026705B3FE +:040BD30040A5D5B3B1 +:040BD40004B6706390 +:040BD5000003866330 +:040BD60000D4012323 +:040BD70000540023A3 +:040BD800000445834D +:040BD9000585460543 +:040BDA000FF5F5938B +:040BDB009A048513E0 +:040BDC00879FD0EF30 +:040BDD000004070306 +:040BDE000014068376 +:040BDF00068507057B +:040BE00002D70733FE +:040BE1000024468323 +:040BE200473306850A +:040BE300B96502D717 +:040BE400177D07066C +:040BE50001871293DF +:040BE6000FF77693FC +:040BE7004182D293E2 +:040BE800B74D43853D +:040BE9000023470599 +:040BEA00460900E4D4 +:040BEB00BF7D4589FC +:040BEC0045854609EC +:040BED000713BF65C6 +:040BEE0010231010B0 +:040BEF00012300E4FA +:040BF000B7E500C4A1 +:040BF10010200713B6 +:040BF20000E41023E8 +:040BF300012347098A +:040BF400460900E4CA +:040BF5008513458D92 +:040BF600D0EF9A049E +:040BF700068380FFF2 +:040BF80007030004EB +:040BF900479200140B +:040BFA000016861348 +:040BFB0007330705B0 +:040BFC00460302C7E3 +:040BFD0006050024C5 +:040BFE0002C74733B0 +:040BFF0000E408A363 +:040C000002A7C7037D +:040C010000238E99A5 +:040C0200B16900D400 +:040C03001040071383 +:040C040000E41023D5 +:040C0500012347116F +:040C0600460900E4B7 +:040C0700B7B945959F +:040C080016F1470991 +:040C090000E400A360 +:040C0A000FF6F69358 +:040C0B0000D6696343 +:040C0C0094E347D254 +:040C0D000023A60713 +:040C0E00460D00C4CB +:040C0F00460DBF854A +:040C10000713BF8D7A +:040C1100102320107C +:040C1200012300E4D6 +:040C1300B7F500C46D +:040C14002020071382 +:040C150000E41023C4 +:040C16000123470966 +:040C1700460D00E4A2 +:040C1800B729458D26 +:040C1900204007135D +:040C1A0000E41023BF +:040C1B004711460D2A +:040C1C008513459562 +:040C1D0001239A0411 +:040C1E00D0EF00E42F +:040C1F000703F6EFE2 +:040C20000683000443 +:040C210047920014E2 +:040C22000685070537 +:040C230002D70733BA +:040C240000244683DF +:040C250047330685C6 +:040C260008A302D746 +:040C2700C70300E41B +:040C2800371302A7D5 +:040C290007090017A0 +:040C2A000713B6E511 +:040C2B001023206012 +:040C2C00471900E480 +:040C2D0000E40123BB +:040C2E00459D460D8D +:040C2F004611BD4568 +:040C30000713BDCD1C +:040C3100102330104C +:040C3200012300E4B6 +:040C3300B3C100C485 +:040C34003020071352 +:040C350000E41023A4 +:040C36000123470946 +:040C3700461100E47E +:040C38000713B741A6 +:040C39001023304014 +:040C3A00471100E47A +:040C3B0000E40123AD +:040C3C004595461183 +:040C3D004711B5CDD9 +:040C3E0000E400A32B +:040C3F00BD55461544 +:040C40004030071326 +:040C410000E4102398 +:040C42000123470D36 +:040C4300461500E46E +:040C44000713B34D92 +:040C450010234040F8 +:040C4600471100E46E +:040C470000E40123A1 +:040C4800BDED4615A3 +:040C4900471167E9FF +:040C4A009A078693EC +:040C4B0002E680A39A +:040C4C00B96D470D2A +:040C4D000015F39308 +:040C4E0004039163A7 +:040C4F000255C5B3D2 +:040C500000169613E1 +:040C51007613167D83 +:040C520043050FF651 +:040C530000160693EE +:040C5400873343950A +:040C5500073302F669 +:040C5600039302778B +:040C57000713064039 +:040C580047330327F4 +:040C590043B2027729 +:040C5A00027683B3E8 +:040C5B0043C2971EDB +:040C5C0002E383B379 +:040C5D00FFE3C41ECF +:040C5E000663FA70BF +:040C5F00012300036A +:040C600008A300C421 +:040C6100E50900B4ED +:040C62004792C601EE +:040C630000C78A2319 +:040C6400011406036E +:040C65003EC05163D9 +:040C660006B347A2E8 +:040C6700479202F6B8 +:040C6800CF9465E9D7 +:040C690002C006932C +:040C6A0084B347E226 +:040C6B0094BE02D45D +:040C6C000234C68305 +:040C6D00A135879393 +:040C6E00F613C63E75 +:040C6F00CA09004668 +:040C7000C58366E9E9 +:040C71004609A12669 +:040C720073634691D1 +:040C730046A100B6E0 +:040C740065C147B25D +:040C7500802315FDC6 +:040C7600479200D7CA +:040C770000B774B39B +:040C780047D05559B3 +:040C7900C68347B235 +:040C7A0017930007C5 +:040C7B0083C1010729 +:040C7C0077B3C83E44 +:040C7D0045F900B67F +:040C7E0002B485B384 +:040C7F00C5B3CA3EF1 +:040C800047F202F540 +:040C8100C5B305955D +:040C8200F51302A5BF +:040C830047920FF78E +:040C840002E507334B +:040C850002C7573318 +:040C8600C70395BA51 +:040C8700F59302C718 +:040C880007130FF54A +:040C89000762F807FF +:040C8A0095BA87612F +:040C8B00471105C246 +:040C8C00916381C12E +:040C8D0085933AE62B +:040C8E0005C2032573 +:040C8F00452185C1B5 +:040C90000200061345 +:040C910000C5033364 +:040C92003805CC63F2 +:040C930000C58733DE +:040C94000513972A83 +:040C950056630FF0A3 +:040C9600059300E5DD +:040C970085B30FF022 +:040C9800F7134065A9 +:040C990085BA0FF514 +:040C9A00D0364515F6 +:040C9B00CE3AD41A5F +:040C9C00D0EFD23291 +:040C9D005612C8DF44 +:040C9E0085B24519BD +:040C9F00C83FD0EF8B +:040CA00008000593B0 +:040CA10002600513D5 +:040CA200C77FD0EF49 +:040CA300532247721F +:040CA4000310051321 +:040CA500006705B32C +:040CA6000FF5F593BE +:040CA700C63FD0EF85 +:040CA8004721568208 +:040CA900836345B567 +:040CAA0045A500E676 +:040CAB00022005130B +:040CAC00C4FFD0EFC2 +:040CAD00D0EF45112E +:040CAE007593BFFF7C +:040CAF0045110F8557 +:040CB000C3FFD0EFBF +:040CB100450547C2EC +:040CB2000047D5938F +:040CB3000FF5F593B1 +:040CB400C2FFD0EFBC +:040CB50000449593CF +:040CB6000F05F5939E +:040CB700D0EF45092C +:040CB80047D2C21F3E +:040CB900019C07375C +:040CBA00CC07071349 +:040CBB0002F75733B2 +:040CBC00022556B700 +:040CBD000FF6869315 +:040CBE000733458132 +:040CBF00F063029745 +:040CC00026B702E66B +:040CC1008693042CE6 +:040CC2004585D7F697 +:040CC30000E6F963EB +:040CC400080BF5B76D +:040CC500FBF5859323 +:040CC60000E5B5B3DD +:040CC70067610589D3 +:040CC8005187071336 +:040CC9004703972E18 +:040CCA000693000786 +:040CCB0047C202809A +:040CCC0002D7073311 +:040CCD000017D693A3 +:040CCE0044B397365E +:040CCF00469D0297A5 +:040CD0000FF4F49396 +:040CD1000FF4F71312 +:040CD2000096F36332 +:040CD300070E471DA4 +:040CD4008DD9059A17 +:040CD5000F85F593FF +:040CD600D0EF450D09 +:040CD7004792BA5F27 +:040CD80004A7C503A5 +:040CD900E23FD0EF37 +:040CDA0047054792F1 +:040CDB000497C503B2 +:040CDC0000A7786392 +:040CDD00C50347B252 +:040CDE001571000785 +:040CDF0000153513B4 +:040CE0000533476130 +:040CE100676102E560 +:040CE200B907071334 +:040CE300849364E9A9 +:040CE400953AA0C4D9 +:040CE500C6DFD0EFA7 +:040CE6000693479298 +:040CE700870302C0BD +:040CE80047E20117C7 +:040CE90002D70733F4 +:040CEA004503973EE9 +:040CEB00D0EF02073D +:040CEC000703B93F02 +:040CED008637011431 +:040CEE004785000234 +:040CEF000027269321 +:040CF0009B79421892 +:040CF100C2188F5541 +:040CF20001140503E1 +:040CF30022A7D06301 +:040CF40019634789B0 +:040CF500472220F57D +:040CF60002FAF7B750 +:040CF70008078793D0 +:040CF80020E7F2639C +:040CF900E0EF4515CE +:040CFA00409CD44FF7 +:040CFB00DFF7F79395 +:040CFC004098C09CC0 +:040CFD0017B7646958 +:040CFE00A02300022D +:040CFF0005130EE7E4 +:040D000007939A04B7 +:040D0100D5839A04F8 +:040D0200C60300E73D +:040D0300450301E7BC +:040D0400D78302256A +:040D0500041300C70C +:040D060043039A0405 +:040D070087B3021498 +:040D0800071302A724 +:040D090005132BC0E3 +:040D0A00D733064095 +:040D0B0095B302E5B5 +:040D0C0087B300C5E4 +:040D0D00769302A730 +:040D0E0085B30FF7A3 +:040D0F00C7B30265FF +:040D1000458102B760 +:040D1100032787939A +:040D120002A7C7B3BA +:040D1300F793450508 +:040D140061630FF711 +:040D150096B21AF583 +:040D160046B795B691 +:040D1700851300023E +:040D1800413C38061C +:040D1900898D7679D1 +:040D1A007FF6061347 +:040D1B0005AE8FF1A1 +:040D1C00C12C8DDD7C +:040D1D0075E9413CF7 +:040D1E0015FD8B0D27 +:040D1F0007368FED17 +:040D2000C1388F5DEA +:040D2100C70347922B +:040D2200CF1901479D +:040D23003C06A78360 +:040D24008705070533 +:040D250097BA83AD49 +:040D26003C06A703DD +:040D270007AE8B8DFB +:040D28008FD18E7960 +:040D29003CF6A023D1 +:040D2A00C703479222 +:040D2B00C7150137B0 +:040D2C000705460968 +:040D2D0002C747337F +:040D2E00000246B7C2 +:040D2F003C06A78354 +:040D300006137679B7 +:040D310083AD7FF619 +:040D3200A7038F99EB +:040D33008B8D3C0662 +:040D34008F7107AE06 +:040D3500A0238FD98F +:040D3600D0EF3CF6C8 +:040D37004792C20F0E +:040D380044814709A2 +:040D390005A7C683C1 +:040D3A000137C403B6 +:040D3B0000E68763E4 +:040D3C000147C48324 +:040D3D00B4938C815E +:040D3E0045810014D7 +:040D3F00D0EF453D6F +:040D40000513867F92 +:040D4100D0EF05905A +:040D42007593815FC5 +:040D4300E88102F54C +:040D4400006417939D +:040D4500F5938DDDB8 +:040D4600E5930FF52D +:040D4700051301058A +:040D4800D0EF059053 +:040D49004792843F0A +:040D4A000511073751 +:040D4B00F40707138F +:040D4C0047854F94F4 +:040D4D0000D76C63FC +:040D4E000478773777 +:040D4F008BF7071304 +:040D500075634781FF +:040D5100479200D7EE +:040D52000157C783FB +:040D5300458347127B +:040D5400470305A7A5 +:040D5500E71105C7D6 +:040D560047034712F6 +:040D570000630157DD +:040D580047120AF73D +:040D59000AA3852E36 +:040D5A00446A00F7F0 +:040D5B0044DA40FA3C +:040D5C00E06F610DD6 +:040D5D00C61984CF60 +:040D5E00468547A2DD +:040D5F00D6B38E91E8 +:040D6000B93102D7CC +:040D6100C63A67E93E +:040D62009A07871352 +:040D630001675503CC +:040D6400012756838A +:040D65009A078793CF +:040D660001E7C603D8 +:040D670002A686B3A7 +:040D68000107550327 +:040D690002A6B5B376 +:040D6A0002A6853325 +:040D6B0087EFD0EF4F +:040D6C00810D05F6FA +:040D6D008D4D6689B9 +:040D6E0086A686933C +:040D6F00650D96AACE +:040D70000D45051315 +:040D710002A6D6B34D +:040D72003E800613A6 +:040D730086B34732CA +:040D7400B6F102C60C +:040D750005C2059915 +:040D7600450985C1E5 +:040D7700B19D4641A3 +:040D7800B1414581BF +:040D79007513157D5C +:040D7A00BBF50FF5C1 +:040D7B00E793409C1E +:040D7C00BBFD200794 +:040D7D0083850585E0 +:040D7E000FF5F593E5 +:040D7F004789BD994A +:040D8000D2F58A63BB +:040D8100879367E904 +:040D8200C5039A0704 +:040D8300C19100A773 +:040D840067E5458951 +:040D85008793446AA2 +:040D860040FA0D47DB +:040D8700C68344DA01 +:040D8800C603014756 +:040D8900610D05B73C +:040D8A00E6DFD06F61 +:040D8B00A70367E96A +:040D8C0067E59FC7B1 +:040D8D0016878793AB +:040D8E00464546ADE3 +:040D8F000167D583A0 +:040D900004E59F6374 +:040D91000FF6F693D0 +:040D92000A63E119F6 +:040D930011212807FB +:040D940064E9C62622 +:040D95009F64C5038F +:040D96006465C822A6 +:040D9700F1040793C9 +:040D980000351613F9 +:040D9900CA0697B23D +:040D9A00C783438C3C +:040D9B004751004775 +:040D9C000045A30368 +:040D9D0002E78733AF +:040D9E009F64849337 +:040D9F00F104041344 +:040DA0004339971A22 +:040DA10002D36363B3 +:040DA2006363433113 +:040DA30047AD04D381 +:040DA4000CF6806366 +:040DA50008668663F3 +:040DA6004701478139 +:040DA7000685A0F12C +:040DA8009DE3078937 +:040DA9004681F8C6C1 +:040DAA004783B7457F +:040DAB00460D0047AA +:040DAC0016C79B6368 +:040DAD0045BD4750A9 +:040DAE00478342181D +:040DAF009C6300073A +:040DB000C7811EB623 +:040DB100F79317FDA0 +:040DB20000230FF714 +:040DB300B7E900F7A5 +:040DB40000474503AC +:040DB5001575470564 +:040DB6000FF57513AD +:040DB70000A76E63C0 +:040DB80000024537B9 +:040DB9003C8523034F +:040DBA0000F71733F4 +:040DBB00FFF74713E4 +:040DBC000067773322 +:040DBD003CE52423CA +:040DBE009C634735B6 +:040DBF00E39900E6CE +:040DC0000005C783E0 +:040DC100F79317FD90 +:040DC20096220FF76F +:040DC30000F6022311 +:040DC400C703B76149 +:040DC5000785000599 +:040DC600E7B39622D7 +:040DC700B7FD02E78B +:040DC800157DC519B7 +:040DC90000A48023DF +:040DCA00CFCFE0EFB8 +:040DCB0067E9B7B568 +:040DCC009E078AA351 +:040DCD0000024737A2 +:040DCE003C07278334 +:040DCF009BED450152 +:040DD0003CF72023A9 +:040DD10040D2444286 +:040DD200016144B2C5 +:040DD300F85FE06F76 +:040DD400004747830A +:040DD5008163468D63 +:040DD60046910AD761 +:040DD700F2D79EE3CE +:040DD8009782471C9B +:040DD900470587AA99 +:040DDA000004C683C8 +:040DDB00656545D134 +:040DDC0096A2068E47 +:040DDD00C6834290F7 +:040DDE0005130046B3 +:040DDF004250140565 +:040DE00002B686B31E +:040DE100C23AC43E10 +:040DE200428C96B2F7 +:040DE300C03646458B +:040DE40026C030EF06 +:040DE50047A24712C8 +:040DE60085BA468202 +:040DE7008536863E89 +:040DE800C08FE0EFE9 +:040DE9000004C783B8 +:040DEA0044B765E5C0 +:040DEB00078E00026D +:040DEC004503943EE9 +:040DED004641004437 +:040DEE00154585938F +:040DEF00054105169F +:040DF00030EF952625 +:040DF100460323A0F2 +:040DF20047850044ED +:040DF30000C797B3EB +:040DF4003CF4A62302 +:040DF500EB094712AD +:040DF60046114682DA +:040DF7000046C703E8 +:040DF8000EC71563AA +:040DF900C76D46D8A4 +:040DFA000002473775 +:040DFB003C87268388 +:040DFC0024238FD548 +:040DFD00A8E93CF72E +:040DFE00C7814B1C42 +:040DFF009782C03ADD +:040E0000C78347025B +:040E0100471400048E +:040E020097130785B6 +:040E0300063300377B +:040E0400420C00E4B8 +:040E050000D584632D +:040E060000060223BD +:040E0700C314972257 +:040E080000F480234F +:040E09006963B71151 +:040E0A00460902F69D +:040E0B0004C788632D +:040E0C00433D470C0F +:040E0D0000C74503D2 +:040E0E0000D74603C0 +:040E0F000005C78390 +:040E100000E74703AD +:040E11000266916381 +:040E120000F67C6307 +:040E1300F71317FDBD +:040E140080230FF731 +:040E1500B58900E5B6 +:040E16008DE3461111 +:040E1700BD2DE4C742 +:040E18008732F96DB7 +:040E1900F863B7FDC6 +:040E1A00078500E761 +:040E1B000FF7F613C4 +:040E1C0000C580236A +:040E1D00FD6DB5159D +:040E1E00BFDD863A74 +:040E1F00453D470CFA +:040E200000C75603AE +:040E21000005D7836E +:040E220000E757038B +:040E230000A69E6324 +:040E240000F67763FA +:040E2500971317FD0B +:040E260083410107FC +:040E2700C211A0193B +:040E2800902387325A +:040E2900BBCD00E558 +:040E2A0000E7F46386 +:040E2B00B7E507859B +:040E2C004701FA6D13 +:040E2D004683B7FD44 +:040E2E00F563004622 +:040E2F00078500D75C +:040E30000FF7F6932F +:040E310000D70023C3 +:040E3200460DBBC1ED +:040E3300F0C70CE315 +:040E340040D2444222 +:040E3500450144B27D +:040E3600E06F016107 +:040E37008082D4BF22 +:040E380085AA862ED3 +:040E39000002153767 +:040E3A000205051395 +:040E3B00C31FC06FA2 +:040E3C0017931111E6 +:040E3D00CA2201853F +:040E3E00CC06C826F0 +:040E3F00842A87E199 +:040E4000DD6384AE3C +:040E410045810007E0 +:040E4200077005131D +:040E430001A337D5FB +:040E4400478500A13D +:040E45000AA7E363B2 +:040E460007F4741326 +:040E4700FC7FC0EF7D +:040E4800450145918A +:040E490067693F7521 +:040E4A00A0C7071323 +:040E4B00F793431CBA +:040E4C00C31CF7F7D5 +:040E4D000002173751 +:040E4E000EF7202358 +:040E4F000184D793B0 +:040E500000F102A308 +:040E51000104D7932E +:040E520000F1032385 +:040E53000084D793AD +:040E540000F103A303 +:040E550000810223F3 +:040E560000910423E0 +:040E57000400071379 +:040E580009500793A3 +:040E590000E4096345 +:040E5A0004800713F6 +:040E5B001463478550 +:040E5C00079300E414 +:040E5D0015370870CD +:040E5E00461900022F +:040E5F000513004C2B +:040E600004A30205E0 +:040E6100C0EF00F1ED +:040E62004515BC3F37 +:040E6300D9CFE0EF14 +:040E64004585842A12 +:040E65000031051340 +:040E6600F49FF0EF16 +:040E670000310783CC +:040E68000007D76345 +:040E6900DAEFE0EFED +:040E6A0004E34785D1 +:040E6B00E401FEF5AB +:040E6C00A22367E96D +:040E6D004503A00792 +:040E6E0040E200312D +:040E6F0044C24452E3 +:040E7000808201710A +:040E7100879367E517 +:040E720047981C87FA +:040E7300C622113151 +:040E7400C426C806C2 +:040E75006B63440D5A +:040E7600C7830CB76B +:040E770084AA004702 +:040E7800E3918BA1D6 +:040E7900051305A6B2 +:040E7A00F0EF058010 +:040E7B00842AF07F56 +:040E7C001537ED4DEC +:040E7D0057F900021F +:040E7E000205051351 +:040E7F00059346058C +:040E800003A3007157 +:040E8100C0EF00F1CD +:040E82001737B43F2B +:040E830047810002A1 +:040E84000207051349 +:040E850000F486B33C +:040E86000006C68319 +:040E87000593460584 +:040E8800C03E0071F7 +:040E890000D103A3EE +:040E8A00B21FC0EFE4 +:040E8B00173747824C +:040E8C0006930002C7 +:040E8D0007852000B5 +:040E8E00020705133F +:040E8F00FCD79CE30D +:040E9000460554FDC2 +:040E91000071059354 +:040E9200009103A325 +:040E9300AFDFC0EF1E +:040E9400000217370A +:040E95000207051338 +:040E96000593460575 +:040E970003A3007140 +:040E9800C0EF009116 +:040E99004585AE7F5E +:040E9A0000710513CB +:040E9B00E75FF0EF2E +:040E9C000071478317 +:040E9D008BFD47156D +:040E9E0004E7906372 +:040E9F000FA0051388 +:040EA000CA8FE0EF26 +:040EA100051345856B +:040EA200F0EF0071FC +:040EA3004483E57F20 +:040EA400E491007164 +:040EA500CBEFE0EFC0 +:040EA60005E3478594 +:040EA70067E9FEF504 +:040EA800A007A223DA +:040EA9004411E4818B +:040EAA004409A01146 +:040EAB00852240C29A +:040EAC0044A24432E6 +:040EAD0080820151ED +:040EAE00BFCD44155B +:040EAF00879367E5D9 +:040EB00047981C87BC +:040EB100C622113113 +:040EB200C426C80684 +:040EB300440DC02A00 +:040EB40000B76F63B1 +:040EB5000047C783A8 +:040EB6008BA184B2D6 +:040EB70005A6E39118 +:040EB8000510051309 +:040EB900E0DFF0EF97 +:040EBA00C901842ABC +:040EBB0040C24409E4 +:040EBC004432852215 +:040EBD00015144A2F9 +:040EBE000513808216 +:040EBF00E0EF06401A +:040EC0004585C2AFF3 +:040EC10000710513A4 +:040EC200DD9FF0EFD1 +:040EC3000071470370 +:040EC4000FF0079391 +:040EC50000F71763B8 +:040EC600C3AFE0EFE7 +:040EC70002E3478576 +:040EC8004703FEF5E9 +:040EC90067E9007164 +:040ECA00A007A223B8 +:040ECB000FE007939A +:040ECC00FAF71EE330 +:040ECD0085A64502AF +:040ECE00DA9FF0EFC8 +:040ECF002020059347 +:040ED00005C28D8545 +:040ED100450181C195 +:040ED200D99FF0EFC5 +:040ED3007139B74D6D +:040ED400C42A65DDEA +:040ED5008593462992 +:040ED6000848C945BA +:040ED700DC22DE0635 +:040ED800C0EFDA2667 +:040ED900478DA65F3C +:040EDA0027B7C03E38 +:040EDB0014370006C2 +:040EDC0004B7000255 +:040EDD008613019CDB +:040EDE008593A80749 +:040EDF000513CC0427 +:040EE000C0EF020459 +:040EE100C0EF919F2E +:040EE20027B7D5DF7A +:040EE300861300066C +:040EE4008593A80743 +:040EE5000513CC0421 +:040EE600C0EF020453 +:040EE7000513901F40 +:040EE8004629020491 +:040EE900C0EF084C02 +:040EEA0064E59A3FE2 +:040EEB000513458125 +:040EEC00A423040037 +:040EED00F0EF1C0402 +:040EEE004785D3BFA2 +:040EEF008493842A3A +:040EF00017631C84E4 +:040EF10005931CF554 +:040EF20005131AA02A +:040EF300F0EF048098 +:040EF400C22AD23FFD +:040EF50014851D63E0 +:040EF6000808459112 +:040EF700D05FF0EFE9 +:040EF800012147038A +:040EF90015634792A4 +:040EFA0047031AF799 +:040EFB000793013127 +:040EFC001F630AA0C6 +:040EFD00051318F7CA +:040EFE00E0EF3E8063 +:040EFF00E0EFB2EF7F +:040F00004785B54F1D +:040F010000F519637B +:040F0200400005B7EF +:040F03000E90051334 +:040F0400CE1FF0EF1D +:040F0500E0EFF56DB7 +:040F06004785B3CF99 +:040F07000EF505637B +:040F080067E9440150 +:040F0900A007A22378 +:040F0A0017FD478206 +:040F0B000FF7F79352 +:040F0C008163C03EFF +:040F0D00D8151607D6 +:040F0E00458147854D +:040F0F000490051332 +:040F10008223C09CDC +:040F1100F0EF008479 +:040F12004781CABF8A +:040F13004515E5514A +:040F1400AD8FE0EFCE +:040F15004585842A60 +:040F160000F10513CE +:040F1700C85FF0EFD0 +:040F180000F147039A +:040F19000FF007933B +:040F1A0000F7176362 +:040F1B00AE6FE0EFE6 +:040F1C0002E3478520 +:040F1D00E401FEF5F8 +:040F1E00A22367E9BA +:040F1F004683A0075E +:040F2000071300F1C2 +:040F210047810FE015 +:040F220004E69863E6 +:040F2300100845C9A4 +:040F2400C51FF0EF06 +:040F25000044C703BA +:040F26000027769397 +:040F270010068663C7 +:040F28000261470318 +:040F29000271478387 +:040F2A0002A14603D7 +:040F2B0007228B0D01 +:040F2C0047838F5D0B +:040F2D00070A02812C +:040F2E0002514683A3 +:040F2F008FD983993A +:040F300002914703E0 +:040F31008ABD821DD6 +:040F32008B1907060A +:040F330096BA8F518A +:040F340016E5078532 +:040F350000D797B397 +:040F36000029363721 +:040F3700019C05B75D +:040F38000002153767 +:040F3900061317FD87 +:040F3A0085932E0667 +:040F3B000513CC05C9 +:040F3C00C49C02054A +:040F3D00FA6FC0EF98 +:040F3E00061345222F +:040F3F0045812000C8 +:040F4000DBDFF0EF14 +:040F41004581A859E5 +:040F420007A00513EC +:040F4300BE5FF0EFAE +:040F4400F00518E3B9 +:040F450008084591C2 +:040F4600BC9FF0EF6D +:040F470001014783DA +:040F4800F7934431A6 +:040F49009EE3040718 +:040F4A004411EE0759 +:040F4B004581BDDD42 +:040F4C000E900513EB +:040F4D00BBDFF0EF27 +:040F4E0004100793F1 +:040F4F0000A4656332 +:040F500007934409B6 +:040F510005130E90E6 +:040F5200C23E0FA0EC +:040F53009DCFE0EF5F +:040F5400A02FE0EFFB +:040F55001763478552 +:040F5600451200F54B +:040F5700F0EF4581F1 +:040F5800F57DB93F2B +:040F59009EEFE0EF38 +:040F5A004401E1115C +:040F5B00458167E97C +:040F5C0007B00513C2 +:040F5D00A007A22324 +:040F5E00B79FF0EF5A +:040F5F004401C11177 +:040F600020000593D5 +:040F6100050005136F +:040F6200B69FF0EF57 +:040F6300E8050EE3AC +:040F6400BD5944012E +:040F650011E345054A +:040F660050F2EA0457 +:040F670054D25462AA +:040F680040A005336D +:040F69008082612100 +:040F6A0047818B111F +:040F6B00F20701E3A5 +:040F6C000281478334 +:040F6D0002914703A3 +:040F6E008FD907A26E +:040F6F00BF01474532 +:040F7000DD4101134B +:040F710020100793B2 +:040F72001008CE3E57 +:040F7300301F17B75D +:040F74002291202383 +:040F750022112423FE +:040F7600228122238F +:040F7700F0EFCC3E8D +:040F780084AAD71F51 +:040F7900AFFFC0EF17 +:040F7A0004B3C4B147 +:040F7B00C0EF4090F3 +:040F7C004705AF5F17 +:040F7D0036E48C6367 +:040F7E0036974563FA +:040F7F008B63572900 +:040F80008D6336E463 +:040F810065DD3604F0 +:040F8200CAC58593C4 +:040F8300464567E593 +:040F84001547851375 +:040F85003E9020EF8B +:040F8600C4814505D8 +:040F870005136539B0 +:040F88002083EAD503 +:040F8900240322819A +:040F8A002483224159 +:040F8B00011322012B +:040F8C00808222C17C +:040F8D000513656182 +:040F8E00C0EF6A0541 +:040F8F004481AC4F9E +:040F9000141867DDED +:040F9100009706B30C +:040F9200DF86C583AE +:040F9300AB8786138F +:040F9400460995B2C3 +:040F950006C48063AB +:040F96008263460D1F +:040F9700443706C411 +:040F98000413000F2F +:040F990067E52404E0 +:040F9A00154785135F +:040F9B00C483464580 +:040F9C0020EFDFC69D +:040F9D00450938B01A +:040F9E00FACFE0EFB7 +:040F9F008522084C53 +:040FA0009C3FD0EFB3 +:040FA100DD5567DDD6 +:040FA20006936465E9 +:040FA3004752168417 +:040FA4000006D6036A +:040FA5001684041397 +:040FA60002E60E63EE +:040FA7000026D60347 +:040FA80002C7146305 +:040FA900A3FFC0EFF3 +:040FAA000690049316 +:040FAB00859365DDE8 +:040FAC00BFA9CA050A +:040FAD00001E843767 +:040FAE0048040413DC +:040FAF009437B76D4F +:040FB0000413000422 +:040FB100B7453E04FE +:040FB2000186D6835B +:040FB300FCD70CE378 +:040FB400BF85448D24 +:040FB500051365615A +:040FB600C0EF6A0519 +:040FB70065DDA24F03 +:040FB80046456565E0 +:040FB900CE45859309 +:040FBA001405051302 +:040FBB00311020EFE2 +:040FBC0065DD67E5A3 +:040FBD00CF05859344 +:040FBE00851346450C +:040FBF0020EF1547C3 +:040FC00045092FF0C0 +:040FC100F20FE0EF5C +:040FC200004C553753 +:040FC3000513084CBE +:040FC400D0EFB405B1 +:040FC500D559931F48 +:040FC600570347D2B4 +:040FC70000630004BF +:040FC80057030CF7C8 +:040FC9009FE300247E +:040FCA00C002F6E784 +:040FCB0065DD67E594 +:040FCC00154785132D +:040FCD00859346457D +:040FCE0020EFD0053B +:040FCF0045092C3074 +:040FD000EE4FE0EF11 +:040FD100002457039E +:040FD2001E6347D281 +:040FD300061308F702 +:040FD4004581200033 +:040FD500C0EF100851 +:040FD6004582EACF97 +:040FD700F0EF10081F +:040FD80084AAA67FC2 +:040FD900E80515E32F +:040FDA00043747820F +:040FDB000785001076 +:040FDC006785C03E27 +:040FDD000793C23E76 +:040FDE0086A22000C7 +:040FDF000087F46330 +:040FE0002000069354 +:040FE1001010479213 +:040FE2009593C436E9 +:040FE30067E9008733 +:040FE4009F07A503BB +:040FE500C0EFC0EFAA +:040FE600101846A2F7 +:040FE700063384AA9F +:040FE800166300D7B5 +:040FE90094E318E68F +:040FEA000713E40401 +:040FEB006D631FF023 +:040FEC00101C00874E +:040FED0020000613C7 +:040FEE0085338E15A4 +:040FEF00458100D761 +:040FF000C0EFC43654 +:040FF10046A2E40F21 +:040FF200100845821C +:040FF300F0EFC43621 +:040FF40046A29F7FF3 +:040FF50016050B636F +:040FF60040A004B360 +:040FF7000793BD0996 +:040FF800C03E1400E3 +:040FF9000613B7A183 +:040FFA00458120000D +:040FFB00C0EF10082B +:040FFC004401E14F7C +:040FFD00100885A2B1 +:040FFE009CDFF0EF95 +:040FFF0018E384AAC5 +:041000000405DE0500 +:04100100140007933D +:04100200FEF416E3FF +:041003000793645D8E +:041004008593AB84A1 +:04100500466D040729 +:04100600C0EF10081F +:041007000793DACFA2 +:041008008593AB849D +:04100900466905C768 +:04100A00C0EF00C86B +:04100B0077EDD9CFD5 +:04100C00A5578793CA +:04100D001008458101 +:04100E0020F11F238B +:04100F00989FF0EFC7 +:0410100016E384AAB5 +:041011004401DA05B7 +:0410120006134681FA +:0410130045812000F3 +:04101400C2361008C8 +:04101500DAEFC0EF5F +:0410160006134692E5 +:04101700059320100D +:041018008E15100021 +:041019000036879383 +:04101A0007C20642C1 +:04101B00B513824146 +:04101C0083C1001676 +:04101D00873205060B +:04101E0000C5F463B2 +:04101F0010000713A3 +:041020008F09973E5F +:04102100010715931B +:04102200E68181C121 +:04102300D03E57E183 +:0410240066C1478DCD +:0410250003138D1D07 +:0410260016FD203063 +:0410270000A7873364 +:0410280083410742B7 +:0410290006B7E863BB +:04102A00FFD58693D5 +:04102B0082C106C2B6 +:04102C00080405931C +:04102D00C2361008AF +:04102E0090DFF0EF70 +:04102F0018E384AA94 +:041030000593D2054D +:0410310010080A0495 +:041032008FDFF0EF6D +:0410330010E384AA98 +:041034004692D20509 +:041035000442040568 +:041036001FF007930D +:04103700F6E380411B +:041038000613F6D7CE +:0410390045812000CD +:04103A00C0EF1008EB +:04103B0067DDD18F0D +:04103C00AB87879364 +:04103D000787859309 +:04103E000200061393 +:04103F00C0EF1008E6 +:041040000593CC8FB9 +:0410410010080C0087 +:041042008BDFF0EF61 +:0410430000E384AA98 +:04104400B9F1E40515 +:04104500070610107A +:04104600863E973219 +:041047000067936348 +:04104800078586365C +:04104900102307C2A7 +:04104A0083C100C797 +:04104B004503BF8515 +:04104C00C83200079F +:04104D00C43AC636A5 +:04104E00DE0FC0EF02 +:04104F0046424722AC +:04105000002346B281 +:04105100070500A7E8 +:041052004782BDA96B +:041053000086D71329 +:0410540007858C156B +:041055004792C03EC0 +:04105600C23E97BA45 +:04105700E0041DE3B1 +:041058000713B17950 +:0410590083E3069097 +:04105A00B971D4E4B0 +:04105B00859365DD37 +:04105C00B969CCC5DD +:04105D00859365DD35 +:04105E00B949CBC5FC +:04105F00859365DD33 +:04106000B169CDC5E0 +:04106100FDC10113B9 +:04106200D006CC26C2 +:04106300C42ACE22AB +:04106400C03284AE64 +:041065004782C236C6 +:041066004501E399C4 +:041067004402A0158A +:0410680020000793CA +:041069000087F463A5 +:04106A00200004134B +:04106B00451245A243 +:04106C000104161352 +:04106D00F0EF8241DD +:04106E00C909907F9D +:04106F0040A0053365 +:0410700044725082F4 +:04107100011344E241 +:041072008082024135 +:041073000FF4F793EC +:04107400008496134B +:041075004792CB9D36 +:04107600008786B3B6 +:0410770004D79163A6 +:04107800469267E94C +:041079009F07A50325 +:04107A000084D59386 +:04107B0005C2872201 +:04107C00830FC0EF2F +:04107D0047A2F57120 +:04107E00C43E0785E0 +:04107F0000845793FF +:04108000478294BE51 +:04108100C03E8F815D +:0410820067E9B779EA +:041083009F07A5031B +:04108400C63285B239 +:04108500FB5FB0EF6E +:04108600DD554632BC +:04108700C503B75591 +:04108800CA3600075D +:04108900C63EC83265 +:04108A00CF0FC0EFD5 +:04108B0046D247B250 +:04108C008023464235 +:04108D00078500A72C +:04108E000113B7553E +:04108F0000C8DB01B9 +:0410900024112623DE +:04109100248124236F +:041092002491222360 +:04109300903FF0EFAB +:04109400C0EF842AFB +:0410950007B3E90FA5 +:041096001A63408019 +:0410970046111C04DE +:04109800104800CC30 +:04109900798020EF4B +:04109A00461165DDB9 +:04109B00D7C585939D +:04109C0020EF1048E9 +:04109D00C91D75C034 +:04109E000793440D63 +:04109F00C23E064007 +:0410A000E62FC0EF88 +:0410A1000713479258 +:0410A2004D63068014 +:0410A300071300F738 +:0410A400426306306D +:0410A500071330F706 +:0410A6008963F34027 +:0410A700470536E7DC +:0410A80036E78A633A +:0410A900859365DDE9 +:0410AA00A629CAC5E4 +:0410AB0004815783E2 +:0410AC0004A1059303 +:0410AD000513461DC4 +:0410AE00142302A164 +:0410AF0020EF02F13B +:0410B000578373E00F +:0410B10008A305216A +:0410B2001023020104 +:0410B300578302F16C +:0410B40011230541BE +:0410B500550202F1ED +:0410B600C7CFC0EFF1 +:0410B70005615783F5 +:0410B800C22ADA2A44 +:0410B90002F110230D +:0410BA0005815783D2 +:0410BB0002F111230A +:0410BC00C0EF55022A +:0410BD005783C62F60 +:0410BE00DC2A05A182 +:0410BF0002F1102307 +:0410C00005C157838C +:0410C10002F1112304 +:0410C200C0EF550224 +:0410C300DE2AC4AFAE +:0410C40024012503DB +:0410C500C40FC0EFA5 +:0410C600C0AA4592E5 +:0410C7001E2007934D +:0410C800FE65871327 +:0410C9000CE7E763E6 +:0410CA0000C846050F +:0410CB00C4CFC0EFDF +:0410CC0006634786EA +:0410CD00440D00F5D9 +:0410CE00066007931E +:0410CF006465B78914 +:0410D0000613665D40 +:0410D10045C5D846F3 +:0410D20014040513EA +:0410D3004AD010EF00 +:0410D40067E556E294 +:0410D5008513665DBC +:0410D60006131547A1 +:0410D70045C5D946EC +:0410D800499010EF3C +:0410D900E0EF4505FA +:0410DA0057E2ABEF3F +:0410DB004501470183 +:0410DC0057F2C23EC7 +:0410DD004792C43E34 +:0410DE0008F761634B +:0410DF00946347A22D +:0410E000478320A77B +:0410E100468302A19F +:0410E200470302813D +:0410E300CBD50291D6 +:0410E400879367E1A6 +:0410E5000613B247F5 +:0410E600C03202A171 +:0410E7000613665D29 +:0410E80045C5DA061A +:0410E90014040513D3 +:0410EA00451010EFAE +:0410EB0065DD67E573 +:0410EC00859346455D +:0410ED008513DF8503 +:0410EE00C0EF1547F3 +:0410EF004505A0CF44 +:0410F000E0EF64E5E4 +:0410F1008493A62F0F +:0410F20016B7168493 +:0410F300A7830002CD +:0410F400D7030D060B +:0410F50007C200042A +:0410F600086383C147 +:0410F700D70306F71E +:0410F8000663002467 +:0410F90065091AF774 +:0410FA007105051364 +:0410FB00D13FB0EF42 +:0410FC00440DBFE9F7 +:0410FD0006500793FF +:0410FE004792B55907 +:0410FF0040E786B38D +:041100002000079331 +:0411010000D7F463BC +:041102002000069330 +:04110300200704932A +:041104000106961337 +:04110500D5938241BB +:0411060000C8009489 +:04110700C636C83AE6 +:04110800E9CFF0EF4C +:04110900474246B261 +:04110A0007B3C50959 +:04110B00440D40A0AF +:04110C003613B5B928 +:04110D0085B600178C +:04110E00C0EF00C866 +:04110F008726B3EF8D +:0411100067DDBF1DBB +:04111100BC478793BD +:04111200D0EFB7B9AA +:041113006769C8AF91 +:04111400A0C7071356 +:0411150016B7431CAA +:041116006509000265 +:041117000027E79333 +:04111800A023C31C31 +:0411190005130EF6B6 +:04111A00B0EF7105BC +:04111B0065DDC95F66 +:04111C00140405139F +:04111D00859346452B +:04111E0020EFDAC51F +:04111F00440D582003 +:0411200064DD67E53E +:0411210015478513D6 +:041122008593464526 +:0411230020EFDB845A +:04112400450556E047 +:04112500990FE0EF4F +:0411260000D4566239 +:0411270045054581B4 +:04112800CE5FF0EFB7 +:041129001CE387AA92 +:04112A0067E5DC0594 +:04112B00464565DDF3 +:04112C00DC85859346 +:04112D00140785130B +:04112E00544020EF1A +:04112F00859367E558 +:041130008513DB84C4 +:0411310046451547D3 +:04113200534020EF17 +:04113300E0EF45059F +:0411340057E2956F7A +:0411350045014481AB +:0411360057F2C23E6C +:0411370067E5C43E66 +:041138004792C63ED6 +:0411390002F4EF636A +:04113A0007934722AE +:04113B0018E3F34082 +:04113C00C0EFD8A781 +:04113D0047B2BF0FE7 +:04113E00464565DDE0 +:04113F00E0C58593EF +:0411400014078513F8 +:041141008C2FC0EF40 +:0411420065DD67E51B +:041143008593464505 +:041144008513DD85AD +:0411450020EF15473B +:0411460045054E60AD +:04114700908FE0EFB6 +:041148004792A00129 +:04114900409786B392 +:04114A0010000793F7 +:04114B0000D7F46372 +:04114C0010000693F6 +:04114D00A50367E9A6 +:04114E0000D09F0727 +:04114F00C83685A673 +:04115000E63FB0EFD7 +:0411510000D046C2C2 +:0411520005B387AAB0 +:04115300450300D67A +:04115400CE3600068D +:04115500CA3ECC2E94 +:04115600C0EFC832EC +:0411570046429BEF82 +:0411580047D245E253 +:0411590000A60023C9 +:04115A0046F206054E +:04115B00FEC591E359 +:04115C00D00797E33E +:04115D000014B613B1 +:04115E0000C885B68A +:04115F009FCFC0EF6F +:041160001004849360 +:04116100440DBFB9C1 +:041162000670079379 +:04116300440DB9CDB1 +:041164000680079367 +:041165008713B1ED4E +:04116600468DF9B702 +:0411670008E6E06353 +:04116800070A66DD2F +:04116900E206869381 +:04116A004318973659 +:04116B0065DD8702B5 +:04116C00D6C58593CC +:04116D0067E5C23E32 +:04116E001547851389 +:04116F0020EF4645E2 +:04117000450543E00E +:04117100860FE0EF16 +:04117200000F4537EE +:041173002405051337 +:04117400B2FFB0EF27 +:04117500D763479263 +:0411760055630407B2 +:04117700665D04802D +:041178000613656590 +:0411790045C5DE8604 +:04117A001405051340 +:04117B0020D010EF81 +:04117C00B579147DB0 +:04117D00859365DD14 +:04117E00BF6DD2452A +:04117F00859365DD12 +:04118000BF4DD34547 +:04118100859365DD10 +:04118200B76DD485EC +:04118300859365DD0E +:04118400B74DD1058D +:04118500859365DD0C +:04118600BF69CCC5AC +:04118700859365DD0A +:04118800BF49D5C5C1 +:04118900E00FD0EFB4 +:04118A0024C12083D9 +:04118B002481240394 +:04118C002441248353 +:04118D000113557D78 +:04118E008082250135 +:04118F00DDC10113AA +:0411900020230828E8 +:041191002E232211D6 +:041192002C23208169 +:04119300F0EF2091C8 +:04119400C02AD00F8E +:04119500A8EFC0EF10 +:04119600E9314502F4 +:0411970065DD646549 +:0411980085934645B0 +:041199000513E4C591 +:04119A00B0EF154459 +:04119B004509F5DF2E +:04119C00FB5FD0EF36 +:04119D006465C222A1 +:04119E00168404139C +:04119F00000217B77C +:0411A0000D07A7830D +:0411A10000045703EC +:0411A20083C107C23C +:0411A30004F70463E6 +:0411A40000245703C9 +:0411A50002F71A63D0 +:0411A60065DD47922A +:0411A70085934645A1 +:0411A8008513CA05DC +:0411A90020EF1547D7 +:0411AA000513356094 +:0411AB00C02A0680D0 +:0411AC00A32FC0EFBE +:0411AD002201208378 +:0411AE0021C1240334 +:0411AF00248345024E +:0411B0000113218185 +:0411B10080822241D5 +:0411B20005136509B3 +:0411B300B0EF710523 +:0411B400B76DA31F51 +:0411B50065DD47921B +:0411B6008513464512 +:0411B70085931547C0 +:0411B80020EFE3053C +:0411B900450931A013 +:0411BA00F3DFD0EFA0 +:0411BB00FFF0073703 +:0411BC00200707936E +:0411BD006485C00283 +:0411BE0047A2C43E42 +:0411BF000084941301 +:0411C000943E462DE6 +:0411C10085A284255A +:0411C200F0EF0068E2 +:0411C300C501BB2F78 +:0411C40040A005330F +:0411C50065DDBF69BC +:0411C600C3858593C5 +:0411C700B0EF00681D +:0411C800E10DEF5FE7 +:0411C9000161470376 +:0411CA0015634685DE +:0411CB00468306D77A +:0411CC0099630141E1 +:0411CD00468300E66F +:0411CE00470D015177 +:0411CF00796006132A +:0411D00006E6846348 +:0411D10010048493EF +:0411D20098E367092E +:0411D3000513FAE422 +:0411D400B0EF3E80BA +:0411D50045819ADFD7 +:0411D600D0EF453DD4 +:0411D70067E9AADF3B +:0411D800A107C703A1 +:0411D900879367E5AC +:0411DA0097BA134766 +:0411DB000007C50341 +:0411DC0067E94581F9 +:0411DD009EA78D2319 +:0411DE00A8FFD0EFA7 +:0411DF00468247926B +:0411E0000613665D2F +:0411E10045C5E3C657 +:0411E2001547851315 +:0411E30006D010EF33 +:0411E400BF314505CD +:0411E5004703FB457C +:0411E60015E30141CB +:0411E7004703FAD7E9 +:0411E800F34D015171 +:0411E9000580061364 +:0411EA0085A608349A +:0411EB00F0EF85227A +:0411EC001EE39D7FE2 +:0411ED004782EE0542 +:0411EE00C03E078573 +:0411EF000113B761D0 +:0411F000D106F5C16E +:0411F100CD26CF2216 +:0411F20000021437AC +:0411F3009A1FB0EFA0 +:0411F4000493C22A74 +:0411F50047C50804DE +:0411F6002023D0BC26 +:0411F70027B70E0404 +:0411F800A623000228 +:0411F900A823000720 +:0411FA00AA2300071D +:0411FB0067890007F9 +:0411FC0071078513DF +:0411FD0090BFB0EF00 +:0411FE000793636987 +:0411FF000693A0C3F0 +:04120000C3941D1066 +:041201004501D0B41F +:04120200922FD0EF68 +:041203000003153798 +:04120400D4050513F5 +:041205008EBFB0EFF9 +:041206000006263781 +:04120700019C05B78A +:04120800A80606131B +:04120900CC058593F8 +:04120A0004040513C0 +:04120B00C6FFB0EF7B +:04120C00871367E9F4 +:04120D004314A0C71F +:04120E00F6934551BD +:04120F00C314F9F615 +:04121000B0EFD0B4B7 +:0412110045D18BDF59 +:04121200038005133D +:0412130085CFD0EFC4 +:04121400051345D1A8 +:04121500D0EF039083 +:0412160045D1852F0A +:04121700D0EF45517E +:0412180045D184AF89 +:0412190007100513A2 +:04121A00840FD0EF7E +:04121B00051345D1A1 +:04121C00D0EF05E02A +:04121D0045D1836FC5 +:04121E0006D00513DE +:04121F0082CFD0EFBB +:04122000453145D13E +:04122100824FD0EF39 +:0412220032000593FE +:04122300D0EF4505BE +:0412240045D181AF80 +:04122500D0EF4519A8 +:041226000593812F7C +:041227004509320043 +:04122800808FD0EFF4 +:04122900879367E957 +:04122A004394A0C782 +:04122B0045814601B2 +:04122C000406E6933B +:04122D00D0B4C394E2 +:04122E00040405139C +:04122F00C43FB0EF19 +:04123000B0EF4529AD +:0412310045E183DF31 +:04123200C0EF4505BF +:0412330045E1DAAF08 +:04123400C0EF4509B9 +:0412350045E1DA2F86 +:04123600C0EF450DB3 +:04123700C0EFD9AF7C +:041238006369839FC4 +:04123900A0C3071334 +:04123A00C43A47E18A +:04123B005AF51863E5 +:04123C00C0EF4501B9 +:04123D000793DC2F08 +:04123E0008630FF042 +:04123F0087B75CF51C +:0412400087931A80F6 +:04124100D6BE080706 +:041242008413678921 +:041243004569A1A7B1 +:04124400092347A192 +:04124500182306F173 +:04124600C0EF06816E +:041247007593D9AF13 +:04124800E5930F55C6 +:04124900456900A54E +:04124A00DD6FC0EFA5 +:04124B0005134585BD +:04124C00C0EF03509C +:04124D0045A5DCCF08 +:04124E000220051362 +:04124F00DC2FC0EFE1 +:0412500005136561BC +:04125100C0EFB9052C +:041252004501EBAFB8 +:04125300FA8FC0EF5F +:0412540005134599A0 +:04125500C0EF03D013 +:041256000593DA8F93 +:0412570045450440C5 +:04125800D9EFC0EF1B +:041259004549458539 +:04125A00D96FC0EF99 +:04125B00454D458137 +:04125C00D8EFC0EF18 +:04125D00C0EF10E8E6 +:04125E004581E32FB4 +:04125F00C0EF455D3A +:04126000C0EFD80FF4 +:041261004509F53F07 +:04126200B92FC0EFF1 +:041263000FF57513FB +:041264001E6347CDF1 +:04126500646552F575 +:041266000C4404131D +:041267000024458397 +:04126800C0EF451579 +:041269004783BC2FCC +:04126A0005930024C4 +:04126B00451103D056 +:04126C009713839DB4 +:04126D00478300278C +:04126E009BED00C430 +:04126F0006238FD9EA +:04127000C0EF00F4D7 +:041271000513BA2F78 +:04127200B0EF3E801B +:0412730045F5F34FFB +:04127400C0EF451171 +:041275000593B92FF5 +:041276000513030059 +:04127700C0EF0610AE +:041278000593B86FB3 +:0412790045250B20DC +:04127A00B7CFC0EF3B +:04127B000F80059348 +:04127C00C0EF452951 +:04127D000593B72FEF +:04127E00452D037087 +:04127F00B68FC0EF77 +:04128000453D458122 +:04128100B60FC0EFF5 +:04128200051345818A +:04128300C0EF0C901C +:041284004581B56F7C +:041285000CA00513A1 +:04128600B4CFC0EF32 +:041287000513458185 +:04128800C0EF0CB0F7 +:041289004581B42FB8 +:04128A000CC005137C +:04128B00B38FC0EF6E +:04128C000513458180 +:04128D00C0EF0CD0D2 +:04128E004581B2EFF5 +:04128F000CE0051357 +:04129000B24FC0EFAA +:04129100051345817B +:04129200C0EF0CF0AD +:041293004581B1AF31 +:041294000D00051331 +:04129500B10FC0EFE6 +:041296000044458348 +:041297000E1005131D +:04129800B04FC0EFA4 +:04129900C0EF451548 +:04129A000793B86F8F +:04129B001263086072 +:04129C00059306F5BB +:04129D0005130750DE +:04129E00C0EF070096 +:04129F000593BBAF49 +:0412A0000513090029 +:0412A100C0EF020098 +:0412A2004581BAEFD9 +:0412A300021005131D +:0412A400BA4FC0EF8E +:0412A5000513458167 +:0412A600C0EF022073 +:0412A700458DB9AF09 +:0412A80002300513F8 +:0412A900B90FC0EFCA +:0412AA000513458162 +:0412AB00C0EF02800E +:0412AC000593B86F7F +:0412AD0005130B001A +:0412AE00C0EF071076 +:0412AF000593B7AF3D +:0412B000051307001B +:0412B100C0EF070083 +:0412B20067E9B6EF43 +:0412B3008BA34705BD +:0412B40067E59EE765 +:0412B5000607A783FE +:0412B6002823676919 +:0412B7008A639EF7B1 +:0412B800B0EF3E074E +:0412B90062E5B73FF4 +:0412BA000D42851349 +:0412BB00061365E1D0 +:0412BC0085930420F2 +:0412BD000575CE8560 +:0412BE00ACFFB0EFE2 +:0412BF0066E163651C +:0412C000CB06859341 +:0412C1001683051378 +:0412C20003600613AC +:0412C300ABBFB0EF1E +:0412C400071367E5C0 +:0412C50086230290EA +:0412C60047B7FEE741 +:0412C7008793000207 +:0412C80043B83807E8 +:0412C9000613767919 +:0412CA008F717FF6AB +:0412CB0043B8C3B8A9 +:0412CC00167D7669AC +:0412CD00C3B88F71A2 +:0412CE00762143B88A +:0412CF007713167DFE +:0412D0006713F1F7B8 +:0412D100C3B8060791 +:0412D200458143B857 +:0412D3007713453D0B +:0412D40067138FF716 +:0412D500C3B8300763 +:0412D60064E943B8CC +:0412D70067136469CC +:0412D800C3B8001780 +:0412D9009B1D43B85E +:0412DA00008767130F +:0412DB0043B8C3B899 +:0412DC0066218F7187 +:0412DD00C3B88F51B2 +:0412DE00E8EFD0EF76 +:0412DF0045036769F3 +:0412E00045819FA7FE +:0412E100E82FD0EF33 +:0412E2009604879354 +:0412E300A023656976 +:0412E400A22300073A +:0412E500A423000737 +:0412E600A623000734 +:0412E7009823000741 +:0412E80006130007E2 +:0412E900458102C079 +:0412EA00974505130C +:0412EB00A57FB0EF3C +:0412EC00859365E1A0 +:0412ED00061314C50B +:0412EE00051302C022 +:0412EF00B0EF9A04BE +:0412F0000793A09F21 +:0412F10046119A0404 +:0412F20002C780A30C +:0412F3008123460D00 +:0412F400B0EF02C78E +:0412F5004501D29F3E +:0412F6009E7FC0EF28 +:0412F700000217B723 +:0412F8000D07A60335 +:0412F90007B762E5EC +:0412FA008713000254 +:0412FB008FF10D4220 +:0412FC00C03A666529 +:0412FD000F160713AE +:0412FE006769DE3A04 +:0412FF009FA707138B +:041300008713CE3A47 +:04130100C0BA9604D4 +:0413020007136769FD +:04130300C63A9FC780 +:0413040007136769FB +:04130500D03A9E47F5 +:04130600636567694B +:04130700071366E181 +:04130800D81A9E87CA +:04130900C2A2CA367C +:04130A009263D23ADE +:04130B0066E114077C +:04130C00C446871339 +:04130D00C83A448115 +:04130E0065DD6465D0 +:04130F008593464537 +:041310000513E68556 +:04131100CC3E1404B6 +:041312005B5010EF2D +:04131300969347C2A4 +:0413140065650024E7 +:04131500428C96BEB2 +:041316000513464530 +:0413170010EF154579 +:04131800463759F00B +:041319002683000225 +:04131A0045053C0643 +:04131B000046E6930F +:04131C003CD6202378 +:04131D009B1FD0EF53 +:04131E00468147E2DB +:04131F00000216377B +:041320000D0625038E +:04132100177D67418C +:0413220000E575B3BA +:041323000337473213 +:04132400C30C0003F3 +:041325000D06260388 +:041326004613570211 +:041327007633FFF624 +:04132800C310006688 +:041329008763C98588 +:04132A0067E502F57C +:04132B001687879307 +:04132C000014961300 +:04132D00EAAD97B2DC +:04132E00902365DDC6 +:04132F00464500A788 +:04133000E7058593B5 +:041331001404051388 +:04133200535010EF15 +:04133300D0EF4505AD +:041334004685957FD6 +:04133500A303578235 +:0413360057920007C3 +:04133700E39D439C53 +:04133800116367C115 +:04133900E0BD02F31E +:04133A00061347D27D +:04133B00CC1A036065 +:04133C00CB078593C3 +:04133D0044ED57C262 +:04133E001687851376 +:04133F008CBFB0EFC0 +:041340004689436235 +:0413410047B2571246 +:041342002023460915 +:04134300D436006735 +:041344008063439CE3 +:04134500650904C66C +:041346007105051315 +:04134700B0EFCC3EF9 +:0413480047E2BE0FAB +:04134900BF9956A250 +:04134A000007D7833E +:04134B0083E3468969 +:04134C0065DDFAF56C +:04134D0085934645F9 +:04134E000513E78517 +:04134F0010EF140483 +:0413500045054BF014 +:041351008E1FD0EF2C +:04135200B7694681B0 +:04135300468914F9BA +:041354000485BF55F8 +:04135500D1E346E9B1 +:04135600453DEE968D +:04135700A0EFD0EF44 +:041358000002473711 +:041359003C072783A3 +:04135A0020239BEDC4 +:04135B0047923CF782 +:04135C00665D64E581 +:04135D0000A7D513FD +:04135E0012C007931F +:04135F0002F507B3D9 +:041360004685470D6A +:04136100E886061301 +:04136200851345C5E5 +:0413630064651A049F +:0413640010EFDC3E6C +:0413650065DD2660BC +:0413660085934645E0 +:041367000513FA056B +:04136800B0EF1B4483 +:041369004505825F55 +:04136A00929FD0EF8F +:04136B000007A5379B +:04136C00120505134E +:04136D00B4AFB0EF7A +:04136E00B6AFB0EF77 +:04136F00CC3E479990 +:04137000C802CA02E3 +:04137100C4A6D40238 +:0413720017B7C6A241 +:04137300A78300024A +:0413740046B20D0769 +:04137500177D674138 +:04137600C2988F7D0D +:04137700FFF7C69323 +:041378000003073730 +:0413790056828F7594 +:04137A000187D613FE +:04137B00C29887B2DB +:04137C00869366E905 +:04137D00CA19A006E3 +:04137E007613167953 +:04137F00458D0FF693 +:0413800000C5F6634B +:041381000006C60399 +:0413820000F61563F9 +:0413830020234632AB +:0413840080230006BC +:04138500579200F685 +:04138600EFD5439CC0 +:04138700C39857921E +:04138800041364697D +:0413890047839E24D4 +:04138A008663000472 +:04138B0047820E0780 +:04138C0001C7C7834B +:04138D000E07816363 +:04138E0067694782C2 +:04138F009F5747031A +:041390000127C783E7 +:04139100F7938FD966 +:04139200E7F10FF779 +:04139300AFAFB0EF59 +:04139400626357E257 +:0413950047520CF5BA +:041396000630079383 +:041397000AE7ED6311 +:041398000004468384 +:041399004705478538 +:04139A0000F687636F +:04139B00871347E28B +:04139C003733FFA73D +:04139D00478200E0A3 +:04139E00C78346A11A +:04139F0017FD01C76E +:0413A0000FF7F793B9 +:0413A10006F6E76302 +:0413A200869366DDEB +:0413A300078AFC06B3 +:0413A400439497B621 +:0413A500879367E9DA +:0413A6008682A10793 +:0413A700665D56F930 +:0413A800061365655E +:0413A90045C5E9C687 +:0413AA001A05051308 +:0413AB0014C010EF6B +:0413AC00656565DD31 +:0413AD008593464599 +:0413AE000513BC4522 +:0413AF0010EF1B45DB +:0413B000450533F0CC +:0413B10080DFD0EF1A +:0413B20056F5A0014B +:0413B30056F1BFC967 +:0413B40056FDB7F932 +:0413B5005792B7E9AB +:0413B6005782C398FF +:0413B7000007A02368 +:0413B8006769B78129 +:0413B9009E07470341 +:0413BA0000E03733E5 +:0413BB00802307097B +:0413BC0047D200E72D +:0413BD0007854762F7 +:0413BE000FF7F7939B +:0413BF004799CA3E42 +:0413C00000F70763C8 +:0413C1000017079377 +:0413C2000FF7F79397 +:0413C300B0EFCC3E7D +:0413C4004785A14F69 +:0413C50008BCC83E5A +:0413C600D6BE6465C6 +:0413C7001E44079326 +:0413C8000017871370 +:0413C9008713DCBAF0 +:0413CA00DEBA002760 +:0413CB0065DDC13AE1 +:0413CC00003787134C +:0413CD00DABED8BEEE +:0413CE000791C33A86 +:0413CF00462DC53AA8 +:0413D000859308B841 +:0413D10008E8FB45E8 +:0413D200C93EC73A0F +:0413D3000C23CB3AE2 +:0413D400B0EF040171 +:0413D5004782E74F15 +:0413D60047254685DC +:0413D70001C7C78300 +:0413D800C236C8A2AF +:0413D90000E7866340 +:0413DA00F7930785F9 +:0413DB00C23E0FF708 +:0413DC00470147B2CC +:0413DD00439045E90B +:0413DE00879357C2D8 +:0413DF00D683168714 +:0413E0001A63000785 +:0413E10047E904D6FE +:0413E20062E7E36378 +:0413E300179366DD19 +:0413E40086930027C5 +:0413E50097B6FE4673 +:0413E6008782439C1B +:0413E700E719468537 +:0413E800468367656C +:0413E900B69307C7E9 +:0413EA000691001652 +:0413EB0000D7802384 +:0413EC004699B789DE +:0413ED00C683DF656F +:0413EE00BFCD000768 +:0413EF00BF05471DD2 +:0413F00047036769DF +:0413F10037339E17D9 +:0413F200072100E0EF +:0413F3004699B70D53 +:0413F4004685FF71BA +:0413F5000789BFE1C4 +:0413F6003CB706E317 +:0413F700B7450705EA +:0413F80047224785BC +:0413F900431846A2AD +:0413FA00100747137E +:0413FB005702C2983B +:0413FC00431866C16B +:0413FD00C2918EF912 +:0413FE0006B7479255 +:0413FF008F750002E4 +:041400006765C31544 +:041401001E47071368 +:041402000107470394 +:0414030046814605D3 +:0414040000E6656336 +:0414050076930705CE +:0414060067650FF710 +:041407001E47071362 +:0414080000D70823DE +:0414090047296469A2 +:04140A000413C20203 +:04140B008663A1044F +:04140C00002300E7D2 +:04140D00478500F41B +:04140E0047A2C23EF1 +:04140F00F713439CF0 +:0414100047A2FF37B9 +:0414110067E9C3982C +:041412009F57C68397 +:041413009F578793C5 +:04141400EA81D63E55 +:04141500C78367E939 +:04141600078A9F475B +:0414170047228FD900 +:0414180047A2C31C08 +:0414190017B7439826 +:04141A00A023000209 +:04141B0057B20EE7CF +:04141C000007C7837B +:04141D004501C7813D +:04141E00DB4FE0EFD1 +:04141F0047034782B6 +:04142000C78300047A +:04142100846301C718 +:04142200479200E706 +:0414230047C2E7993C +:041424004782CF85A7 +:041425000127C78351 +:0414260067E9CB8522 +:041427009F97C78341 +:04142800C39DC83E5A +:04142900879367E559 +:04142A0097BA134713 +:04142B000007C503EE +:04142C00C80247F2B9 +:04142D000007C7836A +:04142E0000A7886328 +:04142F00458147F2BA +:0414300000A780236E +:04143100942FD0EF35 +:0414320047834702A3 +:0414330046830004E8 +:04143400676901C71C +:04143500A127049354 +:0414360002F69163C6 +:041437000004C603E4 +:04143800F863468986 +:0414390067651EC6FF +:04143A001E4707132F +:04143B000407460359 +:04143C00468347029A +:04143D000E6305D75E +:04143E0064691CD6EB +:04143F00A1140713DA +:04144000A00408A359 +:041441000004802300 +:04144200DA3A468DBF +:041443002CF6F3E3AD +:0414440007136765BE +:0414450046031E47F5 +:0414460045850407CD +:0414470028B617E3C9 +:04144800FFA78593E2 +:041449000FF5F59313 +:04144A007463440D76 +:04144B00006F00B678 +:04144C0085936BD049 +:04144D00F513FFF79D +:04144E0045A10FF5B0 +:04144F0002A5E163AE +:04145000859365E13A +:0414510095AAB5851E +:041452000005C703C7 +:04145300D43A4599A9 +:041454002AB781E34F +:0414550028F5E3E3B0 +:0414560088E345855D +:04145700470228B769 +:041458000E23CAB2E3 +:04145900092300F76C +:04145A00B0EF0007E8 +:04145B004656FADF18 +:04145C0075934789B4 +:04145D0012E30D8504 +:04145E00E59328F6F4 +:04145F00450500152A +:04146000B0EFCAAE71 +:0414610045D6CF3F5E +:04146200B0EF450999 +:0414630045D6CEBFDD +:04146400B0EF450D93 +:04146500C0EFCE3FC7 +:04146600B0EFF3EF01 +:0414670067E9C0DF92 +:041468009F77C78320 +:041469004585C3856D +:04146A00008595B3B1 +:04146B000405E593FC +:04146C000FF5F593F0 +:04146D00CAAE4519A5 +:04146E00C7DFB0EF35 +:04146F00451D45D6FC +:04147000C75FB0EFB3 +:04147100C40357D287 +:0414720045810004AC +:041473000007C68325 +:04147400E66347895B +:041475001593008744 +:0414760005E2006427 +:04147700971385E161 +:041478009793002620 +:041479008FD90046C1 +:04147A008DDD8FD5A0 +:04147B000FF5F593E1 +:04147C00B0EF456523 +:04147D004541D0DF36 +:04147E00CBDFB0EF21 +:04147F00478D57221C +:041480000F857593CC +:0414810000F71463F9 +:041482000055E59399 +:04148300B0EF454140 +:041484004789CF1FA6 +:041485001E87FBE3E0 +:0414860005934791F2 +:04148700F4630520E5 +:041488000593008741 +:0414890045390530AC +:04148A00CD7FB0EF73 +:04148B00051365617F +:04148C00A0EF6A055E +:04148D004551ECDFFA +:04148E00C7DFB0EF15 +:04148F00478D57220C +:04149000036345C1EC +:04149100458100F79A +:04149200B0EF456111 +:041493004581CB5F65 +:0414940003600513D9 +:04149500CABFB0EF2B +:0414960056A2478291 +:04149700A62347053C +:0414980047A2000760 +:04149900F793439CE6 +:04149A0089E3BFF72C +:04149B0047221AE6E4 +:04149C00C31C4645E2 +:04149D00439847A287 +:04149E00000217B77A +:04149F000EE7A02391 +:0414A000C783478235 +:0414A100971301C7D5 +:0414A20067E10027D7 +:0414A300B64787932E +:0414A400438C97BA24 +:0414A500851347A6BE +:0414A60010EF1A0722 +:0414A70047B67620AE +:0414A800464565DD73 +:0414A9001B47851345 +:0414AA00F9458593E8 +:0414AB00750010EFC9 +:0414AC00D0EF450533 +:0414AD004792C1EFB2 +:0414AE0067E9CF8992 +:0414AF009EC7C7030A +:0414B000156347A9D0 +:0414B100453D00F7BE +:0414B200CA3FC0EF7E +:0414B300E57FA0EF42 +:0414B4006465CA029F +:0414B5001E44079337 +:0414B60003D7C7038E +:0414B700C78347821E +:0414B8000B6305A716 +:0414B90067E902F7E6 +:0414BA009A07879373 +:0414BB0000A7C503BE +:0414BC00460146811E +:0414BD00C0EF4581B6 +:0414BE00079399EF08 +:0414BF00C5031E44FF +:0414C000C0EF03D79F +:0414C1000793ABCF13 +:0414C20047021E447B +:0414C30003D7C78301 +:0414C40000072623D4 +:0414C50004F70D23F8 +:0414C60007936765BC +:0414C700C5831E4774 +:0414C800478903D776 +:0414C90002F58F6336 +:0414CA001E4707931F +:0414CB0003E7C6036A +:0414CC00C783478209 +:0414CD00866305B776 +:0414CE0067E902C701 +:0414CF009A0787935E +:0414D00000A7C503A9 +:0414D1004589C191F7 +:0414D200C683478204 +:0414D300C0EF01471E +:0414D40067E5946FC5 +:0414D5001E47879394 +:0414D60003E7C783DE +:0414D7000DA3470218 +:0414D800470204F7CC +:0414D900879367E5A9 +:0414DA00C7831E475F +:0414DB0047030407B8 +:0414DC00056305D7C8 +:0414DD00470200F7CB +:0414DE0004F70EA35E +:0414DF00C68367E574 +:0414E000676508C76D +:0414E10008D74703DE +:0414E20000D03633CD +:0414E300000246B706 +:0414E4003C06A78398 +:0414E50018638B8578 +:0414E600A78300F6E2 +:0414E700838D3C06AF +:0414E8008C638B8DF9 +:0414E90047B702E718 +:0414EA0087930002E2 +:0414EB0043B43807C7 +:0414EC00070E8B0D4F +:0414ED008ED19AF909 +:0414EE0043B4C3B48C +:0414EF008F559A9DDE +:0414F00057B2C3B874 +:0414F1000007C783A6 +:0414F20047B2CB89A9 +:0414F3000007A0232B +:0414F400855FC0EF61 +:0414F500E0EF4505DA +:0414F6004782A56F15 +:0414F70001C7C783DF +:0414F8003A0785E347 +:0414F900000A54375A +:0414FA00D5FFA0EF8B +:0414FB00CB84041387 +:0414FC00A0EF942A9F +:0414FD007A63D55FDA +:0414FE0017B7008597 +:0414FF00A7830002BD +:0415000007370D0795 +:041501008FF900104E +:0415020027B7D7ED43 +:041503004398000207 +:04150400A30343807A +:0415050043C40007D4 +:04150600833143DC0E +:0415070053138B05EA +:04150800C23A00B330 +:041509007FF47413E4 +:04150A000013731344 +:04150B0083D104B2D2 +:04150C00001347136E +:04150D00F79380B11F +:04150E0036930FF70A +:04150F0004630C84E1 +:04151000369300030B +:041511004602190471 +:041512000016C69366 +:041513000126460364 +:041514007C061A63D4 +:041515000063461217 +:04151600C2027E0689 +:041517007C068F635C +:0415180046854602BC +:0415190000D60923CC +:04151A00C2364691FE +:04151B00429446822E +:04151C0002D41A6378 +:04151D00C6834682B9 +:04151E0095630106CA +:04151F00468202E618 +:04152000861342D418 +:04152100EF63FCE692 +:04152200869300C4E8 +:04152300EB6303264D +:041524004682009665 +:04152500861346944F +:04152600E563FF86F4 +:0415270006A100C752 +:0415280000F6FC636A +:041529004609459298 +:04152A0000414683B3 +:04152B0000C5F363A1 +:04152C00F693468963 +:04152D00C2360FF6BD +:04152E0047C6CABE24 +:04152F00464155F2EA +:041530001E478513BA +:04153100D61ADA3AB2 +:041532004E0010EF68 +:041533005752533286 +:04153400E51947D698 +:04153500C60366E99A +:041536004685A2A69E +:0415370000D61C635B +:041538004609459289 +:0415390000414683A4 +:04153A0000C5F36392 +:04153B00F693468954 +:04153C00C2360FF6AE +:04153D004605468693 +:04153E000116868389 +:04153F0002D65C6311 +:04154000000285B769 +:04154100451541907B +:041542008A3D826DEF +:0415430002A6046395 +:0415440016FD4190BF +:04154500826D06E2CB +:0415460086E18A3D73 +:0415470000C68C63EB +:041548004609459279 +:041549000041468394 +:04154A0000C5F36382 +:04154B00F693468944 +:04154C00C2360FF69E +:04154D00C280468290 +:04154E0000645433AE +:04154F000284D4330B +:04155000C69CC2C4AF +:0415510000E6882305 +:04155200006FC6C0A0 +:0415530047896F0055 +:04155400478DBC49BA +:041555004791B4798D +:041556004795B46998 +:041557004799B459A3 +:04155800479DB449AE +:0415590047A1BCBD2D +:04155A0047A5BCAD38 +:04155B006769BC9D63 +:04155C009F5707137B +:04155D0000074683BA +:04155E00000246370A +:04155F000016B79328 +:0415600000F700236D +:0415610000279713B5 +:041562003C06278399 +:041563008FD99BED94 +:041564003CF620230E +:04156500C70347F27F +:0415660067E900072A +:041567009EE78DA3CB +:041568003E06926346 +:04156900E80FC0EFD8 +:04156A00E0EF450564 +:04156B0047A9882FD5 +:04156C0067E9BC0D62 +:04156D009F57C7833A +:04156E000613FBFD68 +:04156F0045813C0076 +:0415700000024537F9 +:0415710083EFB0EF65 +:04157200458547F272 +:0415730000024437F7 +:041574000007C503A4 +:04157500C0EF64E976 +:04157600665DC31FCC +:04157700EAC60613A7 +:04157800453745C1ED +:0415790000EF00027D +:04157A00479621303F +:04157B000613665D90 +:04157C008693C4C6C8 +:04157D0045C19A07C3 +:04157E00010405134C +:04157F001FD000EF8A +:041580000613665D8B +:0415810045C1EBC6AF +:041582000204051347 +:041583001ED000EF87 +:041584009A048793AB +:041585000107D68301 +:041586000640079381 +:04158700F733665D73 +:04158800061302F64E +:0415890045C1ECC6A6 +:04158A00030405133E +:04158B0002F6D6B3DB +:04158C001C9000EFC0 +:04158D000613665D7E +:04158E0045C1ED86E0 +:04158F000404051338 +:041590001B9000EFBD +:041591009A0487939E +:041592009A0486939E +:0415930001D7C703B2 +:0415940001C6C68343 +:04159500861364DD78 +:0415960045C1EE84D9 +:04159700050405132F +:04159800199000EFB7 +:041599000613665D72 +:04159A0045C1EF4612 +:04159B00060405132A +:04159C00189000EFB4 +:04159D00871367E960 +:04159E0086939A078F +:04159F0057039A074D +:0415A000D68301A746 +:0415A1008613018626 +:0415A20045C1EE84CD +:0415A3000704051321 +:0415A400169000EFAE +:0415A5000613665D66 +:0415A60045C1F04605 +:0415A700080405131C +:0415A800159000EFAB +:0415A900871367E954 +:0415AA0086939A0783 +:0415AB0057039A0741 +:0415AC00D68300E7FB +:0415AD00861300C6DB +:0415AE0045C1EE84C1 +:0415AF000904051313 +:0415B000139000EFA5 +:0415B1000613665D5A +:0415B20045C1F10638 +:0415B3000A0405130E +:0415B400129000EFA2 +:0415B500871367E948 +:0415B60087939A0776 +:0415B700D6839A0736 +:0415B80057030127AD +:0415B900861301672D +:0415BA0045C1EE84B5 +:0415BB000B04051305 +:0415BC00109000EF9C +:0415BD000613665D4E +:0415BE0045C1F1C66C +:0415BF000E040513FE +:0415C0000F9000EF99 +:0415C100676547F221 +:0415C2000F040513FA +:0415C3000007C683D4 +:0415C4001D474783F5 +:0415C5001D470713A4 +:0415C600675DE781F5 +:0415C700C40707133B +:0415C8000613665D43 +:0415C90045C1C486CE +:0415CA000D1000EF11 +:0415CB00000244379F +:0415CC000613665D3F +:0415CD0045C1F2869C +:0415CE0010040513ED +:0415CF000BD000EF4E +:0415D000470D665D00 +:0415D1000613468532 +:0415D20045C1F346D6 +:0415D30011040513E7 +:0415D4000A9000EF8A +:0415D500380407933C +:0415D600671343B89C +:0415D700C3B800276E +:0415D8003C04262386 +:0415D9001FF00713E5 +:0415DA00C7B8C3F8D3 +:0415DB0047A2B589E5 +:0415DC00439C4722C3 +:0415DD000107C793A8 +:0415DE00BD15C31C58 +:0415DF00879367E5A2 +:0415E000C7831E4758 +:0415E1004685010733 +:0415E200E563470175 +:0415E300078500F682 +:0415E4000FF7F713F3 +:0415E500879364E59F +:0415E60088231E44F4 +:0415E70067E900E7C9 +:0415E8009F57C783BF +:0415E9006465E7B995 +:0415EA00BB440413E7 +:0415EB004641400C29 +:0415EC00000245377D +:0415ED00248010EF57 +:0415EE001E4487937D +:0415EF000107C70326 +:0415F000070A481C82 +:0415F100438C97BAD6 +:0415F20044374641F3 +:0415F30005130002DA +:0415F40010EF0204EE +:0415F500278322A086 +:0415F600E7933C0437 +:0415F7002023002786 +:0415F80026233CF476 +:0415F900478D3C04DA +:0415FA003CF4222378 +:0415FB003C04242365 +:0415FC0067E9BB7D63 +:0415FD009F67C7839A +:0415FE000037971308 +:0415FF00879367E582 +:0416000097BAF1079D +:041601000713676103 +:04160200439C55C7E9 +:04160300DAE791E3AE +:04160400C14FC0EF23 +:0416050067E5BB6971 +:041606001E47879361 +:041607000117C7837D +:0416080047014685CB +:0416090000F6E5639F +:04160A00F713078546 +:04160B0064E50FF78C +:04160C001E4487935E +:04160D0000E788A3C7 +:04160E00C78367E93E +:04160F00FBD59F5711 +:0416100004136465F6 +:04161100506CBB441A +:0416120045374641D1 +:0416130010EF0002D2 +:0416140087931AE0BE +:04161500C7031E44A5 +:04161600587C0117E4 +:0416170067E5B79D2F +:041618001E4787934F +:04161900C78346D964 +:04161A0014630157FD +:04161B00C78104D7A8 +:04161C00F79317FD2C +:04161D0067650FF7F7 +:04161E001E47071349 +:04161F0000F70AA323 +:04162000C78367E92C +:04162100F7B59F5723 +:0416220004136465E4 +:04162300484CBB4430 +:0416240045374641BF +:0416250010EF0002C0 +:04162600676516607E +:041627001E47071340 +:041628004503505CCA +:04162900978201574C +:04162A00464165E5EB +:04162B001545859349 +:04162C0046B9BF29D3 +:04162D00F363873E9E +:04162E00473900F642 +:04162F00070567E55F +:041630001E47879337 +:0416310000E78AA3A1 +:0416320065DDBF654E +:04163300464565655E +:04163400F4C58593E1 +:041635001405051380 +:04163600124010EF5F +:0416370065DD6465A4 +:04163800859346450B +:041639000513F5C5DB +:04163A0010EF154454 +:04163B0047371120FC +:04163C0027830002FE +:04163D0045053C071C +:04163E00E79364E5E5 +:04163F00202300471D +:04164000C0EF3CF7C4 +:041641008493D23F7D +:0416420017B7228430 +:04164300A7030002F7 +:0416440067C10D0766 +:041645008F7D17FD81 +:04164600879367E53A +:04164700468116873B +:04164800D583466D93 +:04164900066300072D +:04164A00068500B75A +:04164B009AE307898E +:04164C004782FEC60D +:04164D0002C00713BD +:04164E000117878376 +:04164F0002E787B374 +:0416500097A64729E9 +:041651000247C78302 +:0416520002F76463D4 +:041653004263471196 +:04165400093806D774 +:041655004703973E72 +:041656005733FC47C3 +:041657008B0540D7E8 +:04165800078AC71521 +:0416590097BA0938FB +:04165A00FD47A7831E +:04165B0000D7802311 +:04165C008AA367E90D +:04165D0047379E0766 +:04165E0027830002DC +:04165F009BED3C07BC +:041660003CF7202310 +:04166100C0EF450190 +:04166200B115D4BF2B +:041663000685665D35 +:04166400F6860613ED +:04166500051345C55F +:0416660000EF154438 +:04166700450565E0F0 +:04166800C85FC0EFA8 +:041669000007A5379A +:04166A00120505134D +:04166B00F52FA0EFC8 +:04166C0047B1B7C10A +:04166D00FAF68EE318 +:04166E0005136509F2 +:04166F00A0EF710572 +:04167000B7A1F40F1B +:04167100C78367E9DB +:0416720082E39F87E9 +:041673004782BE07E5 +:0416740002C0061397 +:04167500868364659F +:0416760007930117BE +:0416770004132284B2 +:04167800863322840F +:0416790097B202C65C +:04167A00C783466577 +:04167B001B630207E4 +:04167C00467D08C7D8 +:04167D0017FDC7810D +:04167E000FF7F61359 +:04167F0002C007138B +:0416800002E68733C4 +:0416810002C0079309 +:0416820002F687B332 +:041683000023972287 +:0416840097A202C760 +:041685000207C50390 +:04168600C78367E9C6 +:041687009563A2B70E +:0416880067E900F618 +:04168900A2A7902361 +:04168A00D18FB0EF5D +:04168B00C78367E9C1 +:04168C00EFB99F57BC +:04168D00A58367E1E9 +:04168E0046417B87CF +:04168F0000024537D9 +:041690007BD000EF1C +:041691000713478272 +:04169200668D02C09F +:041693000117878331 +:04169400BF26869354 +:0416950087B3665D54 +:04169600061302E74E +:0416970045C5C2067D +:041698004783943EB2 +:04169900646502047E +:04169A0015440513DB +:04169B0002D787B338 +:04169C003E800693F3 +:04169D0002D7C6B3F7 +:04169E00580000EF01 +:04169F000593464128 +:0416A000B3A1154499 +:0416A100460147793E +:0416A200F6F76AE30A +:0416A300B7AD078553 +:0416A400C78367E9A8 +:0416A50097139F6791 +:0416A60067E50037BD +:0416A700F10787932D +:0416A800676197BA25 +:0416A90051C707130B +:0416AA004485B38D33 +:0416AB0067E54301AB +:0416AC00851365DD60 +:0416AD004645140793 +:0416AE00F7858593A4 +:0416AF0000EFD61A58 +:0416B000533273F04E +:0416B100479546A96A +:0416B20002D306B3A6 +:0416B300D363873640 +:0416B400471500D7FF +:0416B500665D6465A5 +:0416B60006130725EB +:0416B70045C5F886A7 +:0416B80015440513BD +:0416B90000EFD61A4E +:0416BA00473751203D +:0416BB00278300027F +:0416BC0045053C079D +:0416BD000047E79368 +:0416BE003CF72023B2 +:0416BF00B29FC0EF27 +:0416C00000021737D6 +:0416C1000D0722836C +:0416C20087A667414F +:0416C3005332177D0A +:0416C40000E2F4B399 +:0416C500CC91EF993C +:0416C600871367E53A +:0416C70046ED16874F +:0416C80056034781FD +:0416C9008D63000726 +:0416CA00078500C4CC +:0416CB009AE307098E +:0416CC006509FED7D7 +:0416CD00710505138B +:0416CE00A0EFD61A99 +:0416CF00B7C9DC4F6C +:0416D00088634725BF +:0416D100471100E7D6 +:0416D200000313639B +:0416D300DA6347256A +:0416D400472902E7B9 +:0416D500E7B30785EB +:0416D600033302E7F1 +:0416D700676902E35A +:0416D8000DA3979A2D +:0416D900C0EF9EF7C9 +:0416DA00C901923F71 +:0416DB00869366DDAF +:0416DC00665DE6065B +:0416DD00C4C6061366 +:0416DE0066DDBD31D7 +:0416DF00BF868693A9 +:0416E0004769BFCDCA +:0416E10000E7956326 +:0416E200001343139B +:0416E3004731B70DC7 +:0416E400FAE791E3AD +:0416E50067E9BBF105 +:0416E6009F57C70340 +:0416E70002634792C1 +:0416E800B431C4074E +:0416E900F06F47A9AE +:0416EA004695C48FCE +:0416EB0044F6F2636C +:0416EC0018634689B0 +:0416ED00869300D60A +:0416EE00F693FFA7C9 +:0416EF00F9630FF696 +:0416F000575242D536 +:0416F10044054689DD +:0416F20000D70023FA +:0416F300F06F460945 +:0416F400440DD64F7C +:0416F500F06F460547 +:0416F600459DD5CF6A +:0416F70000B78D6348 +:0416F800802345897D +:0416F900F06F00B4DA +:0416FA008023D78FE3 +:0416FB00F06F00F498 +:0416FC00458DD70F32 +:0416FD004595B7FD5B +:0416FE000616B7ED28 +:0416FF00F5938DD101 +:04170000E5930FF569 +:04170100F06F004540 +:041702004539D78FFF +:0417030005B0059395 +:04170400AEEFB0EFA5 +:041705003E8005130A +:04170600E1AFF06FF0 +:041707004007E7931D +:04170800E4EFF06FAB +:04170900C2194612A9 +:04170A002C069263B4 +:04170B000923460266 +:04170C00461100067C +:04170D009BE3C23266 +:04170E004782820686 +:04170F008593465D1B +:0417100067E502D7B0 +:041711001E47879355 +:041712000107851333 +:0417130055D000EFBE +:041714004712C909A6 +:0417150000414783C5 +:041716004785E3110F +:041717000FF7F7933E +:0417180067E5C23E81 +:041719001E4787934D +:04171A000277C4038B +:04171B00C7834782B7 +:04171C008D6304478E +:04171D0045410087BB +:04171E00A3CFB0EFB6 +:04171F00040E891D0E +:0417200075938C49E8 +:0417210045410FF43B +:04172200A76FB0EF0E +:04172300879367E55C +:04172400C5831E4714 +:04172500478202876E +:041726000457C7831A +:0417270000B786631E +:0417280003D00513D2 +:04172900A5AFB0EFC9 +:04172A00879367E555 +:04172B00C5831E470D +:04172C004782029757 +:04172D000467C78303 +:04172E0000B7856318 +:04172F00B0EF45458D +:0417300067E5A40FB6 +:041731001E478713B5 +:041732001E47879334 +:0417330002B7C40332 +:041734004583478220 +:04173500C78302A7BD +:04173600976304773A +:04173700478200B72E +:041738000487C783D8 +:041739000087896339 +:04173A00B0EF45497E +:04173B0085A2A14F93 +:04173C00B0EF454D78 +:04173D0067E5A0CFED +:04173E001E47879328 +:04173F0002C7C50315 +:04174000C783478292 +:041741008463049722 +:04174200478502A72E +:0417430000A7F863A0 +:04174400C50367E989 +:041745001571A13742 +:041746000015351342 +:04174700053347E13E +:0417480067E102F55E +:04174900B9078793C2 +:04174A00B0EF953E29 +:04174B0067E5AD6F32 +:04174C001E4787931A +:04174D0002D7C503F7 +:04174E00C783478284 +:04174F00846304A704 +:04175000B0EF00A74F +:0417510067E5C44F35 +:041752001E47879314 +:04175300C503646501 +:04175400079302E70E +:04175500C7830D44F5 +:04175600041304B7BD +:0417570084630D4456 +:04175800B0EF00A747 +:0417590067E5B92F58 +:04175A001E4787930C +:04175B0002F7C4834A +:04175C0004C44783F7 +:04175D0000978E6300 +:04175E00B0EF45693A +:04175F00751393AFBC +:041760000492FCF5FE +:04176100F5938CC9A7 +:0417620045690FF4D2 +:04176300972FB0EF1D +:04176400079367651B +:0417650007131E4701 +:0417660045831E4752 +:04176700C78303171A +:041768004703030729 +:04176900166304D42B +:04176A00470300F73A +:04176B000A6304E425 +:04176C00058E00B72F +:04176D00F5938DDD86 +:04176E0005130FF55B +:04176F00B0EF028055 +:0417700067E5940F86 +:041771001E478793F5 +:041772000327849332 +:041773000593461D77 +:04177400852604F4CE +:041775003D5000EFF4 +:041776008526C501FE +:041777009CCFB0EF64 +:04177800879366E508 +:04177900C7031E463E +:04177A004783039707 +:04177B0084930564EA +:04177C001A631E4688 +:04177D00879300F757 +:04177E00C7031E4639 +:04177F00478303A7F2 +:041780000663057483 +:04178100C50300F7A5 +:04178200B0EF03D4ED +:0417830067E9C76FDC +:041784009F77879331 +:041785000007C7038F +:0417860010070063E5 +:0417870003B4C5835F +:04178800058447038A +:041789000CB717631F +:04178A0003C4C703CA +:04178B0005944783F7 +:04178C000EF70463ED +:04178D00F73FA0EF93 +:04178E0003C4C703C6 +:04178F00849364DDFE +:0417900007B7AB8468 +:04179100879307062D +:0417920046411007B5 +:0417930009848593AD +:04179400DA3A08E84D +:04179500A0EFCCBE37 +:041796004641F70FC2 +:041797000A848593A8 +:04179800A0EF10E8C6 +:041799005752F64F5E +:04179A00D63E10FC2B +:04179B0008FCE3194A +:04179C004585D63E6B +:04179D00A0EF450173 +:04179E004481FBFF88 +:04179F00873308BCC8 +:0417A00045830097E6 +:0417A10045090007EF +:0417A200FADFA0EFDB +:0417A300971357B28F +:0417A40045110024C7 +:0417A5004318973E10 +:0417A600010755934F +:0417A7000FF5F593B2 +:0417A800A0EFDA3A9A +:0417A9005752F93F5B +:0417AA0055934515F9 +:0417AB00F59300872B +:0417AC00A0EF0FF5A6 +:0417AD005752F83F58 +:0417AE0075934519D1 +:0417AF00A0EF0FF7A1 +:0417B0004585F77FF5 +:0417B100A0EF45055B +:0417B2004505F6FFF4 +:0417B300F21FA0EF92 +:0417B400FD65891531 +:0417B500471104854F +:0417B600FAE492E3DC +:0417B700A0EF4581D9 +:0417B8004503F57F71 +:0417B900B0EF05A4E4 +:0417BA00A03DB9AFE6 +:0417BB00F06FC20207 +:0417BC0015D1D7EF7D +:0417BD00F593058615 +:0417BE0045050FF5D9 +:0417BF00A0EFD62E93 +:0417C00055B2F37FAC +:0417C100A0EF450947 +:0417C20067E9F2FFE2 +:0417C3009F778793F2 +:0417C4000007C783D0 +:0417C500F0079AE3AC +:0417C600859367E5BB +:0417C70006131E47A0 +:0417C80005130420E1 +:0417C900A0EF01D4B8 +:0417CA004712EA0FC9 +:0417CB00852367E922 +:0417CC004789A207A0 +:0417CD000AF70563AF +:0417CE000B634791D1 +:0417CF00478500F753 +:0417D00004F71563A2 +:0417D1000124478325 +:0417D200A0EFC3A918 +:0417D300A8359B1F7B +:0417D4000124478322 +:0417D5006769C3A9D4 +:0417D600A0C707138E +:0417D70045C5431CA5 +:0417D800E7934559F5 +:0417D900C31C002706 +:0417DA0000021737BB +:0417DB000EF72023C2 +:0417DC00F8FFA0EF83 +:0417DD0002B005133E +:0417DE00A0EF4581B2 +:0417DF00C0EFF85F00 +:0417E0004503FBEFD3 +:0417E100B0EF05A4BC +:0417E2000513AFAF8D +:0417E300A0EF12C0A1 +:0417E400E06F970F0C +:0417E5002623E39F35 +:0417E600B0EF00045C +:0417E700478393BFE2 +:0417E800656501C46E +:0417E90097134645C7 +:0417EA0067E100278C +:0417EB00B6478793E3 +:0417EC00438C97BAD9 +:0417ED001A050513C1 +:0417EE00245000EF94 +:0417EF00656565DDEA +:0417F0008593464552 +:0417F1000513F9459E +:0417F20000EF1B45A4 +:0417F3004505233055 +:0417F400F00FC0EF43 +:0417F50094EFA0EFDE +:0417F600CA02CC0255 +:0417F7004783B77DF0 +:0417F800D7C501242C +:0417F900F58FC0EFB9 +:0417FA004695B74D0C +:0417FB00BCF6EBE36A +:0417FC004601440955 +:0417FD0093EFF06F07 +:0417FE00F7C101131B +:0417FF00C13ED6A66B +:0418000067E5DA8638 +:04180100DCB6D8A2D7 +:04180200A483DEBA23 +:04180300DC630C078F +:041804000793000541 +:04180500C09C08B0CB +:0418060050D6557DE6 +:0418070054B6544639 +:04180800084101137F +:04180900079380823F +:04180A0018232080FF +:04180B00C22A00F1FC +:04180C00842ECA2A32 +:04180D00C1994781B5 +:04180E00FFF58793C8 +:04180F00CC3EC63EC7 +:0418100057FD18B4B4 +:041811008526004CDC +:0418120000F11923A5 +:041813002C59C03656 +:04181400556357FDC4 +:04181500079300F540 +:04181600C09C08B0BA +:041817004792DC5DBB +:041818000007802322 +:04181900C5C5BF5D25 +:04181A00FFC5A783DC +:04181B00C422114191 +:04181C008413C60665 +:04181D00D363FFC5CD +:04181E00943E0007ED +:04181F0000EFC02AEC +:0418200067690BB039 +:04182100A34727832F +:04182200863A4502BB +:041823002223EB9100 +:041824002A2300046F +:041825004422A28730 +:04182600014140B28A +:0418270009F0006F55 +:0418280000F47F63E6 +:04182900073340142D +:04182A00966300D4ED +:04182B00439800E7F7 +:04182C00973643DCCC +:04182D00C05CC018C3 +:04182E00A2862A2341 +:04182F00873EBFE948 +:04183000C39943DC39 +:04183100FEF47DE361 +:041832000633431422 +:041833001F6300D758 +:0418340040100086DA +:04183500C31496B290 +:0418360000D706339E +:04183700FAC79DE36C +:0418380043DC4390BA +:04183900C31496B28C +:04183A00B775C35C5F +:04183B0000C475630D +:04183C00C11C47B1D3 +:04183D004010B74D53 +:04183E0000C406B329 +:04183F0000D79663D5 +:0418400043DC4394AE +:04184100C01496B287 +:04184200C340C05C83 +:041843008082B7697F +:04184400C226114166 +:041845000035849353 +:04184600C60698F149 +:0418470004A1C42212 +:0418480087AA4731F3 +:0418490004E4FC6354 +:04184A00EB6344B157 +:04184B00853E04B41E +:04184C0000EFC03EAB +:04184D006769007057 +:04184E00A347268303 +:04184F0006134782B3 +:041850008436A347F0 +:041851006469E431B1 +:04185200A384041354 +:04185300EB0140184D +:041854004581853E07 +:0418550000EFC03EA2 +:04185600478279C08C +:04185700853EC00802 +:04185800C03E85A663 +:0418590078E000EF44 +:04185A004782577DED +:04185B0006E5166325 +:04185C00C3984731B5 +:04185D0000EF853ED5 +:04185E00A0297C4001 +:04185F00FA04D7E3CD +:04186000C3984731B1 +:0418610040B245014B +:041862004492442246 +:04186300808201413D +:041864008F05401894 +:0418650002074F63C4 +:04186600F66345AD33 +:04186700C01800E5C0 +:04186800C004943AEA +:041869004058A0291A +:04186A0002869363FC +:04186B00853EC218DC +:04186C0078A000EF71 +:04186D0000B40513AB +:04186E000044079398 +:04186F000733996141 +:0418700002E340F55A +:04187100943AFCF5B4 +:04187200C01C8F897E +:04187300C2D8BF6DAB +:0418740086A2BFF990 +:04187500B7BD40407B +:041876000035041322 +:0418770002E398717F +:0418780005B3FC8533 +:04187900853E40A4C4 +:04187A002721C03E24 +:04187B004782577DCC +:04187C00FAE519E38D +:04187D001111BFB5D1 +:04187E004590C2329D +:04187F00CC06C826A5 +:0418800084AECA2246 +:0418810008C6E4634E +:04188200D50382AA5E +:04188300771300C512 +:04188400CB3D48050B +:04188500470D48D0F3 +:041886000733408064 +:04188700498C02C7BF +:0418880007B3460953 +:04188900C03E40B469 +:04188A0002C744331A +:04188B0000168613AA +:04188C007363963EAE +:04188D00843200C4DD +:04188E004005751389 +:04188F00C535C6365F +:0418900085A2851692 +:04189100F0EFC4169A +:0418920042A2ECBFC3 +:04189300473146B2E1 +:041894004602CD2516 +:04189500C636488C7F +:04189600A0EFC42AD1 +:04189700D603B6CFEF +:04189800432200C423 +:04189900761346B2CA +:04189A006613B7F624 +:04189B009623080682 +:04189C00478200C4BB +:04189D000064A82318 +:04189E00933EC8C0ED +:04189F0040F40733D7 +:0418A0000064A0231D +:0418A100C49886362B +:0418A20000C6F36326 +:0418A30045928636AE +:0418A400C032408886 +:0418A500B48FA0EF6D +:0418A600460244981A +:0418A7008F11450157 +:0418A8004098C49808 +:0418A900C090963A1B +:0418AA008516A80DEA +:0418AB00C4168622B7 +:0418AC0042A22571BE +:0418AD00832A46B292 +:0418AE00488CFD4D18 +:0418AF00C0168516C4 +:0418B000DA7FF0EFFC +:0418B10047314282F7 +:0418B20000E2A0238D +:0418B30000C4D70393 +:0418B4006713557DE4 +:0418B500962304076B +:0418B60040E200E428 +:0418B70044C2445291 +:0418B80080820171B8 +:0418B90000C5D7038C +:0418BA00F78101139E +:0418BB00DEA6C122C2 +:0418BC0001F107939C +:0418BD00C22AC30672 +:0418BE007713C02EAE +:0418BF0084B20807E0 +:0418C000FF87F41397 +:0418C1004998CB1D5A +:0418C2000593EB0D92 +:0418C300C636040021 +:0418C400E01FF0EF42 +:0418C50046B247825E +:0418C600CB88C38880 +:0418C7004712E919C2 +:0418C800557D47B152 +:0418C900409AC31C62 +:0418CA0054F6440A82 +:0418CB00088101137C +:0418CC00478280824D +:0418CD0004000713F9 +:0418CE000713CBD859 +:0418CF000CA3020064 +:0418D000071300E416 +:0418D1002A230300C3 +:0418D2000D230004DE +:0418D300CA3600E42D +:0418D400061387264A +:0418D50046830250F4 +:0418D600C2990007AC +:0418D7000AC6966344 +:0418D800409706B37C +:0418D900029701630E +:0418DA0045124582EC +:0418DB00C83A86265B +:0418DC00F0EFC6362D +:0418DD00567DE85FED +:0418DE001AC50A63BA +:0418DF0046B2485075 +:0418E00096364742AF +:0418E1004683C85022 +:0418E2008163000717 +:0418E30004931A064A +:0418E400577D001715 +:0418E50000042023B8 +:0418E60000042623B1 +:0418E7002423C0589E +:0418E80001A3000454 +:0418E9002C230404A4 +:0418EA00C5830404AA +:0418EB0067E10004AD +:0418EC008513461505 +:0418ED0023A54847A0 +:0418EE008713401408 +:0418EF00E5390014C3 +:0418F0000106F613E4 +:0418F1000613C6090B +:0418F20001A302004C +:0418F300F61304C420 +:0418F400C60900869B +:0418F50002B0061324 +:0418F60004C401A382 +:0418F7000004C583A1 +:0418F80002A0061331 +:0418F90002C58F6332 +:0418FA0087264454A5 +:0418FB0045254581B9 +:0418FC00460342A9B4 +:0418FD0003130007CA +:0418FE0006130017B6 +:0418FF007763FD0608 +:04190000C98506C5CA +:04190100A035C454F5 +:04190200B7B107056D +:04190300861367E1FF +:041904008D114847B2 +:04190500153346054B +:041906008EC900A6E0 +:0419070084BAC014CA +:041908004652B76923 +:0419090000460593FC +:04190A00CA2E42108F +:04190B000206496324 +:04190C004603C4507A +:04190D000693000736 +:04190E00116302E07F +:04190F00460306D6AF +:041910000693001723 +:041911001B6302A0B2 +:0419120046D202D6E1 +:041913008613070927 +:0419140042940046B3 +:04191500C163CA32AE +:04191600C0540206B1 +:041917000633A08172 +:04191800E69340C052 +:04191900C450002690 +:04191A00B7E1C0145D +:04191B00025686B337 +:04191C004585871A5C +:04191D00BFB596B20A +:04191E00B7C556FDF6 +:04191F002223070573 +:0419200045810004F9 +:041921004525468191 +:04192200460342A98D +:0419230003130007A3 +:04192400061300178F +:041925007363FD06E5 +:04192600F1E106C520 +:0419270000074583ED +:04192800460D64E123 +:0419290048C4851316 +:04192A002995C63AFB +:04192B00CD01473271 +:04192C0048C4849394 +:04192D0006938D058B +:04192E0096B3040068 +:04192F00400800A6C6 +:041930008D550705C5 +:041931004583C00822 +:0419320065610007E4 +:041933000513461939 +:0419340004934905CA +:041935000C23001768 +:04193600219100B447 +:041937000713C1359C +:04193800E70D0000B7 +:0419390047524014BD +:04193A001006F6930A +:04193B000711CE9131 +:04193C004858CA3A03 +:04193D00973E47A2E8 +:04193E00BD99C8582F +:04193F00025686B313 +:041940004585871A38 +:04194100B75196B252 +:04194200B7DD0721E5 +:041943004512460201 +:04194400085866D900 +:041945001F66869300 +:04194600009785A2DF +:0419470000E70000B5 +:04194800C42A0000AD +:04194900577D47A2DD +:04194A00FCE795E33E +:04194B00557D4782FD +:04194C0000C7D703F6 +:04194D000407771301 +:04194E00DE0717E3B6 +:04194F00B3E548486C +:0419500045124602F4 +:04195100085866D9F3 +:041952001F668693F3 +:04195300221585A232 +:041954001101BFC9F5 +:04195500CA2687AE69 +:0419560084BA85B614 +:041957004B984794CE +:04195800CE06CC22C9 +:041959008332842A27 +:04195A0000D75363FC +:04195B002023873688 +:04195C00C68300E35B +:04195D00C681043704 +:04195E002023070536 +:04195F00439800E3C6 +:0419600002077713F0 +:041961002703C71180 +:04196200070900036E +:0419630000E320235A +:04196400861343980B +:041965008B19019742 +:041966004398C335AA +:041967000437C683F8 +:0419680002077713E8 +:0419690000D036B3C1 +:04196A008613E751A8 +:04196B008522043796 +:04196C00C23EC41A99 +:04196D009482C02E72 +:04196E000763577D37 +:04196F00479206E5B0 +:041970004582432247 +:041971002603439472 +:04197200431100031A +:0419730047C88A993E +:04197400976347012D +:0419750007330066CE +:04197600536340C5B2 +:04197700470100071D +:041978004B904794B5 +:0419790000D65463DD +:04197A0097368E917D +:04197B00861343018B +:04197C00106301A74C +:04197D0045010667B3 +:04197E000705A80DA4 +:04197F00250347D421 +:041980008E89000349 +:04198100F8D75BE355 +:0419820085224685EF +:04198300C63EC81A7A +:04198400C232C43A6D +:041985009482C02E5A +:04198600458256FD43 +:04198700472246129B +:04198800434247B2DD +:04198900FCD51BE38B +:04198A0040F2557D55 +:04198B0044D244629C +:04198C0080826105EF +:04198D0000D78633C6 +:04198E00030005133A +:04198F0004A601A306 +:041990000457C6032F +:0419910000168713A2 +:041992000689973EED +:0419930004C701A3E1 +:041994004685BFA91C +:04199500C61A8522C7 +:04199600C232C43A5B +:041997009482C02E48 +:0419980003E357FD11 +:041999004332FCF5E4 +:04199A004612472288 +:04199B000305458279 +:04199C001101B74935 +:04199D00CA26CC2268 +:04199E00C02ACE0687 +:04199F008513842EFA +:0419A000C5830435C2 +:0419A10084B6018582 +:0419A2000693C232B4 +:0419A300EC6307806A +:0419A400069300B6F0 +:0419A500ED630620C8 +:0419A6008C6300B698 +:0419A70006931C0582 +:0419A8008D630580C6 +:0419A900031312D53D +:0419AA0001230424ED +:0419AB00A80504B4D3 +:0419AC00F9D5869350 +:0419AD000FF6F693A8 +:0419AE0066E3465551 +:0419AF006661FED699 +:0419B0000613068A8A +:0419B10096B24C0698 +:0419B2008682429453 +:0419B30003134314C3 +:0419B400861304246E +:0419B500C310004615 +:0419B600012342982F +:0419B700470504E4F8 +:0419B8004014AA75B8 +:0419B90000072303FD +:0419BA000806F61312 +:0419BB00004305934D +:0419BC002683C20DAF +:0419BD00C30C000354 +:0419BE00D863666123 +:0419BF000713000604 +:0419C00006B302D098 +:0419C10001A340D06E +:0419C200061304E420 +:0419C30047294986E1 +:0419C400F613A0B9BD +:0419C500268304066B +:0419C600C30C00034B +:0419C70006C2DE7105 +:0419C800BFD986C13C +:0419C9004314401073 +:0419CA000806729306 +:0419CB00004683133C +:0419CC00000286632C +:0419CD00006720236C +:0419CE00A801429496 +:0419CF000406761381 +:0419D0000067202369 +:0419D100D683DA756A +:0419D2006661000644 +:0419D30006F0071300 +:0419D4004986061327 +:0419D5000EE5876331 +:0419D60001A34729F9 +:0419D700404C040478 +:0419D800C863C40C10 +:0419D90023030005DF +:0419DA00731300047F +:0419DB002023FFB313 +:0419DC00E299006428 +:0419DD00CD99832AF3 +:0419DE00F5B3832AB0 +:0419DF00137D02E68C +:0419E000C58395B274 +:0419E10000230005DA +:0419E20085B600B313 +:0419E30002E6D6B38F +:0419E400FEE5F5E344 +:0419E5001E6346A196 +:0419E600401800D7CE +:0419E700CB118B0590 +:0419E8004818405407 +:0419E90000D7476379 +:0419EA0003000713DC +:0419EB00FEE30FA365 +:0419EC000533137D2F +:0419ED00C808406581 +:0419EE0045024692D6 +:0419EF00081087262F +:0419F000C41A85A2EE +:0419F100D8FFF0EF3C +:0419F2004322577DB8 +:0419F3000CE51C6380 +:0419F40040F2557DEB +:0419F50044D2446232 +:0419F6008082610585 +:0419F70002A3666180 +:0419F800061304B41A +:0419F900400C4986CF +:0419FA0000072303BC +:0419FB000805F29356 +:0419FC00000326833B +:0419FD008D630311E2 +:0419FE00202302029E +:0419FF00F713006773 +:041A0000C701001505 +:041A01000205E59362 +:041A02004741C00C8C +:041A0300400CF6B9E4 +:041A0400FDF5F59364 +:041A0500B791C00CC9 +:041A0600E69340140F +:041A0700C0140206FF +:041A080007800693BA +:041A090002A366616D +:041A0A00061304D4E7 +:041A0B00BF654AC6A3 +:041A0C000405F29348 +:041A0D00006720232B +:041A0E00FC0283E370 +:041A0F0082C106C2C8 +:041A10004721BF7D2E +:041A11004010BF19A9 +:041A1200484C4314E5 +:041A130008067293BC +:041A140000468313F2 +:041A150000028763E1 +:041A16000067202322 +:041A1700C30C429822 +:041A18002023A809D6 +:041A190076130067D9 +:041A1A0042980406E4 +:041A1B001023DA6D4D +:041A1C00282300B7C4 +:041A1D00832A000414 +:041A1E004314B78135 +:041A1F008613458164 +:041A2000C3100046A9 +:041A21000006A30315 +:041A2200851A405091 +:041A23002841C41A78 +:041A2400C501432293 +:041A250040650533E0 +:041A26004058C0481C +:041A270001A3C81837 +:041A2800BF190404DA +:041A29004592481486 +:041A2A00861A4502D1 +:041A2B00577D9482CD +:041A2C00F2E500E3FC +:041A2D008B094018C9 +:041A2E004742EB0D33 +:041A2F005AE34448EA +:041A3000853AF0E51E +:041A31004592B739EA +:041A3200468545029E +:041A3300C432C63AB9 +:041A340056FD948245 +:041A3500EED50EE3F9 +:041A360046224732CB +:041A37004454070507 +:041A38008E8D45C288 +:041A3900FED741E3B0 +:041A3A004701BFC9D8 +:041A3B0001940613F9 +:041A3C001151B7FD90 +:041A3D00C026C222DB +:041A3E0064E9842AA9 +:041A3F00C406852E26 +:041A4000A204A82331 +:041A410057FD2A150E +:041A420000F5166332 +:041A4300A304A783CE +:041A4400C01CC3916E +:041A4500441240A265 +:041A460001314482A4 +:041A4700F593808211 +:041A4800962A0FF5D6 +:041A490000C514635D +:041A4A008082450150 +:041A4B0000054783C8 +:041A4C00FEB78DE371 +:041A4D00B7FD0505D7 +:041A4E008082808290 +:041A4F0085B2E581F6 +:041A5000FD0FF06F27 +:041A5100C806113181 +:041A5200C426C622BE +:041A5300F0EFEA11B5 +:041A54004781F18F46 +:041A5500443240C215 +:041A5600853E44A2E3 +:041A57008082015137 +:041A5800C032842EE6 +:041A5900203D84AAFE +:041A5A0087A2460217 +:041A5B00FEC574E36D +:041A5C00852685B2A4 +:041A5D00F0EFC232B2 +:041A5E0087AAF9AFAB +:041A5F004612DD61ED +:041A6000C02A85A271 +:041A6100C43F90EFFF +:041A6200852685A2AE +:041A6300EDAFF0EF04 +:041A6400B7C9478235 +:041A6500FFC5A7838F +:041A6600FFC785131E +:041A67000007D5633C +:041A6800419C95AA5E +:041A69008082953EA4 +:041A6A0014634701B9 +:041A6B00450100E64B +:041A6C0007B38082BA +:041A6D00070500E584 +:041A6E0000E586B356 +:041A6F000007C78322 +:041A7000FFF6C68334 +:041A7100FED783E336 +:041A720040D78533A1 +:041A7300C60D80829A +:041A74004701167D93 +:041A750000E507B3CE +:041A760000E586B34E +:041A77000007C7831A +:041A78000006C6831B +:041A790000D794639B +:041A7A0000C7156329 +:041A7B0040D7853398 +:041A7C000705808258 +:041A7D00BFDDF3E5F1 +:041A7E00808245011C +:041A7F00CA0987AA5F +:041A80000005C70393 +:041A8100078505854B +:041A8200FEE78FA349 +:041A8300FB65167D6C +:041A84009363963E94 +:041A8500808200C794 +:041A86008FA307859E +:041A8700BFD5FE07C2 +:041A880087AA95AAEA +:041A890000B78563BA +:041A8A000007C70387 +:041A8B008533E701B7 +:041A8C00808240A76D +:041A8D00B7FD078515 +:041A8E00A78363E9DE +:041A8F001151A3C38B +:041A9000C406C222A4 +:041A91008393842A8D +:041A9200E79DA3C366 +:041A93004581450143 +:041A94004681460140 +:041A95000293470170 +:041A960000730D606C +:041A970057FD0000F7 +:041A980000F51A63D8 +:041A990047B12835F4 +:041A9A00557DC11C99 +:041A9B00441240A20F +:041A9C008082013112 +:041A9D0000A3A023DF +:041A9E000003A3039B +:041A9F004601458136 +:041AA00000640533A6 +:041AA1004701468132 +:041AA20002934781E3 +:041AA30000730D605F +:041AA400941A000090 +:041AA500FC8518E3C1 +:041AA60000A3A023D6 +:041AA700B7F9851AEC +:041AA800A50367E546 +:041AA90080820C0724 +:041AAA000000000038 +:041AAB000000000037 +:041AAC000000000036 +:041AAD000000000035 +:041AAE00432044533A +:041AAF00204452413C +:041AB0004C4C4957FA +:041AB100004542208A +:041AB2005245564FF4 +:041AB30054495257E9 +:041AB400214E455426 +:041AB50045002121A6 +:041AB600726F707863 +:041AB70031203F7427 +:041AB800202C593D48 +:041AB900004E3D326C +:041ABA00736572508E +:041ABB002031207343 +:041ABC003220726FF3 +:041ABD000000000025 +:041ABE004D9000EB5C +:041ABF004E495753E2 +:041AC00000312E348F +:041AC100008004029B +:041AC2000008000216 +:041AC3000020F88087 +:041AC40000FF003FE0 +:041AC500F429008080 +:041AC6004F04C6CF34 +:041AC70050435353E2 +:041AC80049464F52EA +:041AC9004146534CF3 +:041ACA00203631543D +:041ACB0000002020D7 +:041ACC004353534FDE +:041ACD00464F5250DE +:041ACE00204E49421B +:041ACF00B5048E00CC +:041AD000516F516F92 +:041AD1008917000071 +:041AD2000002516F4E +:041AD30000100200FD +:041AD40000100000FE +:041AD500000000000D +:041AD600000000000C +:041AD70000100000FB +:041AD800000804DC22 +:041AD900000804DC21 +:041ADA00000804DC20 +:041ADB00000804DC1F +:041ADC0000010454AD +:041ADD000001045AA6 +:041ADE00000104609F +:041ADF000001046698 +:041AE00000010408F5 +:041AE10000010428D4 +:041AE20000010428D3 +:041AE30000010428D2 +:041AE40000010428D1 +:041AE50000010428D0 +:041AE60000010428CF +:041AE7000001042CCA +:041AE80000010432C3 +:041AE9006C206F4EB0 +:041AEA00006B6E69B6 +:041AEB006D206425E1 +:041AEC0000000056A0 +:041AED00252E752508 +:041AEE002075322EFF +:041AEF00000073750B +:041AF000252575250E +:041AF10000000000F1 +:041AF2006F74754157 +:041AF30000002820A7 +:041AF40075257325BC +:041AF5000000732555 +:041AF6006C207525C6 +:041AF70073656E693C +:041AF80000000000EA +:041AF90064206425DC +:041AFA0000000042A6 +:041AFB0070207525BD +:041AFC006C65786934 +:041AFD000000007372 +:041AFE00656E6F445E +:041AFF0000000000E3 +:041B00006C69614665 +:041B010028206465CF +:041B0200002964252D +:041B030000011BC200 +:041B040000011BDCE5 +:041B050000011BE6DA +:041B060000011C18A6 +:041B070000011BF4CA +:041B080064206425CC +:041B0900000067650C +:041B0A00252E7525EA +:041B0B000075322E01 +:041B0C006573753C4C +:041B0D0000003E6432 +:041B0E004452535595 +:041B0F0000415441FC +:041B1000706D653C53 +:041B1100003E7974A5 +:041B1200203A7525DB +:041B13000000732536 +:041B140025207325F0 +:041B150063252D75A2 +:041B160000000000CB +:041B1700252E7525DD +:041B18006B75322E89 +:041B190025207A48C1 +:041B1A002E252E75D1 +:041B1B007A4875325D +:041B1C0000000000C5 +:041B1D0078303237B3 +:041B1E005F303034D0 +:041B1F00000030375B +:041B200078303436AF +:041B21005F303034CD +:041B22000000303758 +:041B2300782073258E +:041B24000000752523 +:041B2500FFFFFFFFC0 +:041B2600FFFFFFFFBF +:041B27000000FFFFBC +:041B2800636E614344 +:041B2900656C6C6516 +:041B2A000000006453 +:041B2B00462F4453AA +:041B2C006873616C0D +:041B2D00727265204B +:041B2E000000726FD2 +:041B2F0061766E4924 +:041B30002064696C58 +:041B3100617261700C +:041B3200002E736DA1 +:041B330053206F4E7E +:041B34006163204485 +:041B35006420647252 +:041B3600002E7465A4 +:041B3700636375531C +:041B3800007373655E +:041B390046204453AB +:041B3A00616D726FF8 +:041B3B000000007432 +:041B3C0041463D31B0 +:041B3D002C363154BD +:041B3E00523D3220C2 +:041B3F00000057410A +:041B40006F70784505 +:041B41006E697472E3 +:041B42002E2E2E67AE +:041B4300000000009E +:041B440073616C4617 +:041B45006576206839 +:041B4600206669723A +:041B47006C696166FE +:041B48000000000099 +:041B490061766E490A +:041B4A002064696C3E +:041B4B002072646838 +:041B4C0000435243BD +:041B4D0061766E4906 +:041B4E002064696C3A +:041B4F0061746164F8 +:041B50004352432099 +:041B51000000000090 +:041B52006164705505 +:041B53006320657432 +:041B540065636E61F6 +:041B550064656C6CEB +:041B5600000000008B +:041B570061766E49FC +:041B58002064696C30 +:041B590067616D69EA +:041B5A000000006522 +:041B5B0061766E49F8 +:041B5C002064696C2C +:041B5D0064616568F2 +:041B5E0000007265AC +:041B5F004353534F4A +:041B60000000000081 +:041B6100696C6156F4 +:041B620069746164DD +:041B63006420676E25 +:041B64000061746147 +:041B65006220752560 +:041B660073657479B6 +:041B6700000000007A +:041B6800252E75258C +:041B69002575322E7E +:041B6A00007325736C +:041B6B0061647055EC +:041B6C00676E6974C3 +:041B6D0000574620B7 +:041B6E0061656C70D1 +:041B6F007720657303 +:041B70002E74696105 +:041B710000002E2E14 +:041B720069726556D9 +:041B73006E697966B8 +:041B74006C66206714 +:041B75000068736130 +:041B760061656C70C9 +:041B77007220657300 +:041B780061747365BC +:041B79000000747282 +:041B7A0072746552CA +:041B7B00676E6979AF +:041B7C0064707520FC +:041B7D00006574612A +:041B7E0061647055D9 +:041B7F00203F65742A +:041B80002C593D316E +:041B81004E3D322083 +:041B8200000000005F +:041B83006D726946D0 +:041B840065726177AE +:041B850064707520F3 +:041B860064657461BD +:041B8700000000005A +:041B8800000145AE65 +:041B8900000145F41E +:041B8A00000145FC15 +:041B8B00000146040B +:041B8C0064616F4CD5 +:041B8D002E676E69E8 +:041B8E0000002E2EF7 +:041B8F007320642536 +:041B900073746F6C8F +:041B9100616F6C20F4 +:041B92000064656422 +:041B93006F706D49B9 +:041B9400203F747208 +:041B95002C593D3159 +:041B96004E3D32206E +:041B9700000000004A +:041B98006C696146CD +:041B9900000064657F +:041B9A0073657250AD +:041B9B0000000073D3 +:041B9C00666E6F43BF +:041B9D00006D7269FC +:041B9E006D73694DAD +:041B9F0068637461A2 +:041BA0006572202C1E +:041BA10000797274E1 +:041BA2004353534F07 +:041BA3007766202021 +:041BA4007525202E55 +:041BA500322E252E89 +:041BA6000000617565 +:041BA70074696E49A6 +:041BA80072726520D0 +:041BA9002020726F17 +:041BAA0000006425AE +:041BAB0065646F4DB1 +:041BAC0065727020CE +:041BAD003A746573AE +:041BAE000000000033 +:041BAF0072666552A3 +:041BB00020687365D1 +:041BB1006574617284 +:041BB2000000003AF5 +:041BB300252E752541 +:041BB4004875322E10 +:041BB5000000007AB2 +:041BB60020562F483E +:041BB700636E79736D +:041BB8003A6E656CB0 +:041BB9000000000028 +:041BBA0075352D252B +:041BBB00352D25207F +:041BBC0000000075B0 +:041BBD0020562F4837 +:041BBE006B63616292 +:041BBF0063726F706E +:041BC00000003A687F +:041BC10020562F4833 +:041BC200697463617E +:041BC300003A657609 +:041BC40020562F4830 +:041BC50061746F7464 +:041BC60000003A6C75 +:041BC700666F725083 +:041BC8003A656C69A5 +:041BC9000000000018 +:041BCA006D72694689 +:041BCB006572617767 +:041BCC000000003ADB +:041BCD00252E752527 +:041BCE006175322EDD +:041BCF004D20402045 +:041BD00032207961E5 +:041BD1003032203955 +:041BD20000003332AA +:041BD300656E694C86 +:041BD400746C756D4B +:041BD500646F6D20AC +:041BD60000003A656C +:041BD7007365727050 +:041BD8002D31207318 +:041BD90000000035D3 +:041BDA0020787525D5 +:041BDB0075736E753B +:041BDC00726F707044 +:041BDD0000646574C7 +:041BDE00666F72506C +:041BDF0020656C69A8 +:041BE00064616F6C61 +:041BE1000000003AC6 +:041BE2007365727045 +:041BE30075252073D1 +:041BE4000075252D36 +:041BE500202020207C +:041BE60053204F4EEB +:041BE70000434E5910 +:041BE8003431303232 +:041BE9003230322D37 +:041BEA006D20203317 +:041BEB00737172613F +:041BEC0000000000F5 +:041BED00071F1F01AE +:041BEE0003030F0FCF +:041BEF0000010301ED +:041BF00000014EE2C0 +:041BF10000014F9C04 +:041BF20000014F9C03 +:041BF30000014FB2EC +:041BF40000014FB2EB +:041BF50000014FBCE0 +:041BF60000014FC0DB +:041BF70000014FCECC +:041BF80000014FCECB +:041BF90000014FE0B8 +:041BFA00000155563B +:041BFB000001555E32 +:041BFC000001554E41 +:041BFD000001555A34 +:041BFE00000155622B +:041BFF00000155523A +:041C0000000155AEDC +:041C01000001556623 +:041C02000001556A1E +:041C03000001556E19 +:041C0400000155AED8 +:041C0500000155AED7 +:041C0600000155AED6 +:041C0700000155AED5 +:041C0800000155AED4 +:041C090000015B96E5 +:041C0A00000155B2CE +:041C0B000001576E0F +:041C0C000001577C00 +:041C0D000001581664 +:041C0E000001585E1B +:041C0F000001585E1A +:041C1000000158CAAD +:041C1100000159C4B1 +:041C1200000159C4B0 +:041C130000015AAAC8 +:041C14006E6920488D +:041C1500767265740A +:041C160000006C61FD +:041C17006E6920567C +:041C18007672657407 +:041C190000006C61FA +:041C1A002D6275536F +:041C1B00656E696C1D +:041C1C0073203120E0 +:041C1D0000007274DD +:041C1E002D6275536B +:041C1F00656E696C19 +:041C200073203220DB +:041C210000007274D9 +:041C22002D62755367 +:041C2300656E696C15 +:041C240073203320D6 +:041C250000007274D5 +:041C26002D62755363 +:041C2700656E696C11 +:041C280073203420D1 +:041C290000007274D1 +:041C2A002D6275535F +:041C2B00656E696C0D +:041C2C0073203520CC +:041C2D0000007274CD +:041C2E002D6275535B +:041C2F00756C6F63FE +:041C300031206E6D84 +:041C31007274732036 +:041C320000000000AE +:041C33002D62755356 +:041C3400756C6F63F9 +:041C350032206E6D7E +:041C36007274732031 +:041C370000000000A9 +:041C38002D62755351 +:041C3900756C6F63F4 +:041C3A0033206E6D78 +:041C3B00727473202C +:041C3C0000000000A4 +:041C3D002D6275534C +:041C3E00756C6F63EF +:041C3F0034206E6D72 +:041C40007274732027 +:041C4100000000009F +:041C42002D62755347 +:041C4300756C6F63EA +:041C440035206E6D6C +:041C45007274732022 +:041C4600000000009A +:041C47002D62755342 +:041C4800756C6F63E5 +:041C490036206E6D66 +:041C4A00727473201D +:041C4B000000000095 +:041C4C0073202E488B +:041C4D006C706D61E9 +:041C4E0074617265E6 +:041C4F00000000652C +:041C500073202E4887 +:041C51007461722E1A +:041C52007266206531 +:041C530000006361C9 +:041C540073202E4883 +:041C55006C636E79D5 +:041C560000006E65B7 +:041C570062202E4891 +:041C5800706B6361E9 +:041C59006863726FDB +:041C5A000000000086 +:041C5B0061202E488E +:041C5C0076697463CE +:041C5D00000000651E +:041C5E0073202E566B +:041C5F006C636E79CB +:041C600000006E65AD +:041C610062202E5679 +:041C6200706B6361DF +:041C63006863726FD1 +:041C6400000000007C +:041C650061202E5676 +:041C660076697463C4 +:041C67000000006514 +:041C68006D202E4875 +:041C6900006B736138 +:041C6A006D202E5665 +:041C6B00006B736136 +:041C6C00706D6153E3 +:041C6D00676E696CC9 +:041C6E006168702019 +:041C6F000000657399 +:041C700000007332CB +:041C710000007335C7 +:041C7200007330319A +:041C73000066664F52 +:041C74006C6C7546D9 +:041C7500000000006B +:041C7600706D6953D1 +:041C77000000656C98 +:041C780000007333C2 +:041C79000073303391 +:041C7A0072727543CA +:041C7B0020746E65FE +:041C7C0075706E69A8 +:041C7D0000000074EF +:041C7E00206C6C4129 +:041C7F0075706E69A5 +:041C80000000737479 +:041C81002066664F24 +:041C8200207366283D +:041C83003639203D91 +:041C8400297A486B06 +:041C8500000000005B +:041C86002020783270 +:041C87002073662838 +:041C88003834203D8F +:041C8900297A486B01 +:041C8A000000000056 +:041C8B00003156418D +:041C8C00003256418B +:041C8D0063616C42E1 +:041C8E000000006BE7 +:041C8F0065756C42C9 +:041C90000000000050 +:041C910065657247CC +:041C92000000006EE0 +:041C93006E617943C2 +:041C9400000000004C +:041C95000064655230 +:041C96006567614DD0 +:041C97000061746E06 +:041C98006C6C6559B2 +:041C99000000776F61 +:041C9A0074696857AA +:041C9B0000000065E0 +:041C9C0069726F48B2 +:041C9D00746E6F7A78 +:041C9E0000006C6175 +:041C9F0074726556A0 +:041CA0006C616369A7 +:041CA100000000003F +:041CA20069726F48AC +:041CA3002B202E7A4A +:041CA40072655620EF +:041CA50000002E7499 +:041CA600747375439B +:041CA70000006D6F5D +:041CA80000706F5405 +:041CA90074746F429E +:041CAA0000006D6F5A +:041CAB00746C754D93 +:041CAC00696C706986 +:041CAD006974616392 +:041CAE0000006E6F55 +:041CAF007462755393 +:041CB0007463617286 +:041CB100006E6F69E9 +:041CB2006F74754195 :041CB300000000002D -:041CB400656E694CA4 -:041CB5004720783319 -:041CB60072656E6580 -:041CB700000063695D -:041CB800656E694CA0 -:041CB900322078322B -:041CBA003378303417 -:041CBB0000003036BF -:041CBC00656E694C9C -:041CBD003220783326 -:041CBE003378303413 -:041CBF0000003036BB -:041CC000656E694C98 -:041CC1000000783374 -:041CC200656E694C96 -:041CC3000000783471 -:041CC400656E694C94 -:041CC500000078356E -:041CC6004D382E3433 -:041CC70028207A480F -:041CC80056544448E2 -:041CC9002943502F2C -:041CCA000000000016 -:041CCB004D352E3035 -:041CCC0028207A480A -:041CCD0056544453D2 -:041CCE0000000029E9 -:041CCF004D372E312E -:041CD00028207A4806 -:041CD10056544445DC -:041CD20000000029E5 -:041CD3004D352E322B -:041CD40028207A4802 -:041CD5002978616D9C -:041CD600000000000A -:041CD700484D303113 -:041CD8006D28207AD9 -:041CD9000029646515 -:041CDA00484D33330B -:041CDB006D28207AD6 -:041CDC0000296E6904 -:041CDD002041475605 -:041CDE0078303436F0 -:041CDF00403030342D -:041CE0000000303799 -:041CE1002041475601 -:041CE20078303237ED -:041CE3004030303429 -:041CE4000000303795 -:041CE50020565444ED -:041CE60070303834EE -:041CE70000000000F9 -:041CE80041534556C9 -:041CE900303436203D -:041CEA0030383478E2 -:041CEB00003036404F -:041CEC0020505350E1 -:041CED0078303834DF -:041CEE000032373257 -:041CEF002E636552A9 -:041CF0003130362039 -:041CF10000000000EF -:041CF2002E636552A6 -:041CF300393037202D -:041CF40000000000EC -:041CF500484D3539E8 -:041CF6004828207AE0 -:041CF70020565444DB -:041CF800002949492D -:041CF900484D3533EA -:041CFA004828207ADC -:041CFB0020565444D7 -:041CFC000000294972 -:041CFD00484D3631E7 -:041CFE004528207ADB -:041CFF0029565444CA -:041D000000000000DF -:041D01007A484D3996 -:041D020044532820FE -:041D03000029565409 -:041D04006B6E694C4D -:041D05006F72702069 -:041D0600693E2D669F -:041D07007475706E11 -:041D080000000000D7 -:041D09006B6E694C48 -:041D0A00706E69206E -:041D0B003E2D747580 -:041D0C00666F72701C -:041D0D0000000000D2 -:041D0E0074696E493D -:041D0F00206C61697A -:041D100075706E6913 -:041D1100000000745A -:041D12006F74754134 -:041D1300657465642A -:041D1400692074636B -:041D15007475706E03 +:041CB40000006E4F6F +:041CB500494D444809 +:041CB6004752282049 +:041CB70000002942BE +:041CB800494D444806 +:041CB9004359282043 +:041CBA0034724362DB +:041CBB000029343494 +:041CBC000049564441 +:041CBD007565735086 +:041CBE0034206F64FB +:041CBF004420333A50 +:041CC000000052418D +:041CC10020313A3163 +:041CC200005241503B +:041CC3003032393151 +:041CC400383031780B +:041CC50000000030EB +:041CC6003030363153 +:041CC700303231780E +:041CC80000000030E8 +:041CC900303239314B +:041CCA00303231780B +:041CCB0000000030E5 +:041CCC00656E654795 +:041CCD0020636972B5 +:041CCE00393A363138 +:041CCF000000000011 +:041CD000656E654791 +:041CD10020636972B1 +:041CD20000333A346D +:041CD30078323135FD +:041CD4002030343256 +:041CD5006974706F4F +:041CD60000002E6D6F +:041CD70078343833F2 +:041CD8002030343252 +:041CD9006974706F4B +:041CDA0000002E6D6B +:041CDB0078303233F8 +:041CDC00203034324E +:041CDD006974706F47 +:041CDE0000002E6D67 +:041CDF0078363532EC +:041CE000203034324A +:041CE1006974706F43 +:041CE20000002E6D63 +:041CE3007373615066 +:041CE4007572687439 +:041CE50000000000FB +:041CE600656E694C72 +:041CE7002820783207 +:041CE80029626F629C +:041CE90000000000F7 +:041CEA00656E694C6E +:041CEB00000078324B +:041CEC00656E694C6C +:041CED002820783300 +:041CEE006563616C5D +:041CEF000000296464 +:041CF000656E694C68 +:041CF10028207834FB +:041CF20029626F6292 +:041CF30000000000ED +:041CF400656E694C64 +:041CF50047207833D9 +:041CF60072656E6540 +:041CF700000063691D +:041CF800656E694C60 +:041CF90032207832EB +:041CFA0033783034D7 +:041CFB00000030367F +:041CFC00656E694C5C +:041CFD0032207833E6 +:041CFE0033783034D3 +:041CFF00000030367B +:041D0000656E694C57 +:041D01000000783333 +:041D0200656E694C55 +:041D03000000783430 +:041D0400656E694C53 +:041D0500000078352D +:041D06004D382E34F2 +:041D070028207A48CE +:041D080056544448A1 +:041D09002943502FEB +:041D0A0000000000D5 +:041D0B004D352E30F4 +:041D0C0028207A48C9 +:041D0D005654445391 +:041D0E0000000029A8 +:041D0F004D372E31ED +:041D100028207A48C5 +:041D1100565444459B +:041D120000000029A4 +:041D13004D352E32EA +:041D140028207A48C1 +:041D15002978616D5B :041D160000000000C9 -:041D17006F7475412F -:041D180031564120DF -:041D1900472F5920D7 -:041D1A000000007352 -:041D1B006F7475412B -:041D1C0032564120DA -:041D1D00472F5920D3 -:041D1E00000000734E -:041D1F006F74754127 -:041D200033564120D5 -:041D2100472F5920CF -:041D2200000000734A -:041D23002044434CC9 -:041D240074204C4299 -:041D25006F656D6910 -:041D260000007475D0 -:041D27000044534FD2 -:041D28002044534FB1 -:041D290074617473FA -:041D2A006420737549 -:041D2B002E7073693A -:041D2C0000000000B3 -:041D2D007361685026 -:041D2E006F68206555 -:041D2F0079656B74F3 -:041D300000000000AF -:041D3100616F4C3C56 -:041D32007270206447 -:041D33006C69666F02 -:041D3400003E2065E8 -:041D35007661533C44 -:041D36007270206542 -:041D37006C69666FFE -:041D3800003E2065E4 -:041D39007365523C40 -:041D3A007320746539 -:041D3B0069747465EE -:041D3C003E73676E1D -:041D3D0000000000A2 -:041D3E00706D493C3F -:041D3F002074726F2B -:041D400074746573DF -:041D41003E20202EF2 -:041D4200000000009D -:041D43007078453C33 -:041D44002074726F26 -:041D450074746573DA -:041D46003E20202EED -:041D47000000000098 -:041D48002E77463C70 -:041D4900647075202D -:041D4A00206574613B -:041D4B003E202020F6 -:041D4C000000000093 -:041D4D006E776F44FA -:041D4E006D61732D23 -:041D4F006E696C70DD -:041D50000000006728 -:041D510070617753F3 -:041D520066656C2036 -:041D530069722F740E -:041D54000074686748 -:041D55006F6E6F4DF1 -:041D5600646F6D2029 -:041D57000000006523 -:041D58002D65725033 -:041D5900204344419E -:041D5A006E696167E6 -:041D5B000000000084 -:041D5C006C6C7546F0 -:041D5D002058542096 -:041D5E0075746573C0 -:041D5F000000007010 -:041D60002033564195 -:041D61002065737511 -:041D62002E746C610E -:041D63004247522081 -:041D6400000000007B -:041D6500616665440A -:041D660020746C7504 -:041D6700494D444856 -:041D68004349562075 -:041D69000000000076 -:041D6A006B73614DE9 -:041D6B006C6F632016 -:041D6C000000726F92 -:041D6D006B73614DE6 -:041D6E006972622014 -:041D6F006E746867BF -:041D70000073736524 -:041D71006E616353E9 -:041D7200656E696CC5 -:041D730000000073F9 -:041D7400202E6C535E -:041D750065727473AC -:041D76006874676EB8 -:041D77000000000068 -:041D7800202E6C535A -:041D79006874656DB8 -:041D7A000000646F92 -:041D7B00202E6C5357 -:041D7C0065746C61BD -:041D7D0074616E72AD -:041D7E0000676E6923 -:041D7F00202E6C5353 -:041D800067696C61C2 -:041D81006E656D6EB0 -:041D820000000074E9 -:041D8300202E6C534F -:041D84006570797499 -:041D8500000000005A -:041D86004320203C9A -:041D87006F7473758D -:041D88006C53206D0B -:041D89003E20202EAA -:041D8A000000000055 -:041D8B00703034324E -:041D8C003838322F82 -:041D8D0072702070E0 -:041D8E000000636F7F -:041D8F007034383341 -:041D90003030342F8C -:041D910072702070DC -:041D92000000636F7B -:041D93006930383447 -:041D94003637352F7A -:041D950072702069DF -:041D96000000636F77 -:041D9700703038343C -:041D98003637352F76 -:041D990072702070D4 -:041D9A000000636F73 -:041D9B00693036393C -:041D9C003830312F7B -:041D9D007020693019 -:041D9E0000636F72FD -:041D9F00656E694CB8 -:041DA0006D20783208 -:041DA1000065646F06 -:041DA200656E694CB5 -:041DA3006D20783304 -:041DA4000065646F03 -:041DA500656E694CB2 -:041DA6006D20783400 -:041DA7000065646F00 -:041DA800656E694CAF -:041DA9006D207835FC -:041DAA000065646FFD -:041DAB00656E694CAC -:041DAC006620783500 -:041DAD00616D726F83 -:041DAE0000000074BD -:041DAF00783635321B -:041DB0002030343279 -:041DB1006570736185 -:041DB2000000746356 -:041DB3006D205854F3 -:041DB4000065646FF3 -:041DB500494D444808 -:041DB6004354492029 +:041D1700484D3031D2 +:041D18006D28207A98 +:041D190000296465D4 +:041D1A00484D3333CA +:041D1B006D28207A95 +:041D1C0000296E69C3 +:041D1D0020414756C4 +:041D1E0078303436AF +:041D1F0040303034EC +:041D20000000303758 +:041D210020414756C0 +:041D220078303237AC +:041D230040303034E8 +:041D24000000303754 +:041D250020565444AC +:041D260070303834AD +:041D270000000000B8 +:041D28004153455688 +:041D290030343620FC +:041D2A0030383478A1 +:041D2B00003036400E +:041D2C0020505350A0 +:041D2D00783038349E +:041D2E000032373216 +:041D2F002E63655268 +:041D300031303620F8 +:041D310000000000AE +:041D32002E63655265 +:041D330039303720EC +:041D340000000000AB +:041D3500484D3539A7 +:041D36004828207A9F +:041D3700205654449A +:041D380000294949EC +:041D3900484D3533A9 +:041D3A004828207A9B +:041D3B002056544496 +:041D3C000000294931 +:041D3D00484D3631A6 +:041D3E004528207A9A +:041D3F002956544489 +:041D4000000000009F +:041D41007A484D3956 +:041D420044532820BE +:041D430000295654C9 +:041D44006B6E694C0D +:041D45006F72702029 +:041D4600693E2D665F +:041D47007475706ED1 +:041D48000000000097 +:041D49006B6E694C08 +:041D4A00706E69202E +:041D4B003E2D747540 +:041D4C00666F7270DC +:041D4D000000000092 +:041D4E0074696E49FD +:041D4F00206C61693A +:041D500075706E69D3 +:041D5100000000741A +:041D52006F747541F4 +:041D530065746564EA +:041D5400692074632B +:041D55007475706EC3 +:041D56000000000089 +:041D57006F747541EF +:041D5800315641209F +:041D5900472F592097 +:041D5A000000007312 +:041D5B006F747541EB +:041D5C00325641209A +:041D5D00472F592093 +:041D5E00000000730E +:041D5F006F747541E7 +:041D60003356412095 +:041D6100472F59208F +:041D6200000000730A +:041D63002044434C89 +:041D640074204C4259 +:041D65006F656D69D0 +:041D66000000747590 +:041D67000044534F92 +:041D68002044534F71 +:041D690074617473BA +:041D6A006420737509 +:041D6B002E707369FA +:041D6C000000000073 +:041D6D0073616850E6 +:041D6E006F68206515 +:041D6F0079656B74B3 +:041D7000000000006F +:041D7100616F4C3C16 +:041D72007270206407 +:041D73006C69666FC2 +:041D7400003E2065A8 +:041D75007661533C04 +:041D76007270206502 +:041D77006C69666FBE +:041D7800003E2065A4 +:041D79007365523C00 +:041D7A0073207465F9 +:041D7B0069747465AE +:041D7C003E73676EDD +:041D7D000000000062 +:041D7E00706D493CFF +:041D7F002074726FEB +:041D8000747465739F +:041D81003E20202EB2 +:041D8200000000005D +:041D83007078453CF3 +:041D84002074726FE6 +:041D8500747465739A +:041D86003E20202EAD +:041D87000000000058 +:041D88002E77463C30 +:041D890064707520ED +:041D8A0020657461FB +:041D8B003E202020B6 +:041D8C000000000053 +:041D8D006E776F44BA +:041D8E006D61732DE3 +:041D8F006E696C709D +:041D900000000067E8 +:041D910070617753B3 +:041D920066656C20F6 +:041D930069722F74CE +:041D94000074686708 +:041D95006F6E6F4DB1 +:041D9600646F6D20E9 +:041D970000000065E3 +:041D98002D657250F3 +:041D9900204344415E +:041D9A006E696167A6 +:041D9B000000000044 +:041D9C006C6C7546B0 +:041D9D002058542056 +:041D9E007574657380 +:041D9F0000000070D0 +:041DA0002033564155 +:041DA10020657375D1 +:041DA2002E746C61CE +:041DA3004247522041 +:041DA400000000003B +:041DA50061666544CA +:041DA60020746C75C4 +:041DA700494D444816 +:041DA8004349562035 +:041DA9000000000036 +:041DAA006B73614DA9 +:041DAB006C6F6320D6 +:041DAC000000726F52 +:041DAD006B73614DA6 +:041DAE0069726220D4 +:041DAF006E7468677F +:041DB00000737365E4 +:041DB1006E616353A9 +:041DB200656E696C85 +:041DB30000000073B9 +:041DB400202E6C531E +:041DB500657274736C +:041DB6006874676E78 :041DB7000000000028 -:041DB8006C616E41AB -:041DB9007320676FBD -:041DBA0020636E79BB -:041DBB000046504C42 -:041DBC006C616E41A7 -:041DBD005320676FD9 -:041DBE004C2043541E -:041DBF00000046508A -:041DC0006C616E41A3 -:041DC1007320676FB5 -:041DC20020636E79B3 -:041DC30000687456EA -:041DC4006E79734879 -:041DC5006F742063B4 -:041DC6006172656C75 -:041DC7000065636EE2 -:041DC8006E79735667 -:041DC90068742063B7 -:041DCA006873657263 -:041DCB0000646C6FD5 -:041DCC004C502D4802 -:041DCD007250204CE4 -:041DCE006F432D65CD -:041DCF0000747361C8 -:041DD0004C502D48FE -:041DD1006F50204CE3 -:041DD200432D7473B6 -:041DD3007473616F55 -:041DD400000000000B -:041DD50070303834FE -:041DD600206E6920F2 -:041DD700706D617357 -:041DD8000072656CC4 -:041DD9007030303402 -:041DDA00206E6920EE -:041DDB00706D617353 -:041DDC000072656CC0 -:041DDD006F6C6C417A -:041DDE007075207785 -:041DDF00706D61734F -:041DE0007832656C84 -:041DE10000000000FE -:041DE2007664413CA6 -:041DE3006974202ED1 -:041DE400676E696D50 -:041DE5003E2020205C -:041DE60000000000F9 -:041DE7006564695670 -:041DE800504C206FCC -:041DE90000000046B0 -:041DEA00506250599A -:041DEB006E6920728B -:041DEC006C6F4320B5 -:041DED0000617053CE -:041DEE0072502F52AE -:041DEF0066666F2095 -:041DF00000746573A3 -:041DF10020592F47FF -:041DF2007366666F3F -:041DF3000000746513 -:041DF40062502F42C8 -:041DF50066666F208F -:041DF600007465739D -:041DF70072502F52A5 -:041DF8006961672096 -:041DF9000000006E78 -:041DFA0020592F47F6 -:041DFB006E69616745 -:041DFC0000000000E3 -:041DFD0062502F42BF -:041DFE006961672090 -:041DFF000000006E72 -:041E00002D6572508A -:041E010020434441F5 -:041E02006E6961475D -:041E030000000000DB -:041E04006D616C435D -:041E05004C412F70AD -:041E0600666F2043A0 -:041E07007465736625 -:041E080000000000D6 -:041E090020434C41E5 -:041E0A00696620568F -:041E0B007265746C1C -:041E0C0000000000D2 -:041E0D0020434C41E1 -:041E0E006966204899 -:041E0F007265746C18 -:041E100000000000CE -:041E11006564695645 -:041E12006E69206F66 -:041E13006F7270205A -:041E14003E202063E9 -:041E150000000000C9 -:041E1600706D615337 -:041E1700676E696C1D -:041E180074706F2053 -:041E19003E20202E19 -:041E1A0000000000C4 -:041E1B00636E795326 -:041E1C0074706F204F -:041E1D002020202E33 -:041E1E003E20202022 -:041E1F0000000000BF -:041E20007074754F16 -:041E21006F20747545 -:041E2200202E74708A -:041E23003E2020201D -:041E240000000000BA -:041E25006E61635334 -:041E2600656E696C10 -:041E270074706F2044 -:041E28003E20202E0A -:041E290000000000B5 -:041E2A0074736F500E -:041E2B006F72702D35 -:041E2C0020202E63E1 -:041E2D003E20202013 -:041E2E0000000000B0 -:041E2F00706D6F4320 -:041E3000626974610E -:041E310074696C69FB -:041E32003E202079B5 -:041E330000000000AB -:041E34006964754127 -:041E3500706F206F3B -:041E36006E6F6974EE -:041E37003E202073B6 -:041E380000000000A6 -:041E39007474655305 -:041E3A0073676E69F3 -:041E3B0074706F2030 -:041E3C003E20202004 -:041E3D0000000000A1 -:041E3E007473655400 -:041E3F00746170203A -:041E40006E726574E5 -:041E4100000000009D -:041E42005F31564175 -:041E4300534247526D -:041E4400000000009A -:041E45005F31564172 -:041E4600427347524A -:041E47000000000097 -:041E48005F3156416F -:041E4900506250593A -:041E4A000000007222 -:041E4B005F3256416B -:041E4C005062505937 -:041E4D00000000721F -:041E4E005F32564168 -:041E4F004273475241 +:041DB800202E6C531A +:041DB9006874656D78 +:041DBA000000646F52 +:041DBB00202E6C5317 +:041DBC0065746C617D +:041DBD0074616E726D +:041DBE0000676E69E3 +:041DBF00202E6C5313 +:041DC00067696C6182 +:041DC1006E656D6E70 +:041DC20000000074A9 +:041DC300202E6C530F +:041DC4006570797459 +:041DC500000000001A +:041DC6004320203C5A +:041DC7006F7473754D +:041DC8006C53206DCB +:041DC9003E20202E6A +:041DCA000000000015 +:041DCB00703034320E +:041DCC003838322F42 +:041DCD0072702070A0 +:041DCE000000636F3F +:041DCF007034383301 +:041DD0003030342F4C +:041DD100727020709C +:041DD2000000636F3B +:041DD3006930383407 +:041DD4003637352F3A +:041DD500727020699F +:041DD6000000636F37 +:041DD70070303834FC +:041DD8003637352F36 +:041DD9007270207094 +:041DDA000000636F33 +:041DDB0069303639FC +:041DDC003830312F3B +:041DDD0070206930D9 +:041DDE0000636F72BD +:041DDF00656E694C78 +:041DE0006D207832C8 +:041DE1000065646FC6 +:041DE200656E694C75 +:041DE3006D207833C4 +:041DE4000065646FC3 +:041DE500656E694C72 +:041DE6006D207834C0 +:041DE7000065646FC0 +:041DE800656E694C6F +:041DE9006D207835BC +:041DEA000065646FBD +:041DEB00656E694C6C +:041DEC0066207835C0 +:041DED00616D726F43 +:041DEE00000000747D +:041DEF0078363532DB +:041DF0002030343239 +:041DF1006570736145 +:041DF2000000746316 +:041DF3006D205854B3 +:041DF4000065646FB3 +:041DF500494D4448C8 +:041DF60043544920E9 +:041DF70000000000E8 +:041DF8006C616E416B +:041DF9007320676F7D +:041DFA0020636E797B +:041DFB000046504C02 +:041DFC006C616E4167 +:041DFD005320676F99 +:041DFE004C204354DE +:041DFF00000046504A +:041E00006C616E4162 +:041E01007320676F74 +:041E020020636E7972 +:041E030000687456A9 +:041E04006E79734838 +:041E05006F74206373 +:041E06006172656C34 +:041E07000065636EA1 +:041E08006E79735626 +:041E09006874206376 +:041E0A006873657222 +:041E0B0000646C6F94 +:041E0C004C502D48C1 +:041E0D007250204CA3 +:041E0E006F432D658C +:041E0F000074736187 +:041E10004C502D48BD +:041E11006F50204CA2 +:041E1200432D747375 +:041E13007473616F14 +:041E140000000000CA +:041E150070303834BD +:041E1600206E6920B1 +:041E1700706D617316 +:041E18000072656C83 +:041E190070303034C1 +:041E1A00206E6920AD +:041E1B00706D617312 +:041E1C000072656C7F +:041E1D006F6C6C4139 +:041E1E007075207744 +:041E1F00706D61730E +:041E20007832656C43 +:041E210000000000BD +:041E22007664413C65 +:041E23006974202E90 +:041E2400676E696D0F +:041E25003E2020201B +:041E260000000000B8 +:041E2700656469562F +:041E2800504C206F8B +:041E2900000000466F +:041E2A005062505959 +:041E2B006E6920724A +:041E2C006C6F432074 +:041E2D00006170538D +:041E2E0072502F526D +:041E2F0066666F2054 +:041E30000074657362 +:041E310020592F47BE +:041E32007366666FFE +:041E330000007465D2 +:041E340062502F4287 +:041E350066666F204E +:041E3600007465735C +:041E370072502F5264 +:041E38006961672055 +:041E39000000006E37 +:041E3A0020592F47B5 +:041E3B006E69616704 +:041E3C0000000000A2 +:041E3D0062502F427E +:041E3E00696167204F +:041E3F000000006E31 +:041E40002D6572504A +:041E410020434441B5 +:041E42006E6961471D +:041E4300000000009B +:041E44006D616C431D +:041E45004C412F706D +:041E4600666F204360 +:041E470074657366E5 +:041E48000000000096 +:041E490020434C41A5 +:041E4A00696620564F +:041E4B007265746CDC +:041E4C000000000092 +:041E4D0020434C41A1 +:041E4E006966204859 +:041E4F007265746CD8 :041E5000000000008E -:041E51005F33564164 -:041E52004842475269 -:041E53000000005635 -:041E54005F33564161 -:041E5500534247525B -:041E56000000000088 -:041E57005F3356415E -:041E58004273475238 -:041E59000000000085 -:041E5A005F3356415B -:041E5B005062505928 -:041E5C000000007210 -:041E5D007473614CED -:041E5E006573752013 -:041E5F00000000641B -:041E6000000000314D -:041E6100000000324B -:041E62000000003349 -:041E63000000003447 -:041E64000000003644 -:041E65000000003742 -:041E66000000003840 -:041E6700000000393E -:041E6800554E454D41 +:041E51006564695605 +:041E52006E69206F26 +:041E53006F7270201A +:041E54003E202063A9 +:041E55000000000089 +:041E5600706D6153F7 +:041E5700676E696CDD +:041E580074706F2013 +:041E59003E20202ED9 +:041E5A000000000084 +:041E5B00636E7953E6 +:041E5C0074706F200F +:041E5D002020202EF3 +:041E5E003E202020E2 +:041E5F00000000007F +:041E60007074754FD6 +:041E61006F20747505 +:041E6200202E74704A +:041E63003E202020DD +:041E6400000000007A +:041E65006E616353F4 +:041E6600656E696CD0 +:041E670074706F2004 +:041E68003E20202ECA :041E69000000000075 -:041E6A0000004B4FDA -:041E6B004B43414262 -:041E6C000000000072 -:041E6D0000005055CC -:041E6E004E574F4438 -:041E6F00000000006F -:041E70005446454C43 -:041E7100000000006D -:041E72004847495242 -:041E73000000005417 -:041E74004F464E493E -:041E75000000000069 -:041E76005F44434C36 -:041E77004B43414256 -:041E78004847494C42 -:041E79000000005411 -:041E7A004E4143533F -:041E7B00454E494C3B -:041E7C00444F4D5F23 -:041E7D00000000451C -:041E7E004E4143533B -:041E7F00454E494C37 -:041E80005059545F02 -:041E81000000004518 -:041E82004E41435337 -:041E8300454E494C33 -:041E8400544E495F10 -:041E85000000002B2E -:041E86004E41435333 -:041E8700454E494C2F -:041E8800544E495F0C -:041E89000000002D28 -:041E8A00454E494C2C -:041E8B00544C554D11 -:041E8C00444F4D5F13 -:041E8D00000000450C -:041E8E005341485024 -:041E8F0000002B45DF -:041E90005341485022 -:041E910000002D45DB -:041E9200464F525015 -:041E93005F454C4912 -:041E94004B544F4814 -:041E950000005945AB -:041E96000303020040 -:041E97000200010242 -:041E98000000000343 -:041E9900000178F8D4 -:041E9A0000017908C2 -:041E9B0000017914B5 -:041E9C0000017920A8 -:041E9D000001792C9B -:041E9E00000179388E -:041E9F000001794481 -:041EA0000001795074 -:041EA1000001795C67 -:041EA200000179685A -:041EA300000179744D -:041EA400000173BC0A -:041EA5000000200019 -:041EA60020002CE507 -:041EA700E926F4FD37 -:041EA80038BC200022 +:041E6A0074736F50CE +:041E6B006F72702DF5 +:041E6C0020202E63A1 +:041E6D003E202020D3 +:041E6E000000000070 +:041E6F00706D6F43E0 +:041E700062697461CE +:041E710074696C69BB +:041E72003E20207975 +:041E7300000000006B +:041E740069647541E7 +:041E7500706F206FFB +:041E76006E6F6974AE +:041E77003E20207376 +:041E78000000000066 +:041E790074746553C5 +:041E7A0073676E69B3 +:041E7B0074706F20F0 +:041E7C003E202020C4 +:041E7D000000000061 +:041E7E0074736554C0 +:041E7F0074617020FA +:041E80006E726574A5 +:041E8100000000005D +:041E82005F31564135 +:041E8300534247522D +:041E8400000000005A +:041E85005F31564132 +:041E8600427347520A +:041E87000000000057 +:041E88005F3156412F +:041E890050625059FA +:041E8A0000000072E2 +:041E8B005F3256412B +:041E8C0050625059F7 +:041E8D0000000072DF +:041E8E005F32564128 +:041E8F004273475201 +:041E9000000000004E +:041E91005F33564124 +:041E92004842475229 +:041E930000000056F5 +:041E94005F33564121 +:041E9500534247521B +:041E96000000000048 +:041E97005F3356411E +:041E980042734752F8 +:041E99000000000045 +:041E9A005F3356411B +:041E9B0050625059E8 +:041E9C0000000072D0 +:041E9D007473614CAD +:041E9E0065737520D3 +:041E9F0000000064DB +:041EA000000000310D +:041EA100000000320B +:041EA2000000003309 +:041EA3000000003407 +:041EA4000000003604 +:041EA5000000003702 +:041EA6000000003800 +:041EA70000000039FE +:041EA800554E454D01 :041EA9000000000035 -:041EAA00000173C8F8 -:041EAB000000200013 -:041EAC002000323EA2 -:041EAD00F113FA042F -:041EAE003B61200074 +:041EAA0000004B4F9A +:041EAB004B43414222 +:041EAC000000000032 +:041EAD00000050558C +:041EAE004E574F44F8 :041EAF00000000002F -:041EB0000D80600041 -:041EB10000402010BD -:041EB2000880002084 -:041EB3000008000221 -:041EB400000000002A -:041EB5000D8060003C -:041EB60000402008C0 -:041EB7000480002083 -:041EB800000800021C -:041EB9000000000025 -:041EBA000D80600037 -:041EBB0000441C07BC -:041EBC000280002080 -:041EBD000008000217 -:041EBE000000000020 -:041EBF000D80600032 -:041EC00000402004BA -:041EC100028000207B -:041EC2000008000212 -:041EC300000000001B -:041EC4000D8060002D -:041EC50000441C05B4 -:041EC6000180002077 -:041EC700000800020D -:041EC8000000000016 -:041EC9000E40600067 -:041ECA0000281407D1 -:041ECB000280002071 -:041ECC000008000208 -:041ECD000000000011 -:041ECE00000198D3A4 -:041ECF000000000E01 -:041ED0000001248A5F -:041ED1000001798013 -:041ED200000179840E -:041ED3000001798809 -:041ED4000001798C04 -:041ED50000016E7426 -:041ED60000017990FE -:041ED70000017994F9 -:041ED80000017998F4 -:041ED9000001799CEF -:041EDA000001722071 -:041EDB00000179A0E9 -:041EDC00000179A8E0 -:041EDD00000179ACDB -:041EDE00000179B4D2 -:041EDF00000179B8CD -:041EE000000179C0C4 -:041EE100000179C8BB -:041EE200000179D0B2 -:041EE300000179D8A9 -:041EE400000179E898 -:041EE500000179F887 -:041EE60000017A0875 -:041EE70000017A1864 -:041EE80000017A2853 -:041EE90000017A3842 -:041EEA0000017A4039 -:041EEB0000017A4830 -:041EEC003EA93E29A4 -:041EED003EE93E6923 -:041EEE003E993E19C2 -:041EEF003ED93E5941 -:041EF0003EC93E3970 -:041EF1003E1D3E4D07 -:041EF2003E2D3EED56 -:041EF3003EAD3ECDF5 -:041EF4003E653E6D9C -:041EF5001C483E0146 -:041EF6001C501C1848 -:041EF7001CC81CD017 -:041EF8005ED85E58FA -:041EF90000003EB9EE -:041EFA0000010101E1 -:041EFB0000010001E1 -:041EFC0000000000E2 -:041EFD008000000061 +:041EB0005446454C03 +:041EB100000000002D +:041EB2004847495202 +:041EB30000000054D7 +:041EB4004F464E49FE +:041EB5000000000029 +:041EB6005F44434CF6 +:041EB7004B43414216 +:041EB8004847494C02 +:041EB90000000054D1 +:041EBA004E414353FF +:041EBB00454E494CFB +:041EBC00444F4D5FE3 +:041EBD0000000045DC +:041EBE004E414353FB +:041EBF00454E494CF7 +:041EC0005059545FC2 +:041EC10000000045D8 +:041EC2004E414353F7 +:041EC300454E494CF3 +:041EC400544E495FD0 +:041EC5000000002BEE +:041EC6004E414353F3 +:041EC700454E494CEF +:041EC800544E495FCC +:041EC9000000002DE8 +:041ECA00454E494CEC +:041ECB00544C554DD1 +:041ECC00444F4D5FD3 +:041ECD0000000045CC +:041ECE0053414850E4 +:041ECF0000002B459F +:041ED00053414850E2 +:041ED10000002D459B +:041ED200464F5250D5 +:041ED3005F454C49D2 +:041ED4004B544F48D4 +:041ED500000059456B +:041ED6000303020000 +:041ED7000200010202 +:041ED8000000000303 +:041ED900000179F893 +:041EDA0000017A0881 +:041EDB0000017A1474 +:041EDC0000017A2067 +:041EDD0000017A2C5A +:041EDE0000017A384D +:041EDF0000017A4440 +:041EE00000017A5033 +:041EE10000017A5C26 +:041EE20000017A6819 +:041EE30000017A740C +:041EE400000174BCC9 +:041EE50000002000D9 +:041EE60020002CE5C7 +:041EE700E926F4FDF7 +:041EE80038BC2000E2 +:041EE90000000000F5 +:041EEA00000174C8B7 +:041EEB0000002000D3 +:041EEC002000323E62 +:041EED00F113FA04EF +:041EEE003B61200034 +:041EEF0000000000EF +:041EF0000D80600001 +:041EF100004020107D +:041EF2000880002044 +:041EF30000080002E1 +:041EF40000000000EA +:041EF5000D806000FC +:041EF6000040200880 +:041EF7000480002043 +:041EF80000080002DC +:041EF90000000000E5 +:041EFA000D806000F7 +:041EFB0000441C077C +:041EFC000280002040 +:041EFD0000080002D7 :041EFE0000000000E0 -:041EFF0000000001DE -:041F000000000000DD -:041F010000000000DC -:041F020000000000DB -:041F03000B000000CF -:041F0400000144068E -:041F050000000000D8 -:041F060080800903CB -:041F07001A1A1A8008 -:041F08000C000108C0 -:041F090000000000D4 -:041F0A0000000A00C9 -:041F0B00303036310B -:041F0C0030343278C3 +:041EFF000D806000F2 +:041F00000040200479 +:041F0100028000203A +:041F020000080002D1 +:041F030000000000DA +:041F04000D806000EC +:041F050000441C0573 +:041F06000180002036 +:041F070000080002CC +:041F080000000000D5 +:041F09000E40600026 +:041F0A000028140790 +:041F0B000280002030 +:041F0C0000080002C7 :041F0D0000000000D0 -:041F0E0000F0064099 -:041F0F0007FE177042 -:041F100001060000C6 -:041F1100000F00CAF3 -:041F12000000039632 -:041F130001030410B2 -:041F140000000001C8 -:041F150000200000A8 -:041F160030383231FC -:041F170030343278B8 -:041F180000000000C5 -:041F190000F00500CF -:041F1A00061817701E -:041F1B0001060000BB -:041F1C00000F00AA08 -:041F1D000000034875 -:041F1E0001030410A7 -:041F1F0000000001BD -:041F200000010200BA -:041F210078303639A5 -:041F22000030343225 -:041F230000000000BA -:041F240000F003C006 -:041F2500049217709B -:041F260001060000B0 -:041F2700000F008027 -:041F2800000003367C -:041F2900010304109C -:041F2A0000000001B2 -:041F2B0000000400AE -:041F2C0078323135A1 -:041F2D00003034321A -:041F2E0000000000AF -:041F2F0000F00200BC -:041F300002AA17707A -:041F310001060000A5 -:041F3200000E004D50 -:041F33000000033275 -:041F34000103041091 -:041F350000000001A7 -:041F3600004208104D -:041F3700783438338F -:041F3800003034320F -:041F390000000000A4 -:041F3A0000F0018032 -:041F3B000200177019 -:041F3C00010600009A -:041F3D00000E003B57 -:041F3E000000032577 -:041F3F000103041086 -:041F4000000000019C -:041F410000841020E8 -:041F4200783032338E -:041F43000030343204 -:041F44000000000099 -:041F450000F0014067 -:041F460001AA177065 -:041F4700010600008F -:041F4800000E003156 -:041F49000000031F72 -:041F4A00010304107B -:041F4B000000000191 -:041F4C000108204028 -:041F4D00783635327B -:041F4E0000303432F9 -:041F4F00000000008E -:041F500000F001009C -:041F510001551770AF -:041F52000106000084 -:041F5300000E002755 -:041F5400000003196D -:041F55000103041070 -:041F56000000000186 -:041F570002104080B4 -:041F5800703034327F -:041F59000000000084 -:041F5A00000800007B -:041F5B0000F002D0C0 -:041F5C00035A177598 -:041F5D000106000079 -:041F5E00000F003937 -:041F5F000000033E3D -:041F60000103041065 -:041F6100000000017B -:041F62000000000C6F -:041F630030303631B3 -:041F6400303432786B -:041F65000000004C2C -:041F660000F0064041 -:041F670007FE1388D6 -:041F6800013800003C -:041F6900002B00CA7F -:041F6A0000000396DA -:041F6B00010304105A -:041F6C00000000026F -:041F6D000020000050 -:041F6E0030383231A4 -:041F6F003838327854 -:041F7000000000006D -:041F71000120050046 -:041F720006181388B2 -:041F73000138000031 -:041F7400001300AAAC -:041F7500000003481D -:041F7600010304104F -:041F77000000000264 -:041F78000001020062 -:041F7900783036394D -:041F7A0000383832C1 -:041F7B000000000062 -:041F7C00012003C07D -:041F7D00049213882F -:041F7E000138000026 -:041F7F0000130080CB -:041F80000000033624 -:041F81000103041044 -:041F82000000000259 -:041F83000000040056 -:041F84007832313549 -:041F85004C30343276 -:041F86000000004215 -:041F870000F0020064 -:041F880002AA13880E -:041F8900013800001B -:041F8A000029004DDD -:041F8B00000003321D -:041F8C000103041039 -:041F8D00000000024E -:041F8E0000420810F5 -:041F8F007834383337 -:041F90004C3034326B -:041F9100000000420A -:041F920000F00180DA -:041F930002001388AD -:041F94000138000010 -:041F95000029003BE4 -:041F9600000003251F -:041F9700010304102E -:041F98000000000243 -:041F99000084102090 -:041F9A007830323336 -:041F9B004C30343260 -:041F9C0000000042FF -:041F9D0000F001400F -:041F9E0001AA1388F9 -:041F9F000138000005 -:041FA00000290031E3 -:041FA1000000031F1A -:041FA2000103041023 -:041FA3000000000238 -:041FA40001082040D0 -:041FA5007836353223 -:041FA6004C30343255 -:041FA70000000042F4 -:041FA80000F0010044 -:041FA9000155138843 -:041FAA0001380000FA -:041FAB0000290027E2 -:041FAC000000031915 -:041FAD000103041018 -:041FAE00000000022D -:041FAF00021040805C -:041FB000703838321B -:041FB100000000002C -:041FB2000017000014 -:041FB300012002D037 -:041FB4000360139023 -:041FB50001380000EF -:041FB60000130045CF -:041FB7000000033FE4 -:041FB800010304100D -:041FB9000000000222 -:041FBA000000000C17 -:041FBB00783038340E -:041FBC000030363388 -:041FBD000000000020 -:041FBE00016801E0D5 -:041FBF00025817703D -:041FC00001770000A5 -:041FC100000A003FD3 -:041FC20000000326F2 -:041FC3000203041001 -:041FC4000000000316 -:041FC5000000000C0C -:041FC6007830343209 -:041FC700003036337D -:041FC8000000000015 -:041FC90001680100AA -:041FCA00012C17705F -:041FCB00017700009A -:041FCC00000A0018EF -:041FCD0000000312FB -:041FCE0002030410F6 -:041FCF00000000030B -:041FD000000081008C -:041FD10070343833FD -:041FD200000000000B -:041FD300000000000A -:041FD400018001F097 -:041FD5000280157CF5 -:041FD60001A700005F -:041FD700001D0032B7 -:041FD8000000033EC4 -:041FD90002030410EB -:041FDA000000000300 -:041FDB000000000CF6 -:041FDC00303036313A -:041FDD0030303478F4 -:041FDE0000000000FF -:041FDF000190064027 -:041FE00007D01B58B3 -:041FE10001C100003A -:041FE2000022007861 -:041FE300000002F008 -:041FE40008030410DA -:041FE50000000003F5 -:041FE60000000200F5 -:041FE70078303237E5 -:041FE8005F30303402 -:041FE900000030378D -:041FEA00019002D090 -:041FEB0003841B58F8 -:041FEC0001C100002F -:041FED00002200408E -:041FEE00000002608D -:041FEF0008030410CF -:041FF00000000003EA -:041FF1000000000CE0 -:041FF20078303436D9 -:041FF3005F303034F7 -:041FF4000000303782 -:041FF50001900280D5 -:041FF60003201B5851 -:041FF70001C1000024 -:041FF8000022003093 -:041FF9000000026082 -:041FFA0008030410C4 -:041FFB0000000003DF -:041FFC000000000CD5 -:041FFD0078303436CE -:041FFE000034383340 -:041FFF0000000000DE -:0420000001800280D9 -:042001000320157C27 -:0420020001EC0000ED -:04200300003F00306A -:042004000000026076 -:0420050008030410B8 -:0420060000000003D3 -:042007000000000CC9 -:0420080069303834CF -:0420090000000000D3 -:04200A0000060000CC -:04200B0000F002D00F -:04200C00035A176AF2 -:04200D00020D0000C0 -:04200E00000F003986 -:04200F000001033E8B -:0420100001030410B4 -:0420110000000004C7 -:042012000001020CBB -:0420130070303834BD -:0420140000000000C8 -:0420150000020000C5 -:0420160001E002D013 -:04201700035A176AE7 -:04201800020D0000B5 -:04201900001E003C69 -:04201A000000063E7E -:04201B0002030410A8 -:04201C0000000006BA -:04201D000000000CB3 -:04201E0078303436AC -:04201F005F303834C2 -:042020000001303655 -:0420210001E0028058 -:042022000320177010 -:04202300020D0000AA -:042024000021003067 -:042025000000026055 -:042026000803041097 -:0420270000000006AF -:042028000000000CA8 -:04202900783038349F -:04202A000032373217 -:04202B0000030000AE -:04202C00011001E0BE -:04202D00035A1770CB -:04202E00020D00009F -:04202F00008600B176 -:042030000000063E68 -:042031000209101080 -:0420320000000006A4 -:042033000000000C9D -:042034007830343696 -:04203500003231350F -:0420360000000000A6 -:042037000200028021 -:0420380003201770FA -:042039000238000069 -:04203A000022003050 -:04203B00000006603B -:04203C000803041081 -:04203D000000000699 -:04203E000000000C92 -:04203F006936373592 -:04204000000000009C -:042041000015000086 -:04204200012002D0A7 -:04204300036013889B -:042044000271000025 -:04204500001300453F -:042046000001033F53 -:04204700010304107D -:04204800000000058F -:042049000001020C84 -:04204A007036373580 -:04204B000000000091 -:04204C00001100007F -:04204D00024002D07B -:04204E000360138890 -:04204F00027100001A -:042050000027004421 -:042051000000054046 -:042052000203041071 -:042053000000000782 -:042054000000000C7C -:042055007830303877 -:042056005F30303691 -:04205700000030361F -:042058000258032007 -:0420590004201770D8 -:04205A00027400000C -:04205B000017005812 -:04205C0000000480FC -:04205D000803041060 -:04205E00000000007E -:04205F000000000479 -:042060007030323773 -:042061000030355FB7 -:042062000013000067 -:0420630002D00500A2 -:0420640007BC13881A -:0420650002EE000087 -:04206600001400DC86 -:042067000000052848 -:042068000C0910103F -:04206900000000086B -:04206A00000000046E -:04206B007030323768 -:04206C000030365FAB -:04206D00000400006B -:04206E0002D0050097 -:04206F00067217706E -:0420700002EE00007C -:04207100001400DC7B -:04207200000005283D -:042073000C09101034 -:042074000000000860 -:042075000000000463 -:04207600343230319F -:042077003836377848 -:042078000000000064 -:04207900030004005C -:04207A000540177096 -:04207B000326000038 -:04207C00001D00A0A3 -:04207D0000000688D1 -:04207E00080304103F -:04207F00000000005D -:042080000000000458 -:042081003038323190 -:042082003036397843 -:042083000000000059 -:0420840003C0050090 -:0420850007081770C1 -:0420860003E800006B -:0420870000240138F8 -:0420880000000370E1 -:042089000803041034 -:04208A000000000052 -:04208B00000000044D -:04208C003038323185 -:04208D003230317844 -:04208E00000000341A -:04208F000400050044 -:042090000698177027 -:04209100042A00001D -:04209200002600F82C -:0420930000000370D6 -:042094000804051027 -:042095000000000047 -:042096000000000442 -:042097007830343633 -:04209800693036393C -:042099000000000043 -:04209A0001E00280DF -:04209B000320177097 -:04209C00041A000022 -:04209D0000210030EE -:04209E0000010260DB -:04209F000203041024 -:0420A0000000000933 -:0420A1000000000C2F -:0420A2003038303171 -:0420A30030355F690C -:0420A4000014000024 -:0420A500021C078092 -:0420A6000A50138841 -:0420A70004650000CC -:0420A800000F009491 -:0420A9000001052C01 -:0420AA000C091010FD -:0420AB000000000928 -:0420AC000000000C24 -:0420AD003038303166 -:0420AE0030365F6900 -:0420AF000005000028 -:0420B000021C078087 -:0420B1000898177004 -:0420B20004650000C1 -:0420B300000F009486 -:0420B4000001052CF6 -:0420B5000C091010F2 -:0420B600000000091D -:0420B7000000000C19 -:0420B800303830315B -:0420B90030355F70EF -:0420BA00001F000003 -:0420BB00043807805E -:0420BC000A5013882B -:0420BD0004650000B6 -:0420BE000024009466 -:0420BF000000052CEC -:0420C0000C091010E7 -:0420C1000000000A11 -:0420C2000000000416 -:0420C3003038303150 -:0420C40030365F70E3 -:0420C5000010000007 -:0420C6000438078053 -:0420C70008981770EE -:0420C80004650000AB -:0420C900002400945B -:0420CA000000052CE1 -:0420CB000C091010DC -:0420CC000000000A06 -:0420CD00000000040B -:0420CE003030363147 -:0420CF003032317802 -:0420D00000000030DC -:0420D10004B0064011 -:0420D200087017700B -:0420D30004E2000023 -:0420D400002E0130A9 -:0420D500000003C044 -:0420D60008030410E7 -:0420D7000000000005 -:0420D8000000000400 -:0420D9007665642F95 -:0420DA006370652F9B -:0420DB006F635F715F -:0420DC006F72746E3D -:0420DD0072656C6C50 -:0420DE005F305F32DE -:0420DF005F6C76615B -:0420E000006D656DBD -:0420E1002B302D2350 -:0420E20000000020DA -:0420E300004C6C68D9 -:0420E4004567666581 -:0420E500000047466A -:0420E6003332313030 -:0420E700373635341F -:0420E8004241393800 -:0420E90046454443E1 -:0420EA0000000000F2 -:0420EB00333231302B -:0420EC00373635341A -:0420ED0062613938BB -:0420EE00666564635C -:0420EF0000000000ED -:0420F000000165DCAA -:0420F100000165F293 -:0420F200000165B6CE -:0420F300000165B6CD -:0420F400000165B6CC -:0420F500000165B6CB -:0420F600000165F28E -:0420F700000165B6C9 -:0420F800000165B6C8 -:0420F900000165B6C7 -:0420FA00000165B6C6 -:0420FB000001675623 -:0420FC000001663445 -:0420FD00000167284F -:0420FE00000165B6C2 -:0420FF00000165B6C1 -:042100000001678AE9 -:04210100000165B6BE -:04210200000166343E -:04210300000165B6BC -:04210400000165B6BB -:04210500000167303E -:04210600C896554BD7 -:0421070000000009CB -:042108000001861834 -:0421090000000004CE -:04210A00000186CC7E -:04210B0000000003CD -:04210C000001871C2B -:04210D000000000DC1 -:04210E0000018758ED -:04210F0000000009C3 -:042110000001885CE6 -:042111000000000DBD -:04211200000189102F -:0421130000000002C6 -:0421140000018A1428 -:0421150000000004C2 -:0421160000018A3CFE -:0421170000000007BD -:0421180000018A8CAC -:0421190000000011B1 -:04211A0000018B181D -:04211B0000000007B9 -:04211C0000018C6CC6 -:04211D000000000CB2 -:04211E0000018CF838 -:04211F0000000000BC -:0421200000000000BB -:0421210000018364D2 -:0421220000000000B9 -:0421230000000000B8 -:04212400000102EACA -:04212500000103A210 -:04212600000101AA09 -:04212700000101C8EA -:042128000001022090 -:042129000080000032 -:04212A000020000091 -:04212B0000000000B0 -:04212C0000000000AF -:04212D0000000000AE -:04212E0000000000AD +:041F0E00000199FB3A +:041F0F000000000EC0 +:041F1000000124EEBA +:041F110000017A80D1 +:041F120000017A84CC +:041F130000017A88C7 +:041F140000017A8CC2 +:041F150000016F64F4 +:041F160000017A90BC +:041F170000017A94B7 +:041F180000017A98B2 +:041F190000017A9CAD +:041F1A00000173202F +:041F1B0000017AA0A7 +:041F1C0000017AA89E +:041F1D0000017AAC99 +:041F1E0000017AB490 +:041F1F0000017AB88B +:041F200000017AC082 +:041F210000017AC879 +:041F220000017AD070 +:041F230000017AD867 +:041F240000017AE856 +:041F250000017AF845 +:041F260000017B0833 +:041F270000017B1822 +:041F280000017B2811 +:041F290000017B3800 +:041F2A0000017B40F7 +:041F2B0000017B48EE +:041F2C003EA93E2963 +:041F2D003EE93E69E2 +:041F2E003E993E1981 +:041F2F003ED93E5900 +:041F30003EC93E392F +:041F31003E1D3E4DC6 +:041F32003E2D3EED15 +:041F33003EAD3ECDB4 +:041F34003E653E6D5B +:041F35001C483E0105 +:041F36001C501C1807 +:041F37001CC81CD0D6 +:041F38005ED85E58B9 +:041F390000003EB9AD +:041F3A0000010101A0 +:041F3B0000010001A0 +:041F3C0000000000A1 +:041F3D008000000020 +:041F3E00000000009F +:041F3F00000000019D +:041F4000000000009D +:041F4100000000009C +:041F4200000000009B +:041F43000B00000887 +:041F4400000144064E +:041F45000000000098 +:041F4600808009038B +:041F47001A1A1A80C8 +:041F48000C00010880 +:041F49000000000094 +:041F4A0000000A0089 +:041F4B0030303631CB +:041F4C003034327883 +:041F4D000000000090 +:041F4E0000F0064059 +:041F4F0007FE177002 +:041F50000106000086 +:041F5100000F00CAB3 +:041F520000000396F2 +:041F53000100001079 +:041F54000000000188 +:041F55000020000068 +:041F560030383231BC +:041F57003034327878 +:041F58000000000085 +:041F590000F005008F +:041F5A0006181770DE +:041F5B00010600007B +:041F5C00000F00AAC8 +:041F5D000000034835 +:041F5E00010000106E +:041F5F00000000017D +:041F6000000102007A +:041F61007830363965 +:041F620000303432E5 +:041F6300000000007A +:041F640000F003C0C6 +:041F6500049217705B +:041F66000106000070 +:041F6700000F0080E7 +:041F6800000003363C +:041F69000100001063 +:041F6A000000000172 +:041F6B00000004006E +:041F6C007832313561 +:041F6D0000303432DA +:041F6E00000000006F +:041F6F0000F002007C +:041F700002AA17703A +:041F71000106000065 +:041F7200000E004D10 +:041F73000000033235 +:041F74000100001058 +:041F75000000000167 +:041F7600004208100D +:041F7700783438334F +:041F780000303432CF +:041F79000000000064 +:041F7A0000F00180F2 +:041F7B0002001770D9 +:041F7C00010600005A +:041F7D00000E003B17 +:041F7E000000032537 +:041F7F00010000104D +:041F8000000000015C +:041F810000841020A8 +:041F8200783032334E +:041F830000303432C4 +:041F84000000000059 +:041F850000F0014027 +:041F860001AA177025 +:041F8700010600004F +:041F8800000E003116 +:041F89000000031F32 +:041F8A000100001042 +:041F8B000000000151 +:041F8C0001082040E8 +:041F8D00783635323B +:041F8E0000303432B9 +:041F8F00000000004E +:041F900000F001005C +:041F9100015517706F +:041F92000106000044 +:041F9300000E002715 +:041F9400000003192D +:041F95000100001037 +:041F96000000000146 +:041F97000210408074 +:041F9800703034323F +:041F99000000000044 +:041F9A00000800003B +:041F9B0000F002D080 +:041F9C00035A177558 +:041F9D000106000039 +:041F9E00000F0039F7 +:041F9F000000033EFD +:041FA000010000102C +:041FA100000000013B +:041FA2000000000C2F +:041FA3003030363173 +:041FA400303432782B +:041FA5000000004CEC +:041FA60000F0064001 +:041FA70007FE138896 +:041FA80001380000FC +:041FA900002B00CA3F +:041FAA00000003969A +:041FAB000100001021 +:041FAC00000000022F +:041FAD000020000010 +:041FAE003038323164 +:041FAF003838327814 +:041FB000000000002D +:041FB1000120050006 +:041FB2000618138872 +:041FB30001380000F1 +:041FB400001300AA6C +:041FB50000000348DD +:041FB6000100001016 +:041FB7000000000224 +:041FB8000001020022 +:041FB900783036390D +:041FBA000038383281 +:041FBB000000000022 +:041FBC00012003C03D +:041FBD0004921388EF +:041FBE0001380000E6 +:041FBF00001300808B +:041FC00000000336E4 +:041FC100010000100B +:041FC2000000000219 +:041FC3000000040016 +:041FC4007832313509 +:041FC5004C30343236 +:041FC60000000042D5 +:041FC70000F0020024 +:041FC80002AA1388CE +:041FC90001380000DB +:041FCA000029004D9D +:041FCB0000000332DD +:041FCC000100001000 +:041FCD00000000020E +:041FCE0000420810B5 +:041FCF0078343833F7 +:041FD0004C3034322B +:041FD10000000042CA +:041FD20000F001809A +:041FD300020013886D +:041FD40001380000D0 +:041FD5000029003BA4 +:041FD60000000325DF +:041FD70001000010F5 +:041FD8000000000203 +:041FD9000084102050 +:041FDA0078303233F6 +:041FDB004C30343220 +:041FDC0000000042BF +:041FDD0000F00140CF +:041FDE0001AA1388B9 +:041FDF0001380000C5 +:041FE00000290031A3 +:041FE1000000031FDA +:041FE20001000010EA +:041FE30000000002F8 +:041FE4000108204090 +:041FE50078363532E3 +:041FE6004C30343215 +:041FE70000000042B4 +:041FE80000F0010004 +:041FE9000155138803 +:041FEA0001380000BA +:041FEB0000290027A2 +:041FEC0000000319D5 +:041FED0001000010DF +:041FEE0000000002ED +:041FEF00021040801C +:041FF00070383832DB +:041FF10000000000EC +:041FF20000170000D4 +:041FF300012002D0F7 +:041FF40003601390E3 +:041FF50001380000AF +:041FF600001300458F +:041FF7000000033FA4 +:041FF80001000010D4 +:041FF90000000002E2 +:041FFA000000000CD7 +:041FFB0078303834CE +:041FFC000030363348 +:041FFD0000000000E0 +:041FFE00016801E095 +:041FFF0002581770FD +:042000000177000064 +:04200100000A003F92 +:0420020000000326B1 +:0420030002000010C7 +:0420040000000003D5 +:042005000000000CCB +:0420060078303432C8 +:04200700003036333C +:0420080000000000D4 +:042009000168010069 +:04200A00012C17701E +:04200B000177000059 +:04200C00000A0018AE +:04200D0000000312BA +:04200E0002000010BC +:04200F0000000003CA +:04201000000081004B +:0420110070343833BC +:0420120000000000CA +:0420130000000000C9 +:04201400018001F056 +:042015000280157CB4 +:0420160001A700001E +:04201700001D003276 +:042018000000033E83 +:0420190002000010B1 +:04201A0000000003BF +:04201B000000000CB5 +:04201C0030303631F9 +:04201D0030303478B3 +:04201E0000000000BE +:04201F0001900640E6 +:0420200007D01B5872 +:0420210001C10000F9 +:042022000022007820 +:04202300000002F0C7 +:0420240008000010A0 +:0420250000000003B4 +:0420260000000200B4 +:0420270078303237A4 +:042028005F303034C1 +:04202900000030374C +:04202A00019002D04F +:04202B0003841B58B7 +:04202C0001C10000EE +:04202D00002200404D +:04202E00000002604C +:04202F000800001095 +:0420300000000003A9 +:042031000000000C9F +:042032007830343698 +:042033005F303034B6 +:042034000000303741 +:042035000190028094 +:0420360003201B5810 +:0420370001C10000E3 +:042038000022003052 +:042039000000026041 +:04203A00080000108A +:04203B00000000039E +:04203C000000000C94 +:04203D00783034368D +:04203E0000343833FF +:04203F00000000009D +:042040000180028099 +:042041000320157CE7 +:0420420001EC0000AD +:04204300003F00302A +:042044000000026036 +:04204500080000107F +:042046000000000393 +:042047000000000C89 +:04204800693038348F +:042049000000000093 +:04204A00000600008C +:04204B0000F002D0CF +:04204C00035A176AB2 +:04204D00020D000080 +:04204E00000F003946 +:04204F000001033E4B +:04205000010000107B +:042051000000000487 +:042052000001020C7B +:04205300703038347D +:042054000000000088 +:042055000002000085 +:0420560001E002D0D3 +:04205700035A176AA7 +:04205800020D000075 +:04205900001E003C29 +:04205A000000063E3E +:04205B00020000106F +:04205C00000000067A +:04205D000000000C73 +:04205E00783034366C +:04205F005F30383482 +:042060000001303615 +:0420610001E0028018 +:0420620003201770D0 +:04206300020D00006A +:042064000021003027 +:042065000000026015 +:04206600080000105E +:04206700000000066F +:042068000000000C68 +:04206900783038345F +:04206A0000323732D7 +:04206B00000300006E +:04206C00011001E07E +:04206D00035A17708B +:04206E00020D00005F +:04206F00008600B136 +:042070000000063E28 +:042071000200001059 +:042072000000000664 +:042073000000000C5D +:042074007830343656 +:0420750000323135CF +:042076000000000066 +:0420770002000280E1 +:0420780003201770BA +:042079000238000029 +:04207A000022003010 +:04207B0000000660FB +:04207C000800001048 +:04207D000000000659 +:04207E000000000C52 +:04207F006936373552 +:04208000000000005C +:042081000015000046 +:04208200012002D067 +:04208300036013885B +:0420840002710000E5 +:0420850000130045FF +:042086000001033F13 +:042087000100001044 +:04208800000000054F +:042089000001020C44 +:04208A007036373540 +:04208B000000000051 +:04208C00001100003F +:04208D00024002D03B +:04208E000360138850 +:04208F0002710000DA +:0420900000270044E1 +:042091000000054006 +:042092000200001038 +:042093000000000742 +:042094000000000C3C +:042095007830303837 +:042096005F30303651 +:0420970000003036DF +:0420980002580320C7 +:042099000420177098 +:04209A0002740000CC +:04209B0000170058D2 +:04209C0000000480BC +:04209D000800001027 +:04209E00000000003E +:04209F000000000439 +:0420A0007030323733 +:0420A1000030355F77 +:0420A2000013000027 +:0420A30002D0050062 +:0420A40007BC1388DA +:0420A50002EE000047 +:0420A600001400DC46 +:0420A7000000052808 +:0420A8000C00001018 +:0420A900000000082B +:0420AA00000000042E +:0420AB007030323728 +:0420AC000030365F6B +:0420AD00000400002B +:0420AE0002D0050057 +:0420AF00067217702E +:0420B00002EE00003C +:0420B100001400DC3B +:0420B20000000528FD +:0420B3000C0000100D +:0420B4000000000820 +:0420B5000000000423 +:0420B600343230315F +:0420B7003836377808 +:0420B8000000000024 +:0420B900030004001C +:0420BA000540177056 +:0420BB0003260000F8 +:0420BC00001D00A063 +:0420BD000000068891 +:0420BE000800001006 +:0420BF00000000001D +:0420C0000000000418 +:0420C1003038323150 +:0420C2003036397803 +:0420C3000000000019 +:0420C40003C0050050 +:0420C5000708177081 +:0420C60003E800002B +:0420C70000240138B8 +:0420C80000000370A1 +:0420C90008000010FB +:0420CA000000000012 +:0420CB00000000040D +:0420CC003038323145 +:0420CD003230317804 +:0420CE0000000034DA +:0420CF000400050004 +:0420D00006981770E7 +:0420D100042A0000DD +:0420D200002600F8EC +:0420D3000000037096 +:0420D40008000010F0 +:0420D5000000000007 +:0420D6000000000402 +:0420D70078303436F3 +:0420D80069303639FC +:0420D9000000000003 +:0420DA0001E002809F +:0420DB000320177057 +:0420DC00041A0000E2 +:0420DD0000210030AE +:0420DE00000102609B +:0420DF0002000010EB +:0420E00000000009F3 +:0420E1000000000CEF +:0420E2003038303131 +:0420E30030355F69CC +:0420E40000140000E4 +:0420E500021C078052 +:0420E6000A50138801 +:0420E700046500008C +:0420E800000F009451 +:0420E9000001052CC1 +:0420EA000C000010D6 +:0420EB0000000009E8 +:0420EC000000000CE4 +:0420ED003038303126 +:0420EE0030365F69C0 +:0420EF0000050000E8 +:0420F000021C078047 +:0420F10008981770C4 +:0420F2000465000081 +:0420F300000F009446 +:0420F4000001052CB6 +:0420F5000C000010CB +:0420F60000000009DD +:0420F7000000000CD9 +:0420F800303830311B +:0420F90030355F70AF +:0420FA00001F0000C3 +:0420FB00043807801E +:0420FC000A501388EB +:0420FD000465000076 +:0420FE000024009426 +:0420FF000000052CAC +:042100000C000010BF +:042101000000000AD0 +:0421020000000004D5 +:04210300303830310F +:0421040030365F70A2 +:0421050000100000C6 +:042106000438078012 +:0421070008981770AD +:04210800046500006A +:04210900002400941A +:04210A000000052CA0 +:04210B000C000010B4 +:04210C000000000AC5 +:04210D0000000004CA +:04210E003030363106 +:04210F0030323178C1 +:04211000000000309B +:0421110004B00640D0 +:0421120008701770CA +:0421130004E20000E2 +:04211400002E013068 +:04211500000003C003 +:0421160008000010AD +:0421170000000000C4 +:0421180000000004BF +:042119007665642F54 +:04211A006370652F5A +:04211B006F635F711E +:04211C006F72746EFC +:04211D0072656C6C0F +:04211E005F305F329D +:04211F005F6C76611A +:04212000006D656D7C +:042121002B302D230F +:042122000000002099 +:04212300004C6C6898 +:042124004567666540 +:042125000000474629 +:0421260033323130EF +:0421270037363534DE +:0421280042413938BF +:0421290046454443A0 +:04212A0000000000B1 +:04212B0033323130EA +:04212C0037363534D9 +:04212D00626139387A +:04212E00666564631B :04212F0000000000AC -:0421300000000000AB -:0421310000000000AA -:0421320000000000A9 -:0421330000000000A8 -:0421340000000000A7 -:0421350000000000A6 -:0421360000000000A5 -:0421370000000000A4 -:0421380000000000A3 -:0421390000000000A2 -:04213A0000000000A1 -:04213B0000000000A0 -:04213C00000000009F -:04213D00000000009E -:04213E00000000009D -:04213F00000000009C -:04214000000000009B -:04214100000000009A -:042142000000000099 -:042143000000000098 -:042144000000000097 -:042145000000000096 -:042146000000000095 -:042147000000000094 -:042148000000000093 -:042149000000000092 -:04214A000000000091 -:04214B000000000090 -:04214C000001017419 -:04214D00008000000E -:04214E0000A00000ED -:04214F000002010089 -:04215000002000006B -:04215100000000008A -:042152000000002069 -:042153000001000087 -:042154000000010086 -:042155000000000086 -:04215600000170CC48 -:04215700000170E82B -:04215800000170F81A -:04215900000170CC45 -:04215A000001712CE3 -:04215B0000017130DE -:04215C00000178F80E -:04215D0000017908FC -:04215E0000017914EF -:04215F0000017920E2 -:042160000001792CD5 -:0421610000017938C8 -:0421620000017944BB -:0421630000017950AE -:042164000001795CA1 -:042165000001796894 -:042166000001797487 -:04216700020E040957 -:042168003D0E00C860 -:042169003F6E03833F -:04216A003ED03DAC7A -:04216B0000000383EA -:04216C0000017240BC -:04216D000001724CAF -:04216E000001725C9E -:04216F000001726C8D -:042170000001727C7C -:0421710000017230C7 -:0421720000017240B6 -:042173000001724CA9 -:042174000001725C98 -:042175000001726C87 -:042176000001727C76 -:042177000001720CE5 -:0421780000017218D8 -:0421790000017224CB -:04217A00000170CC24 -:04217B00000170E00F -:04217C00000170C826 -:04217D00000170E409 -:04217E0000017134B7 -:04217F000001713CAE -:0421800000017144A5 -:042181000001714C9C -:042182000001715493 -:04218300000171588E -:042184000001716085 -:04218500000171687C -:0421860000017040A4 -:042187000000000252 -:04218800000198F0CA -:042189000AF0012C2B -:04218A0000011CD85C -:04218B00000170508F -:04218C00000000024D -:04218D00000198F2C3 -:04218E00001300003A -:04218F0000011CD857 -:04219000000170607A -:042191000000000248 -:04219200000198F4BC -:0421930000FF000A3F -:0421940000011CD852 -:042195000001706C69 -:042196000000000243 -:04219700000198EEBD -:0421980001FF000043 -:0421990000011CD84D -:04219A000001707C54 -:04219B00000000023E -:04219C00000198ECBA -:04219D00080000C86E -:04219E0000011CD848 -:04219F000001708843 -:0421A0000000000239 -:0421A100000198FCA5 -:0421A200000F000129 -:0421A30000011CD843 -:0421A4000001709432 -:0421A5000000000234 -:0421A600000198FAA2 -:0421A70001FF000034 -:0421A80000011CD83E -:0421A900000170A41D -:0421AA00000000022F -:0421AB00000198F89F -:0421AC0005A000A0EA -:0421AD0000011CD839 -:0421AE00000170B00C -:0421AF00000000022A -:0421B000000198F69C -:0421B100001F00000B -:0421B20000011CD834 -:0421B300000175347E -:0421B4000000000027 -:0421B500000190F5A0 -:0421B6000001000123 -:0421B70000018F4C48 -:0421B8000001754469 -:0421B9000000000022 -:0421BA00000190F69A -:0421BB00000100011E -:0421BC0000018F5C33 -:0421BD000001755454 -:0421BE00000000001D -:0421BF00000190F893 -:0421C0000001000119 -:0421C10000018F5C2E -:0421C2000001756043 -:0421C3000000000117 -:0421C400000190F78F -:0421C50000180000FE -:0421C60000011B48B1 -:0421C700000175702E -:0421C8000000000013 -:0421C900000190FB86 -:0421CA00000100010F -:0421CB0000018F5C24 -:0421CC000001758019 -:0421CD00000000000E -:0421CE00000190FC80 -:0421CF000002000109 -:0421D0000001856421 -:0421D1000001759400 -:0421D2000000000108 -:0421D300000190CAAD -:0421D400001F0000E8 -:0421D50000011B1AD0 -:0421D60000016F6035 -:0421D7000000000103 -:0421D800000190DE94 -:0421D90000040000FE -:0421DA0000011A9650 -:0421DB0000016F6C24 -:0421DC0000000001FE -:0421DD00000190DF8E -:0421DE0000050000F8 -:0421DF0000011ACE13 -:0421E00000016F7813 -:0421E10000000001F9 -:0421E200000190D395 -:0421E30000100000E8 -:0421E40000011A7468 -:0421E50000016F88FE -:0421E60000000001F4 -:0421E700000190D48F -:0421E80000100000E3 -:0421E90000011A7463 -:0421EA0000016F98E9 -:0421EB0000000001EF -:0421EC00000190D589 -:0421ED0000100000DE -:0421EE0000011A745E -:0421EF0000016FA8D4 -:0421F00000000001EA -:0421F100000190D683 -:0421F20000100000D9 -:0421F30000011A7459 -:0421F40000016FB8BF -:0421F50000000001E5 -:0421F600000190D77D -:0421F70000100000D4 -:0421F80000011A7454 -:0421F90000016FC8AA -:0421FA0000000001E0 -:0421FB00000190D877 -:0421FC0000100000CF -:0421FD0000011A744F -:0421FE0000016FDC91 -:0421FF0000000001DB -:04220000000190D970 -:0422010000100000C9 -:0422020000011A7449 -:0422030000016FF077 -:0422040000000001D5 -:04220500000190DA6A -:0422060000100000C4 -:0422070000011A7444 -:04220800000170045D -:0422090000000001D0 -:04220A00000190DB64 -:04220B0000100000BF -:04220C0000011A743F -:04220D000001701844 -:04220E0000000001CB -:04220F00000190DC5E -:0422100000100000BA -:0422110000011A743A -:042212000001702C2B -:0422130000000001C6 -:04221400000190DD58 -:0422150000100000B5 -:0422160000011A7435 -:042217000001784406 -:0422180000000003BF -:0422190000018474C8 -:04221A0000000000C0 -:04221B0000000000BF -:04221C0000017858ED -:04221D0000000003BA -:04221E0000018454E3 -:04221F0000000000BB -:0422200000000000BA -:042221000001786CD4 -:0422220000000003B5 -:042223000001846CC6 -:0422240000000000B6 -:0422250000000000B5 -:0422260000017880BB -:0422270000000003B0 -:0422280000018444E9 -:0422290000000000B1 -:04222A0000000000B0 -:04222B0000017894A2 -:04222C0000000003AB -:04222D000001845CCC -:04222E0000000000AC -:04222F0000000000AB -:04223000000178A889 -:0422310000000003A6 -:042232000001844CD7 -:0422330000000000A7 -:0422340000000000A6 -:04223500000178BC70 -:0422360000000003A1 -:042237000001842CF2 -:0422380000000000A2 -:0422390000000000A1 -:04223A00000178D057 -:04223B00000000039C -:04223C0000018424F5 -:04223D00000000009D -:04223E00000000009C -:04223F00000178E43E -:042240000000000397 -:0422410000018464B0 -:042242000000000098 -:042243000000000097 -:042244000001762CF3 -:042245000000000095 -:04224600000190BC47 -:04224700000400018E -:0422480000018E1CE7 -:042249000001763CDE -:04224A000000000090 -:04224B00000190BD41 -:04224C000004000189 -:04224D0000018E30CE -:04224E000001764CC9 -:04224F00000000008B -:04225000000190BE3B -:042251000003000185 -:0422520000018E44B5 -:042253000001765CB4 -:042254000000000086 -:04225500000190BF35 -:042256000001000182 -:0422570000018F7083 -:042258000001766C9F -:042259000000000081 -:04225A00000190C02F -:04225B00000100017D -:04225C0000018F6886 -:04225D000001767C8A -:04225E00000000007C -:04225F00000190C129 -:042260000004000175 -:04226100000185B043 -:042262000001768879 -:042263000000000077 -:04226400000190C223 -:04226500000500016F -:04226600000185C42A -:042267000001769468 -:042268000000000072 -:04226900000190C31D -:04226A00000400016B -:04226B00000185B039 -:04226C00000176A057 -:04226D00000000006D -:04226E00000190C417 -:04226F000004000166 -:04227000000185B034 -:04227100000176AC46 -:042272000000000068 -:04227300000190C511 -:042274000002000163 -:04227500000185DC03 -:04227600000176BC31 -:042277000000000063 -:04227800000190C908 -:04227900000100015F -:04227A0000018F448C -:04227B00000176CC1C -:04227C00000000005E -:04227D00000190F9D3 -:04227E000002000159 -:04227F0000018E9C30 -:04228000000176D40F -:042281000000000059 -:04228200000190FACD -:042283000001000155 -:0422840000018F5C6A -:04228500000175A837 -:042286000000000054 -:04228700000190E1E1 -:04228800000700004B -:04228900000185F8D3 -:04228A00000175B426 -:04228B00000000014E -:04228C00000190E0DD -:04228D00000F00003E -:04228E0000011B1A16 -:04228F00000177547F -:04229000000000004A -:04229100000190C6F2 -:042292000003000144 -:0422930000018E5464 -:04229400000177646A -:042295000000000045 -:04229600000190C7EC -:042297000001000141 -:0422980000018F8032 -:042299000001777455 -:04229A000000000040 -:04229B00000190C8E6 -:04229C00000100013C -:04229D0000018F5C51 -:04229E00000177883C -:04229F000000000338 -:0422A0000001841C99 -:0422A10000018EC0EA -:0422A2000001068CA5 -:0422A300000175C4FD -:0422A4000000000036 -:0422A500000190CCD8 -:0422A6000002000131 -:0422A70000018E6440 -:0422A800000175D0EC -:0422A9000000000130 -:0422AA00000190D1CE -:0422AB00000F000020 -:0422AC0000011A50C3 -:0422AD00000175E0D7 -:0422AE00000000002C -:0422AF00000190CFCB -:0422B0000001000128 -:0422B10000018F9009 -:0422B200000175ECC6 -:0422B3000000000027 -:0422B400000190D0C5 -:0422B5000001000123 -:0422B60000018F5C38 -:0422B700000175FCB1 -:0422B8000000000022 -:0422B900000190D2BE -:0422BA00000100011E -:0422BB0000018F8807 -:0422BC000001760C9B -:0422BD00000000001D -:0422BE00000190CDBE -:0422BF000003000117 -:0422C00000018E701B -:0422C100000176188A -:0422C2000000000315 -:0422C300000184345E -:0422C4000000000016 -:0422C5000000000015 -:0422C600000174108F -:0422C7000000000112 -:0422C800000190FD84 -:0422C900000A010105 -:0422CA0000011246B7 -:0422CB000001742476 -:0422CC00000000000E -:0422CD00000198D1A3 -:0422CE00000100010A -:0422CF0000018F5C1F -:0422D000000174385D -:0422D1000000000009 -:0422D200000198C4AB -:0422D300000A0001FC -:0422D4000001857010 -:0422D5000001744848 -:0422D6000000000004 -:0422D700000198BAB0 -:0422D80000020001FF -:0422D9000001855823 -:0422DA000001745C2F -:0422DB0000000000FF -:0422DC00000198B8AD -:0422DD0000010001FB -:0422DE0000018F78F4 -:0422DF000001746C1A -:0422E00000000000FA -:0422E10000018F5415 -:0422E20000010001F6 -:0422E30000018F78EF -:0422E4000001747C05 -:0422E50000000000F5 -:0422E600000198B9A2 -:0422E70000010001F1 -:0422E80000018F78EA -:0422E9000001748CF0 -:0422EA0000000000F0 -:0422EB00000198CC8A -:0422EC0000030001EA -:0422ED00000185E87F -:0422EE000001749CDB -:0422EF0000000000EB -:0422F00000018F64F6 -:0422F10000020001E6 -:0422F20000018E0059 -:0422F300000174A0D2 -:0422F40000000000E6 -:0422F50000018F65F0 -:0422F60000030001E0 -:0422F70000018E0C48 -:0422F800000174B4B9 -:0422F90000000000E1 -:0422FA00000198D077 -:0422FB0000010001DD -:0422FC0000018F5CF2 -:0422FD00000174C4A4 -:0422FE0000000004D8 -:0422FF000001242492 -:0423000000017B3825 -:0423010000000000D8 -:04230200000174D48E -:0423030000000004D2 -:04230400000121545F -:0423050000017B3820 -:0423060000000000D3 -:04230700000174E479 -:0423080000000004CD -:042309000001065475 -:04230A0000000000CF -:04230B0000000000CE -:04230C00000174F860 -:04230D0000000004C8 -:04230E000001458005 +:04213000000166CC78 +:04213100000166E261 +:04213200000166A69C +:04213300000166A69B +:04213400000166A69A +:04213500000166A699 +:04213600000166E25C +:04213700000166A697 +:04213800000166A696 +:04213900000166A695 +:04213A00000166A694 +:04213B0000016846F1 +:04213C000001672413 +:04213D00000168181D +:04213E00000166A690 +:04213F00000166A68F +:042140000001687AB8 +:04214100000166A68D +:04214200000167240D +:04214300000166A68B +:04214400000166A68A +:04214500000168200D +:04214600C896554B97 +:042147000000000B89 +:0421480000018718F3 +:04214900000000048E +:04214A00000187F415 +:04214B00000000038D +:04214C0000018844C2 +:04214D000000000D81 +:04214E000001888084 +:04214F000000000983 +:04215000000189847D +:042151000000000D7D +:0421520000018A38C6 +:042153000000000286 +:0421540000018B3CBF +:042155000000000482 +:0421560000018B6495 +:04215700000000077D +:0421580000018BB443 +:042159000000001171 +:04215A0000018C40B4 +:04215B000000000779 +:04215C0000018D945D +:04215D000000000C72 +:04215E0000018E20CE +:04215F00000000007C +:04216000000000007B +:042161000001846491 +:042162000000000079 +:042163000000000078 +:04216400000102EA8A +:04216500000103A2D0 +:04216600000101AAC9 +:04216700000101C8AA +:042168000001022050 +:0421690000800000F2 +:04216A000020000051 +:04216B000000000070 +:04216C00000000006F +:04216D00000000006E +:04216E00000000006D +:04216F00000000006C +:04217000000000006B +:04217100000000006A +:042172000000000069 +:042173000000000068 +:042174000000000067 +:042175000000000066 +:042176000000000065 +:042177000000000064 +:042178000000000063 +:042179000000000062 +:04217A000000000061 +:04217B000000000060 +:04217C00000000005F +:04217D00000000005E +:04217E00000000005D +:04217F00000000005C +:04218000000000005B +:04218100000000005A +:042182000000000059 +:042183000000000058 +:042184000000000057 +:042185000000000056 +:042186000000000055 +:042187000000000054 +:042188000000000053 +:042189000000000052 +:04218A000000000051 +:04218B000000000050 +:04218C0000010174D9 +:04218D0000800000CE +:04218E0000A00000AD +:04218F000002010049 +:04219000002000002B +:04219100000000004A +:042192000000002029 +:042193000001000047 +:042194000000010046 +:042195000000000046 +:04219600000171CC07 +:04219700000171E8EA +:04219800000171F8D9 +:04219900000171CC04 +:04219A000001722CA2 +:04219B00000172309D +:04219C00000179F8CD +:04219D0000017A08BB +:04219E0000017A14AE +:04219F0000017A20A1 +:0421A00000017A2C94 +:0421A10000017A3887 +:0421A20000017A447A +:0421A30000017A506D +:0421A40000017A5C60 +:0421A50000017A6853 +:0421A60000017A7446 +:0421A700020E040917 +:0421A8003D0E00C820 +:0421A9003F6E0383FF +:0421AA003ED03DAC3A +:0421AB0000000383AA +:0421AC00000173407B +:0421AD000001734C6E +:0421AE000001735C5D +:0421AF000001736C4C +:0421B0000001737C3B +:0421B1000001733086 +:0421B2000001734075 +:0421B3000001734C68 +:0421B4000001735C57 +:0421B5000001736C46 +:0421B6000001737C35 +:0421B7000001730CA4 +:0421B8000001731897 +:0421B900000173248A +:0421BA00000171CCE3 +:0421BB00000171E0CE +:0421BC00000171C8E5 +:0421BD00000171E4C8 +:0421BE000001723476 +:0421BF000001723C6D +:0421C0000001724464 +:0421C1000001724C5B +:0421C2000001725452 +:0421C300000172584D +:0421C4000001726044 +:0421C500000172683B +:0421C6000001713073 +:0421C7000000000212 +:0421C80000019A1A5E +:0421C9000AF0012CEB +:0421CA0000011CEC08 +:0421CB00000171405E +:0421CC00000000020D +:0421CD0000019A1C57 +:0421CE0000130000FA +:0421CF0000011CEC03 +:0421D0000001715049 +:0421D1000000000208 +:0421D20000019A1E50 +:0421D30000FF000AFF +:0421D40000011CECFE +:0421D5000001715C38 +:0421D6000000000203 +:0421D70000019A1653 +:0421D80001FF000003 +:0421D90000011CECF9 +:0421DA000001716C23 +:0421DB0000000002FE +:0421DC0000019A1450 +:0421DD00080000C82E +:0421DE0000011CECF4 +:0421DF000001717812 +:0421E00000000002F9 +:0421E10000019A2837 +:0421E200000F0001E9 +:0421E30000011CECEF +:0421E4000001718401 +:0421E50000000002F4 +:0421E60000019A2436 +:0421E70001FF0000F4 +:0421E80000011CECEA +:0421E90000017194EC +:0421EA0000000002EF +:0421EB0000019A2233 +:0421EC0005A000A0AA +:0421ED0000011CECE5 +:0421EE00000171A0DB +:0421EF0000000002EA +:0421F00000019A1838 +:0421F10000FF0000EB +:0421F20000011CECE0 +:0421F300000171A8CE +:0421F40000000002E5 +:0421F50000019A2625 +:0421F600003F0000A6 +:0421F70000011CECDB +:0421F800000171B0C1 +:0421F90000000002E0 +:0421FA0000019A2026 +:0421FB00001F0000C1 +:0421FC0000011CECD6 +:0421FD000001763433 +:0421FE0000000000DD +:0421FF000001921D2C +:0422000000010001D8 +:0422010000019074D4 +:04220200000176441D +:0422030000000000D7 +:042204000001921E25 +:0422050000010001D3 +:0422060000019084BF +:042207000001765408 +:0422080000000000D2 +:04220900000192201E +:04220A0000010001CE +:04220B0000019084BA +:04220C0000017660F7 +:04220D0000000001CC +:04220E000001921F1A +:04220F0000180000B3 +:0422100000011B5C52 +:0422110000017670E2 +:0422120000000000C8 +:042213000001922311 +:0422140000010001C4 +:0422150000019084B0 +:0422160000017680CD +:0422170000000000C3 +:04221800000192240B +:0422190000020001BE +:04221A0000018664D5 +:04221B0000017694B4 +:04221C0000000001BD +:04221D00000191F239 +:04221E00001F00009D +:04221F0000011B2E71 +:0422200000017050F9 +:0422210000000001B8 +:04222200000192061F +:0422230000040000B3 +:0422240000011AAAF1 +:042225000001705CE8 +:0422260000000001B3 +:042227000001920719 +:0422280000050000AD +:0422290000011AE2B4 +:04222A0000017068D7 +:04222B0000000001AE +:04222C00000191FB21 +:04222D00001000009D +:04222E0000011A8809 +:04222F0000017078C2 +:0422300000000001A9 +:04223100000191FC1B +:042232000010000098 +:0422330000011A8804 +:0422340000017088AD +:0422350000000001A4 +:04223600000191FD15 +:042237000010000093 +:0422380000011A88FF +:042239000001709898 +:04223A00000000019F +:04223B00000191FE0F +:04223C00001000008E +:04223D0000011A88FA +:04223E00000170A883 +:04223F00000000019A +:04224000000191FF09 +:042241000010000089 +:0422420000011A88F5 +:04224300000170B86E +:042244000000000195 +:042245000001920002 +:042246000010000084 +:0422470000011A88F0 +:04224800000170CC55 +:042249000000000190 +:04224A0000019201FC +:04224B00001000007F +:04224C0000011A88EB +:04224D00000170E03C +:04224E00000000018B +:04224F0000019202F6 +:04225000001000007A +:0422510000011A88E6 +:04225200000170F423 +:042253000000000186 +:0422540000019203F0 +:042255000010000075 +:0422560000011A88E1 +:042257000001710809 +:042258000000000181 +:0422590000019204EA +:04225A000010000070 +:04225B0000011A88DC +:04225C000001711CF0 +:04225D00000000017C +:04225E0000019205E4 +:04225F00001000006B +:0422600000011A88D7 +:0422610000017944BB +:042262000000000375 +:04226300000185747D +:042264000000000076 +:042265000000000075 +:0422660000017958A2 +:042267000000000370 +:042268000001855498 +:042269000000000071 +:04226A000000000070 +:04226B000001796C89 +:04226C00000000036B +:04226D000001856C7B +:04226E00000000006C +:04226F00000000006B +:042270000001798070 +:042271000000000366 +:04227200000185449E +:042273000000000067 +:042274000000000066 +:042275000001799457 +:042276000000000361 +:042277000001855C81 +:042278000000000062 +:042279000000000061 +:04227A00000179A83E +:04227B00000000035C +:04227C000001854C8C +:04227D00000000005D +:04227E00000000005C +:04227F00000179BC25 +:042280000000000357 +:042281000001852CA7 +:042282000000000058 +:042283000000000057 +:04228400000179D00C +:042285000000000352 +:0422860000018524AA +:042287000000000053 +:042288000000000052 +:04228900000179E4F3 +:04228A00000000034D +:04228B000001856465 +:04228C00000000004E +:04228D00000000004D +:04228E000001772CA8 +:04228F00000000004B +:04229000000191E4D4 +:042291000004000144 +:0422920000018F4474 +:042293000001773C93 +:042294000000000046 +:04229500000191E5CE +:04229600000400013F +:0422970000018F585B +:042298000001774C7E +:042299000000000041 +:04229A00000191E6C8 +:04229B00000300013B +:04229C0000018F6C42 +:04229D000001775C69 +:04229E00000000003C +:04229F00000191E7C2 +:0422A0000001000138 +:0422A1000001909810 +:0422A2000001776C54 +:0422A3000000000037 +:0422A400000191E8BC +:0422A5000001000133 +:0422A6000001909013 +:0422A7000001777C3F +:0422A8000000000032 +:0422A900000191E9B6 +:0422AA00000400012B +:0422AB00000186B0F8 +:0422AC00000177882E +:0422AD00000000002D +:0422AE00000191EAB0 +:0422AF000005000125 +:0422B000000186C4DF +:0422B100000177941D +:0422B2000000000028 +:0422B300000191EBAA +:0422B4000004000121 +:0422B500000186B0EE +:0422B600000177A00C +:0422B7000000000023 +:0422B800000191ECA4 +:0422B900000400011C +:0422BA00000186B0E9 +:0422BB00000177ACFB +:0422BC00000000001E +:0422BD00000191ED9E +:0422BE000002000119 +:0422BF00000186DCB8 +:0422C000000177BCE6 +:0422C1000000000019 +:0422C200000191F195 +:0422C3000001000115 +:0422C4000001906C19 +:0422C500000177CCD1 +:0422C6000000000014 +:0422C700000192215F +:0422C800000200010F +:0422C90000018FC4BD +:0422CA00000177D4C4 +:0422CB00000000000F +:0422CC000001922259 +:0422CD00000100010B +:0422CE0000019084F7 +:0422CF00000176A8EC +:0422D000000000000A +:0422D100000192096D +:0422D2000007000001 +:0422D300000186F888 +:0422D400000176B4DB +:0422D5000000000104 +:0422D6000001920869 +:0422D700000F0000F4 +:0422D80000011B2EB8 +:0422D9000001785434 +:0422DA000000000000 +:0422DB00000191EE7F +:0422DC0000030001FA +:0422DD0000018F7CF1 +:0422DE00000178641F +:0422DF0000000000FB +:0422E000000191EF79 +:0422E10000010001F7 +:0422E200000190A8BF +:0422E300000178740A +:0422E40000000000F6 +:0422E500000191F073 +:0422E60000010001F2 +:0422E70000019084DE +:0422E80000017888F1 +:0422E90000000003EE +:0422EA000001851C4E +:0422EB0000018FE877 +:0422EC000001068C5B +:0422ED00000176C4B2 +:0422EE0000000000EC +:0422EF00000191F465 +:0422F00000020001E7 +:0422F10000018F8CCD +:0422F200000176D0A1 +:0422F30000000001E6 +:0422F400000191F95B +:0422F500000F0000D6 +:0422F60000011A6465 +:0422F700000176E08C +:0422F80000000000E2 +:0422F900000191F758 +:0422FA0000010001DE +:0422FB00000190B896 +:0422FC00000176EC7B +:0422FD0000000000DD +:0422FE00000191F852 +:0422FF0000010001D9 +:0423000000019084C4 +:04230100000176FC65 +:0423020000000000D7 +:04230300000191FA4A +:0423040000010001D3 +:04230500000190B093 +:042306000001770C4F +:0423070000000000D2 +:04230800000191F54A +:0423090000030001CC +:04230A0000018F98A7 +:04230B00000177183E +:04230C0000000003CA +:04230D000001853412 +:04230E0000000000CB :04230F0000000000CA -:0423100000000000C9 -:042311000001750C46 -:0423120000000004C3 -:0423130000013D0484 -:0423140000000000C5 -:0423150000000000C4 -:04231600000175202D -:0423170000000004BE -:042318000001417E01 -:0423190000000000C0 -:04231A0000000000BF -:04231B00000176E067 -:04231C0000000000BD -:04231D00000190EA41 -:04231E0000030001B7 -:04231F0000018E8C9F -:04232000000176F052 -:0423210000000000B8 -:04232200000190EB3B -:0423230000020001B3 -:0423240000018E80A6 -:04232500000177003C -:0423260000000001B2 -:04232700000190E33E -:04232800001F000092 -:04232900000119ECAA -:04232A000001771027 -:04232B0000000001AD -:04232C00000190E438 -:04232D0000FF0000AD -:04232E0000011A0E82 -:04232F000001772012 -:0423300000000001A8 -:04233100000190E532 -:0423320000C80A00D5 -:0423330000011A0E7D -:0423340000017730FD -:0423350000000001A3 -:04233600000190E62C -:04233700000500009D -:0423380000011B067F -:0423390000017740E8 -:04233A00000000019E -:04233B00000190E726 -:04233C000005000098 -:04233D0000011B067A -:04233E000001779C87 -:04233F00000000009A -:04234000000190E91F -:042341000005000192 -:0423420000018EA860 -:04234300000177A876 -:042344000000000095 -:04234500000190E81B -:042346000002000190 -:0423470000018ECC37 -:04234800000177B861 -:04234900000000018F -:04234A00000190EE10 -:04234B0000FF00008F -:04234C0000011B1A57 -:04234D00000177C450 -:04234E00000000018A -:04234F00000190EF0A -:0423500000FF00008A -:0423510000011B1A52 -:04235200000177D03F -:042353000000000185 -:04235400000190F004 -:0423550000FF000085 -:0423560000011B1A4D -:04235700000177DC2E -:042358000000000180 -:04235900000190F1FE -:04235A0000FF000080 -:04235B0000011B1A48 -:04235C00000177E81D -:04235D00000000017B -:04235E00000190F2F8 -:04235F0000FF00007B -:0423600000011B1A43 -:04236100000177F40C -:042362000000000176 -:04236300000190F3F2 -:0423640000FF000076 -:0423650000011B1A3E -:0423660000017800FA -:042367000000000171 -:04236800000190F4EC -:04236900000F000061 -:04236A0000011B1A39 -:04236B0000017810E5 -:04236C00000000016C -:04236D00000190CB10 -:04236E0000E41C006B -:04236F0000011B2E20 -:0423700000017824CC -:042371000000000167 -:04237200000190EDE9 -:04237300000A00005C -:0423740000011B62E7 -:0423750000017834B7 -:042376000000000162 -:04237700000190ECE5 -:04237800000700005A -:0423790000011B7ACA -:04237A000001843C9E -:04237B00000000005E -:04237C00000000005D -:04237D00000000005C -:04237E00000000005B -:04237F00000000005A -:04238000000170CC1C -:04238100000170D017 -:04238200000170D80E -:04238300000170C025 -:04238400000170C420 -:04238500000170C81B -:04238600000170CC16 -:042387000001728C53 -:04238800000172A836 -:0423890000017300DC -:04238A0000017308D3 -:04238B0000017310CA -:04238C000001728C4E -:04238D00000172A831 -:04238E00000172D008 -:04238F00000172E0F7 -:04239000000172F0E6 -:042391000001728C49 -:04239200000172983C -:04239300000172B023 -:04239400000172C012 -:04239500000171C80A -:04239600000173943B -:04239700000173A02E -:04239800000173B01D -:04239900000170CC03 -:04239A00000171C805 -:04239B00000171D0FC -:04239C00000171705B -:04239D000001717C4E -:04239E000001718841 -:04239F000001719830 -:0423A00000017318AD -:0423A1000001732C98 -:0423A2000001733C87 -:0423A3000001734C76 -:0423A4000001735C65 -:0423A5000001736858 -:0423A600000170CCF6 -:0423A700000171D4EC -:0423A800000171E0DF -:0423A900000171F0CE -:0423AA00000171C8F5 -:0423AB00000170CCF1 -:0423AC00000173D4E5 -:0423AD00000173E4D4 -:0423AE00000173F4C3 -:0423AF0000017404B1 -:0423B000000199008F -:0423B1000000000028 -:0423B2000001122AEA -:0423B300000173BCF6 -:0423B400000173C8E9 -:0423B500000171C8EA -:0423B6000000000023 -:0423B7000000000022 -:0423B8000000000021 -:0423B9000000000020 -:0423BA00000000001F -:0423BB00000000001E -:0423BC00000000001D -:0423BD00000000001C -:0423BE00000000001B -:0423BF00000000001A -:0423C0000000000019 -:0423C1000000000018 -:0423C2000000000017 -:0423C3000000000016 -:0423C4000000000015 +:042310000001751043 +:0423110000000001C7 +:04231200000192250F +:04231300000A0101BA +:042314000001125A58 +:04231500000175242A +:0423160000000000C3 +:04231700000199F92F +:0423180000010001BF +:0423190000019084AB +:04231A000001753811 +:04231B0000000000BE +:04231C00000199EC37 +:04231D00000A0001B1 +:04231E0000018670C4 +:04231F0000017548FC +:0423200000000000B9 +:04232100000199E23C +:0423220000020001B4 +:0423230000018658D7 +:042324000001755CE3 +:0423250000000000B4 +:04232600000199E039 +:0423270000010001B0 +:04232800000190A080 +:042329000001756CCE +:04232A0000000000AF +:04232B000001907CA1 +:04232C0000010001AB +:04232D00000190A07B +:04232E000001757CB9 +:04232F0000000000AA +:04233000000199E12E +:0423310000010001A6 +:04233200000190A076 +:042333000001758CA4 +:0423340000000000A5 +:04233500000199F416 +:04233600000300019F +:04233700000186E833 +:042338000001759C8F +:0423390000000000A0 +:04233A000001908C82 +:04233B00000200019B +:04233C0000018F28E5 +:04233D00000175A086 +:04233E00000000009B +:04233F000001908D7C +:042340000003000195 +:0423410000018F34D4 +:04234200000175B46D +:042343000000000096 +:04234400000199F803 +:042345000001000192 +:04234600000190847E +:04234700000175C458 +:04234800000000048D +:0423490000012488E3 +:04234A0000017C38DA +:04234B00000000008E +:04234C00000175D443 +:04234D000000000488 +:04234E00000121B8B1 +:04234F0000017C38D5 +:042350000000000089 +:04235100000175E42E +:042352000000000483 +:04235300000106542B +:042354000000000085 +:042355000000000084 +:04235600000175F815 +:04235700000000047E +:042358000001463CFE +:042359000000000080 +:04235A00000000007F +:04235B000001760CFB +:04235C000000000479 +:04235D0000013DC07E +:04235E00000000007B +:04235F00000000007A +:0423600000017620E2 +:042361000000000474 +:042362000001423AFA +:042363000000000076 +:042364000000000075 +:04236500000177E01C +:042366000000000073 +:0423670000019212CD +:04236800000300016D +:0423690000018FB42C +:04236A00000177F007 +:04236B00000000006E +:04236C0000019213C7 +:04236D000002000169 +:04236E0000018FA833 +:04236F0000017800F1 +:042370000000000168 +:042371000001920BCA +:04237200001F000048 +:0423730000011A004B +:0423740000017810DC +:042375000000000163 +:042376000001920CC4 +:0423770000FF000063 +:0423780000011A2224 +:0423790000017820C7 +:04237A00000000015E +:04237B000001920DBE +:04237C0000C80A008B +:04237D0000011A221F +:04237E0000017830B2 +:04237F000000000159 +:042380000001920EB8 +:042381000005000053 +:0423820000011B1A21 +:04238300000178409D +:042384000000000154 +:042385000001920FB2 +:04238600000500004E +:0423870000011B1A1C +:042388000001789C3C +:042389000000000050 +:04238A0000019211AB +:04238B000005000148 +:04238C0000018FD0ED +:04238D00000178A82B +:04238E00000000004B +:04238F0000019210A7 +:042390000002000146 +:0423910000018FF4C4 +:04239200000178B816 +:042393000000000145 +:04239400000192169C +:0423950000FF000045 +:0423960000011B2EF9 +:04239700000178C405 +:042398000000000140 +:042399000001921796 +:04239A0000FF000040 +:04239B0000011B2EF4 +:04239C00000178D0F4 +:04239D00000000013B +:04239E000001921890 +:04239F0000FF00003B +:0423A00000011B2EEF +:0423A100000178DCE3 +:0423A2000000000136 +:0423A300000192198A +:0423A40000FF000036 +:0423A50000011B2EEA +:0423A600000178E8D2 +:0423A7000000000131 +:0423A8000001921A84 +:0423A90000FF000031 +:0423AA0000011B2EE5 +:0423AB00000178F4C1 +:0423AC00000000012C +:0423AD000001921B7E +:0423AE0000FF00002C +:0423AF0000011B2EE0 +:0423B00000017900AF +:0423B1000000000127 +:0423B2000001921C78 +:0423B300000F000017 +:0423B40000011B2EDB +:0423B500000179109A +:0423B6000000000122 +:0423B700000191F39D +:0423B80000E41C0021 +:0423B90000011B42C2 +:0423BA000001792481 +:0423BB00000000011D +:0423BC000001921575 +:0423BD00000A000012 +:0423BE0000011B7689 +:0423BF00000179346C +:0423C0000000000118 +:0423C1000001921471 +:0423C2000007000010 +:0423C30000011B8E6C +:0423C4000001853C53 :0423C5000000000014 :0423C6000000000013 :0423C7000000000012 :0423C8000000000011 :0423C9000000000010 -:0423CA00000000000F -:0423CB00000000000E -:0423CC00000000000D -:0423CD00000000000C -:0423CE0000018F3843 -:0423CF0000018F3842 -:0423D000000169B0EF -:0423D100000171F4A2 -:0423D2000001720490 -:0423D3000001710490 -:0423D400000171187B -:0423D5000000000103 -:0423D6000010801063 -:0423D700000170CCC5 -:0423D800000171D0BF -:0423D90000000101FE -:0423DA000001728C00 -:0423DB0000017298F3 -:0423DC000001728CFE -:0423DD00000172A8E1 -:0423DE000001791869 -:0423DF00000179245C -:0423E0000001737411 -:0423E1000001738400 -:0423E200000171A0E5 -:0423E300000171A4E0 -:0423E400000171ACD7 -:0423E500000171BCC6 -:0423E60000018ED88C +:0423CA00000171CCD1 +:0423CB00000171D0CC +:0423CC00000171D8C3 +:0423CD00000171C0DA +:0423CE00000171C4D5 +:0423CF00000171C8D0 +:0423D000000171CCCB +:0423D1000001738C08 +:0423D200000173A8EB +:0423D3000001740091 +:0423D4000001740888 +:0423D500000174107F +:0423D6000001738C03 +:0423D700000173A8E6 +:0423D800000173D0BD +:0423D900000173E0AC +:0423DA00000173F09B +:0423DB000001738CFE +:0423DC0000017398F1 +:0423DD00000173B0D8 +:0423DE00000173C0C7 +:0423DF00000172C8BF +:0423E00000017494F0 +:0423E100000174A0E3 +:0423E200000174B0D2 +:0423E300000171CCB8 +:0423E400000172C8BA +:0423E500000172D0B1 +:0423E6000001727010 +:0423E7000001727C03 +:0423E80000017288F6 +:0423E90000017298E5 +:0423EA000001741862 +:0423EB000001742C4D +:0423EC000001743C3C +:0423ED000001744C2B +:0423EE000001745C1A +:0423EF00000174680D +:0423F000000171CCAB +:0423F100000172D4A1 +:0423F200000172E094 +:0423F300000172F083 +:0423F400000172C8AA +:0423F500000171CCA6 +:0423F600000174D49A +:0423F700000174E489 +:0423F800000174F478 +:0423F9000001750466 +:0423FA0000019A2C18 +:0423FB0000000000DE +:0423FC000001123E8C +:0423FD00000174BCAB +:0423FE00000174C89E +:0423FF00000172C89F +:0424000000000000D8 +:0424010000000000D7 +:0424020000000000D6 +:0424030000000000D5 +:0424040000000000D4 +:0424050000000000D3 +:0424060000000000D2 +:0424070000000000D1 +:0424080000000000D0 +:0424090000000000CF +:04240A0000000000CE +:04240B0000000000CD +:04240C0000000000CC +:04240D0000000000CB +:04240E0000000000CA +:04240F0000000000C9 +:0424100000000000C8 +:0424110000000000C7 +:0424120000000000C6 +:0424130000000000C5 +:0424140000000000C4 +:0424150000000000C3 +:0424160000000000C2 +:0424170000000000C1 +:0424180000019060CF +:0424190000019060CE +:04241A0000016AA0B3 +:04241B00000172F456 +:04241C000001730444 +:04241D000001720444 +:04241E00000172182F +:04241F0000000001B8 +:042420000010801018 +:04242100000171CC79 +:04242200000172D073 +:0424230000000101B3 +:042424000001738CB4 +:0424250000017398A7 +:042426000001738CB2 +:04242700000173A895 +:0424280000017A181D +:0424290000017A2410 +:04242A0000017474C5 +:04242B0000017484B4 +:04242C00000172A099 +:04242D00000172A494 +:04242E00000172AC8B +:04242F00000172BC7A +:042430000001900017 :00000001FF diff --git a/software/sys_controller/ossc/avconfig.c b/software/sys_controller/ossc/avconfig.c index f588015..54d68a1 100644 --- a/software/sys_controller/ossc/avconfig.c +++ b/software/sys_controller/ossc/avconfig.c @@ -57,6 +57,7 @@ const avconfig_t tc_default = { .b_f_off = DEFAULT_FINE_OFFSET, .c_gain = DEFAULT_COARSE_GAIN, }, + .mask_br = 8, #ifdef ENABLE_AUDIO .audio_dw_sampl = DEFAULT_ON, .audio_gain = AUDIO_GAIN_0DB, diff --git a/software/sys_controller/ossc/firmware.h b/software/sys_controller/ossc/firmware.h index f6d78e9..b8c2c88 100644 --- a/software/sys_controller/ossc/firmware.h +++ b/software/sys_controller/ossc/firmware.h @@ -27,7 +27,7 @@ #define FW_VER_MINOR 03 #define PROFILE_VER_MAJOR 1 -#define PROFILE_VER_MINOR 02 +#define PROFILE_VER_MINOR 03 #define INITCFG_VER_MAJOR 1 #define INITCFG_VER_MINOR 00 diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index 1e7cc30..beb6ad8 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -44,7 +44,7 @@ extern char target_profile_name[PROFILE_NAME_LEN+1]; extern volatile osd_regs *osd; extern const int num_video_modes_plm; -alt_u16 tc_h_samplerate, tc_h_samplerate_adj, tc_h_synclen, tc_h_bporch, tc_h_active, tc_v_synclen, tc_v_bporch, tc_v_active, tc_sampler_phase; +alt_u16 tc_h_samplerate, tc_h_samplerate_adj, tc_h_synclen, tc_h_bporch, tc_h_active, tc_v_synclen, tc_v_bporch, tc_v_active, tc_sampler_phase, tc_h_mask, tc_v_mask; alt_u8 menu_active; alt_u8 vm_sel, vm_edit; @@ -115,6 +115,8 @@ MENU(menu_advtiming, P99_PROTECT({ \ { LNG("V. synclen","V. ドウキナガサ"), OPT_AVCONFIG_NUMVAL_U16,{ .num_u16 = { &tc_v_synclen, V_SYNCLEN_MIN, V_SYNCLEN_MAX, vm_tweak } } }, { LNG("V. backporch","V. バックポーチ"), OPT_AVCONFIG_NUMVAL_U16,{ .num_u16 = { &tc_v_bporch, V_BPORCH_MIN, V_BPORCH_MAX, vm_tweak } } }, { LNG("V. active","V. アクティブ"), OPT_AVCONFIG_NUMVAL_U16,{ .num_u16 = { &tc_v_active, V_ACTIVE_MIN, V_ACTIVE_MAX, vm_tweak } } }, + { "H. mask", OPT_AVCONFIG_NUMVAL_U16,{ .num_u16 = { &tc_h_mask, 0, H_MASK_MAX, vm_tweak } } }, + { "V. mask", OPT_AVCONFIG_NUMVAL_U16,{ .num_u16 = { &tc_v_mask, 0, V_MASK_MAX, vm_tweak } } }, { LNG("Sampling phase","サンプリングフェーズ"), OPT_AVCONFIG_NUMVAL_U16, { .num_u16 = { &tc_sampler_phase, 0, SAMPLER_PHASE_MAX, vm_tweak } } }, })) @@ -195,8 +197,6 @@ MENU(menu_scanlines, P99_PROTECT({ \ })) MENU(menu_postproc, P99_PROTECT({ \ - //{ LNG("Horizontal mask","スイヘイマスク"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.h_mask, OPT_NOWRAP, 0, H_MASK_MAX, pixels_disp } } }, - //{ LNG("Vertical mask","スイチョクマスク"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.v_mask, OPT_NOWRAP, 0, V_MASK_MAX, pixels_disp } } }, { "Mask color", OPT_AVCONFIG_SELECTION, { .sel = { &tc.mask_color, OPT_NOWRAP, SETTING_ITEM(mask_color_desc) } } }, { LNG("Mask brightness","マスクアカルサ"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.mask_br, OPT_NOWRAP, 0, HV_MASK_MAX_BR, value_disp } } }, //{ LNG("Reverse LPF","ギャクLPF"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.reverse_lpf, OPT_NOWRAP, 0, REVERSE_LPF_MAX, value_disp } } }, @@ -499,6 +499,8 @@ static void vm_select() { tc_v_synclen = (uint16_t)video_modes_plm[vm_edit].timings.v_synclen; tc_v_bporch = video_modes_plm[vm_edit].timings.v_backporch; tc_v_active = video_modes_plm[vm_edit].timings.v_active; + tc_h_mask = (uint16_t)video_modes_plm[vm_edit].mask.h; + tc_v_mask = (uint16_t)video_modes_plm[vm_edit].mask.v; tc_sampler_phase = video_modes_plm[vm_edit].sampler_phase; } @@ -513,7 +515,9 @@ static void vm_tweak(uint16_t *v) { (video_modes_plm[cm.id].timings.h_active != tc_h_active) || (video_modes_plm[cm.id].timings.v_synclen != (uint8_t)tc_v_synclen) || (video_modes_plm[cm.id].timings.v_backporch != tc_v_bporch) || - (video_modes_plm[cm.id].timings.v_active != tc_v_active)) + (video_modes_plm[cm.id].timings.v_active != tc_v_active) || + (video_modes_plm[cm.id].mask.h != tc_h_mask) || + (video_modes_plm[cm.id].mask.v != tc_v_mask)) update_cur_vm = 1; if (video_modes_plm[cm.id].sampler_phase != tc_sampler_phase) set_sampler_phase(tc_sampler_phase); @@ -526,6 +530,8 @@ static void vm_tweak(uint16_t *v) { video_modes_plm[vm_edit].timings.v_synclen = (uint8_t)tc_v_synclen; video_modes_plm[vm_edit].timings.v_backporch = tc_v_bporch; video_modes_plm[vm_edit].timings.v_active = tc_v_active; + video_modes_plm[vm_edit].mask.h = tc_h_mask; + video_modes_plm[vm_edit].mask.v = tc_v_mask; video_modes_plm[vm_edit].sampler_phase = tc_sampler_phase; if (v == &tc_sampler_phase) diff --git a/software/sys_controller/ossc/video_modes.c b/software/sys_controller/ossc/video_modes.c index cdcd790..9bbb12a 100644 --- a/software/sys_controller/ossc/video_modes.c +++ b/software/sys_controller/ossc/video_modes.c @@ -226,6 +226,8 @@ int get_pure_lm_mode(avconfig_t *cc, mode_data_t *vm_in, mode_data_t *vm_out, vm vm_in->timings.h_total = mode_preset->timings.h_total; vm_in->timings.h_total_adj = mode_preset->timings.h_total_adj; vm_in->sampler_phase = mode_preset->sampler_phase; + vm_in->mask.h = mode_preset->mask.h; + vm_in->mask.v = mode_preset->mask.v; vm_in->type = mode_preset->type; vm_in->group = mode_preset->group; vm_in->vic = mode_preset->vic; @@ -312,11 +314,10 @@ int get_pure_lm_mode(avconfig_t *cc, mode_data_t *vm_in, mode_data_t *vm_out, vm break; case MODE_L3_GEN_4_3: vm_conf->y_rpt = 2; - vm_conf->x_size = vm_out->timings.h_active; + vm_conf->x_size = vm_out->timings.h_active-2*vm_in->mask.h; vm_out->timings.h_synclen /= 3; vm_out->timings.h_backporch /= 3; vm_out->timings.h_active /= 3; - vm_conf->x_offset = vm_out->timings.h_active/2; vm_out->timings.h_total /= 3; vm_out->timings.h_total_adj = 0; vmode_hv_mult(vm_out, 4, VM_OUT_YMULT); @@ -353,7 +354,6 @@ int get_pure_lm_mode(avconfig_t *cc, mode_data_t *vm_in, mode_data_t *vm_out, vm vm_conf->x_rpt = vm_conf->h_skip = 6; vmode_hv_mult(vm_out, VM_OUT_XMULT, VM_OUT_YMULT); vm_conf->si_pclk_mult = VM_OUT_PCLKMULT; - //cm.hsync_cut = 13; break; case MODE_L4_GEN_4_3: vm_conf->y_rpt = 3; @@ -407,21 +407,18 @@ int get_pure_lm_mode(avconfig_t *cc, mode_data_t *vm_in, mode_data_t *vm_out, vm vm_conf->x_rpt = vm_conf->h_skip = 2; vmode_hv_mult(vm_out, VM_OUT_XMULT, VM_OUT_YMULT); vm_conf->si_pclk_mult = VM_OUT_PCLKMULT; - //cm.hsync_cut = 40; break; case MODE_L5_384_COL: vm_conf->y_rpt = 4; vm_conf->x_rpt = vm_conf->h_skip = 3; vmode_hv_mult(vm_out, VM_OUT_XMULT, VM_OUT_YMULT); vm_conf->si_pclk_mult = VM_OUT_PCLKMULT; - //cm.hsync_cut = 30; break; case MODE_L5_320_COL: vm_conf->y_rpt = 4; vm_conf->x_rpt = vm_conf->h_skip = 4; vmode_hv_mult(vm_out, VM_OUT_XMULT, VM_OUT_YMULT); vm_conf->si_pclk_mult = VM_OUT_PCLKMULT; - //cm.hsync_cut = 24; break; case MODE_L5_256_COL: vm_conf->y_rpt = 4; @@ -429,7 +426,6 @@ int get_pure_lm_mode(avconfig_t *cc, mode_data_t *vm_in, mode_data_t *vm_out, vm vmode_hv_mult(vm_out, VM_OUT_XMULT, VM_OUT_YMULT); vm_conf->si_pclk_mult = VM_OUT_PCLKMULT; vm_conf->x_rpt -= cc->ar_256col; - //cm.hsync_cut = 20; break; default: printf("WARNING: invalid mindiff_lm\n"); @@ -440,12 +436,13 @@ int get_pure_lm_mode(avconfig_t *cc, mode_data_t *vm_in, mode_data_t *vm_out, vm sniprintf(vm_out->name, 10, "%s x%u", vm_in->name, vm_conf->y_rpt+1); if (vm_conf->x_size == 0) - vm_conf->x_size = vm_in->timings.h_active*(vm_conf->x_rpt+1); + vm_conf->x_size = (vm_in->timings.h_active-2*vm_in->mask.h)*(vm_conf->x_rpt+1); if (vm_conf->y_size == 0) - vm_conf->y_size = vm_out->timings.v_active; + vm_conf->y_size = vm_out->timings.v_active-2*vm_in->mask.v*(vm_conf->y_rpt+1); vm_conf->x_offset = ((vm_out->timings.h_active-vm_conf->x_size)/2); - vm_conf->x_start_lb = (vm_conf->x_offset >= 0) ? 0 : (-vm_conf->x_offset / (vm_conf->x_rpt+1)); + vm_conf->x_start_lb = vm_in->mask.h; + vm_conf->y_offset = ((vm_out->timings.v_active-vm_conf->y_size)/2); // Line5x format if (vm_conf->y_rpt == 4) { @@ -462,9 +459,19 @@ int get_pure_lm_mode(avconfig_t *cc, mode_data_t *vm_in, mode_data_t *vm_out, vm vm_conf->y_start_lb = (vm_out->timings.v_active-1080)/10; vm_out->timings.v_backporch += 5*vm_conf->y_start_lb; vm_out->timings.v_active = 1080; + vm_conf->y_size = vm_out->timings.v_active-2*vm_in->mask.v*(vm_conf->y_rpt+1); } } + // Aspect + if (vm_out->type & VIDEO_HDTV) { + vm_out->ar.h = 16; + vm_out->ar.v = 9; + } else { + vm_out->ar.h = 4; + vm_out->ar.v = 3; + } + #ifdef LM_EMIF_EXTRA_DELAY vm_conf->framesync_line = ((vm_out->timings.v_total>>vm_out->timings.interlaced)-(1+vm_out->timings.interlaced)*(vm_conf->y_rpt+1)); #else @@ -484,6 +491,8 @@ int get_vmode(vmode_t vmode_id, mode_data_t *vm_in, mode_data_t *vm_out, vm_proc memset(vm_conf, 0, sizeof(vm_proc_config_t)); memset(vm_in, 0, sizeof(mode_data_t)); memcpy(vm_out, &video_modes_plm_default[vmode_id], sizeof(mode_data_t)); + vm_out->ar.h = 4; + vm_out->ar.v = 3; return 0; } diff --git a/software/sys_controller/ossc/video_modes.h b/software/sys_controller/ossc/video_modes.h index dc507d8..551ae72 100644 --- a/software/sys_controller/ossc/video_modes.h +++ b/software/sys_controller/ossc/video_modes.h @@ -125,6 +125,11 @@ typedef struct { uint8_t v; } aspect_ratio_t; +typedef struct { + uint8_t h; + uint8_t v; +} mask_t; + typedef enum { TX_1X = 0, TX_2X = 1, @@ -136,7 +141,10 @@ typedef struct { HDMI_Video_Type vic; sync_timings_t timings; uint8_t sampler_phase; - aspect_ratio_t ar; + union { + aspect_ratio_t ar; + mask_t mask; + }; video_type type; video_group group; mode_flags flags; diff --git a/software/sys_controller/ossc/video_modes_list.c b/software/sys_controller/ossc/video_modes_list.c index cc1642c..a14c5b0 100644 --- a/software/sys_controller/ossc/video_modes_list.c +++ b/software/sys_controller/ossc/video_modes_list.c @@ -23,62 +23,62 @@ static #endif const mode_data_t video_modes_plm_default[] = { /* 240p modes */ - { "1600x240", HDMI_Unknown, {1600, 240, 6000, 2046, 0, 262, 202, 15, 150, 3, 0}, DEF_PHASE, { 4, 3}, VIDEO_SDTV, GROUP_240P, (MODE_L5_GEN_4_3), }, - { "1280x240", HDMI_Unknown, {1280, 240, 6000, 1560, 0, 262, 170, 15, 72, 3, 0}, DEF_PHASE, { 4, 3}, VIDEO_SDTV, GROUP_240P, (MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3), }, - { "960x240", HDMI_Unknown, { 960, 240, 6000, 1170, 0, 262, 128, 15, 54, 3, 0}, DEF_PHASE, { 4, 3}, VIDEO_SDTV, GROUP_240P, (MODE_L3_GEN_4_3), }, - { "512x240", HDMI_Unknown, { 512, 240, 6000, 682, 0, 262, 77, 14, 50, 3, 0}, DEF_PHASE, { 4, 3}, VIDEO_SDTV, GROUP_240P, (MODE_L2_512_COL | MODE_L3_512_COL | MODE_L4_512_COL | MODE_L5_512_COL), }, - { "384x240", HDMI_Unknown, { 384, 240, 6000, 512, 0, 262, 59, 14, 37, 3, 0}, DEF_PHASE, { 4, 3}, VIDEO_SDTV, GROUP_240P, (MODE_L2_384_COL | MODE_L3_384_COL | MODE_L4_384_COL | MODE_L5_384_COL), }, - { "320x240", HDMI_Unknown, { 320, 240, 6000, 426, 0, 262, 49, 14, 31, 3, 0}, DEF_PHASE, { 4, 3}, VIDEO_SDTV, GROUP_240P, (MODE_L2_320_COL | MODE_L3_320_COL | MODE_L4_320_COL | MODE_L5_320_COL), }, - { "256x240", HDMI_Unknown, { 256, 240, 6000, 341, 0, 262, 39, 14, 25, 3, 0}, DEF_PHASE, { 4, 3}, VIDEO_SDTV, GROUP_240P, (MODE_L2_256_COL | MODE_L3_256_COL | MODE_L4_256_COL | MODE_L5_256_COL), }, - { "240p", HDMI_240p60, { 720, 240, 6005, 858, 0, 262, 57, 15, 62, 3, 0}, DEF_PHASE, { 4, 3}, VIDEO_SDTV, GROUP_240P, (MODE_PT | MODE_L2), }, + { "1600x240", HDMI_Unknown, {1600, 240, 6000, 2046, 0, 262, 202, 15, 150, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_240P, (MODE_L5_GEN_4_3), }, + { "1280x240", HDMI_Unknown, {1280, 240, 6000, 1560, 0, 262, 170, 15, 72, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_240P, (MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3), }, + { "960x240", HDMI_Unknown, { 960, 240, 6000, 1170, 0, 262, 128, 15, 54, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_240P, (MODE_L3_GEN_4_3), }, + { "512x240", HDMI_Unknown, { 512, 240, 6000, 682, 0, 262, 77, 14, 50, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_240P, (MODE_L2_512_COL | MODE_L3_512_COL | MODE_L4_512_COL | MODE_L5_512_COL), }, + { "384x240", HDMI_Unknown, { 384, 240, 6000, 512, 0, 262, 59, 14, 37, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_240P, (MODE_L2_384_COL | MODE_L3_384_COL | MODE_L4_384_COL | MODE_L5_384_COL), }, + { "320x240", HDMI_Unknown, { 320, 240, 6000, 426, 0, 262, 49, 14, 31, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_240P, (MODE_L2_320_COL | MODE_L3_320_COL | MODE_L4_320_COL | MODE_L5_320_COL), }, + { "256x240", HDMI_Unknown, { 256, 240, 6000, 341, 0, 262, 39, 14, 25, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_240P, (MODE_L2_256_COL | MODE_L3_256_COL | MODE_L4_256_COL | MODE_L5_256_COL), }, + { "240p", HDMI_240p60, { 720, 240, 6005, 858, 0, 262, 57, 15, 62, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_240P, (MODE_PT | MODE_L2), }, /* 288p modes */ - { "1600x240L", HDMI_Unknown, {1600, 240, 5000, 2046, 0, 312, 202, 43, 150, 3, 0}, DEF_PHASE, { 4, 3}, VIDEO_SDTV, GROUP_288P, (MODE_L5_GEN_4_3), }, - { "1280x288", HDMI_Unknown, {1280, 288, 5000, 1560, 0, 312, 170, 19, 72, 3, 0}, DEF_PHASE, { 4, 3}, VIDEO_SDTV, GROUP_288P, (MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3), }, - { "960x288", HDMI_Unknown, { 960, 288, 5000, 1170, 0, 312, 128, 19, 54, 3, 0}, DEF_PHASE, { 4, 3}, VIDEO_SDTV, GROUP_288P, (MODE_L3_GEN_4_3), }, - { "512x240LB", HDMI_Unknown, { 512, 240, 5000, 682, 0, 312, 77, 41, 50, 3, 0}, DEF_PHASE, { 4, 3}, VIDEO_SDTV, GROUP_288P, (MODE_L2_512_COL | MODE_L3_512_COL | MODE_L4_512_COL | MODE_L5_512_COL), }, - { "384x240LB", HDMI_Unknown, { 384, 240, 5000, 512, 0, 312, 59, 41, 37, 3, 0}, DEF_PHASE, { 4, 3}, VIDEO_SDTV, GROUP_288P, (MODE_L2_384_COL | MODE_L3_384_COL | MODE_L4_384_COL | MODE_L5_384_COL), }, - { "320x240LB", HDMI_Unknown, { 320, 240, 5000, 426, 0, 312, 49, 41, 31, 3, 0}, DEF_PHASE, { 4, 3}, VIDEO_SDTV, GROUP_288P, (MODE_L2_320_COL | MODE_L3_320_COL | MODE_L4_320_COL | MODE_L5_320_COL), }, - { "256x240LB", HDMI_Unknown, { 256, 240, 5000, 341, 0, 312, 39, 41, 25, 3, 0}, DEF_PHASE, { 4, 3}, VIDEO_SDTV, GROUP_288P, (MODE_L2_256_COL | MODE_L3_256_COL | MODE_L4_256_COL | MODE_L5_256_COL), }, - { "288p", HDMI_288p50, { 720, 288, 5008, 864, 0, 312, 69, 19, 63, 3, 0}, DEF_PHASE, { 4, 3}, VIDEO_SDTV, GROUP_288P, (MODE_PT | MODE_L2), }, + { "1600x240L", HDMI_Unknown, {1600, 240, 5000, 2046, 0, 312, 202, 43, 150, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_288P, (MODE_L5_GEN_4_3), }, + { "1280x288", HDMI_Unknown, {1280, 288, 5000, 1560, 0, 312, 170, 19, 72, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_288P, (MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3), }, + { "960x288", HDMI_Unknown, { 960, 288, 5000, 1170, 0, 312, 128, 19, 54, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_288P, (MODE_L3_GEN_4_3), }, + { "512x240LB", HDMI_Unknown, { 512, 240, 5000, 682, 0, 312, 77, 41, 50, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_288P, (MODE_L2_512_COL | MODE_L3_512_COL | MODE_L4_512_COL | MODE_L5_512_COL), }, + { "384x240LB", HDMI_Unknown, { 384, 240, 5000, 512, 0, 312, 59, 41, 37, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_288P, (MODE_L2_384_COL | MODE_L3_384_COL | MODE_L4_384_COL | MODE_L5_384_COL), }, + { "320x240LB", HDMI_Unknown, { 320, 240, 5000, 426, 0, 312, 49, 41, 31, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_288P, (MODE_L2_320_COL | MODE_L3_320_COL | MODE_L4_320_COL | MODE_L5_320_COL), }, + { "256x240LB", HDMI_Unknown, { 256, 240, 5000, 341, 0, 312, 39, 41, 25, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_288P, (MODE_L2_256_COL | MODE_L3_256_COL | MODE_L4_256_COL | MODE_L5_256_COL), }, + { "288p", HDMI_288p50, { 720, 288, 5008, 864, 0, 312, 69, 19, 63, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_288P, (MODE_PT | MODE_L2), }, /* 360p: GBI */ - { "480x360", HDMI_Unknown, { 480, 360, 6000, 600, 0, 375, 63, 10, 38, 3, 0}, DEF_PHASE, { 4, 3}, VIDEO_EDTV, GROUP_384P, (MODE_PT | MODE_L2), }, - { "240x360", HDMI_Unknown, { 256, 360, 6000, 300, 0, 375, 24, 10, 18, 3, 0}, DEF_PHASE, { 4, 3}, VIDEO_EDTV, GROUP_384P, (MODE_L2_240x360 | MODE_L3_240x360), }, + { "480x360", HDMI_Unknown, { 480, 360, 6000, 600, 0, 375, 63, 10, 38, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_384P, (MODE_PT | MODE_L2), }, + { "240x360", HDMI_Unknown, { 256, 360, 6000, 300, 0, 375, 24, 10, 18, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_384P, (MODE_L2_240x360 | MODE_L3_240x360), }, /* 384p: Sega Model 2 */ - { "384p", HDMI_Unknown, { 496, 384, 5500, 640, 0, 423, 50, 29, 62, 3, 0}, DEF_PHASE, { 4, 3}, VIDEO_EDTV, GROUP_384P, (MODE_PT | MODE_L2), }, + { "384p", HDMI_Unknown, { 496, 384, 5500, 640, 0, 423, 50, 29, 62, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_384P, (MODE_PT | MODE_L2), }, /* 400p line3x */ - { "1600x400", HDMI_Unknown, {1600, 400, 7000, 2000, 0, 449, 120, 34, 240, 2, 0}, DEF_PHASE, { 4, 3}, VIDEO_PC, GROUP_384P, (MODE_L3_GEN_16_9), }, + { "1600x400", HDMI_Unknown, {1600, 400, 7000, 2000, 0, 449, 120, 34, 240, 2, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_384P, (MODE_L3_GEN_16_9), }, /* 720x400@70Hz, VGA Mode 3+/7+ */ - { "720x400_70", HDMI_Unknown, { 720, 400, 7000, 900, 0, 449, 64, 34, 96, 2, 0}, DEF_PHASE, { 4, 3}, VIDEO_PC, GROUP_384P, (MODE_PT | MODE_L2), }, + { "720x400_70", HDMI_Unknown, { 720, 400, 7000, 900, 0, 449, 64, 34, 96, 2, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_384P, (MODE_PT | MODE_L2), }, /* 640x400@70Hz, VGA Mode 13h */ - { "640x400_70", HDMI_Unknown, { 640, 400, 7000, 800, 0, 449, 48, 34, 96, 2, 0}, DEF_PHASE, { 4, 3}, VIDEO_PC, GROUP_384P, (MODE_PT | MODE_L2), }, + { "640x400_70", HDMI_Unknown, { 640, 400, 7000, 800, 0, 449, 48, 34, 96, 2, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_384P, (MODE_PT | MODE_L2), }, /* 384p: X68k @ 24kHz */ - { "640x384", HDMI_Unknown, { 640, 384, 5500, 800, 0, 492, 48, 63, 96, 2, 0}, DEF_PHASE, { 4, 3}, VIDEO_PC, GROUP_384P, (MODE_PT | MODE_L2), }, + { "640x384", HDMI_Unknown, { 640, 384, 5500, 800, 0, 492, 48, 63, 96, 2, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_384P, (MODE_PT | MODE_L2), }, /* ~525-line modes */ - { "480i", HDMI_480i60, { 720, 240, 5994, 858, 0, 525, 57, 15, 62, 3, 1}, DEF_PHASE, { 4, 3}, VIDEO_SDTV, GROUP_480I, (MODE_PT | MODE_L2 | MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3), }, - { "480p", HDMI_480p60, { 720, 480, 5994, 858, 0, 525, 60, 30, 62, 6, 0}, DEF_PHASE, { 4, 3}, VIDEO_EDTV, GROUP_480P, (MODE_PT | MODE_L2), }, - { "640x480_60", HDMI_640x480p60, { 640, 480, 6000, 800, 0, 525, 48, 33, 96, 2, 0}, DEF_PHASE, { 4, 3}, VIDEO_PC, GROUP_480P, (MODE_PT | MODE_L2), }, + { "480i", HDMI_480i60, { 720, 240, 5994, 858, 0, 525, 57, 15, 62, 3, 1}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_480I, (MODE_PT | MODE_L2 | MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3), }, + { "480p", HDMI_480p60, { 720, 480, 5994, 858, 0, 525, 60, 30, 62, 6, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_480P, (MODE_PT | MODE_L2), }, + { "640x480_60", HDMI_640x480p60, { 640, 480, 6000, 800, 0, 525, 48, 33, 96, 2, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_480P, (MODE_PT | MODE_L2), }, /* 480p PSP in-game */ \ - { "480x272", HDMI_480p60_16x9, { 480, 272, 6000, 858, 0, 525, 177,134, 62, 6, 0}, DEF_PHASE, {16, 9}, VIDEO_EDTV, GROUP_480P, (MODE_PT | MODE_L2) }, \ + { "480x272", HDMI_480p60_16x9, { 480, 272, 6000, 858, 0, 525, 177,134, 62, 6, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_480P, (MODE_PT | MODE_L2) }, \ /* X68k @ 31kHz */ - { "640x512", HDMI_Unknown, { 640, 512, 6000, 800, 0, 568, 48, 34, 96, 6, 0}, DEF_PHASE, { 4, 3}, VIDEO_PC, GROUP_480P, (MODE_PT | MODE_L2), }, + { "640x512", HDMI_Unknown, { 640, 512, 6000, 800, 0, 568, 48, 34, 96, 6, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_480P, (MODE_PT | MODE_L2), }, /* ~625-line modes */ - { "576i", HDMI_576i50, { 720, 288, 5000, 864, 0, 625, 69, 19, 63, 3, 1}, DEF_PHASE, { 4, 3}, VIDEO_SDTV, GROUP_576I, (MODE_PT | MODE_L2 | MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3), }, - { "576p", HDMI_576p50, { 720, 576, 5000, 864, 0, 625, 68, 39, 64, 5, 0}, DEF_PHASE, { 4, 3}, VIDEO_EDTV, GROUP_576P, (MODE_PT | MODE_L2), }, - { "800x600_60", HDMI_Unknown, { 800, 600, 6000, 1056, 0, 628, 88, 23, 128, 4, 0}, DEF_PHASE, { 4, 3}, VIDEO_PC, GROUP_NONE, MODE_PT, }, + { "576i", HDMI_576i50, { 720, 288, 5000, 864, 0, 625, 69, 19, 63, 3, 1}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_576I, (MODE_PT | MODE_L2 | MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3), }, + { "576p", HDMI_576p50, { 720, 576, 5000, 864, 0, 625, 68, 39, 64, 5, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_576P, (MODE_PT | MODE_L2), }, + { "800x600_60", HDMI_Unknown, { 800, 600, 6000, 1056, 0, 628, 88, 23, 128, 4, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_NONE, MODE_PT, }, /* CEA 720p modes */ - { "720p_50", HDMI_720p50, {1280, 720, 5000, 1980, 0, 750, 220, 20, 40, 5, 0}, DEF_PHASE, {16, 9}, (VIDEO_HDTV | VIDEO_PC), GROUP_720P, MODE_PT, }, - { "720p_60", HDMI_720p60, {1280, 720, 6000, 1650, 0, 750, 220, 20, 40, 5, 0}, DEF_PHASE, {16, 9}, (VIDEO_HDTV | VIDEO_PC), GROUP_720P, MODE_PT, }, + { "720p_50", HDMI_720p50, {1280, 720, 5000, 1980, 0, 750, 220, 20, 40, 5, 0}, DEF_PHASE, {{ 0, 0}}, (VIDEO_HDTV | VIDEO_PC), GROUP_720P, MODE_PT, }, + { "720p_60", HDMI_720p60, {1280, 720, 6000, 1650, 0, 750, 220, 20, 40, 5, 0}, DEF_PHASE, {{ 0, 0}}, (VIDEO_HDTV | VIDEO_PC), GROUP_720P, MODE_PT, }, /* VESA XGA,1280x960 and SXGA modes */ - { "1024x768", HDMI_Unknown, {1024, 768, 6000, 1344, 0, 806, 160, 29, 136, 6, 0}, DEF_PHASE, { 4, 3}, VIDEO_PC, GROUP_NONE, MODE_PT, }, - { "1280x960", HDMI_Unknown, {1280, 960, 6000, 1800, 0, 1000, 312, 36, 112, 3, 0}, DEF_PHASE, { 4, 3}, VIDEO_PC, GROUP_NONE, MODE_PT, }, - { "1280x1024", HDMI_Unknown, {1280, 1024, 6000, 1688, 0, 1066, 248, 38, 112, 3, 0}, DEF_PHASE, { 5, 4}, VIDEO_PC, GROUP_NONE, MODE_PT, }, + { "1024x768", HDMI_Unknown, {1024, 768, 6000, 1344, 0, 806, 160, 29, 136, 6, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_NONE, MODE_PT, }, + { "1280x960", HDMI_Unknown, {1280, 960, 6000, 1800, 0, 1000, 312, 36, 112, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_NONE, MODE_PT, }, + { "1280x1024", HDMI_Unknown, {1280, 1024, 6000, 1688, 0, 1066, 248, 38, 112, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_NONE, MODE_PT, }, /* PS2 GSM 960i mode */ - { "640x960i", HDMI_Unknown, { 640, 480, 6000, 800, 0, 1050, 48, 33, 96, 2, 1}, DEF_PHASE, { 4, 3}, VIDEO_EDTV, GROUP_1080I, (MODE_PT | MODE_L2), }, + { "640x960i", HDMI_Unknown, { 640, 480, 6000, 800, 0, 1050, 48, 33, 96, 2, 1}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_1080I, (MODE_PT | MODE_L2), }, /* CEA 1080i/p modes */ - { "1080i_50", HDMI_1080i50, {1920, 540, 5000, 2640, 0, 1125, 148, 15, 44, 5, 1}, DEF_PHASE, {16, 9}, (VIDEO_HDTV | VIDEO_PC), GROUP_1080I, (MODE_PT | MODE_L2), }, - { "1080i_60", HDMI_1080i60, {1920, 540, 6000, 2200, 0, 1125, 148, 15, 44, 5, 1}, DEF_PHASE, {16, 9}, (VIDEO_HDTV | VIDEO_PC), GROUP_1080I, (MODE_PT | MODE_L2), }, - { "1080p_50", HDMI_1080p50, {1920, 1080, 5000, 2640, 0, 1125, 148, 36, 44, 5, 0}, DEF_PHASE, {16, 9}, (VIDEO_HDTV | VIDEO_PC), GROUP_1080P, MODE_PT, }, - { "1080p_60", HDMI_1080p60, {1920, 1080, 6000, 2200, 0, 1125, 148, 36, 44, 5, 0}, DEF_PHASE, {16, 9}, (VIDEO_HDTV | VIDEO_PC), GROUP_1080P, MODE_PT, }, + { "1080i_50", HDMI_1080i50, {1920, 540, 5000, 2640, 0, 1125, 148, 15, 44, 5, 1}, DEF_PHASE, {{ 0, 0}}, (VIDEO_HDTV | VIDEO_PC), GROUP_1080I, (MODE_PT | MODE_L2), }, + { "1080i_60", HDMI_1080i60, {1920, 540, 6000, 2200, 0, 1125, 148, 15, 44, 5, 1}, DEF_PHASE, {{ 0, 0}}, (VIDEO_HDTV | VIDEO_PC), GROUP_1080I, (MODE_PT | MODE_L2), }, + { "1080p_50", HDMI_1080p50, {1920, 1080, 5000, 2640, 0, 1125, 148, 36, 44, 5, 0}, DEF_PHASE, {{ 0, 0}}, (VIDEO_HDTV | VIDEO_PC), GROUP_1080P, MODE_PT, }, + { "1080p_60", HDMI_1080p60, {1920, 1080, 6000, 2200, 0, 1125, 148, 36, 44, 5, 0}, DEF_PHASE, {{ 0, 0}}, (VIDEO_HDTV | VIDEO_PC), GROUP_1080P, MODE_PT, }, /* VESA UXGA mode */ - { "1600x1200", HDMI_Unknown, {1600, 1200, 6000, 2160, 0, 1250, 304, 46, 192, 3, 0}, DEF_PHASE, { 4, 3}, VIDEO_PC, GROUP_NONE, MODE_PT, }, + { "1600x1200", HDMI_Unknown, {1600, 1200, 6000, 2160, 0, 1250, 304, 46, 192, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_NONE, MODE_PT, }, };