From dd4ffde2312f64a53a82b4919f54cb2396755818 Mon Sep 17 00:00:00 2001 From: marqs Date: Thu, 7 Dec 2017 21:35:08 +0200 Subject: [PATCH] update to Quartus 17.1 --- .../altera_epcq_controller_wrapper_hw.tcl | 2 +- .../endianconverter_qsys_hw.tcl | 2 +- ip/i2c_opencores/i2c_opencores_hw.tcl | 2 +- ip/nios2_hw_crc/nios2_hw_crc32_hw.tcl | 2 +- ossc.qsf | 18 +- rtl/linebuf.qip | 2 +- rtl/linebuf.v | 13 +- rtl/pll_2x.qip | 2 +- rtl/pll_2x.v | 13 +- rtl/pll_3x.qip | 2 +- rtl/pll_3x.v | 13 +- .../sys_controller_bsp/HAL/src/alt_gmon.c | 2 +- software/sys_controller_bsp/Makefile | 6 +- software/sys_controller_bsp/libhal_bsp.a | Bin 104226 -> 104226 bytes software/sys_controller_bsp/mem_init.mk | 6 +- software/sys_controller_bsp/public.mk | 6 +- software/sys_controller_bsp/settings.bsp | 4 +- sys.qsys | 150 ++++---- sys.sopcinfo | 364 +++++++++--------- 19 files changed, 303 insertions(+), 306 deletions(-) diff --git a/ip/altera_epcq_controller_mod/altera_epcq_controller_wrapper_hw.tcl b/ip/altera_epcq_controller_mod/altera_epcq_controller_wrapper_hw.tcl index 0adf50b..2b8a9d8 100644 --- a/ip/altera_epcq_controller_mod/altera_epcq_controller_wrapper_hw.tcl +++ b/ip/altera_epcq_controller_mod/altera_epcq_controller_wrapper_hw.tcl @@ -20,7 +20,7 @@ package require -exact altera_terp 1.0 # set_module_property DESCRIPTION "This component is a serial flash controller which allows user to access Altera EPCQ devices" set_module_property NAME altera_epcq_controller_mod -set_module_property VERSION 17.0 +set_module_property VERSION 17.1 set_module_property INTERNAL false set_module_property OPAQUE_ADDRESS_MAP true set_module_property GROUP "Basic Functions/Configuration and Programming" diff --git a/ip/altera_nios_custom_instr_endianconverter_qsys/endianconverter_qsys_hw.tcl b/ip/altera_nios_custom_instr_endianconverter_qsys/endianconverter_qsys_hw.tcl index b8cfc8c..32a5e05 100644 --- a/ip/altera_nios_custom_instr_endianconverter_qsys/endianconverter_qsys_hw.tcl +++ b/ip/altera_nios_custom_instr_endianconverter_qsys/endianconverter_qsys_hw.tcl @@ -34,7 +34,7 @@ package require -exact sopc 10.1 # | module altera_nios_custom_instr_endian_converter # | set_module_property NAME altera_nios_custom_instr_endianconverter -set_module_property VERSION 17.0 +set_module_property VERSION 17.1 set_module_property INTERNAL false set_module_property GROUP "Custom Instruction Modules" set_module_property AUTHOR "Altera Corporation" diff --git a/ip/i2c_opencores/i2c_opencores_hw.tcl b/ip/i2c_opencores/i2c_opencores_hw.tcl index 07835bb..ed717ea 100644 --- a/ip/i2c_opencores/i2c_opencores_hw.tcl +++ b/ip/i2c_opencores/i2c_opencores_hw.tcl @@ -20,7 +20,7 @@ package require -exact qsys 13.1 # set_module_property DESCRIPTION "I2C Master Peripheral from opencores.org, plus SPI master (CPOL=1, CPHA=1) functionality using the same bus." set_module_property NAME i2c_opencores -set_module_property VERSION 17.0 +set_module_property VERSION 17.1 set_module_property INTERNAL false set_module_property OPAQUE_ADDRESS_MAP true set_module_property GROUP "Interface Protocols/Serial" diff --git a/ip/nios2_hw_crc/nios2_hw_crc32_hw.tcl b/ip/nios2_hw_crc/nios2_hw_crc32_hw.tcl index 5633885..aa5ecdd 100644 --- a/ip/nios2_hw_crc/nios2_hw_crc32_hw.tcl +++ b/ip/nios2_hw_crc/nios2_hw_crc32_hw.tcl @@ -20,7 +20,7 @@ package require -exact qsys 15.1 # set_module_property DESCRIPTION "" set_module_property NAME nios2_hw_crc32 -set_module_property VERSION 17.0 +set_module_property VERSION 17.1 set_module_property INTERNAL false set_module_property OPAQUE_ADDRESS_MAP true set_module_property GROUP "Custom Instruction Modules" diff --git a/ossc.qsf b/ossc.qsf index 89f0098..8ff87f9 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -41,7 +41,7 @@ set_global_assignment -name DEVICE EP4CE15E22C8 set_global_assignment -name TOP_LEVEL_ENTITY ossc set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.1 set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:27:03 MAY 17, 2014" -set_global_assignment -name LAST_QUARTUS_VERSION "17.0.0 Lite Edition" +set_global_assignment -name LAST_QUARTUS_VERSION "17.1.0 Lite Edition" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 @@ -222,6 +222,14 @@ set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 2.0 set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE SPEED set_global_assignment -name ENABLE_SIGNALTAP OFF set_global_assignment -name USE_SIGNALTAP_FILE output_files/ossc_la.stp + + +set_global_assignment -name FITTER_EFFORT "AUTO FIT" +set_global_assignment -name SEED 2 + + + + set_global_assignment -name VERILOG_FILE rtl/videogen.v set_global_assignment -name QIP_FILE software/sys_controller/mem_init/meminit.qip set_global_assignment -name VERILOG_FILE rtl/ir_rcv.v @@ -235,12 +243,4 @@ set_global_assignment -name QIP_FILE rtl/pll_2x.qip set_global_assignment -name QIP_FILE rtl/pll_3x.qip set_global_assignment -name CDF_FILE output_files/Chain1.cdf set_global_assignment -name SIGNALTAP_FILE output_files/ossc_la.stp - - -set_global_assignment -name FITTER_EFFORT "AUTO FIT" -set_global_assignment -name SEED 2 - - - - set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/rtl/linebuf.qip b/rtl/linebuf.qip index a07a2f4..736388a 100644 --- a/rtl/linebuf.qip +++ b/rtl/linebuf.qip @@ -1,5 +1,5 @@ set_global_assignment -name IP_TOOL_NAME "RAM: 2-PORT" -set_global_assignment -name IP_TOOL_VERSION "17.0" +set_global_assignment -name IP_TOOL_VERSION "17.1" set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "linebuf.v"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "linebuf_inst.v"] diff --git a/rtl/linebuf.v b/rtl/linebuf.v index 99a9516..c4605cb 100644 --- a/rtl/linebuf.v +++ b/rtl/linebuf.v @@ -14,7 +14,7 @@ // ************************************************************ // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! // -// 17.0.0 Build 595 04/25/2017 SJ Lite Edition +// 17.1.0 Build 590 10/25/2017 SJ Lite Edition // ************************************************************ @@ -26,12 +26,11 @@ //associated documentation or information are expressly subject //to the terms and conditions of the Intel Program License //Subscription Agreement, the Intel Quartus Prime License Agreement, -//the Intel MegaCore Function License Agreement, or other -//applicable license agreement, including, without limitation, -//that your use is for the sole purpose of programming logic -//devices manufactured by Intel and sold by Intel or its -//authorized distributors. Please refer to the applicable -//agreement for further details. +//the Intel FPGA IP License Agreement, or other applicable license +//agreement, including, without limitation, that your use is for +//the sole purpose of programming logic devices manufactured by +//Intel and sold by Intel or its authorized distributors. Please +//refer to the applicable agreement for further details. // synopsys translate_off diff --git a/rtl/pll_2x.qip b/rtl/pll_2x.qip index 98b0034..cdc9645 100644 --- a/rtl/pll_2x.qip +++ b/rtl/pll_2x.qip @@ -1,5 +1,5 @@ set_global_assignment -name IP_TOOL_NAME "ALTPLL" -set_global_assignment -name IP_TOOL_VERSION "17.0" +set_global_assignment -name IP_TOOL_VERSION "17.1" set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "pll_2x.v"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll_2x_bb.v"] diff --git a/rtl/pll_2x.v b/rtl/pll_2x.v index 7366abe..cdbd6b5 100644 --- a/rtl/pll_2x.v +++ b/rtl/pll_2x.v @@ -14,7 +14,7 @@ // ************************************************************ // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! // -// 17.0.0 Build 595 04/25/2017 SJ Lite Edition +// 17.1.0 Build 590 10/25/2017 SJ Lite Edition // ************************************************************ @@ -26,12 +26,11 @@ //associated documentation or information are expressly subject //to the terms and conditions of the Intel Program License //Subscription Agreement, the Intel Quartus Prime License Agreement, -//the Intel MegaCore Function License Agreement, or other -//applicable license agreement, including, without limitation, -//that your use is for the sole purpose of programming logic -//devices manufactured by Intel and sold by Intel or its -//authorized distributors. Please refer to the applicable -//agreement for further details. +//the Intel FPGA IP License Agreement, or other applicable license +//agreement, including, without limitation, that your use is for +//the sole purpose of programming logic devices manufactured by +//Intel and sold by Intel or its authorized distributors. Please +//refer to the applicable agreement for further details. // synopsys translate_off diff --git a/rtl/pll_3x.qip b/rtl/pll_3x.qip index 2f67621..11d1d11 100644 --- a/rtl/pll_3x.qip +++ b/rtl/pll_3x.qip @@ -1,5 +1,5 @@ set_global_assignment -name IP_TOOL_NAME "ALTPLL" -set_global_assignment -name IP_TOOL_VERSION "17.0" +set_global_assignment -name IP_TOOL_VERSION "17.1" set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "pll_3x.v"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll_3x.ppf"] diff --git a/rtl/pll_3x.v b/rtl/pll_3x.v index 4aee326..1d03f01 100644 --- a/rtl/pll_3x.v +++ b/rtl/pll_3x.v @@ -14,7 +14,7 @@ // ************************************************************ // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! // -// 17.0.0 Build 595 04/25/2017 SJ Lite Edition +// 17.1.0 Build 590 10/25/2017 SJ Lite Edition // ************************************************************ @@ -26,12 +26,11 @@ //associated documentation or information are expressly subject //to the terms and conditions of the Intel Program License //Subscription Agreement, the Intel Quartus Prime License Agreement, -//the Intel MegaCore Function License Agreement, or other -//applicable license agreement, including, without limitation, -//that your use is for the sole purpose of programming logic -//devices manufactured by Intel and sold by Intel or its -//authorized distributors. Please refer to the applicable -//agreement for further details. +//the Intel FPGA IP License Agreement, or other applicable license +//agreement, including, without limitation, that your use is for +//the sole purpose of programming logic devices manufactured by +//Intel and sold by Intel or its authorized distributors. Please +//refer to the applicable agreement for further details. // synopsys translate_off diff --git a/software/sys_controller_bsp/HAL/src/alt_gmon.c b/software/sys_controller_bsp/HAL/src/alt_gmon.c index 6add9f1..9fa6416 100644 --- a/software/sys_controller_bsp/HAL/src/alt_gmon.c +++ b/software/sys_controller_bsp/HAL/src/alt_gmon.c @@ -247,7 +247,7 @@ static int nios2_pcsample_init(void) */ static alt_u32 nios2_pcsample(void* context) { - unsigned int pc; + unsigned int pc=0; unsigned int bucket; /* read the exception return address - this will be diff --git a/software/sys_controller_bsp/Makefile b/software/sys_controller_bsp/Makefile index ec6a010..aa3ece7 100644 --- a/software/sys_controller_bsp/Makefile +++ b/software/sys_controller_bsp/Makefile @@ -93,12 +93,12 @@ OBJ_DIR := ./obj # This following VERSION comment indicates the version of the tool used to # generate this makefile. A makefile variable is provided for VERSION as well. -# ACDS_VERSION: 17.0 -ACDS_VERSION := 17.0 +# ACDS_VERSION: 17.1 +ACDS_VERSION := 17.1 # This following BUILD_NUMBER comment indicates the build number of the tool # used to generate this makefile. -# BUILD_NUMBER: 595 +# BUILD_NUMBER: 590 SETTINGS_FILE := settings.bsp SOPC_FILE := ../../sys.sopcinfo diff --git a/software/sys_controller_bsp/libhal_bsp.a b/software/sys_controller_bsp/libhal_bsp.a index 043a0eab4356c72c40da535ae403dc5f1e8f50b4..d271a4f4131ff946ecc7619eb213f1145be7a7e0 100644 GIT binary patch delta 69 zcmZ3qj&0F8wh2-khDK)QrbZ@a8nwgWLU}|YF*`Q5g Tx*R*B-Q)$&xHcCp-0&3u hal default - Oct 28, 2017 10:37:24 AM - 1509176244401 + Dec 7, 2017 9:34:03 PM + 1512675243152 ./ settings.bsp ../../sys.sopcinfo diff --git a/sys.qsys b/sys.qsys index 57e6c53..56cae17 100644 --- a/sys.qsys +++ b/sys.qsys @@ -362,7 +362,7 @@ type="conduit" dir="end" /> - + @@ -371,7 +371,7 @@ @@ -384,21 +384,21 @@ @@ -417,7 +417,7 @@ @@ -429,7 +429,7 @@ @@ -611,19 +611,19 @@ $${FILENAME}_onchip_memory2_0 @@ -652,7 +652,7 @@ - + @@ -666,7 +666,7 @@ - + @@ -680,7 +680,7 @@ - + @@ -694,7 +694,7 @@ - + @@ -708,7 +708,7 @@ - + @@ -722,7 +722,7 @@ - + @@ -736,7 +736,7 @@ - + @@ -750,7 +750,7 @@ - + @@ -764,7 +764,7 @@ - + @@ -778,7 +778,7 @@ @@ -787,7 +787,7 @@ @@ -796,7 +796,7 @@ @@ -805,7 +805,7 @@ @@ -814,7 +814,7 @@ @@ -823,7 +823,7 @@ @@ -832,7 +832,7 @@ @@ -841,7 +841,7 @@ @@ -850,7 +850,7 @@ @@ -859,7 +859,7 @@ @@ -868,7 +868,7 @@ @@ -877,7 +877,7 @@ @@ -886,7 +886,7 @@ @@ -895,7 +895,7 @@ @@ -904,7 +904,7 @@ @@ -913,7 +913,7 @@ @@ -922,7 +922,7 @@ @@ -931,82 +931,82 @@ - - - - - - - - - - - + + + + + + + + + + + @@ -1015,7 +1015,7 @@ nios_custom_instr_endianconverter_0 @@ -1024,7 +1024,7 @@ nios_custom_instr_bitswap_0 @@ -1033,77 +1033,77 @@ diff --git a/sys.sopcinfo b/sys.sopcinfo index 078b6b5..785e970 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - - + + java.lang.Integer - 1509052369 + 1512674917 false true false @@ -95,7 +95,7 @@ true true - + @@ -148,7 +148,7 @@ the requested settings for a module instance. --> true true - + @@ -213,7 +213,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -262,7 +262,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -421,7 +421,7 @@ parameters are a RESULT of the module parameters. --> epcq_controller_0.clock_sink - + @@ -486,7 +486,7 @@ parameters are a RESULT of the module parameters. --> @@ -674,7 +674,7 @@ the requested settings for a module instance. --> true true - + @@ -735,7 +735,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -780,7 +780,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -1157,7 +1157,7 @@ parameters are a RESULT of the module parameters. --> readdatavalid - + @@ -1546,7 +1546,7 @@ parameters are a RESULT of the module parameters. --> byteenable - + @@ -1627,7 +1627,7 @@ parameters are a RESULT of the module parameters. --> @@ -1655,7 +1655,7 @@ the requested settings for a module instance. --> true true - + @@ -1700,7 +1700,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -1745,7 +1745,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -1802,7 +1802,7 @@ parameters are a RESULT of the module parameters. --> export - + @@ -2173,7 +2173,7 @@ parameters are a RESULT of the module parameters. --> waitrequest_n - + @@ -2254,7 +2254,7 @@ parameters are a RESULT of the module parameters. --> @@ -2282,7 +2282,7 @@ the requested settings for a module instance. --> true true - + @@ -2327,7 +2327,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -2372,7 +2372,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -2429,7 +2429,7 @@ parameters are a RESULT of the module parameters. --> export - + @@ -2800,7 +2800,7 @@ parameters are a RESULT of the module parameters. --> waitrequest_n - + @@ -2881,7 +2881,7 @@ parameters are a RESULT of the module parameters. --> @@ -3064,7 +3064,7 @@ the requested settings for a module instance. --> true true - + @@ -3125,7 +3125,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -3170,7 +3170,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -3547,7 +3547,7 @@ parameters are a RESULT of the module parameters. --> waitrequest - + @@ -3628,7 +3628,7 @@ parameters are a RESULT of the module parameters. --> @@ -3699,7 +3699,7 @@ the requested settings for a module instance. --> + version="17.1"> @@ -3838,7 +3838,7 @@ parameters are a RESULT of the module parameters. --> @@ -5690,7 +5690,7 @@ the requested settings for a module instance. --> true true - + @@ -5751,7 +5751,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -5802,7 +5802,7 @@ parameters are a RESULT of the module parameters. --> reset_req - + @@ -6245,7 +6245,7 @@ parameters are a RESULT of the module parameters. --> 16 - + @@ -6548,7 +6548,7 @@ parameters are a RESULT of the module parameters. --> 40960 - + @@ -6652,7 +6652,7 @@ parameters are a RESULT of the module parameters. --> 0 - + @@ -6713,7 +6713,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -7091,7 +7091,7 @@ parameters are a RESULT of the module parameters. --> + version="17.1"> @@ -7306,7 +7306,7 @@ parameters are a RESULT of the module parameters. --> @@ -7326,7 +7326,7 @@ the requested settings for a module instance. --> true true - + @@ -7435,7 +7435,7 @@ parameters are a RESULT of the module parameters. --> @@ -7465,7 +7465,7 @@ the requested settings for a module instance. --> true true - + @@ -7574,7 +7574,7 @@ parameters are a RESULT of the module parameters. --> @@ -7965,7 +7965,7 @@ the requested settings for a module instance. --> true true - + @@ -8010,7 +8010,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -8387,7 +8387,7 @@ parameters are a RESULT of the module parameters. --> byteenable - + @@ -8439,7 +8439,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -8696,7 +8696,7 @@ the requested settings for a module instance. --> true true - + @@ -8757,7 +8757,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -8802,7 +8802,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -9167,7 +9167,7 @@ parameters are a RESULT of the module parameters. --> readdata - + @@ -9213,7 +9213,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -9470,7 +9470,7 @@ the requested settings for a module instance. --> true true - + @@ -9531,7 +9531,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -9576,7 +9576,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -9923,7 +9923,7 @@ parameters are a RESULT of the module parameters. --> readdata - + @@ -9969,7 +9969,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -10226,7 +10226,7 @@ the requested settings for a module instance. --> true true - + @@ -10287,7 +10287,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -10332,7 +10332,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -10679,7 +10679,7 @@ parameters are a RESULT of the module parameters. --> readdata - + @@ -10725,7 +10725,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -10982,7 +10982,7 @@ the requested settings for a module instance. --> true true - + @@ -11043,7 +11043,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -11088,7 +11088,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -11453,7 +11453,7 @@ parameters are a RESULT of the module parameters. --> readdata - + @@ -11499,7 +11499,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -11756,7 +11756,7 @@ the requested settings for a module instance. --> true true - + @@ -11817,7 +11817,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -11862,7 +11862,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -12227,7 +12227,7 @@ parameters are a RESULT of the module parameters. --> readdata - + @@ -12273,7 +12273,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -12530,7 +12530,7 @@ the requested settings for a module instance. --> true true - + @@ -12591,7 +12591,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -12636,7 +12636,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -13001,7 +13001,7 @@ parameters are a RESULT of the module parameters. --> readdata - + @@ -13047,7 +13047,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -13304,7 +13304,7 @@ the requested settings for a module instance. --> true true - + @@ -13365,7 +13365,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -13410,7 +13410,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -13775,7 +13775,7 @@ parameters are a RESULT of the module parameters. --> readdata - + @@ -13821,7 +13821,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -14078,7 +14078,7 @@ the requested settings for a module instance. --> true true - + @@ -14139,7 +14139,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -14184,7 +14184,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -14531,7 +14531,7 @@ parameters are a RESULT of the module parameters. --> readdata - + @@ -14580,7 +14580,7 @@ parameters are a RESULT of the module parameters. --> @@ -14806,7 +14806,7 @@ the requested settings for a module instance. --> true true - + @@ -14867,7 +14867,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -14912,7 +14912,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -15281,7 +15281,7 @@ parameters are a RESULT of the module parameters. --> write_n - + @@ -15362,7 +15362,7 @@ parameters are a RESULT of the module parameters. --> @@ -15413,7 +15413,7 @@ parameters are a RESULT of the module parameters. --> @@ -15464,7 +15464,7 @@ parameters are a RESULT of the module parameters. --> @@ -15515,7 +15515,7 @@ parameters are a RESULT of the module parameters. --> @@ -15566,7 +15566,7 @@ parameters are a RESULT of the module parameters. --> @@ -15617,7 +15617,7 @@ parameters are a RESULT of the module parameters. --> @@ -15668,7 +15668,7 @@ parameters are a RESULT of the module parameters. --> @@ -15719,7 +15719,7 @@ parameters are a RESULT of the module parameters. --> @@ -15770,7 +15770,7 @@ parameters are a RESULT of the module parameters. --> @@ -15821,7 +15821,7 @@ parameters are a RESULT of the module parameters. --> @@ -15872,7 +15872,7 @@ parameters are a RESULT of the module parameters. --> @@ -15923,7 +15923,7 @@ parameters are a RESULT of the module parameters. --> @@ -15974,7 +15974,7 @@ parameters are a RESULT of the module parameters. --> @@ -16025,7 +16025,7 @@ parameters are a RESULT of the module parameters. --> @@ -16076,7 +16076,7 @@ parameters are a RESULT of the module parameters. --> @@ -16127,7 +16127,7 @@ parameters are a RESULT of the module parameters. --> @@ -16178,7 +16178,7 @@ parameters are a RESULT of the module parameters. --> @@ -16229,7 +16229,7 @@ parameters are a RESULT of the module parameters. --> @@ -16280,7 +16280,7 @@ parameters are a RESULT of the module parameters. --> @@ -16307,7 +16307,7 @@ parameters are a RESULT of the module parameters. --> @@ -16334,7 +16334,7 @@ parameters are a RESULT of the module parameters. --> @@ -16361,7 +16361,7 @@ parameters are a RESULT of the module parameters. --> @@ -16388,7 +16388,7 @@ parameters are a RESULT of the module parameters. --> @@ -16415,7 +16415,7 @@ parameters are a RESULT of the module parameters. --> @@ -16442,7 +16442,7 @@ parameters are a RESULT of the module parameters. --> @@ -16469,7 +16469,7 @@ parameters are a RESULT of the module parameters. --> @@ -16496,7 +16496,7 @@ parameters are a RESULT of the module parameters. --> @@ -16523,7 +16523,7 @@ parameters are a RESULT of the module parameters. --> @@ -16550,7 +16550,7 @@ parameters are a RESULT of the module parameters. --> @@ -16577,7 +16577,7 @@ parameters are a RESULT of the module parameters. --> @@ -16604,7 +16604,7 @@ parameters are a RESULT of the module parameters. --> @@ -16631,7 +16631,7 @@ parameters are a RESULT of the module parameters. --> @@ -16658,7 +16658,7 @@ parameters are a RESULT of the module parameters. --> @@ -16685,7 +16685,7 @@ parameters are a RESULT of the module parameters. --> @@ -16720,7 +16720,7 @@ parameters are a RESULT of the module parameters. --> @@ -16755,7 +16755,7 @@ parameters are a RESULT of the module parameters. --> @@ -16790,7 +16790,7 @@ parameters are a RESULT of the module parameters. --> @@ -16825,7 +16825,7 @@ parameters are a RESULT of the module parameters. --> @@ -16860,7 +16860,7 @@ parameters are a RESULT of the module parameters. --> @@ -16927,7 +16927,7 @@ parameters are a RESULT of the module parameters. --> @@ -16994,7 +16994,7 @@ parameters are a RESULT of the module parameters. --> @@ -17061,7 +17061,7 @@ parameters are a RESULT of the module parameters. --> @@ -17088,7 +17088,7 @@ parameters are a RESULT of the module parameters. --> @@ -17115,7 +17115,7 @@ parameters are a RESULT of the module parameters. --> @@ -17142,7 +17142,7 @@ parameters are a RESULT of the module parameters. --> @@ -17169,7 +17169,7 @@ parameters are a RESULT of the module parameters. --> @@ -17196,7 +17196,7 @@ parameters are a RESULT of the module parameters. --> @@ -17223,7 +17223,7 @@ parameters are a RESULT of the module parameters. --> @@ -17250,7 +17250,7 @@ parameters are a RESULT of the module parameters. --> @@ -17277,7 +17277,7 @@ parameters are a RESULT of the module parameters. --> @@ -17304,7 +17304,7 @@ parameters are a RESULT of the module parameters. --> @@ -17331,7 +17331,7 @@ parameters are a RESULT of the module parameters. --> @@ -17358,7 +17358,7 @@ parameters are a RESULT of the module parameters. --> @@ -17385,7 +17385,7 @@ parameters are a RESULT of the module parameters. --> @@ -17412,7 +17412,7 @@ parameters are a RESULT of the module parameters. --> @@ -17439,7 +17439,7 @@ parameters are a RESULT of the module parameters. --> @@ -17469,7 +17469,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Clock Source - 17.0 + 17.1 1 @@ -17477,7 +17477,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Input - 17.0 + 17.1 1 @@ -17485,7 +17485,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Input - 17.0 + 17.1 1 @@ -17493,7 +17493,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Output - 17.0 + 17.1 1 @@ -17501,7 +17501,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Output - 17.0 + 17.1 1 @@ -17509,7 +17509,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Altera Serial Flash Controller - 17.0 + 17.1 15 @@ -17517,7 +17517,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Input - 17.0 + 17.1 15 @@ -17525,7 +17525,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Input - 17.0 + 17.1 16 @@ -17533,7 +17533,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Slave - 17.0 + 17.1 5 @@ -17541,7 +17541,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Interrupt Sender - 17.0 + 17.1 2 @@ -17549,7 +17549,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule I2C Master (opencores.org) - 17.0 + 17.1 10 @@ -17557,7 +17557,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Conduit - 17.0 + 17.1 1 @@ -17565,7 +17565,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule JTAG UART - 17.0 + 17.1 1 @@ -17573,7 +17573,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule nios2_hw_crc32 - 17.0 + 17.1 3 @@ -17581,7 +17581,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Custom Instruction Slave - 17.0 + 17.1 1 @@ -17589,7 +17589,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Nios II Processor - 17.0 + 17.1 2 @@ -17597,7 +17597,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Master - 17.0 + 17.1 1 @@ -17605,7 +17605,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Interrupt Receiver - 17.0 + 17.1 1 @@ -17613,7 +17613,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Output - 17.0 + 17.1 1 @@ -17621,7 +17621,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Custom Instruction Master - 17.0 + 17.1 1 @@ -17629,7 +17629,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Bitswap - 17.0 + 17.1 1 @@ -17637,7 +17637,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Endian Converter - 17.0 + 17.1 1 @@ -17645,7 +17645,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule On-Chip Memory (RAM or ROM) - 17.0 + 17.1 8 @@ -17653,7 +17653,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule PIO (Parallel I/O) - 17.0 + 17.1 1 @@ -17661,7 +17661,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Interval Timer - 17.0 + 17.1 18 @@ -17669,7 +17669,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Avalon Memory Mapped Connection - 17.0 + 17.1 15 @@ -17677,7 +17677,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Clock Connection - 17.0 + 17.1 5 @@ -17685,7 +17685,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Interrupt Connection - 17.0 + 17.1 3 @@ -17693,7 +17693,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Nios II Custom Instruction Connection - 17.0 + 17.1 15 @@ -17701,8 +17701,8 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Reset Connection - 17.0 + 17.1 - 17.0 595 + 17.1 590